coq_8_11: init at 8.11+β1

wip/yesman
Vincent Laporte 5 years ago
parent 41beae8a9d
commit 2942490c2c
No known key found for this signature in database
GPG Key ID: EBD582ADDDB1F81F
  1. 1
      pkgs/applications/science/logic/coq/default.nix
  2. 1
      pkgs/top-level/all-packages.nix
  3. 4
      pkgs/top-level/coq-packages.nix

@ -32,6 +32,7 @@ let
"8.10.0" = "138jw94wp4mg5dgjc2asn8ng09ayz1mxdznq342n0m469j803gzg";
"8.10.1" = "072v2zkjzf7gj48137wpr3c9j0hg9pdhlr5l8jrgrwynld8fp7i4";
"8.10.2" = "0znxmpy71bfw0p6x47i82jf5k7v41zbz9bdpn901ysn3ir8l3wrz";
"8.11+beta1" = "06dlxj6v7gd51dh6ir121z7lgqdagkq717xxxrc8bdqhz7d2z7qj";
}.${version};
coq-version = stdenv.lib.versions.majorMinor version;
versionAtLeast = stdenv.lib.versionAtLeast coq-version;

@ -23882,6 +23882,7 @@ in
coqPackages_8_8 coq_8_8
coqPackages_8_9 coq_8_9
coqPackages_8_10 coq_8_10
coqPackages_8_11 coq_8_11
coqPackages coq
;

@ -130,6 +130,9 @@ in rec {
coq_8_10 = callPackage ../applications/science/logic/coq {
version = "8.10.2";
};
coq_8_11 = callPackage ../applications/science/logic/coq {
version = "8.11+beta1";
};
coqPackages_8_5 = mkCoqPackages coq_8_5;
coqPackages_8_6 = mkCoqPackages coq_8_6;
@ -137,6 +140,7 @@ in rec {
coqPackages_8_8 = mkCoqPackages coq_8_8;
coqPackages_8_9 = mkCoqPackages coq_8_9;
coqPackages_8_10 = mkCoqPackages coq_8_10;
coqPackages_8_11 = mkCoqPackages coq_8_11;
coqPackages = recurseIntoAttrs (lib.mapDerivationAttrset lib.dontDistribute
coqPackages_8_9
);

Loading…
Cancel
Save