yosys: 2020.06.19 -> 2020.07.07

Signed-off-by: Austin Seipp <aseipp@pobox.com>
wip/yesman
Austin Seipp 4 years ago
parent f70a54893e
commit 63e55fae00
No known key found for this signature in database
GPG Key ID: 25D2038DEB08021D
  1. 6
      pkgs/applications/science/logic/abc/default.nix
  2. 6
      pkgs/development/compilers/yosys/default.nix

@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "abc-verifier";
version = "2020.04.30";
version = "2020.06.22";
src = fetchFromGitHub {
owner = "berkeley-abc";
repo = "abc";
rev = "fd2c9b1c19216f6b756f88b18f5ca67b759ca128";
sha256 = "1d18pkpsx0nlzl3a6lyfdnpk4kixjmgswy6cp5fbrkpp4rf1gahi";
rev = "341db25668f3054c87aa3372c794e180f629af5d";
sha256 = "14cgv34vz5ljkcms6nrv19vqws2hs8bgjgffk5q03cbxnm2jxv5s";
};
nativeBuildInputs = [ cmake ];

@ -16,13 +16,13 @@
stdenv.mkDerivation rec {
pname = "yosys";
version = "2020.06.19";
version = "2020.07.07";
src = fetchFromGitHub {
owner = "YosysHQ";
repo = "yosys";
rev = "338ecbe02f8bb3cc4d69de1445c7f398a814b4e4";
sha256 = "0j5jwyxrq8rmwpyyidid6yp6g5bq7jwnhmn2ycj82kdj787p9vvs";
rev = "000fd08198487cd1d36e65e4470f4b0269c23a2b";
sha256 = "01s252vwh4g1f4y99nfrkpf6hgvh9k63nz8hvpmjza5z8x6zf4i1";
};
enableParallelBuilding = true;

Loading…
Cancel
Save