diff --git a/infra/libkookie/nixpkgs/unstable/.github/CODEOWNERS b/infra/libkookie/nixpkgs/unstable/.github/CODEOWNERS
index ec4222a0d4f..7dc14a61cba 100644
--- a/infra/libkookie/nixpkgs/unstable/.github/CODEOWNERS
+++ b/infra/libkookie/nixpkgs/unstable/.github/CODEOWNERS
@@ -19,7 +19,7 @@
# Libraries
/lib @edolstra @nbp @infinisil
-/lib/systems @nbp @ericson2314 @matthewbauer
+/lib/systems @alyssais @nbp @ericson2314 @matthewbauer
/lib/generators.nix @edolstra @nbp @Profpatsch
/lib/cli.nix @edolstra @nbp @Profpatsch
/lib/debug.nix @edolstra @nbp @Profpatsch
@@ -42,6 +42,12 @@
# Nixpkgs build-support
/pkgs/build-support/writers @lassulus @Profpatsch
+# Nixpkgs documentation
+/maintainers/scripts/db-to-md.sh @jtojnar @ryantm
+/maintainers/scripts/doc @jtojnar @ryantm
+/doc/build-aux/pandoc-filters @jtojnar
+/doc/contributing/contributing-to-documentation.chapter.md @jtojnar
+
# NixOS Internals
/nixos/default.nix @nbp @infinisil
/nixos/lib/from-env.nix @nbp @infinisil
@@ -59,6 +65,7 @@
/nixos/doc/manual/development/writing-modules.xml @nbp
/nixos/doc/manual/man-nixos-option.xml @nbp
/nixos/modules/installer/tools/nixos-option.sh @nbp
+/nixos/modules/system @dasJ
# NixOS integration test driver
/nixos/lib/test-driver @tfc
@@ -90,9 +97,9 @@
/pkgs/top-level/haskell-packages.nix @cdepillabout @sternenseemann @maralorn @expipiplus1
# Perl
-/pkgs/development/interpreters/perl @volth @stigtsp
-/pkgs/top-level/perl-packages.nix @volth @stigtsp
-/pkgs/development/perl-modules @volth @stigtsp
+/pkgs/development/interpreters/perl @volth @stigtsp @zakame
+/pkgs/top-level/perl-packages.nix @volth @stigtsp @zakame
+/pkgs/development/perl-modules @volth @stigtsp @zakame
# R
/pkgs/applications/science/math/R @jbedo @bcdarwin
@@ -104,7 +111,7 @@
# Rust
/pkgs/development/compilers/rust @Mic92 @LnL7 @zowoq
-/pkgs/build-support/rust @andir @danieldk @zowoq
+/pkgs/build-support/rust @andir @zowoq
# Darwin-related
/pkgs/stdenv/darwin @NixOS/darwin-maintainers
@@ -225,3 +232,8 @@
# Cinnamon
/pkgs/desktops/cinnamon @mkg20001
+
+#nim
+/pkgs/development/compilers/nim @ehmry
+/pkgs/development/nim-packages @ehmry
+/pkgs/top-level/nim-packages.nix @ehmry
diff --git a/infra/libkookie/nixpkgs/unstable/.github/labeler.yml b/infra/libkookie/nixpkgs/unstable/.github/labeler.yml
index ff831042461..780843a2a55 100644
--- a/infra/libkookie/nixpkgs/unstable/.github/labeler.yml
+++ b/infra/libkookie/nixpkgs/unstable/.github/labeler.yml
@@ -72,6 +72,12 @@
- nixos/**/*
- pkgs/os-specific/linux/nixos-rebuild/**/*
+"6.topic: nim":
+ - doc/languages-frameworks/nim.section.md
+ - pkgs/development/compilers/nim/*
+ - pkgs/development/nim-packages/**/*
+ - pkgs/top-level/nim-packages.nix
+
"6.topic: ocaml":
- doc/languages-frameworks/ocaml.section.md
- pkgs/development/compilers/ocaml/**/*
diff --git a/infra/libkookie/nixpkgs/unstable/.github/workflows/basic-eval.yml b/infra/libkookie/nixpkgs/unstable/.github/workflows/basic-eval.yml
index 3d12eda314f..b7bbbd40b45 100644
--- a/infra/libkookie/nixpkgs/unstable/.github/workflows/basic-eval.yml
+++ b/infra/libkookie/nixpkgs/unstable/.github/workflows/basic-eval.yml
@@ -15,6 +15,6 @@ jobs:
# we don't limit this action to only NixOS repo since the checks are cheap and useful developer feedback
steps:
- uses: actions/checkout@v2
- - uses: cachix/install-nix-action@v13
+ - uses: cachix/install-nix-action@v14
# explicit list of supportedSystems is needed until aarch64-darwin becomes part of the trunk jobset
- run: nix-build pkgs/top-level/release.nix -A tarball.nixpkgs-basic-release-checks --arg supportedSystems '[ "aarch64-darwin" "aarch64-linux" "x86_64-linux" "x86_64-darwin" ]'
diff --git a/infra/libkookie/nixpkgs/unstable/.github/workflows/editorconfig.yml b/infra/libkookie/nixpkgs/unstable/.github/workflows/editorconfig.yml
index 4960e9fd3d2..1934a24c65a 100644
--- a/infra/libkookie/nixpkgs/unstable/.github/workflows/editorconfig.yml
+++ b/infra/libkookie/nixpkgs/unstable/.github/workflows/editorconfig.yml
@@ -28,7 +28,7 @@ jobs:
# pull_request_target checks out the base branch by default
ref: refs/pull/${{ github.event.pull_request.number }}/merge
if: env.PR_DIFF
- - uses: cachix/install-nix-action@v13
+ - uses: cachix/install-nix-action@v14
if: env.PR_DIFF
with:
# nixpkgs commit is pinned so that it doesn't break
diff --git a/infra/libkookie/nixpkgs/unstable/.github/workflows/manual-nixos.yml b/infra/libkookie/nixpkgs/unstable/.github/workflows/manual-nixos.yml
index edd2755302a..01bbe1b12bf 100644
--- a/infra/libkookie/nixpkgs/unstable/.github/workflows/manual-nixos.yml
+++ b/infra/libkookie/nixpkgs/unstable/.github/workflows/manual-nixos.yml
@@ -18,7 +18,7 @@ jobs:
with:
# pull_request_target checks out the base branch by default
ref: refs/pull/${{ github.event.pull_request.number }}/merge
- - uses: cachix/install-nix-action@v13
+ - uses: cachix/install-nix-action@v14
with:
# explicitly enable sandbox
extra_nix_config: sandbox = true
diff --git a/infra/libkookie/nixpkgs/unstable/.github/workflows/manual-nixpkgs.yml b/infra/libkookie/nixpkgs/unstable/.github/workflows/manual-nixpkgs.yml
index e27a281a933..d0c3f595915 100644
--- a/infra/libkookie/nixpkgs/unstable/.github/workflows/manual-nixpkgs.yml
+++ b/infra/libkookie/nixpkgs/unstable/.github/workflows/manual-nixpkgs.yml
@@ -18,7 +18,7 @@ jobs:
with:
# pull_request_target checks out the base branch by default
ref: refs/pull/${{ github.event.pull_request.number }}/merge
- - uses: cachix/install-nix-action@v13
+ - uses: cachix/install-nix-action@v14
with:
# explicitly enable sandbox
extra_nix_config: sandbox = true
diff --git a/infra/libkookie/nixpkgs/unstable/.github/workflows/nixos-manual.yml b/infra/libkookie/nixpkgs/unstable/.github/workflows/nixos-manual.yml
index 2a1c1c29738..70f61a1a3a8 100644
--- a/infra/libkookie/nixpkgs/unstable/.github/workflows/nixos-manual.yml
+++ b/infra/libkookie/nixpkgs/unstable/.github/workflows/nixos-manual.yml
@@ -19,7 +19,7 @@ jobs:
with:
# pull_request_target checks out the base branch by default
ref: refs/pull/${{ github.event.pull_request.number }}/merge
- - uses: cachix/install-nix-action@v12
+ - uses: cachix/install-nix-action@v14
- name: Check DocBook files generated from Markdown are consistent
run: |
nixos/doc/manual/md-to-db.sh
diff --git a/infra/libkookie/nixpkgs/unstable/.github/workflows/periodic-merge-24h.yml b/infra/libkookie/nixpkgs/unstable/.github/workflows/periodic-merge-24h.yml
index 341656d9392..9032b3d7d91 100644
--- a/infra/libkookie/nixpkgs/unstable/.github/workflows/periodic-merge-24h.yml
+++ b/infra/libkookie/nixpkgs/unstable/.github/workflows/periodic-merge-24h.yml
@@ -28,12 +28,16 @@ jobs:
pairs:
- from: master
into: haskell-updates
+ - from: release-21.05
+ into: staging-next-21.05
+ - from: staging-next-21.05
+ into: staging-21.05
name: ${{ matrix.pairs.from }} → ${{ matrix.pairs.into }}
steps:
- uses: actions/checkout@v2
- name: ${{ matrix.pairs.from }} → ${{ matrix.pairs.into }}
- uses: devmasx/merge-branch@v1.3.1
+ uses: devmasx/merge-branch@1.4.0
with:
type: now
from_branch: ${{ matrix.pairs.from }}
diff --git a/infra/libkookie/nixpkgs/unstable/.github/workflows/periodic-merge-6h.yml b/infra/libkookie/nixpkgs/unstable/.github/workflows/periodic-merge-6h.yml
index 8ec4da1d877..daa9b6d3c84 100644
--- a/infra/libkookie/nixpkgs/unstable/.github/workflows/periodic-merge-6h.yml
+++ b/infra/libkookie/nixpkgs/unstable/.github/workflows/periodic-merge-6h.yml
@@ -30,16 +30,12 @@ jobs:
into: staging-next
- from: staging-next
into: staging
- - from: release-21.05
- into: staging-next-21.05
- - from: staging-next-21.05
- into: staging-21.05
name: ${{ matrix.pairs.from }} → ${{ matrix.pairs.into }}
steps:
- uses: actions/checkout@v2
- name: ${{ matrix.pairs.from }} → ${{ matrix.pairs.into }}
- uses: devmasx/merge-branch@v1.3.1
+ uses: devmasx/merge-branch@1.4.0
with:
type: now
from_branch: ${{ matrix.pairs.from }}
diff --git a/infra/libkookie/nixpkgs/unstable/.gitignore b/infra/libkookie/nixpkgs/unstable/.gitignore
index b166a78d7d7..1a41704c9be 100644
--- a/infra/libkookie/nixpkgs/unstable/.gitignore
+++ b/infra/libkookie/nixpkgs/unstable/.gitignore
@@ -2,6 +2,7 @@
,*
.*.swp
.*.swo
+.idea/
result
result-*
/doc/NEWS.html
diff --git a/infra/libkookie/nixpkgs/unstable/doc/Makefile b/infra/libkookie/nixpkgs/unstable/doc/Makefile
index 1d3a0e7ccbd..f8d2d7248fa 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/Makefile
+++ b/infra/libkookie/nixpkgs/unstable/doc/Makefile
@@ -3,12 +3,17 @@ MD_TARGETS=$(addsuffix .xml, $(basename $(shell find . -type f -regex '.*\.md$$'
PANDOC ?= pandoc
pandoc_media_dir = media
-# NOTE: Keep in sync with NixOS manual (/nixos/doc/manual/md-to-db.sh).
+# NOTE: Keep in sync with NixOS manual (/nixos/doc/manual/md-to-db.sh) and conversion script (/maintainers/scripts/db-to-md.sh).
# TODO: Remove raw-attribute when we can get rid of DocBook altogether.
pandoc_commonmark_enabled_extensions = +attributes+fenced_divs+footnotes+bracketed_spans+definition_lists+pipe_tables+raw_attribute
+# Not needed:
+# - docbook-reader/citerefentry-to-rst-role.lua (only relevant for DocBook → MarkDown/rST/MyST)
pandoc_flags = --extract-media=$(pandoc_media_dir) \
--lua-filter=$(PANDOC_LUA_FILTERS_DIR)/diagram-generator.lua \
- --lua-filter=labelless-link-is-xref.lua \
+ --lua-filter=build-aux/pandoc-filters/myst-reader/roles.lua \
+ --lua-filter=build-aux/pandoc-filters/link-unix-man-references.lua \
+ --lua-filter=build-aux/pandoc-filters/docbook-writer/rst-roles.lua \
+ --lua-filter=build-aux/pandoc-filters/docbook-writer/labelless-link-is-xref.lua \
-f commonmark$(pandoc_commonmark_enabled_extensions)+smart
.PHONY: all
diff --git a/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/docbook-reader/citerefentry-to-rst-role.lua b/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/docbook-reader/citerefentry-to-rst-role.lua
new file mode 100644
index 00000000000..281e85af271
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/docbook-reader/citerefentry-to-rst-role.lua
@@ -0,0 +1,23 @@
+--[[
+Converts Code AST nodes produced by pandoc’s DocBook reader
+from citerefentry elements into AST for corresponding role
+for reStructuredText.
+
+We use subset of MyST syntax (CommonMark with features from rST)
+so let’s use the rST AST for rST features.
+
+Reference: https://www.sphinx-doc.org/en/master/usage/restructuredtext/roles.html#role-manpage
+]]
+
+function Code(elem)
+ elem.classes = elem.classes:map(function (x)
+ if x == 'citerefentry' then
+ elem.attributes['role'] = 'manpage'
+ return 'interpreted-text'
+ else
+ return x
+ end
+ end)
+
+ return elem
+end
diff --git a/infra/libkookie/nixpkgs/unstable/doc/labelless-link-is-xref.lua b/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/docbook-writer/labelless-link-is-xref.lua
similarity index 69%
rename from infra/libkookie/nixpkgs/unstable/doc/labelless-link-is-xref.lua
rename to infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/docbook-writer/labelless-link-is-xref.lua
index 67569b02091..fa97729a28b 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/labelless-link-is-xref.lua
+++ b/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/docbook-writer/labelless-link-is-xref.lua
@@ -1,3 +1,13 @@
+--[[
+Converts Link AST nodes with empty label to DocBook xref elements.
+
+This is a temporary script to be able use cross-references conveniently
+using syntax taken from MyST, while we still use docbook-xsl
+for generating the documentation.
+
+Reference: https://myst-parser.readthedocs.io/en/latest/using/syntax.html#targets-and-cross-referencing
+]]
+
local function starts_with(start, str)
return str:sub(1, #start) == start
end
diff --git a/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/docbook-writer/rst-roles.lua b/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/docbook-writer/rst-roles.lua
new file mode 100644
index 00000000000..92dc6895750
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/docbook-writer/rst-roles.lua
@@ -0,0 +1,36 @@
+--[[
+Converts AST for reStructuredText roles into corresponding
+DocBook elements.
+
+Currently, only a subset of roles is supported.
+
+Reference:
+ List of roles:
+ https://www.sphinx-doc.org/en/master/usage/restructuredtext/roles.html
+ manpage:
+ https://tdg.docbook.org/tdg/5.1/citerefentry.html
+ file:
+ https://tdg.docbook.org/tdg/5.1/filename.html
+]]
+
+function Code(elem)
+ if elem.classes:includes('interpreted-text') then
+ local tag = nil
+ local content = elem.text
+ if elem.attributes['role'] == 'manpage' then
+ tag = 'citerefentry'
+ local title, volnum = content:match('^(.+)%((%w+)%)$')
+ if title == nil then
+ -- No volnum in parentheses.
+ title = content
+ end
+ content = '' .. title .. ' ' .. (volnum ~= nil and ('' .. volnum .. ' ') or '')
+ elseif elem.attributes['role'] == 'file' then
+ tag = 'filename'
+ end
+
+ if tag ~= nil then
+ return pandoc.RawInline('docbook', '<' .. tag .. '>' .. content .. '' .. tag .. '>')
+ end
+ end
+end
diff --git a/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/link-unix-man-references.lua b/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/link-unix-man-references.lua
new file mode 100644
index 00000000000..12431f140fe
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/link-unix-man-references.lua
@@ -0,0 +1,18 @@
+--[[
+Turns a manpage reference into a link, when a mapping is defined
+in the unix-man-urls.lua file.
+]]
+
+local man_urls = {
+ ["tmpfiles.d(5)"] = "https://www.freedesktop.org/software/systemd/man/tmpfiles.d.html",
+ ["nix.conf(5)"] = "https://nixos.org/manual/nix/stable/#sec-conf-file",
+ ["systemd.time(7)"] = "https://www.freedesktop.org/software/systemd/man/systemd.time.html",
+ ["systemd.timer(5)"] = "https://www.freedesktop.org/software/systemd/man/systemd.timer.html",
+}
+
+function Code(elem)
+ local is_man_role = elem.classes:includes('interpreted-text') and elem.attributes['role'] == 'manpage'
+ if is_man_role and man_urls[elem.text] ~= nil then
+ return pandoc.Link(elem, man_urls[elem.text])
+ end
+end
diff --git a/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/myst-reader/roles.lua b/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/myst-reader/roles.lua
new file mode 100644
index 00000000000..c33a688eeba
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/myst-reader/roles.lua
@@ -0,0 +1,29 @@
+--[[
+Replaces Str AST nodes containing {role}, followed by a Code node
+by a Code node with attrs that would be produced by rST reader
+from the role syntax.
+
+This is to emulate MyST syntax in Pandoc.
+(MyST is a CommonMark flavour with rST features mixed in.)
+
+Reference: https://myst-parser.readthedocs.io/en/latest/syntax/syntax.html#roles-an-in-line-extension-point
+]]
+
+function Inlines(inlines)
+ for i = #inlines-1,1,-1 do
+ local first = inlines[i]
+ local second = inlines[i+1]
+ local correct_tags = first.tag == 'Str' and second.tag == 'Code'
+ if correct_tags then
+ -- docutils supports alphanumeric strings separated by [-._:]
+ -- We are slightly more liberal for simplicity.
+ local role = first.text:match('^{([-._+:%w]+)}$')
+ if role ~= nil then
+ inlines:remove(i)
+ second.attributes['role'] = role
+ second.classes:insert('interpreted-text')
+ end
+ end
+ end
+ return inlines
+end
diff --git a/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/myst-writer/roles.lua b/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/myst-writer/roles.lua
new file mode 100644
index 00000000000..0136bc55065
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/doc/build-aux/pandoc-filters/myst-writer/roles.lua
@@ -0,0 +1,25 @@
+--[[
+Replaces Code nodes with attrs that would be produced by rST reader
+from the role syntax by a Str AST node containing {role}, followed by a Code node.
+
+This is to emulate MyST syntax in Pandoc.
+(MyST is a CommonMark flavour with rST features mixed in.)
+
+Reference: https://myst-parser.readthedocs.io/en/latest/syntax/syntax.html#roles-an-in-line-extension-point
+]]
+
+function Code(elem)
+ local role = elem.attributes['role']
+
+ if elem.classes:includes('interpreted-text') and role ~= nil then
+ elem.classes = elem.classes:filter(function (c)
+ return c ~= 'interpreted-text'
+ end)
+ elem.attributes['role'] = nil
+
+ return {
+ pandoc.Str('{' .. role .. '}'),
+ elem,
+ }
+ end
+end
diff --git a/infra/libkookie/nixpkgs/unstable/doc/builders/fetchers.chapter.md b/infra/libkookie/nixpkgs/unstable/doc/builders/fetchers.chapter.md
index 30d06534485..e36724f295f 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/builders/fetchers.chapter.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/builders/fetchers.chapter.md
@@ -1,8 +1,16 @@
# Fetchers {#chap-pkgs-fetchers}
-When using Nix, you will frequently need to download source code and other files from the internet. Nixpkgs comes with a few helper functions that allow you to fetch fixed-output derivations in a structured way.
+When using Nix, you will frequently need to download source code and other files from the internet. For this purpose, Nix provides the [_fixed output derivation_](https://nixos.org/manual/nix/stable/#fixed-output-drvs) feature and Nixpkgs provides various functions that implement the actual fetching from various protocols and services.
-The two fetcher primitives are `fetchurl` and `fetchzip`. Both of these have two required arguments, a URL and a hash. The hash is typically `sha256`, although many more hash algorithms are supported. Nixpkgs contributors are currently recommended to use `sha256`. This hash will be used by Nix to identify your source. A typical usage of fetchurl is provided below.
+## Caveats
+
+Because fixed output derivations are _identified_ by their hash, a common mistake is to update a fetcher's URL or a version parameter, without updating the hash. **This will cause the old contents to be used.** So remember to always invalidate the hash argument.
+
+For those who develop and maintain fetchers, a similar problem arises with changes to the implementation of a fetcher. These may cause a fixed output derivation to fail, but won't normally be caught by tests because the supposed output is already in the store or cache. For the purpose of testing, you can use a trick that is embodied by the [`invalidateFetcherByDrvHash`](#sec-pkgs-invalidateFetcherByDrvHash) function. It uses the derivation `name` to create a unique output path per fetcher implementation, defeating the caching precisely where it would be harmful.
+
+## `fetchurl` and `fetchzip` {#fetchurl}
+
+Two basic fetchers are `fetchurl` and `fetchzip`. Both of these have two required arguments, a URL and a hash. The hash is typically `sha256`, although many more hash algorithms are supported. Nixpkgs contributors are currently recommended to use `sha256`. This hash will be used by Nix to identify your source. A typical usage of fetchurl is provided below.
```nix
{ stdenv, fetchurl }:
@@ -20,7 +28,7 @@ The main difference between `fetchurl` and `fetchzip` is in how they store the c
`fetchpatch` works very similarly to `fetchurl` with the same arguments expected. It expects patch files as a source and performs normalization on them before computing the checksum. For example it will remove comments or other unstable parts that are sometimes added by version control systems and can change over time.
-Other fetcher functions allow you to add source code directly from a VCS such as subversion or git. These are mostly straightforward nambes based on the name of the command used with the VCS system. Because they give you a working repository, they act most like `fetchzip`.
+Most other fetchers return a directory rather than a single file.
## `fetchsvn` {#fetchsvn}
diff --git a/infra/libkookie/nixpkgs/unstable/doc/builders/packages/etc-files.section.md b/infra/libkookie/nixpkgs/unstable/doc/builders/packages/etc-files.section.md
new file mode 100644
index 00000000000..2405a54634d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/doc/builders/packages/etc-files.section.md
@@ -0,0 +1,18 @@
+# /etc files {#etc}
+
+Certain calls in glibc require access to runtime files found in /etc such as `/etc/protocols` or `/etc/services` -- [getprotobyname](https://linux.die.net/man/3/getprotobyname) is one such function.
+
+On non-NixOS distributions these files are typically provided by packages (i.e. [netbase](https://packages.debian.org/sid/netbase)) if not already pre-installed in your distribution. This can cause non-reproducibility for code if they rely on these files being present.
+
+If [iana-etc](https://hydra.nixos.org/job/nixos/trunk-combined/nixpkgs.iana-etc.x86_64-linux) is part of your _buildInputs_ then it will set the environment varaibles `NIX_ETC_PROTOCOLS` and `NIX_ETC_SERVICES` to the corresponding files in the package through a _setup-hook_.
+
+
+```bash
+> nix-shell -p iana-etc
+
+[nix-shell:~]$ env | grep NIX_ETC
+NIX_ETC_SERVICES=/nix/store/aj866hr8fad8flnggwdhrldm0g799ccz-iana-etc-20210225/etc/services
+NIX_ETC_PROTOCOLS=/nix/store/aj866hr8fad8flnggwdhrldm0g799ccz-iana-etc-20210225/etc/protocols
+```
+
+Nixpkg's version of [glibc](https://github.com/NixOS/nixpkgs/blob/master/pkgs/development/libraries/glibc/default.nix) has been patched to check for the existence of these environment variables. If the environment variable are *not set*, then it will attempt to find the files at the default location within _/etc_.
diff --git a/infra/libkookie/nixpkgs/unstable/doc/builders/packages/index.xml b/infra/libkookie/nixpkgs/unstable/doc/builders/packages/index.xml
index f5b05b0bbcc..206e1e49f1f 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/builders/packages/index.xml
+++ b/infra/libkookie/nixpkgs/unstable/doc/builders/packages/index.xml
@@ -17,6 +17,7 @@
+
diff --git a/infra/libkookie/nixpkgs/unstable/doc/builders/packages/linux.section.md b/infra/libkookie/nixpkgs/unstable/doc/builders/packages/linux.section.md
index 1b8d6eda749..d8f0d0ad445 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/builders/packages/linux.section.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/builders/packages/linux.section.md
@@ -16,7 +16,7 @@ How to add a new (major) version of the Linux kernel to Nixpkgs:
1. Copy the old Nix expression (e.g. `linux-2.6.21.nix`) to the new one (e.g. `linux-2.6.22.nix`) and update it.
-2. Add the new kernel to `all-packages.nix` (e.g., create an attribute `kernel_2_6_22`).
+2. Add the new kernel to the `kernels` attribute set in `linux-kernels.nix` (e.g., create an attribute `kernel_2_6_22`).
3. Now we’re going to update the kernel configuration. First unpack the kernel. Then for each supported platform (`i686`, `x86_64`, `uml`) do the following:
@@ -36,6 +36,6 @@ How to add a new (major) version of the Linux kernel to Nixpkgs:
5. Copy `.config` over the new config file (e.g. `config-2.6.22-i686-smp`).
-4. Test building the kernel: `nix-build -A kernel_2_6_22`. If it compiles, ship it! For extra credit, try booting NixOS with it.
+4. Test building the kernel: `nix-build -A linuxKernel.kernels.kernel_2_6_22`. If it compiles, ship it! For extra credit, try booting NixOS with it.
-5. It may be that the new kernel requires updating the external kernel modules and kernel-dependent packages listed in the `linuxPackagesFor` function in `all-packages.nix` (such as the NVIDIA drivers, AUFS, etc.). If the updated packages aren’t backwards compatible with older kernels, you may need to keep the older versions around.
+5. It may be that the new kernel requires updating the external kernel modules and kernel-dependent packages listed in the `linuxPackagesFor` function in `linux-kernels.nix` (such as the NVIDIA drivers, AUFS, etc.). If the updated packages aren’t backwards compatible with older kernels, you may need to keep the older versions around.
diff --git a/infra/libkookie/nixpkgs/unstable/doc/builders/special.xml b/infra/libkookie/nixpkgs/unstable/doc/builders/special.xml
index 8902ce5c813..2f84599cdd4 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/builders/special.xml
+++ b/infra/libkookie/nixpkgs/unstable/doc/builders/special.xml
@@ -7,4 +7,5 @@
+
diff --git a/infra/libkookie/nixpkgs/unstable/doc/builders/special/invalidateFetcherByDrvHash.section.md b/infra/libkookie/nixpkgs/unstable/doc/builders/special/invalidateFetcherByDrvHash.section.md
new file mode 100644
index 00000000000..7c2f03a64b7
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/doc/builders/special/invalidateFetcherByDrvHash.section.md
@@ -0,0 +1,31 @@
+
+## `invalidateFetcherByDrvHash` {#sec-pkgs-invalidateFetcherByDrvHash}
+
+Use the derivation hash to invalidate the output via name, for testing.
+
+Type: `(a@{ name, ... } -> Derivation) -> a -> Derivation`
+
+Normally, fixed output derivations can and should be cached by their output
+hash only, but for testing we want to re-fetch everytime the fetcher changes.
+
+Changes to the fetcher become apparent in the drvPath, which is a hash of
+how to fetch, rather than a fixed store path.
+By inserting this hash into the name, we can make sure to re-run the fetcher
+every time the fetcher changes.
+
+This relies on the assumption that Nix isn't clever enough to reuse its
+database of local store contents to optimize fetching.
+
+You might notice that the "salted" name derives from the normal invocation,
+not the final derivation. `invalidateFetcherByDrvHash` has to invoke the fetcher
+function twice: once to get a derivation hash, and again to produce the final
+fixed output derivation.
+
+Example:
+
+ tests.fetchgit = invalidateFetcherByDrvHash fetchgit {
+ name = "nix-source";
+ url = "https://github.com/NixOS/nix";
+ rev = "9d9dbe6ed05854e03811c361a3380e09183f4f4a";
+ sha256 = "sha256-7DszvbCNTjpzGRmpIVAWXk20P0/XTrWZ79KSOGLrUWY=";
+ };
diff --git a/infra/libkookie/nixpkgs/unstable/doc/contributing/coding-conventions.chapter.md b/infra/libkookie/nixpkgs/unstable/doc/contributing/coding-conventions.chapter.md
index 85c8626bd99..7a8e7741a33 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/contributing/coding-conventions.chapter.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/contributing/coding-conventions.chapter.md
@@ -181,6 +181,21 @@
rev = "${version}";
```
+- Filling lists condionally _should_ be done with `lib.optional(s)` instead of using `if cond then [ ... ] else null` or `if cond then [ ... ] else [ ]`.
+
+ ```nix
+ buildInputs = lib.optional stdenv.isDarwin iconv;
+ ```
+
+ instead of
+
+ ```nix
+ buildInputs = if stdenv.isDarwin then [ iconv ] else null;
+ ```
+
+ As an exception, an explicit conditional expression with null can be used when fixing a important bug without triggering a mass rebuild.
+ If this is done a follow up pull request _should_ be created to change the code to `lib.optional(s)`.
+
- Arguments should be listed in the order they are used, with the exception of `lib`, which always goes first.
## Package naming {#sec-package-naming}
diff --git a/infra/libkookie/nixpkgs/unstable/doc/contributing/contributing-to-documentation.chapter.md b/infra/libkookie/nixpkgs/unstable/doc/contributing/contributing-to-documentation.chapter.md
index 2f7ae32259c..178fdb36262 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/contributing/contributing-to-documentation.chapter.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/contributing/contributing-to-documentation.chapter.md
@@ -52,6 +52,13 @@ Additionally, the following syntax extensions are currently used:
This syntax is taken from [MyST](https://myst-parser.readthedocs.io/en/latest/using/syntax.html#targets-and-cross-referencing).
+- []{#ssec-contributing-markup-inline-roles}
+ If you want to link to a man page, you can use `` {manpage}`nix.conf(5)` ``, which will turn into {manpage}`nix.conf(5)`.
+
+ The references will turn into links when a mapping exists in {file}`doc/build-aux/pandoc-filters/unix-man-urls.lua`.
+
+ This syntax is taken from [MyST](https://myst-parser.readthedocs.io/en/latest/syntax/syntax.html#roles-an-in-line-extension-point). Though, the feature originates from [reStructuredText](https://www.sphinx-doc.org/en/master/usage/restructuredtext/roles.html#role-manpage) with slightly different syntax.
+
- []{#ssec-contributing-markup-admonitions}
**Admonitions**, set off from the text to bring attention to something.
diff --git a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/agda.section.md b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/agda.section.md
index 2b7c35f68d3..775a7a1a642 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/agda.section.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/agda.section.md
@@ -158,7 +158,23 @@ This can be overridden.
By default, Agda sources are files ending on `.agda`, or literate Agda files ending on `.lagda`, `.lagda.tex`, `.lagda.org`, `.lagda.md`, `.lagda.rst`. The list of recognised Agda source extensions can be extended by setting the `extraExtensions` config variable.
-## Adding Agda packages to Nixpkgs {#adding-agda-packages-to-nixpkgs}
+## Maintaining the Agda package set on Nixpkgs {#maintaining-the-agda-package-set-on-nixpkgs}
+
+We are aiming at providing all common Agda libraries as packages on `nixpkgs`,
+and keeping them up to date.
+Contributions and maintenance help is always appreciated,
+but the maintenance effort is typically low since the Agda ecosystem is quite small.
+
+The `nixpkgs` Agda package set tries to take up a role similar to that of [Stackage](https://www.stackage.org/) in the Haskell world.
+It is a curated set of libraries that:
+
+1. Always work together.
+2. Are as up-to-date as possible.
+
+While the Haskell ecosystem is huge, and Stackage is highly automatised,
+the Agda package set is small and can (still) be maintained by hand.
+
+### Adding Agda packages to Nixpkgs {#adding-agda-packages-to-nixpkgs}
To add an Agda package to `nixpkgs`, the derivation should be written to `pkgs/development/libraries/agda/${library-name}/` and an entry should be added to `pkgs/top-level/agda-packages.nix`. Here it is called in a scope with access to all other Agda libraries, so the top line of the `default.nix` can look like:
@@ -192,3 +208,49 @@ mkDerivation {
This library has a file called `.agda-lib`, and so we give an empty string to `libraryFile` as nothing precedes `.agda-lib` in the filename. This file contains `name: IAL-1.3`, and so we let `libraryName = "IAL-1.3"`. This library does not use an `Everything.agda` file and instead has a Makefile, so there is no need to set `everythingFile` and we set a custom `buildPhase`.
When writing an Agda package it is essential to make sure that no `.agda-lib` file gets added to the store as a single file (for example by using `writeText`). This causes Agda to think that the nix store is a Agda library and it will attempt to write to it whenever it typechecks something. See [https://github.com/agda/agda/issues/4613](https://github.com/agda/agda/issues/4613).
+
+In the pull request adding this library,
+you can test whether it builds correctly by writing in a comment:
+
+```
+@ofborg build agdaPackages.iowa-stdlib
+```
+
+### Maintaining Agda packages
+
+As mentioned before, the aim is to have a compatible, and up-to-date package set.
+These two conditions sometimes exclude each other:
+For example, if we update `agdaPackages.standard-library` because there was an upstream release,
+this will typically break many reverse dependencies,
+i.e. downstream Agda libraries that depend on the standard library.
+In `nixpkgs` we are typically among the first to notice this,
+since we have build tests in place to check this.
+
+In a pull request updating e.g. the standard library, you should write the following comment:
+
+```
+@ofborg build agdaPackages.standard-library.passthru.tests
+```
+
+This will build all reverse dependencies of the standard library,
+for example `agdaPackages.agda-categories`, or `agdaPackages.generic`.
+
+In some cases it is useful to build _all_ Agda packages.
+This can be done with the following Github comment:
+
+```
+@ofborg build agda.passthru.tests.allPackages
+```
+
+Sometimes, the builds of the reverse dependencies fail because they have not yet been updated and released.
+You should drop the maintainers a quick issue notifying them of the breakage,
+citing the build error (which you can get from the ofborg logs).
+If you are motivated, you might even send a pull request that fixes it.
+Usually, the maintainers will answer within a week or two with a new release.
+Bumping the version of that reverse dependency should be a further commit on your PR.
+
+In the rare case that a new release is not to be expected within an acceptable time,
+simply mark the broken package as broken by setting `meta.broken = true;`.
+This will exclude it from the build test.
+It can be added later when it is fixed,
+and does not hinder the advancement of the whole package set in the meantime.
diff --git a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/beam.section.md b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/beam.section.md
index 08abd4588c6..fb608932dfc 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/beam.section.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/beam.section.md
@@ -68,74 +68,128 @@ Erlang.mk functions similarly to Rebar3, except we use `buildErlangMk` instead o
`mixRelease` is used to make a release in the mix sense. Dependencies will need to be fetched with `fetchMixDeps` and passed to it.
-#### mixRelease - Elixir Phoenix example {#mixrelease---elixir-phoenix-example}
+#### mixRelease - Elixir Phoenix example {#mix-release-elixir-phoenix-example}
-Here is how your `default.nix` file would look.
+there are 3 steps, frontend dependencies (javascript), backend dependencies (elixir) and the final derivation that puts both of those together
+
+##### mixRelease - Frontend dependencies (javascript) {#mix-release-javascript-deps}
+
+for phoenix projects, inside of nixpkgs you can either use yarn2nix (mkYarnModule) or node2nix. An example with yarn2nix can be found [here](https://github.com/NixOS/nixpkgs/blob/master/pkgs/servers/web-apps/plausible/default.nix#L39). An example with node2nix will follow. To package something outside of nixpkgs, you have alternatives like [npmlock2nix](https://github.com/nix-community/npmlock2nix) or [nix-npm-buildpackage](https://github.com/serokell/nix-npm-buildpackage)
+
+##### mixRelease - backend dependencies (mix) {#mix-release-mix-deps}
+
+There are 2 ways to package backend dependencies. With mix2nix and with a fixed-output-derivation (FOD).
+
+###### mix2nix {#mix2nix}
+
+mix2nix is a cli tool available in nixpkgs. it will generate a nix expression from a mix.lock file. It is quite standard in the 2nix tool series.
+
+Note that currently mix2nix can't handle git dependencies inside the mix.lock file. If you have git dependencies, you can either add them manually (see [example](https://github.com/NixOS/nixpkgs/blob/master/pkgs/servers/pleroma/default.nix#L20)) or use the FOD method.
+
+The advantage of using mix2nix is that nix will know your whole dependency graph. On a dependency update, this won't trigger a full rebuild and download of all the dependencies, where FOD will do so.
+
+practical steps:
+
+- run `mix2nix > mix_deps.nix` in the upstream repo.
+- pass `mixNixDeps = with pkgs; import ./mix_deps.nix { inherit lib beamPackages; };` as an argument to mixRelease.
+
+If there are git depencencies.
+
+- You'll need to fix the version artificially in mix.exs and regenerate the mix.lock with fixed version (on upstream). This will enable you to run `mix2nix > mix_deps.nix`.
+- From the mix_deps.nix file, remove the dependencies that had git versions and pass them as an override to the import function.
```nix
-with import { };
+ mixNixDeps = import ./mix.nix {
+ inherit beamPackages lib;
+ overrides = (final: prev: {
+ # mix2nix does not support git dependencies yet,
+ # so we need to add them manually
+ prometheus_ex = beamPackages.buildMix rec {
+ name = "prometheus_ex";
+ version = "3.0.5";
+
+ # Change the argument src with the git src that you actually need
+ src = fetchFromGitLab {
+ domain = "git.pleroma.social";
+ group = "pleroma";
+ owner = "elixir-libraries";
+ repo = "prometheus.ex";
+ rev = "a4e9beb3c1c479d14b352fd9d6dd7b1f6d7deee5";
+ sha256 = "1v0q4bi7sb253i8q016l7gwlv5562wk5zy3l2sa446csvsacnpjk";
+ };
+ # you can re-use the same beamDeps argument as generated
+ beamDeps = with final; [ prometheus ];
+ };
+ });
+};
+```
-let
- packages = beam.packagesWith beam.interpreters.erlang;
- src = builtins.fetchgit {
- url = "ssh://git@github.com/your_id/your_repo";
- rev = "replace_with_your_commit";
- };
+You will need to run the build process once to fix the sha256 to correspond to your new git src.
- pname = "your_project";
- version = "0.0.1";
- mixEnv = "prod";
+###### FOD {#fixed-output-derivation}
- mixFodDeps = packages.fetchMixDeps {
+A fixed output derivation will download mix dependencies from the internet. To ensure reproducibility, a hash will be supplied. Note that mix is relatively reproducible. An FOD generating a different hash on each run hasn't been observed (as opposed to npm where the chances are relatively high). See [elixir_ls](https://github.com/NixOS/nixpkgs/blob/master/pkgs/development/beam-modules/elixir_ls.nix) for a usage example of FOD.
+
+Practical steps
+
+- start with the following argument to mixRelease
+
+```nix
+ mixFodDeps = fetchMixDeps {
pname = "mix-deps-${pname}";
- inherit src mixEnv version;
- # nix will complain and tell you the right value to replace this with
+ inherit src version;
sha256 = lib.fakeSha256;
- # if you have build time environment variables add them here
- MY_ENV_VAR="my_value";
};
+```
- nodeDependencies = (pkgs.callPackage ./assets/default.nix { }).shell.nodeDependencies;
+The first build will complain about the sha256 value, you can replace with the suggested value after that.
- frontEndFiles = stdenvNoCC.mkDerivation {
- pname = "frontend-${pname}";
+Note that if after you've replaced the value, nix suggests another sha256, then mix is not fetching the dependencies reproducibly. An FOD will not work in that case and you will have to use mix2nix.
- nativeBuildInputs = [ nodejs ];
+##### mixRelease - example {#mix-release-example}
- inherit version src;
+Here is how your `default.nix` file would look for a phoenix project.
- buildPhase = ''
- cp -r ./assets $TEMPDIR
+```nix
+with import { };
- mkdir -p $TEMPDIR/assets/node_modules/.cache
- cp -r ${nodeDependencies}/lib/node_modules $TEMPDIR/assets
- export PATH="${nodeDependencies}/bin:$PATH"
+let
+ # beam.interpreters.erlangR23 is available if you need a particular version
+ packages = beam.packagesWith beam.interpreters.erlang;
- cd $TEMPDIR/assets
- webpack --config ./webpack.config.js
- cd ..
- '';
+ pname = "your_project";
+ version = "0.0.1";
- installPhase = ''
- cp -r ./priv/static $out/
- '';
+ src = builtins.fetchgit {
+ url = "ssh://git@github.com/your_id/your_repo";
+ rev = "replace_with_your_commit";
+ };
- outputHashAlgo = "sha256";
- outputHashMode = "recursive";
+ # if using mix2nix you can use the mixNixDeps attribute
+ mixFodDeps = packages.fetchMixDeps {
+ pname = "mix-deps-${pname}";
+ inherit src version;
# nix will complain and tell you the right value to replace this with
- outputHash = lib.fakeSha256;
-
- impureEnvVars = lib.fetchers.proxyImpureEnvVars;
+ sha256 = lib.fakeSha256;
+ # if you have build time environment variables add them here
+ MY_ENV_VAR="my_value";
};
+ nodeDependencies = (pkgs.callPackage ./assets/default.nix { }).shell.nodeDependencies;
in packages.mixRelease {
- inherit src pname version mixEnv mixFodDeps;
+ inherit src pname version mixFodDeps;
# if you have build time environment variables add them here
MY_ENV_VAR="my_value";
- preInstall = ''
- mkdir -p ./priv/static
- cp -r ${frontEndFiles} ./priv/static
+
+ postBuild = ''
+ ln -sf ${nodeDependencies}/lib/node_modules assets/node_modules
+ npm run deploy --prefix ./assets
+
+ # for external task you need a workaround for the no deps check flag
+ # https://github.com/phoenixframework/phoenix/issues/2690
+ mix do deps.loadpaths --no-deps-check, phx.digest
+ mix phx.digest --no-deps-check
'';
}
```
@@ -165,6 +219,8 @@ in
systemd.services.${release_name} = {
wantedBy = [ "multi-user.target" ];
after = [ "network.target" "postgresql.service" ];
+ # note that if you are connecting to a postgres instance on a different host
+ # postgresql.service should not be included in the requires.
requires = [ "network-online.target" "postgresql.service" ];
description = "my app";
environment = {
@@ -201,6 +257,7 @@ in
path = [ pkgs.bash ];
};
+ # in case you have migration scripts or you want to use a remote shell
environment.systemPackages = [ release ];
}
```
@@ -215,16 +272,11 @@ Usually, we need to create a `shell.nix` file and do our development inside of t
{ pkgs ? import {} }:
with pkgs;
-
let
-
- elixir = beam.packages.erlangR22.elixir_1_9;
-
+ elixir = beam.packages.erlangR24.elixir_1_12;
in
mkShell {
buildInputs = [ elixir ];
-
- ERL_INCLUDE_PATH="${erlang}/lib/erlang/usr/include";
}
```
@@ -264,6 +316,7 @@ let
# TODO: not sure how to make hex available without installing it afterwards.
mix local.hex --if-missing
export LANG=en_US.UTF-8
+ # keep your shell history in iex
export ERL_AFLAGS="-kernel shell_history enabled"
# postges related
diff --git a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/coq.section.md b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/coq.section.md
index 39b60d83ac7..a5155aedaf5 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/coq.section.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/coq.section.md
@@ -28,12 +28,12 @@ The recommended way of defining a derivation for a Coq library, is to use the `c
* `domain` (optional, defaults to `"github.com"`), domains including the strings `"github"` or `"gitlab"` in their names are automatically supported, otherwise, one must change the `fetcher` argument to support them (cf `pkgs/development/coq-modules/heq/default.nix` for an example),
* `releaseRev` (optional, defaults to `(v: v)`), provides a default mapping from release names to revision hashes/branch names/tags,
* `displayVersion` (optional), provides a way to alter the computation of `name` from `pname`, by explaining how to display version numbers,
-* `namePrefix` (optional), provides a way to alter the computation of `name` from `pname`, by explaining which dependencies must occur in `name`,
+* `namePrefix` (optional, defaults to `[ "coq" ]`), provides a way to alter the computation of `name` from `pname`, by explaining which dependencies must occur in `name`,
* `extraBuildInputs` (optional), by default `buildInputs` just contains `coq`, this allows to add more build inputs,
* `mlPlugin` (optional, defaults to `false`). Some extensions (plugins) might require OCaml and sometimes other OCaml packages. Standard dependencies can be added by setting the current option to `true`. For a finer grain control, the `coq.ocamlPackages` attribute can be used in `extraBuildInputs` to depend on the same package set Coq was built against.
* `useDune2ifVersion` (optional, default to `(x: false)` uses Dune2 to build the package if the provided predicate evaluates to true on the version, e.g. `useDune2if = versions.isGe "1.1"` will use dune if the version of the package is greater or equal to `"1.1"`,
* `useDune2` (optional, defaults to `false`) uses Dune2 to build the package if set to true, the presence of this attribute overrides the behavior of the previous one.
-* `opam-name` (optional, defaults to `coq-` followed by the value of `pname`), name of the Dune package to build.
+* `opam-name` (optional, defaults to concatenating with a dash separator the components of `namePrefix` and `pname`), name of the Dune package to build.
* `enableParallelBuilding` (optional, defaults to `true`), since it is activated by default, we provide a way to disable it.
* `extraInstallFlags` (optional), allows to extend `installFlags` which initializes the variable `COQMF_COQLIB` so as to install in the proper subdirectory. Indeed Coq libraries should be installed in `$(out)/lib/coq/${coq.coq-version}/user-contrib/`. Such directories are automatically added to the `$COQPATH` environment variable by the hook defined in the Coq derivation.
* `setCOQBIN` (optional, defaults to `true`), by default, the environment variable `$COQBIN` is set to the current Coq's binary, but one can disable this behavior by setting it to `false`,
diff --git a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/dotnet.section.md b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/dotnet.section.md
index 1bcb6e45210..9bf96f3198a 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/dotnet.section.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/dotnet.section.md
@@ -28,8 +28,7 @@ mkShell {
packages = [
(with dotnetCorePackages; combinePackages [
sdk_3_1
- sdk_3_0
- sdk_2_1
+ sdk_5_0
])
];
}
@@ -64,12 +63,46 @@ $ dotnet --info
The `dotnetCorePackages.sdk_X_Y` is preferred over the old dotnet-sdk as both major and minor version are very important for a dotnet environment. If a given minor version isn't present (or was changed), then this will likely break your ability to build a project.
-## dotnetCorePackages.sdk vs dotnetCorePackages.net vs dotnetCorePackages.netcore vs dotnetCorePackages.aspnetcore {#dotnetcorepackages.sdk-vs-dotnetcorepackages.net-vs-dotnetcorepackages.netcore-vs-dotnetcorepackages.aspnetcore}
+## dotnetCorePackages.sdk vs dotnetCorePackages.runtime vs dotnetCorePackages.aspnetcore {#dotnetcorepackages.sdk-vs-dotnetcorepackages.runtime-vs-dotnetcorepackages.aspnetcore}
-The `dotnetCorePackages.sdk` contains both a runtime and the full sdk of a given version. The `net`, `netcore` and `aspnetcore` packages are meant to serve as minimal runtimes to deploy alongside already built applications. For runtime versions >= .NET 5 `net` is used while `netcore` is used for older .NET Core runtime version.
+The `dotnetCorePackages.sdk` contains both a runtime and the full sdk of a given version. The `runtime` and `aspnetcore` packages are meant to serve as minimal runtimes to deploy alongside already built applications.
## Packaging a Dotnet Application {#packaging-a-dotnet-application}
-Ideally, we would like to build against the sdk, then only have the dotnet runtime available in the runtime closure.
+To package Dotnet applications, you can use `buildDotnetModule`. This has similar arguments to `stdenv.mkDerivation`, with the following additions:
+
+* `projectFile` has to be used for specifying the dotnet project file relative to the source root. These usually have `.sln` or `.csproj` file extensions.
+* `nugetDeps` has to be used to specify the NuGet dependency file. Unfortunately, these cannot be deterministically fetched without a lockfile. This file should be generated using `nuget-to-nix` tool, which is available in nixpkgs.
+* `executables` is used to specify which executables get wrapped to `$out/bin`, relative to `$out/lib/$pname`. If this is unset, all executables generated will get installed. If you do not want to install any, set this to `[]`.
+* `runtimeDeps` is used to wrap libraries into `LD_LIBRARY_PATH`. This is how dotnet usually handles runtime dependencies.
+* `buildType` is used to change the type of build. Possible values are `Release`, `Debug`, etc. By default, this is set to `Release`.
+* `dotnet-sdk` is useful in cases where you need to change what dotnet SDK is being used.
+* `dotnet-runtime` is useful in cases where you need to change what dotnet runtime is being used.
+* `dotnetRestoreFlags` can be used to pass flags to `dotnet restore`.
+* `dotnetBuildFlags` can be used to pass flags to `dotnet build`.
+* `dotnetInstallFlags` can be used to pass flags to `dotnet install`.
+* `dotnetFlags` can be used to pass flags to all of the above phases.
+
+Here is an example `default.nix`, using some of the previously discussed arguments:
+```nix
+{ lib, buildDotnetModule, dotnetCorePackages, ffmpeg }:
+
+buildDotnetModule rec {
+ pname = "someDotnetApplication";
+ version = "0.1";
+
+ src = ./.;
+
+ projectFile = "src/project.sln";
+ nugetDeps = ./deps.nix; # File generated with `nuget-to-nix path/to/src > deps.nix`.
-TODO: Create closure-friendly way to package dotnet applications
+ dotnet-sdk = dotnetCorePackages.sdk_3_1;
+ dotnet-runtime = dotnetCorePackages.net_5_0;
+ dotnetFlags = [ "--runtime linux-x64" ];
+
+ executables = [ "foo" ]; # This wraps "$out/lib/$pname/foo" to `$out/bin/foo`.
+ executables = []; # Don't install any executables.
+
+ runtimeDeps = [ ffmpeg ]; # This will wrap ffmpeg's library path into `LD_LIBRARY_PATH`.
+}
+```
diff --git a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/index.xml b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/index.xml
index 49cdf94a44a..f221693e764 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/index.xml
+++ b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/index.xml
@@ -12,6 +12,7 @@
+
@@ -23,7 +24,9 @@
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/java.section.md b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/java.section.md
index 77919d43f74..371bdf6323f 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/java.section.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/java.section.md
@@ -72,6 +72,15 @@ in
...
```
+You can also specify what JDK your JRE should be based on, for example
+selecting a 'headless' build to avoid including a link to GTK+:
+
+```nix
+my_jre = pkgs.jre_minimal.override {
+ jdk = jdk11_headless;
+};
+```
+
Note all JDKs passthru `home`, so if your application requires
environment variables like `JAVA_HOME` being set, that can be done in a
generic fashion with the `--set` argument of `makeWrapper`:
diff --git a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/javascript.section.md b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/javascript.section.md
index c75bc235424..bf5742d6855 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/javascript.section.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/javascript.section.md
@@ -2,7 +2,7 @@
## Introduction {#javascript-introduction}
-This contains instructions on how to package javascript applications. For instructions on how to add a cli package from npm please consult the #node.js section
+This contains instructions on how to package javascript applications.
The various tools available will be listed in the [tools-overview](#javascript-tools-overview). Some general principles for packaging will follow. Finally some tool specific instructions will be given.
diff --git a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/nim.section.md b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/nim.section.md
new file mode 100644
index 00000000000..16dce61d71c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/nim.section.md
@@ -0,0 +1,91 @@
+# Nim {#nim}
+
+## Overview {#nim-overview}
+
+The Nim compiler, a builder function, and some packaged libraries are available
+in Nixpkgs. Until now each compiler release has been effectively backwards
+compatible so only the latest version is available.
+
+## Nim program packages in Nixpkgs {#nim-program-packages-in-nixpkgs}
+
+Nim programs can be built using `nimPackages.buildNimPackage`. In the
+case of packages not containing exported library code the attribute
+`nimBinOnly` should be set to `true`.
+
+The following example shows a Nim program that depends only on Nim libraries:
+
+```nix
+{ lib, nimPackages, fetchurl }:
+
+nimPackages.buildNimPackage rec {
+ pname = "hottext";
+ version = "1.4";
+
+ nimBinOnly = true;
+
+ src = fetchurl {
+ url = "https://git.sr.ht/~ehmry/hottext/archive/v${version}.tar.gz";
+ sha256 = "sha256-hIUofi81zowSMbt1lUsxCnVzfJGN3FEiTtN8CEFpwzY=";
+ };
+
+ buildInputs = with nimPackages; [
+ bumpy
+ chroma
+ flatty
+ nimsimd
+ pixie
+ sdl2
+ typography
+ vmath
+ zippy
+ ];
+}
+
+```
+
+## Nim library packages in Nixpkgs {#nim-library-packages-in-nixpkgs}
+
+
+Nim libraries can also be built using `nimPackages.buildNimPackage`, but
+often the product of a fetcher is sufficient to satisfy a dependency.
+The `fetchgit`, `fetchFromGitHub`, and `fetchNimble` functions yield an
+output that can be discovered during the `configurePhase` of `buildNimPackage`.
+
+Nim library packages are listed in
+[pkgs/top-level/nim-packages.nix](https://github.com/NixOS/nixpkgs/blob/master/pkgs/top-level/nim-packages.nix) and implemented at
+[pkgs/development/nim-packages](https://github.com/NixOS/nixpkgs/tree/master/pkgs/development/nim-packages).
+
+The following example shows a Nim library that propagates a dependency on a
+non-Nim package:
+```nix
+{ lib, buildNimPackage, fetchNimble, SDL2 }:
+
+buildNimPackage rec {
+ pname = "sdl2";
+ version = "2.0.4";
+ src = fetchNimble {
+ inherit pname version;
+ hash = "sha256-Vtcj8goI4zZPQs2TbFoBFlcR5UqDtOldaXSH/+/xULk=";
+ };
+ propagatedBuildInputs = [ SDL2 ];
+}
+```
+
+## `buildNimPackage` parameters {#buildnimpackage-parameters}
+
+All parameters from `stdenv.mkDerivation` function are still supported. The
+following are specific to `buildNimPackage`:
+
+* `nimBinOnly ? false`: If `true` then build only the programs listed in
+ the Nimble file in the packages sources.
+* `nimbleFile`: Specify the Nimble file location of the package being built
+ rather than discover the file at build-time.
+* `nimRelease ? true`: Build the package in *release* mode.
+* `nimDefines ? []`: A list of Nim defines. Key-value tuples are not supported.
+* `nimFlags ? []`: A list of command line arguments to pass to the Nim compiler.
+ Use this to specify defines with arguments in the form of `-d:${name}=${value}`.
+* `nimDoc` ? false`: Build and install HTML documentation.
+
+* `buildInputs` ? []: The packages listed here will be searched for `*.nimble`
+ files which are used to populate the Nim library path. Otherwise the standard
+ behavior is in effect.
diff --git a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/octave.section.md b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/octave.section.md
new file mode 100644
index 00000000000..ff872f4a755
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/octave.section.md
@@ -0,0 +1,100 @@
+# Octave {#sec-octave}
+
+## Introduction {#ssec-octave-introduction}
+
+Octave is a modular scientific programming language and environment.
+A majority of the packages supported by Octave from their [website](https://octave.sourceforge.io/packages.php) are packaged in nixpkgs.
+
+## Structure {#ssec-octave-structure}
+
+All Octave add-on packages are available in two ways:
+1. Under the top-level `Octave` attribute, `octave.pkgs`.
+2. As a top-level attribute, `octavePackages`.
+
+## Packaging Octave Packages {#ssec-octave-packaging}
+
+Nixpkgs provides a function `buildOctavePackage`, a generic package builder function for any Octave package that complies with the Octave's current packaging format.
+
+All Octave packages are defined in [pkgs/top-level/octave-packages.nix](https://github.com/NixOS/nixpkgs/blob/master/pkgs/top-level/octave-packages.nix) rather than `pkgs/all-packages.nix`.
+Each package is defined in their own file in the [pkgs/development/octave-modules](https://github.com/NixOS/nixpkgs/blob/master/pkgs/development/octave-modules) directory.
+Octave packages are made available through `all-packages.nix` through both the attribute `octavePackages` and `octave.pkgs`.
+You can test building an Octave package as follows:
+
+```ShellSession
+$ nix-build -A octavePackages.symbolic
+```
+
+When building Octave packages with `nix-build`, the `buildOctavePackage` function adds `octave-octaveVersion` to; the start of the package's name attribute.
+
+This can be required when installing the package using `nix-env`:
+
+```ShellSession
+$ nix-env -i octave-6.2.0-symbolic
+```
+
+Although, you can also install it using the attribute name:
+
+```ShellSession
+$ nix-env -i -A octavePackages.symbolic
+```
+
+You can build Octave with packages by using the `withPackages` passed-through function.
+
+```ShellSession
+$ nix-shell -p 'octave.withPackages (ps: with ps; [ symbolic ])'
+```
+
+This will also work in a `shell.nix` file.
+
+```nix
+{ pkgs ? import { }}:
+
+pkgs.mkShell {
+ nativeBuildInputs = with pkgs; [
+ (octave.withPackages (opkgs: with opkgs; [ symbolic ]))
+ ];
+}
+```
+
+### `buildOctavePackage` Steps {#sssec-buildOctavePackage-steps}
+
+The `buildOctavePackage` does several things to make sure things work properly.
+
+1. Sets the environment variable `OCTAVE_HISTFILE` to `/dev/null` during package compilation so that the commands run through the Octave interpreter directly are not logged.
+2. Skips the configuration step, because the packages are stored as gzipped tarballs, which Octave itself handles directly.
+3. Change the hierarchy of the tarball so that only a single directory is at the top-most level of the tarball.
+4. Use Octave itself to run the `pkg build` command, which unzips the tarball, extracts the necessary files written in Octave, and compiles any code written in C++ or Fortran, and places the fully compiled artifact in `$out`.
+
+`buildOctavePackage` is built on top of `stdenv` in a standard way, allowing most things to be customized.
+
+### Handling Dependencies {#sssec-octave-handling-dependencies}
+
+In Octave packages, there are four sets of dependencies that can be specified:
+
+`nativeBuildInputs`
+: Just like other packages, `nativeBuildInputs` is intended for architecture-dependent build-time-only dependencies.
+
+`buildInputs`
+: Like other packages, `buildInputs` is intended for architecture-independent build-time-only dependencies.
+
+`propagatedBuildInputs`
+: Similar to other packages, `propagatedBuildInputs` is intended for packages that are required for both building and running of the package.
+See [Symbolic](https://github.com/NixOS/nixpkgs/blob/master/pkgs/development/octave-modules/symbolic/default.nix) for how this works and why it is needed.
+
+`requiredOctavePackages`
+: This is a special dependency that ensures the specified Octave packages are dependent on others, and are made available simultaneously when loading them in Octave.
+
+### Installing Octave Packages {#sssec-installing-octave-packages}
+
+By default, the `buildOctavePackage` function does _not_ install the requested package into Octave for use.
+The function will only build the requested package.
+This is due to Octave maintaining an text-based database about which packages are installed where.
+To this end, when all the requested packages have been built, the Octave package and all its add-on packages are put together into an environment, similar to Python.
+
+1. First, all the Octave binaries are wrapped with the environment variable `OCTAVE_SITE_INITFILE` set to a file in `$out`, which is required for Octave to be able to find the non-standard package database location.
+2. Because of the way `buildEnv` works, all tarballs that are present (which should be all Octave packages to install) should be removed.
+3. The path down to the default install location of Octave packages is recreated so that Nix-operated Octave can install the packages.
+4. Install the packages into the `$out` environment while writing package entries to the database file.
+This database file is unique for each different (according to Nix) environment invocation.
+5. Rewrite the Octave-wide startup file to read from the list of packages installed in that particular environment.
+6. Wrap any programs that are required by the Octave packages so that they work with all the paths defined within the environment.
diff --git a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/python.section.md b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/python.section.md
index 987ea1af9da..cc1a7083dc2 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/python.section.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/python.section.md
@@ -1513,7 +1513,7 @@ If you need to change a package's attribute(s) from `configuration.nix` you coul
python = super.python.override {
packageOverrides = python-self: python-super: {
twisted = python-super.twisted.overrideAttrs (oldAttrs: {
- src = super.fetchPipy {
+ src = super.fetchPypi {
pname = "twisted";
version = "19.10.0";
sha256 = "7394ba7f272ae722a74f3d969dcf599bc4ef093bc392038748a490f1724a515d";
diff --git a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/r.section.md b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/r.section.md
index 56e3da64df2..ad0fb10987c 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/r.section.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/r.section.md
@@ -96,6 +96,11 @@ re-enter the shell.
## Updating the package set {#updating-the-package-set}
+There is a script and associated environment for regenerating the package
+sets and synchronising the rPackages tree to the current CRAN and matching
+BIOC release. These scripts are found in the `pkgs/development/r-modules`
+directory and executed as follows:
+
```bash
nix-shell generate-shell.nix
@@ -112,12 +117,11 @@ Rscript generate-r-packages.R bioc-experiment > bioc-experiment-packages.nix.new
mv bioc-experiment-packages.nix.new bioc-experiment-packages.nix
```
-`generate-r-packages.R ` reads `-packages.nix`, therefor the renaming.
-
-## Testing if the Nix-expression could be evaluated {#testing-if-the-nix-expression-could-be-evaluated}
-
-```bash
-nix-build test-evaluation.nix --dry-run
-```
+`generate-r-packages.R ` reads `-packages.nix`, therefore
+the renaming.
-If this exits fine, the expression is ok. If not, you have to edit `default.nix`
+Some packages require overrides to specify external dependencies or other
+patches and special requirements. These overrides are specified in the
+`pkgs/development/r-modules/default.nix` file. As the `*-packages.nix`
+contents are automatically generated it should not be edited and broken
+builds should be addressed using overrides.
diff --git a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/rust.section.md b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/rust.section.md
index 9de9a06465f..26eb9e26bd0 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/rust.section.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/languages-frameworks/rust.section.md
@@ -20,7 +20,7 @@ or use Mozilla's [Rust nightlies overlay](#using-the-rust-nightlies-overlay).
Rust applications are packaged by using the `buildRustPackage` helper from `rustPlatform`:
```nix
-{ lib, rustPlatform }:
+{ lib, fetchFromGitHub, rustPlatform }:
rustPlatform.buildRustPackage rec {
pname = "ripgrep";
@@ -116,22 +116,44 @@ is updated after every change to `Cargo.lock`. Therefore,
a `Cargo.lock` file using the `cargoLock` argument. For example:
```nix
-rustPlatform.buildRustPackage rec {
+rustPlatform.buildRustPackage {
pname = "myproject";
version = "1.0.0";
cargoLock = {
lockFile = ./Cargo.lock;
- }
+ };
# ...
}
```
This will retrieve the dependencies using fixed-output derivations from
-the specified lockfile. Note that setting `cargoLock.lockFile` doesn't
-add a `Cargo.lock` to your `src`, and a `Cargo.lock` is still required
-to build a rust package. A simple fix is to use:
+the specified lockfile.
+
+One caveat is that `Cargo.lock` cannot be patched in the `patchPhase`
+because it runs after the dependencies have already been fetched. If
+you need to patch or generate the lockfile you can alternatively set
+`cargoLock.lockFileContents` to a string of its contents:
+
+```nix
+rustPlatform.buildRustPackage {
+ pname = "myproject";
+ version = "1.0.0";
+
+ cargoLock = let
+ fixupLockFile = path: f (builtins.readFile path);
+ in {
+ lockFileContents = fixupLockFile ./Cargo.lock;
+ };
+
+ # ...
+}
+```
+
+Note that setting `cargoLock.lockFile` or `cargoLock.lockFileContents`
+doesn't add a `Cargo.lock` to your `src`, and a `Cargo.lock` is still
+required to build a rust package. A simple fix is to use:
```nix
postPatch = ''
@@ -215,22 +237,6 @@ where they are known to differ. But there are ways to customize the argument:
--target /nix/store/asdfasdfsadf-thumb-crazy.json # contains {"foo":"","bar":""}
```
-Finally, as an ad-hoc escape hatch, a computed target (string or JSON file
-path) can be passed directly to `buildRustPackage`:
-
-```nix
-pkgs.rustPlatform.buildRustPackage {
- /* ... */
- target = "x86_64-fortanix-unknown-sgx";
-}
-```
-
-This is useful to avoid rebuilding Rust tools, since they are actually target
-agnostic and don't need to be rebuilt. But in the future, we should always
-build the Rust tools and standard library crates separately so there is no
-reason not to take the `stdenv.hostPlatform.rustc`-modifying approach, and the
-ad-hoc escape hatch to `buildRustPackage` can be removed.
-
Note that currently custom targets aren't compiled with `std`, so `cargo test`
will fail. This can be ignored by adding `doCheck = false;` to your derivation.
diff --git a/infra/libkookie/nixpkgs/unstable/doc/stdenv/multiple-output.chapter.md b/infra/libkookie/nixpkgs/unstable/doc/stdenv/multiple-output.chapter.md
index d04f83302ac..62bf543e51e 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/stdenv/multiple-output.chapter.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/stdenv/multiple-output.chapter.md
@@ -79,7 +79,7 @@ A commonly adopted convention in `nixpkgs` is that executables provided by the p
The `glibc` package is a deliberate single exception to the “binaries first” convention. The `glibc` has `libs` as its first output allowing the libraries provided by `glibc` to be referenced directly (e.g. `${stdenv.glibc}/lib/ld-linux-x86-64.so.2`). The executables provided by `glibc` can be accessed via its `bin` attribute (e.g. `${stdenv.glibc.bin}/bin/ldd`).
-The reason for why `glibc` deviates from the convention is because referencing a library provided by `glibc` is a very common operation among Nix packages. For instance, third-party executables packaged by Nix are typically patched and relinked with the relevant version of `glibc` libraries from Nix packages (please see the documentation on [patchelf](https://github.com/NixOS/patchelf/blob/master/README) for more details).
+The reason for why `glibc` deviates from the convention is because referencing a library provided by `glibc` is a very common operation among Nix packages. For instance, third-party executables packaged by Nix are typically patched and relinked with the relevant version of `glibc` libraries from Nix packages (please see the documentation on [patchelf](https://github.com/NixOS/patchelf) for more details).
### File type groups {#multiple-output-file-type-groups}
diff --git a/infra/libkookie/nixpkgs/unstable/doc/stdenv/stdenv.chapter.md b/infra/libkookie/nixpkgs/unstable/doc/stdenv/stdenv.chapter.md
index 9befcaa51a9..02042407f6c 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/stdenv/stdenv.chapter.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/stdenv/stdenv.chapter.md
@@ -373,11 +373,11 @@ Additional file types can be supported by setting the `unpackCmd` variable (see
##### `srcs` / `src` {#var-stdenv-src}
-The list of source files or directories to be unpacked or copied. One of these must be set.
+The list of source files or directories to be unpacked or copied. One of these must be set. Note that if you use `srcs`, you should also set `sourceRoot` or `setSourceRoot`.
##### `sourceRoot` {#var-stdenv-sourceRoot}
-After running `unpackPhase`, the generic builder changes the current directory to the directory created by unpacking the sources. If there are multiple source directories, you should set `sourceRoot` to the name of the intended directory.
+After running `unpackPhase`, the generic builder changes the current directory to the directory created by unpacking the sources. If there are multiple source directories, you should set `sourceRoot` to the name of the intended directory. Set `sourceRoot = ".";` if you use `srcs` and control the unpack phase yourself.
##### `setSourceRoot` {#var-stdenv-setSourceRoot}
diff --git a/infra/libkookie/nixpkgs/unstable/doc/using/overlays.chapter.md b/infra/libkookie/nixpkgs/unstable/doc/using/overlays.chapter.md
index 037580583b6..d2e3b49a6aa 100644
--- a/infra/libkookie/nixpkgs/unstable/doc/using/overlays.chapter.md
+++ b/infra/libkookie/nixpkgs/unstable/doc/using/overlays.chapter.md
@@ -138,6 +138,8 @@ All programs that are built with [MPI](https://en.wikipedia.org/wiki/Message_Pas
- [MPICH](https://www.mpich.org/), attribute name `mpich`
+- [MVAPICH](https://mvapich.cse.ohio-state.edu/), attribute name `mvapich`
+
To provide MPI enabled applications that use `MPICH`, instead of the default `Open MPI`, simply use the following overlay:
```nix
diff --git a/infra/libkookie/nixpkgs/unstable/flake.nix b/infra/libkookie/nixpkgs/unstable/flake.nix
index ececd26c153..1e20fcd40eb 100644
--- a/infra/libkookie/nixpkgs/unstable/flake.nix
+++ b/infra/libkookie/nixpkgs/unstable/flake.nix
@@ -11,15 +11,7 @@
lib = import ./lib;
- systems = [
- "x86_64-linux"
- "i686-linux"
- "x86_64-darwin"
- "aarch64-linux"
- "armv6l-linux"
- "armv7l-linux"
- "aarch64-darwin"
- ];
+ systems = lib.systems.supported.hydra;
forAllSystems = f: lib.genAttrs systems (system: f system);
diff --git a/infra/libkookie/nixpkgs/unstable/lib/customisation.nix b/infra/libkookie/nixpkgs/unstable/lib/customisation.nix
index c17cb0d0f8e..a794b673d70 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/customisation.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/customisation.nix
@@ -145,7 +145,8 @@ rec {
let
outputs = drv.outputs or [ "out" ];
- commonAttrs = drv // (builtins.listToAttrs outputsList) //
+ commonAttrs = (removeAttrs drv [ "outputUnspecified" ]) //
+ (builtins.listToAttrs outputsList) //
({ all = map (x: x.value) outputsList; }) // passthru;
outputToAttrListElement = outputName:
diff --git a/infra/libkookie/nixpkgs/unstable/lib/default.nix b/infra/libkookie/nixpkgs/unstable/lib/default.nix
index bb99a1be8a8..5a85c542117 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/default.nix
@@ -91,7 +91,7 @@ let
concatImapStringsSep makeSearchPath makeSearchPathOutput
makeLibraryPath makeBinPath optionalString
hasInfix hasPrefix hasSuffix stringToCharacters stringAsChars escape
- escapeShellArg escapeShellArgs escapeRegex replaceChars lowerChars
+ escapeShellArg escapeShellArgs escapeRegex escapeXML replaceChars lowerChars
upperChars toLower toUpper addContextFrom splitString
removePrefix removeSuffix versionOlder versionAtLeast
getName getVersion
@@ -123,8 +123,8 @@ let
inherit (self.options) isOption mkEnableOption mkSinkUndeclaredOptions
mergeDefaultOption mergeOneOption mergeEqualOption getValues
getFiles optionAttrSetToDocList optionAttrSetToDocList'
- scrubOptionValue literalExample showOption showFiles
- unknownModule mkOption;
+ scrubOptionValue literalExpression literalExample literalDocBook
+ showOption showFiles unknownModule mkOption;
inherit (self.types) isType setType defaultTypeMerge defaultFunctor
isOptionType mkOptionType;
inherit (self.asserts)
diff --git a/infra/libkookie/nixpkgs/unstable/lib/generators.nix b/infra/libkookie/nixpkgs/unstable/lib/generators.nix
index bcb0f371a9b..79ae9055ce3 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/generators.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/generators.nix
@@ -35,6 +35,8 @@ rec {
("generators.mkValueStringDefault: " +
"${t} not supported: ${toPretty {} v}");
in if isInt v then toString v
+ # convert derivations to store paths
+ else if lib.isDerivation v then toString v
# we default to not quoting strings
else if isString v then v
# isString returns "1", which is not a good default
@@ -169,7 +171,7 @@ rec {
# converts { a.b.c = 5; } to { "a.b".c = 5; } for toINI
gitFlattenAttrs = let
recurse = path: value:
- if isAttrs value then
+ if isAttrs value && !lib.isDerivation value then
lib.mapAttrsToList (name: value: recurse ([ name ] ++ path) value) value
else if length path > 1 then {
${concatStringsSep "." (lib.reverseList (tail path))}.${head path} = value;
@@ -195,6 +197,30 @@ rec {
*/
toYAML = {}@args: toJSON args;
+ withRecursion =
+ args@{
+ /* If this option is not null, the given value will stop evaluating at a certain depth */
+ depthLimit
+ /* If this option is true, an error will be thrown, if a certain given depth is exceeded */
+ , throwOnDepthLimit ? true
+ }:
+ assert builtins.isInt depthLimit;
+ let
+ transform = depth:
+ if depthLimit != null && depth > depthLimit then
+ if throwOnDepthLimit
+ then throw "Exceeded maximum eval-depth limit of ${toString depthLimit} while trying to evaluate with `generators.withRecursion'!"
+ else const ""
+ else id;
+ mapAny = with builtins; depth: v:
+ let
+ evalNext = x: mapAny (depth + 1) (transform (depth + 1) x);
+ in
+ if isAttrs v then mapAttrs (const evalNext) v
+ else if isList v then map evalNext v
+ else transform (depth + 1) v;
+ in
+ mapAny 0;
/* Pretty print a value, akin to `builtins.trace`.
* Should probably be a builtin as well.
@@ -206,7 +232,8 @@ rec {
allowPrettyValues ? false,
/* If this option is true, the output is indented with newlines for attribute sets and lists */
multiline ? true
- }@args: let
+ }@args:
+ let
go = indent: v: with builtins;
let isPath = v: typeOf v == "path";
introSpace = if multiline then "\n${indent} " else " ";
diff --git a/infra/libkookie/nixpkgs/unstable/lib/licenses.nix b/infra/libkookie/nixpkgs/unstable/lib/licenses.nix
index 772985f9509..d305001a5c1 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/licenses.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/licenses.nix
@@ -153,6 +153,11 @@ in mkLicense lset) ({
free = false;
};
+ capec = {
+ fullName = "Common Attack Pattern Enumeration and Classification";
+ url = "https://capec.mitre.org/about/termsofuse.html";
+ };
+
clArtistic = {
spdxId = "ClArtistic";
fullName = "Clarified Artistic License";
@@ -240,6 +245,11 @@ in mkLicense lset) ({
fullName = "CeCILL Free Software License Agreement v2.0";
};
+ cecill21 = {
+ spdxId = "CECILL-2.1";
+ fullName = "CeCILL Free Software License Agreement v2.1";
+ };
+
cecill-b = {
spdxId = "CECILL-B";
fullName = "CeCILL-B Free Software License Agreement";
diff --git a/infra/libkookie/nixpkgs/unstable/lib/modules.nix b/infra/libkookie/nixpkgs/unstable/lib/modules.nix
index b124ea000a2..46ae3f13631 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/modules.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/modules.nix
@@ -162,13 +162,24 @@ rec {
baseMsg = "The option `${showOption (prefix ++ firstDef.prefix)}' does not exist. Definition values:${showDefs [ firstDef ]}";
in
if attrNames options == [ "_module" ]
- then throw ''
- ${baseMsg}
-
- However there are no options defined in `${showOption prefix}'. Are you sure you've
- declared your options properly? This can happen if you e.g. declared your options in `types.submodule'
- under `config' rather than `options'.
- ''
+ then
+ let
+ optionName = showOption prefix;
+ in
+ if optionName == ""
+ then throw ''
+ ${baseMsg}
+
+ It seems as if you're trying to declare an option by placing it into `config' rather than `options'!
+ ''
+ else
+ throw ''
+ ${baseMsg}
+
+ However there are no options defined in `${showOption prefix}'. Are you sure you've
+ declared your options properly? This can happen if you e.g. declared your options in `types.submodule'
+ under `config' rather than `options'.
+ ''
else throw baseMsg
else null;
diff --git a/infra/libkookie/nixpkgs/unstable/lib/options.nix b/infra/libkookie/nixpkgs/unstable/lib/options.nix
index 204c86df9f5..b3164181312 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/options.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/options.nix
@@ -54,7 +54,7 @@ rec {
Example:
mkOption { } // => { _type = "option"; }
- mkOption { defaultText = "foo"; } // => { _type = "option"; defaultText = "foo"; }
+ mkOption { default = "foo"; } // => { _type = "option"; default = "foo"; }
*/
mkOption =
{
@@ -212,11 +212,25 @@ rec {
else x;
- /* For use in the `example` option attribute. It causes the given
- text to be included verbatim in documentation. This is necessary
- for example values that are not simple values, e.g., functions.
+ /* For use in the `defaultText` and `example` option attributes. Causes the
+ given string to be rendered verbatim in the documentation as Nix code. This
+ is necessary for complex values, e.g. functions, or values that depend on
+ other values or packages.
*/
- literalExample = text: { _type = "literalExample"; inherit text; };
+ literalExpression = text:
+ if ! isString text then throw "literalExpression expects a string."
+ else { _type = "literalExpression"; inherit text; };
+
+ literalExample = lib.warn "literalExample is deprecated, use literalExpression instead, or use literalDocBook for a non-Nix description." literalExpression;
+
+
+ /* For use in the `defaultText` and `example` option attributes. Causes the
+ given DocBook text to be inserted verbatim in the documentation, for when
+ a `literalExpression` would be too hard to read.
+ */
+ literalDocBook = text:
+ if ! isString text then throw "literalDocBook expects a string."
+ else { _type = "literalDocBook"; inherit text; };
# Helper functions.
@@ -247,7 +261,9 @@ rec {
showDefs = defs: concatMapStrings (def:
let
# Pretty print the value for display, if successful
- prettyEval = builtins.tryEval (lib.generators.toPretty {} def.value);
+ prettyEval = builtins.tryEval
+ (lib.generators.toPretty { }
+ (lib.generators.withRecursion { depthLimit = 10; throwOnDepthLimit = false; } def.value));
# Split it into its lines
lines = filter (v: ! isList v) (builtins.split "\n" prettyEval.value);
# Only display the first 5 lines, and indent them for better visibility
diff --git a/infra/libkookie/nixpkgs/unstable/lib/sources.nix b/infra/libkookie/nixpkgs/unstable/lib/sources.nix
index 407829b547b..ae2df723521 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/sources.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/sources.nix
@@ -43,7 +43,9 @@ let
lib.hasSuffix ".o" baseName ||
lib.hasSuffix ".so" baseName ||
# Filter out nix-build result symlinks
- (type == "symlink" && lib.hasPrefix "result" baseName)
+ (type == "symlink" && lib.hasPrefix "result" baseName) ||
+ # Filter out sockets and other types of files we can't have in the store.
+ (type == "unknown")
);
# Filters a source tree removing version control files and directories using cleanSourceWith
diff --git a/infra/libkookie/nixpkgs/unstable/lib/strings.nix b/infra/libkookie/nixpkgs/unstable/lib/strings.nix
index a111e1e2597..de135d1c274 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/strings.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/strings.nix
@@ -362,6 +362,19 @@ rec {
if match "[a-zA-Z_][a-zA-Z0-9_'-]*" s != null
then s else escapeNixString s;
+ /* Escapes a string such that it is safe to include verbatim in an XML
+ document.
+
+ Type: string -> string
+
+ Example:
+ escapeXML ''"test" 'test' < & >''
+ => "\\[\\^a-z]\\*"
+ */
+ escapeXML = builtins.replaceStrings
+ ["\"" "'" "<" ">" "&"]
+ [""" "'" "<" ">" "&"];
+
# Obsolete - use replaceStrings instead.
replaceChars = builtins.replaceStrings or (
del: new: s:
diff --git a/infra/libkookie/nixpkgs/unstable/lib/systems/default.nix b/infra/libkookie/nixpkgs/unstable/lib/systems/default.nix
index 70ec98b03c1..529eeb6514b 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/systems/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/systems/default.nix
@@ -8,6 +8,7 @@ rec {
platforms = import ./platforms.nix { inherit lib; };
examples = import ./examples.nix { inherit lib; };
architectures = import ./architectures.nix { inherit lib; };
+ supported = import ./supported.nix { inherit lib; };
# Elaborate a `localSystem` or `crossSystem` so that it contains everything
# necessary.
@@ -107,6 +108,7 @@ rec {
else if final.isMips then "mips"
else if final.isPower then "powerpc"
else if final.isRiscV then "riscv"
+ else if final.isS390 then "s390"
else final.parsed.cpu.name;
qemuArch =
diff --git a/infra/libkookie/nixpkgs/unstable/lib/systems/doubles.nix b/infra/libkookie/nixpkgs/unstable/lib/systems/doubles.nix
index e577059687b..8af3377fb5b 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/systems/doubles.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/systems/doubles.nix
@@ -28,7 +28,7 @@ let
"aarch64-linux" "armv5tel-linux" "armv6l-linux" "armv7a-linux"
"armv7l-linux" "i686-linux" "m68k-linux" "mipsel-linux"
"powerpc64-linux" "powerpc64le-linux" "riscv32-linux"
- "riscv64-linux" "s390-linux" "x86_64-linux"
+ "riscv64-linux" "s390-linux" "s390x-linux" "x86_64-linux"
# MMIXware
"mmix-mmixware"
@@ -41,7 +41,8 @@ let
# none
"aarch64-none" "arm-none" "armv6l-none" "avr-none" "i686-none"
"msp430-none" "or1k-none" "m68k-none" "powerpc-none"
- "riscv32-none" "riscv64-none" "s390-none" "vc4-none" "x86_64-none"
+ "riscv32-none" "riscv64-none" "s390-none" "s390x-none" "vc4-none"
+ "x86_64-none"
# OpenBSD
"i686-openbsd" "x86_64-openbsd"
diff --git a/infra/libkookie/nixpkgs/unstable/lib/systems/examples.nix b/infra/libkookie/nixpkgs/unstable/lib/systems/examples.nix
index 32b236d6960..8dfa22ac787 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/systems/examples.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/systems/examples.nix
@@ -152,6 +152,10 @@ rec {
config = "s390-unknown-linux-gnu";
};
+ s390x = {
+ config = "s390x-unknown-linux-gnu";
+ };
+
arm-embedded = {
config = "arm-none-eabi";
libc = "newlib";
diff --git a/infra/libkookie/nixpkgs/unstable/lib/systems/parse.nix b/infra/libkookie/nixpkgs/unstable/lib/systems/parse.nix
index 77e941a913c..8a88d8cfbe8 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/systems/parse.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/systems/parse.nix
@@ -106,6 +106,7 @@ rec {
riscv64 = { bits = 64; significantByte = littleEndian; family = "riscv"; };
s390 = { bits = 32; significantByte = bigEndian; family = "s390"; };
+ s390x = { bits = 64; significantByte = bigEndian; family = "s390"; };
sparc = { bits = 32; significantByte = bigEndian; family = "sparc"; };
sparc64 = { bits = 64; significantByte = bigEndian; family = "sparc"; };
diff --git a/infra/libkookie/nixpkgs/unstable/lib/systems/supported.nix b/infra/libkookie/nixpkgs/unstable/lib/systems/supported.nix
new file mode 100644
index 00000000000..ef429454f04
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/lib/systems/supported.nix
@@ -0,0 +1,25 @@
+# Supported systems according to RFC0046's definition.
+#
+# https://github.com/NixOS/rfcs/blob/master/rfcs/0046-platform-support-tiers.md
+{ lib }:
+rec {
+ # List of systems that are built by Hydra.
+ hydra = tier1 ++ tier2 ++ tier3;
+
+ tier1 = [
+ "x86_64-linux"
+ ];
+
+ tier2 = [
+ "aarch64-linux"
+ "x86_64-darwin"
+ ];
+
+ tier3 = [
+ "aarch64-darwin"
+ "armv6l-linux"
+ "armv7l-linux"
+ "i686-linux"
+ "mipsel-linux"
+ ];
+}
diff --git a/infra/libkookie/nixpkgs/unstable/lib/tests/maintainers.nix b/infra/libkookie/nixpkgs/unstable/lib/tests/maintainers.nix
index 2408a20af4b..3cbfba56948 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/tests/maintainers.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/tests/maintainers.nix
@@ -16,6 +16,10 @@ let
email = lib.mkOption {
type = types.str;
};
+ matrix = lib.mkOption {
+ type = types.nullOr types.str;
+ default = null;
+ };
github = lib.mkOption {
type = types.nullOr types.str;
default = null;
diff --git a/infra/libkookie/nixpkgs/unstable/lib/tests/misc.nix b/infra/libkookie/nixpkgs/unstable/lib/tests/misc.nix
index 4b2e5afc1d6..7b3a6b4e60b 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/tests/misc.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/tests/misc.nix
@@ -246,6 +246,11 @@ runTests {
};
};
+ testEscapeXML = {
+ expr = escapeXML ''"test" 'test' < & >'';
+ expected = ""test" 'test' < & >";
+ };
+
# LISTS
testFilter = {
@@ -529,6 +534,25 @@ runTests {
};
};
+ testToPrettyLimit =
+ let
+ a.b = 1;
+ a.c = a;
+ in {
+ expr = generators.toPretty { } (generators.withRecursion { throwOnDepthLimit = false; depthLimit = 2; } a);
+ expected = "{\n b = 1;\n c = {\n b = \"\";\n c = {\n b = \"\";\n c = \"\";\n };\n };\n}";
+ };
+
+ testToPrettyLimitThrow =
+ let
+ a.b = 1;
+ a.c = a;
+ in {
+ expr = (builtins.tryEval
+ (generators.toPretty { } (generators.withRecursion { depthLimit = 2; } a))).success;
+ expected = false;
+ };
+
testToPrettyMultiline = {
expr = mapAttrs (const (generators.toPretty { })) rec {
list = [ 3 4 [ false ] ];
diff --git a/infra/libkookie/nixpkgs/unstable/lib/tests/modules.sh b/infra/libkookie/nixpkgs/unstable/lib/tests/modules.sh
index 2e57c2f8e2a..b51db91f6b0 100755
--- a/infra/libkookie/nixpkgs/unstable/lib/tests/modules.sh
+++ b/infra/libkookie/nixpkgs/unstable/lib/tests/modules.sh
@@ -254,8 +254,10 @@ checkConfigOutput / config.value.path ./types-anything/equal-atoms.nix
checkConfigOutput null config.value.null ./types-anything/equal-atoms.nix
checkConfigOutput 0.1 config.value.float ./types-anything/equal-atoms.nix
# Functions can't be merged together
-checkConfigError "The option .* has conflicting definition values" config.value.multiple-lambdas ./types-anything/functions.nix
+checkConfigError "The option .value.multiple-lambdas.. has conflicting option types" config.applied.multiple-lambdas ./types-anything/functions.nix
checkConfigOutput '' config.value.single-lambda ./types-anything/functions.nix
+checkConfigOutput 'null' config.applied.merging-lambdas.x ./types-anything/functions.nix
+checkConfigOutput 'null' config.applied.merging-lambdas.y ./types-anything/functions.nix
# Check that all mk* modifiers are applied
checkConfigError 'attribute .* not found' config.value.mkiffalse ./types-anything/mk-mods.nix
checkConfigOutput '{ }' config.value.mkiftrue ./types-anything/mk-mods.nix
diff --git a/infra/libkookie/nixpkgs/unstable/lib/tests/modules/types-anything/functions.nix b/infra/libkookie/nixpkgs/unstable/lib/tests/modules/types-anything/functions.nix
index 07951891391..21edd4aff9c 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/tests/modules/types-anything/functions.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/tests/modules/types-anything/functions.nix
@@ -1,16 +1,22 @@
-{ lib, ... }: {
+{ lib, config, ... }: {
options.value = lib.mkOption {
type = lib.types.anything;
};
+ options.applied = lib.mkOption {
+ default = lib.mapAttrs (name: fun: fun null) config.value;
+ };
+
config = lib.mkMerge [
{
value.single-lambda = x: x;
- value.multiple-lambdas = x: x;
+ value.multiple-lambdas = x: { inherit x; };
+ value.merging-lambdas = x: { inherit x; };
}
{
- value.multiple-lambdas = x: x;
+ value.multiple-lambdas = x: [ x ];
+ value.merging-lambdas = y: { inherit y; };
}
];
diff --git a/infra/libkookie/nixpkgs/unstable/lib/tests/systems.nix b/infra/libkookie/nixpkgs/unstable/lib/tests/systems.nix
index 661b9bc8690..2646e792682 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/tests/systems.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/tests/systems.nix
@@ -28,7 +28,7 @@ with lib.systems.doubles; lib.runTests {
testredox = mseteq redox [ "x86_64-redox" ];
testgnu = mseteq gnu (linux /* ++ kfreebsd ++ ... */);
testillumos = mseteq illumos [ "x86_64-solaris" ];
- testlinux = mseteq linux [ "aarch64-linux" "armv5tel-linux" "armv6l-linux" "armv7a-linux" "armv7l-linux" "i686-linux" "mipsel-linux" "riscv32-linux" "riscv64-linux" "x86_64-linux" "powerpc64-linux" "powerpc64le-linux" "m68k-linux" "s390-linux" ];
+ testlinux = mseteq linux [ "aarch64-linux" "armv5tel-linux" "armv6l-linux" "armv7a-linux" "armv7l-linux" "i686-linux" "mipsel-linux" "riscv32-linux" "riscv64-linux" "x86_64-linux" "powerpc64-linux" "powerpc64le-linux" "m68k-linux" "s390-linux" "s390x-linux" ];
testnetbsd = mseteq netbsd [ "aarch64-netbsd" "armv6l-netbsd" "armv7a-netbsd" "armv7l-netbsd" "i686-netbsd" "m68k-netbsd" "mipsel-netbsd" "powerpc-netbsd" "riscv32-netbsd" "riscv64-netbsd" "x86_64-netbsd" ];
testopenbsd = mseteq openbsd [ "i686-openbsd" "x86_64-openbsd" ];
testwindows = mseteq windows [ "i686-cygwin" "x86_64-cygwin" "i686-windows" "x86_64-windows" ];
diff --git a/infra/libkookie/nixpkgs/unstable/lib/trivial.nix b/infra/libkookie/nixpkgs/unstable/lib/trivial.nix
index 7956ba4bde6..a389c7cdfac 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/trivial.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/trivial.nix
@@ -303,7 +303,26 @@ rec {
# TODO: figure out a clever way to integrate location information from
# something like __unsafeGetAttrPos.
- warn = msg: builtins.trace "[1;31mwarning: ${msg}[0m";
+ /*
+ Print a warning before returning the second argument. This function behaves
+ like `builtins.trace`, but requires a string message and formats it as a
+ warning, including the `warning: ` prefix.
+
+ To get a call stack trace and abort evaluation, set the environment variable
+ `NIX_ABORT_ON_WARN=true` and set the Nix options `--option pure-eval false --show-trace`
+
+ Type: string -> a -> a
+ */
+ warn =
+ if lib.elem (builtins.getEnv "NIX_ABORT_ON_WARN") ["1" "true" "yes"]
+ then msg: builtins.trace "[1;31mwarning: ${msg}[0m" (abort "NIX_ABORT_ON_WARN=true; warnings are treated as unrecoverable errors.")
+ else msg: builtins.trace "[1;31mwarning: ${msg}[0m";
+
+ /*
+ Like warn, but only warn when the first argument is `true`.
+
+ Type: bool -> string -> a -> a
+ */
warnIf = cond: msg: if cond then warn msg else id;
info = msg: builtins.trace "INFO: ${msg}";
diff --git a/infra/libkookie/nixpkgs/unstable/lib/types.nix b/infra/libkookie/nixpkgs/unstable/lib/types.nix
index a0be2ff3a45..c2532065d7e 100644
--- a/infra/libkookie/nixpkgs/unstable/lib/types.nix
+++ b/infra/libkookie/nixpkgs/unstable/lib/types.nix
@@ -192,6 +192,12 @@ rec {
else (listOf anything).merge;
# This is the type of packages, only accept a single definition
stringCoercibleSet = mergeOneOption;
+ lambda = loc: defs: arg: anything.merge
+ (loc ++ [ "" ])
+ (map (def: {
+ file = def.file;
+ value = def.value arg;
+ }) defs);
# Otherwise fall back to only allowing all equal definitions
}.${commonType} or mergeEqualOption;
in mergeFunction loc defs;
diff --git a/infra/libkookie/nixpkgs/unstable/maintainers/maintainer-list.nix b/infra/libkookie/nixpkgs/unstable/maintainers/maintainer-list.nix
index 43968e4d80d..22116f0b23c 100644
--- a/infra/libkookie/nixpkgs/unstable/maintainers/maintainer-list.nix
+++ b/infra/libkookie/nixpkgs/unstable/maintainers/maintainer-list.nix
@@ -6,6 +6,7 @@
email = "address@example.org";
# Optional
+ matrix = "@user:example.org";
github = "GithubUsername";
githubId = your-github-id;
keys = [{
@@ -19,7 +20,8 @@
- `handle` is the handle you are going to use in nixpkgs expressions,
- `name` is your, preferably real, name,
- - `email` is your maintainer email address, and
+ - `email` is your maintainer email address,
+ - `matrix` is your Matrix user ID,
- `github` is your GitHub handle (as it appears in the URL of your profile page, `https://github.com/`),
- `githubId` is your GitHub user ID, which can be found at `https://api.github.com/users/`,
- `keys` is a list of your PGP/GPG key IDs and fingerprints.
@@ -56,6 +58,7 @@
};
_0x4A6F = {
email = "mail-maintainer@0x4A6F.dev";
+ matrix = "@0x4a6f:matrix.org";
name = "Joachim Ernst";
github = "0x4A6F";
githubId = 9675338;
@@ -80,6 +83,12 @@
githubId = 791309;
name = "Jan Hrnko";
};
+ _1000teslas = {
+ name = "Kevin Tran";
+ email = "47207223+1000teslas@users.noreply.github.com";
+ github = "1000teslas";
+ githubId = 47207223;
+ };
_3699n = {
email = "nicholas@nvk.pm";
github = "3699n";
@@ -112,12 +121,14 @@
};
aanderse = {
email = "aaron@fosslib.net";
+ matrix = "@aanderse:nixos.dev";
github = "aanderse";
githubId = 7755101;
name = "Aaron Andersen";
};
aaronjanse = {
email = "aaron@ajanse.me";
+ matrix = "@aaronjanse:matrix.org";
github = "aaronjanse";
githubId = 16829510;
name = "Aaron Janse";
@@ -236,12 +247,14 @@
};
addict3d = {
email = "nickbathum@gmail.com";
+ matrix = "@nbathum:matrix.org";
github = "addict3d";
githubId = 49227;
name = "Nick Bathum";
};
adisbladis = {
email = "adisbladis@gmail.com";
+ matrix = "@adis:blad.is";
github = "adisbladis";
githubId = 63286;
name = "Adam Hose";
@@ -348,8 +361,15 @@
githubId = 4732885;
name = "Ivan Jager";
};
+ airwoodix = {
+ email = "airwoodix@posteo.me";
+ github = "airwoodix";
+ githubId = 44871469;
+ name = "Etienne Wodey";
+ };
ajs124 = {
email = "nix@ajs124.de";
+ matrix = "@andreas.schraegle:helsinki-systems.de";
github = "ajs124";
githubId = 1229027;
name = "Andreas Schrägle";
@@ -420,6 +440,12 @@
githubId = 173595;
name = "Caleb Maclennan";
};
+ ALEX11BR = {
+ email = "alexioanpopa11@gmail.com";
+ github = "ALEX11BR";
+ githubId = 49609151;
+ name = "Popa Ioan Alexandru";
+ };
alexarice = {
email = "alexrice999@hotmail.co.uk";
github = "alexarice";
@@ -450,6 +476,12 @@
githubId = 2335822;
name = "Alexandre Esteves";
};
+ alexnortung = {
+ name = "alexnortung";
+ email = "alex_nortung@live.dk";
+ github = "alexnortung";
+ githubId = 1552267;
+ };
alexvorobiev = {
email = "alexander.vorobiev@gmail.com";
github = "alexvorobiev";
@@ -526,6 +558,16 @@
fingerprint = "B422 CFB1 C9EF 73F7 E1E2 698D F53E 3233 42F7 A6D3A";
}];
};
+ alyaeanyx = {
+ email = "alexandra.hollmeier@mailbox.org";
+ github = "alyaeanyx";
+ githubId = 74795488;
+ name = "Alexandra Hollmeier";
+ keys = [{
+ longkeyid = "rsa3072/0x87D1AADCD25B8DEE";
+ fingerprint = "1F73 8879 5E5A 3DFC E2B3 FA32 87D1 AADC D25B 8DEE";
+ }];
+ };
amanjeev = {
email = "aj@amanjeev.com";
github = "amanjeev";
@@ -550,6 +592,16 @@
githubId = 2626481;
name = "Ambroz Bizjak";
};
+ ametrine = {
+ name = "Matilde Ametrine";
+ email = "matilde@diffyq.xyz";
+ github = "matilde-ametrine";
+ githubId = 90799677;
+ keys = [{
+ longkeyid = "rsa3072/0x07EE1FFCA58A11C5";
+ fingerprint = "7931 EB4E 4712 D7BE 04F8 6D34 07EE 1FFC A58A 11C5";
+ }];
+ };
amiddelk = {
email = "amiddelk@gmail.com";
github = "amiddelk";
@@ -708,6 +760,12 @@
githubId = 1771266;
name = "Vo Anh Duy";
};
+ anirrudh = {
+ email = "anik597@gmail.com";
+ github = "anirrudh";
+ githubId = 6091755;
+ name = "Anirrudh Krishnan";
+ };
ankhers = {
email = "me@ankhers.dev";
github = "ankhers";
@@ -844,6 +902,12 @@
githubId = 1296771;
name = "Anders Riutta";
};
+ arkivm = {
+ email = "vikram186@gmail.com";
+ github = "arkivm";
+ githubId = 1118815;
+ name = "Vikram Narayanan";
+ };
armijnhemel = {
email = "armijn@tjaldur.nl";
github = "armijnhemel";
@@ -904,6 +968,7 @@
};
asbachb = {
email = "asbachb-nixpkgs-5c2a@impl.it";
+ matrix = "@asbachb:matrix.org";
github = "asbachb";
githubId = 1482768;
name = "Benjamin Asbach";
@@ -1074,6 +1139,7 @@
};
artturin = {
email = "artturin@artturin.com";
+ matrix = "@artturin:matrix.org";
github = "artturin";
githubId = 56650223;
name = "Artturi N";
@@ -1110,6 +1176,7 @@
};
bachp = {
email = "pascal.bach@nextrem.ch";
+ matrix = "@bachp:matrix.org";
github = "bachp";
githubId = 333807;
name = "Pascal Bach";
@@ -1130,6 +1197,12 @@
email = "sivaraman.balaji@gmail.com";
name = "Balaji Sivaraman";
};
+ balodja = {
+ email = "balodja@gmail.com";
+ github = "balodja";
+ githubId = 294444;
+ name = "Vladimir Korolev";
+ };
baloo = {
email = "nixpkgs@superbaloo.net";
github = "baloo";
@@ -1184,6 +1257,7 @@
};
bb010g = {
email = "me@bb010g.com";
+ matrix = "@bb010g:matrix.org";
github = "bb010g";
githubId = 340132;
name = "Brayden Banks";
@@ -1244,6 +1318,7 @@
};
bendlas = {
email = "herwig@bendlas.net";
+ matrix = "@bendlas:matrix.org";
github = "bendlas";
githubId = 214787;
name = "Herwig Hochleitner";
@@ -1290,6 +1365,7 @@
};
berberman = {
email = "berberman@yandex.com";
+ matrix = "@berberman:mozilla.org";
github = "berberman";
githubId = 26041945;
name = "Potato Hatsue";
@@ -1318,6 +1394,12 @@
githubId = 7346933;
name = "betaboon";
};
+ bew = {
+ email = "benoit.dechezelles@gmail.com";
+ github = "bew";
+ githubId = 9730330;
+ name = "Benoit de Chezelles";
+ };
bfortz = {
email = "bernard.fortz@gmail.com";
github = "bfortz";
@@ -1348,6 +1430,12 @@
githubId = 28444296;
name = "Benjamin Hougland";
};
+ billewanick = {
+ email = "bill@ewanick.com";
+ github = "billewanick";
+ githubId = 13324165;
+ name = "Bill Ewanick";
+ };
binarin = {
email = "binarin@binarin.ru";
github = "binarin";
@@ -1384,6 +1472,7 @@
};
blitz = {
email = "js@alien8.de";
+ matrix = "@js:ukvly.org";
github = "blitz";
githubId = 37907;
name = "Julian Stecklina";
@@ -1421,6 +1510,7 @@
bobby285271 = {
name = "Bobby Rong";
email = "rjl931189261@126.com";
+ matrix = "@bobby285271:matrix.org";
github = "bobby285271";
githubId = 20080233;
};
@@ -1508,12 +1598,6 @@
githubId = 355401;
name = "Brian Hicks";
};
- bricewge = {
- email = "bricewge@gmail.com";
- github = "bricewge";
- githubId = 5525646;
- name = "Brice Waegeneire";
- };
Br1ght0ne = {
email = "brightone@protonmail.com";
github = "Br1ght0ne";
@@ -1578,6 +1662,7 @@
};
buckley310 = {
email = "sean.bck@gmail.com";
+ matrix = "@buckley310:matrix.org";
github = "buckley310";
githubId = 2379774;
name = "Sean Buckley";
@@ -1671,6 +1756,12 @@
githubId = 7435854;
name = "Victor Calvert";
};
+ cameronnemo = {
+ email = "cnemo@tutanota.com";
+ github = "cameronnemo";
+ githubId = 3212452;
+ name = "Cameron Nemo";
+ };
campadrenalin = {
email = "campadrenalin@gmail.com";
github = "campadrenalin";
@@ -1751,6 +1842,7 @@
};
cdepillabout = {
email = "cdep.illabout@gmail.com";
+ matrix = "@cdepillabout:matrix.org";
github = "cdepillabout";
githubId = 64804;
name = "Dennis Gosnell";
@@ -1813,6 +1905,12 @@
githubId = 1762540;
name = "Changlin Li";
};
+ chanley = {
+ email = "charlieshanley@gmail.com";
+ github = "charlieshanley";
+ githubId = 8228888;
+ name = "Charlie Hanley";
+ };
CharlesHD = {
email = "charleshdespointes@gmail.com";
github = "CharlesHD";
@@ -1829,6 +1927,12 @@
email = "me@philscotted.com";
name = "Phil Scott";
};
+ chekoopa = {
+ email = "chekoopa@mail.ru";
+ github = "chekoopa";
+ githubId = 1689801;
+ name = "Mikhail Chekan";
+ };
ChengCat = {
email = "yu@cheng.cat";
github = "ChengCat";
@@ -1869,6 +1973,12 @@
githubId = 3086255;
name = "Barry Moore II";
};
+ chisui = {
+ email = "chisui.pd@gmail.com";
+ github = "chisui";
+ githubId = 4526429;
+ name = "Philipp Dargel";
+ };
chivay = {
email = "hubert.jasudowicz@gmail.com";
github = "chivay";
@@ -1953,6 +2063,7 @@
};
chvp = {
email = "nixpkgs@cvpetegem.be";
+ matrix = "@charlotte:vanpetegem.me";
github = "chvp";
githubId = 42220376;
name = "Charlotte Van Petegem";
@@ -1991,6 +2102,16 @@
githubId = 25088352;
name = "Christian Kögler";
};
+ ckie = {
+ email = "nixpkgs-0efe364@ckie.dev";
+ github = "ckiee";
+ githubId = 2526321;
+ keys = [{
+ longkeyid = "rsa4096/0x13E79449C0525215";
+ fingerprint = "539F 0655 4D35 38A5 429A E253 13E7 9449 C052 5215";
+ }];
+ name = "ckie";
+ };
clkamp = {
email = "c@lkamp.de";
github = "clkamp";
@@ -2017,6 +2138,7 @@
};
cleverca22 = {
email = "cleverca22@gmail.com";
+ matrix = "@cleverca22:matrix.org";
github = "cleverca22";
githubId = 848609;
name = "Michael Bishop";
@@ -2087,6 +2209,7 @@
};
colemickens = {
email = "cole.mickens@gmail.com";
+ matrix = "@colemickens:matrix.org";
github = "colemickens";
githubId = 327028;
name = "Cole Mickens";
@@ -2100,6 +2223,7 @@
cole-h = {
name = "Cole Helbling";
email = "cole.e.helbling@outlook.com";
+ matrix = "@cole-h:matrix.org";
github = "cole-h";
githubId = 28582702;
keys = [{
@@ -2203,6 +2327,7 @@
};
CRTified = {
email = "carl.schneider+nixos@rub.de";
+ matrix = "@schnecfk:ruhr-uni-bochum.de";
github = "CRTified";
githubId = 2440581;
name = "Carl Richard Theodor Schneider";
@@ -2257,6 +2382,12 @@
githubId = 1382175;
name = "Oliver Matthews";
};
+ cyounkins = {
+ name = "Craig Younkins";
+ email = "cyounkins@gmail.com";
+ github = "cyounkins";
+ githubId = 346185;
+ };
cypherpunk2140 = {
email = "stefan.mihaila@pm.me";
github = "stefan-mihaila";
@@ -2275,6 +2406,7 @@
};
cyplo = {
email = "nixos@cyplo.dev";
+ matrix = "@cyplo:cyplo.dev";
github = "cyplo";
githubId = 217899;
name = "Cyryl Płotnicki";
@@ -2311,6 +2443,12 @@
githubId = 4331004;
name = "Naoya Hatta";
};
+ dalpd = {
+ email = "denizalpd@ogr.iu.edu.tr";
+ github = "dalpd";
+ githubId = 16895361;
+ name = "Deniz Alp Durmaz";
+ };
DamienCassou = {
email = "damien@cassou.me";
github = "DamienCassou";
@@ -2337,6 +2475,7 @@
};
dandellion = {
email = "daniel@dodsorf.as";
+ matrix = "@dandellion:dodsorf.as";
github = "dali99";
githubId = 990767;
name = "Daniel Olsen";
@@ -2385,6 +2524,7 @@
};
das_j = {
email = "janne@hess.ooo";
+ matrix = "@janne.hess:helsinki-systems.de";
github = "dasJ";
githubId = 4971975;
name = "Janne Heß";
@@ -2429,6 +2569,7 @@
};
davidak = {
email = "post@davidak.de";
+ matrix = "@davidak:matrix.org";
github = "davidak";
githubId = 91113;
name = "David Kleuker";
@@ -2535,6 +2676,10 @@
githubId = 202798;
name = "Pierre Bourdon";
};
+ delta = {
+ email = "d4delta@outlook.fr";
+ name = "Delta";
+ };
deltaevo = {
email = "deltaduartedavid@gmail.com";
github = "DeltaEvo";
@@ -2685,6 +2830,12 @@
githubId = 40633781;
name = "Sergei S.";
};
+ dit7ya = {
+ email = "7rat13@gmail.com";
+ github = "dit7ya";
+ githubId = 14034137;
+ name = "Mostly Void";
+ };
dizfer = {
email = "david@izquierdofernandez.com";
github = "dizfer";
@@ -2783,6 +2934,7 @@
};
dotlambda = {
email = "rschuetz17@gmail.com";
+ matrix = "@robert:funklause.de";
github = "dotlambda";
githubId = 6806011;
name = "Robert Schütz";
@@ -2856,6 +3008,7 @@
drupol = {
name = "Pol Dellaiera";
email = "pol.dellaiera@protonmail.com";
+ matrix = "@drupol:matrix.org";
github = "drupol";
githubId = 252042;
keys = [{
@@ -2919,6 +3072,7 @@
};
dywedir = {
email = "dywedir@gra.red";
+ matrix = "@dywedir:matrix.org";
github = "dywedir";
githubId = 399312;
name = "Vladyslav M.";
@@ -2965,6 +3119,16 @@
githubId = 36110478;
name = "Erik Arvstedt";
};
+ ebbertd = {
+ email = "daniel@ebbert.nrw";
+ github = "ebbertd";
+ githubId = 20522234;
+ name = "Daniel Ebbert";
+ keys = [{
+ longkeyid = "rsa2048/0x47BC155927CBB9C7";
+ fingerprint = "E765 FCA3 D9BF 7FDB 856E AD73 47BC 1559 27CB B9C7";
+ }];
+ };
ebzzry = {
email = "ebzzry@ebzzry.io";
github = "ebzzry";
@@ -2995,6 +3159,12 @@
githubId = 50854;
name = "edef";
};
+ edlimerkaj = {
+ name = "Edli Merkaj";
+ email = "edli.merkaj@identinet.io";
+ github = "edlimerkaj";
+ githubId = 71988351;
+ };
edibopp = {
email = "eduard.bopp@aepsil0n.de";
github = "edibopp";
@@ -3117,6 +3287,7 @@
};
eliasp = {
email = "mail@eliasprobst.eu";
+ matrix = "@eliasp:kde.org";
github = "eliasp";
githubId = 48491;
name = "Elias Probst";
@@ -3177,10 +3348,17 @@
};
emmanuelrosa = {
email = "emmanuel_rosa@aol.com";
+ matrix = "@emmanuelrosa:matrix.org";
github = "emmanuelrosa";
githubId = 13485450;
name = "Emmanuel Rosa";
};
+ emptyflask = {
+ email = "jon@emptyflask.dev";
+ github = "emptyflask";
+ githubId = 28287;
+ name = "Jon Roberts";
+ };
endgame = {
email = "jack@jackkelly.name";
github = "endgame";
@@ -3251,6 +3429,7 @@
};
ereslibre = {
email = "ereslibre@ereslibre.es";
+ matrix = "@ereslibre:matrix.org";
github = "ereslibre";
githubId = 8706;
name = "Rafael Fernández López";
@@ -3275,6 +3454,7 @@
};
ericson2314 = {
email = "John.Ericson@Obsidian.Systems";
+ matrix = "@ericson2314:matrix.org";
github = "ericson2314";
githubId = 1055245;
name = "John Ericson";
@@ -3359,6 +3539,7 @@
};
etu = {
email = "elis@hirwing.se";
+ matrix = "@etu:semi.social";
github = "etu";
githubId = 461970;
name = "Elis Hirwing";
@@ -3376,6 +3557,7 @@
evalexpr = {
name = "Jonathan Wilkins";
email = "nixos@wilkins.tech";
+ matrix = "@evalexpr:matrix.org";
github = "evalexpr";
githubId = 23485511;
keys = [{
@@ -3445,6 +3627,7 @@
};
expipiplus1 = {
email = "nix@monoid.al";
+ matrix = "@joe:monoid.al";
github = "expipiplus1";
githubId = 857308;
name = "Joe Hermaszewski";
@@ -3457,6 +3640,7 @@
};
eyjhb = {
email = "eyjhbb@gmail.com";
+ matrix = "@eyjhb:eyjhb.dk";
github = "eyJhb";
githubId = 25955146;
name = "eyJhb";
@@ -3475,6 +3659,7 @@
};
fab = {
email = "mail@fabian-affolter.ch";
+ matrix = "@fabaff:matrix.org";
name = "Fabian Affolter";
github = "fabaff";
githubId = 116184;
@@ -3561,6 +3746,7 @@
};
felschr = {
email = "dev@felschr.com";
+ matrix = "@felschr:matrix.org";
github = "felschr";
githubId = 3314323;
name = "Felix Tenley";
@@ -3577,12 +3763,14 @@
};
fgaz = {
email = "fgaz@fgaz.me";
+ matrix = "@fgaz:matrix.org";
github = "fgaz";
githubId = 8182846;
name = "Francesco Gazzetta";
};
figsoda = {
email = "figsoda@pm.me";
+ matrix = "@figsoda:matrix.org";
github = "figsoda";
githubId = 40620903;
name = "figsoda";
@@ -3621,6 +3809,12 @@
fingerprint = "2F93 661D AC17 EA98 A104 F780 ECC7 55EE 583C 1672";
}];
};
+ flexagoon = {
+ email = "flexagoon@pm.me";
+ github = "flexagoon";
+ githubId = 66178592;
+ name = "Pavel Zolotarevskiy";
+ };
flexw = {
email = "felix.weilbach@t-online.de";
github = "FlexW";
@@ -3695,6 +3889,7 @@
};
fortuneteller2k = {
email = "lythe1107@gmail.com";
+ matrix = "@fortuneteller2k:matrix.org";
github = "fortuneteller2k";
githubId = 20619776;
name = "fortuneteller2k";
@@ -3899,6 +4094,12 @@
githubId = 16470252;
name = "Gemini Lasswell";
};
+ gbtb = {
+ email = "goodbetterthebeast3@gmail.com";
+ github = "gbtb";
+ githubId = 37017396;
+ name = "gbtb";
+ };
gebner = {
email = "gebner@gebner.org";
github = "gebner";
@@ -3935,6 +4136,7 @@
};
ggpeti = {
email = "ggpeti@gmail.com";
+ matrix = "@ggpeti:ggpeti.com";
github = "ggpeti";
githubId = 3217744;
name = "Peter Ferenczy";
@@ -4168,6 +4370,7 @@
gytis-ivaskevicius = {
name = "Gytis Ivaskevicius";
email = "me@gytis.io";
+ matrix = "@gytis-ivaskevicius:matrix.org";
github = "gytis-ivaskevicius";
githubId = 23264966;
};
@@ -4213,6 +4416,7 @@
};
happysalada = {
email = "raphael@megzari.com";
+ matrix = "@happysalada:matrix.org";
github = "happysalada";
githubId = 5317234;
name = "Raphael Megzari";
@@ -4223,6 +4427,16 @@
githubId = 54728477;
name = "Happy River";
};
+ hardselius = {
+ email = "martin@hardselius.dev";
+ github = "hardselius";
+ githubId = 1422583;
+ name = "Martin Hardselius";
+ keys = [{
+ longkeyid = "rsa4096/0x03A6E6F786936619";
+ fingerprint = "3F35 E4CA CBF4 2DE1 2E90 53E5 03A6 E6F7 8693 6619";
+ }];
+ };
haslersn = {
email = "haslersn@fius.informatik.uni-stuttgart.de";
github = "haslersn";
@@ -4235,8 +4449,15 @@
githubId = 731722;
name = "Ryan Scheel";
};
+ hawkw = {
+ email = "eliza@elizas.website";
+ github = "hawkw";
+ githubId = 2796466;
+ name = "Eliza Weisman";
+ };
hax404 = {
email = "hax404foogit@hax404.de";
+ matrix = "@hax404:hax404.de";
github = "hax404";
githubId = 1379411;
name = "Georg Haas";
@@ -4313,6 +4534,7 @@
};
hexa = {
email = "hexa@darmstadt.ccc.de";
+ matrix = "@hexa:lossy.network";
github = "mweinelt";
githubId = 131599;
name = "Martin Weinelt";
@@ -4377,6 +4599,12 @@
fingerprint = "D618 7A03 A40A 3D56 62F5 4B46 03EF BF83 9A5F DC15";
}];
};
+ hleboulanger = {
+ email = "hleboulanger@protonmail.com";
+ name = "Harold Leboulanger";
+ github = "thbkrhsw";
+ githubId = 33122;
+ };
hlolli = {
email = "hlolli@gmail.com";
github = "hlolli";
@@ -4425,6 +4653,7 @@
};
hoverbear = {
email = "operator+nix@hoverbear.org";
+ matrix = "@hoverbear:matrix.org";
github = "hoverbear";
githubId = 130903;
name = "Ana Hobden";
@@ -4501,8 +4730,19 @@
githubId = 2789926;
name = "Imran Hossain";
};
+ iagoq = {
+ email = "18238046+iagocq@users.noreply.github.com";
+ github = "iagocq";
+ githubId = 18238046;
+ name = "Iago Manoel Brito";
+ keys = [{
+ longkeyid = "rsa4096/0x35D39F9A9A1BC8DA";
+ fingerprint = "DF90 9D58 BEE4 E73A 1B8C 5AF3 35D3 9F9A 9A1B C8DA";
+ }];
+ };
iammrinal0 = {
email = "nixpkgs@mrinalpurohit.in";
+ matrix = "@iammrinal0:nixos.dev";
github = "iammrinal0";
githubId = 890062;
name = "Mrinal";
@@ -4534,6 +4774,7 @@
icy-thought = {
name = "Icy-Thought";
email = "gilganyx@pm.me";
+ matrix = "@gilganix:matrix.org";
github = "Icy-Thought";
githubId = 53710398;
};
@@ -4573,6 +4814,12 @@
githubId = 36193715;
name = "Lassi Haasio";
};
+ ilkecan = {
+ email = "ilkecan@protonmail.com";
+ github = "ilkecan";
+ githubId = 40234257;
+ name = "ilkecan bozdogan";
+ };
illegalprime = {
email = "themichaeleden@gmail.com";
github = "illegalprime";
@@ -4621,6 +4868,12 @@
githubId = 993484;
name = "Greg Hale";
};
+ imgabe = {
+ email = "gabrielpmonte@hotmail.com";
+ github = "imgabe";
+ githubId = 24387926;
+ name = "Gabriel Pereira";
+ };
imlonghao = {
email = "nixos@esd.cc";
github = "imlonghao";
@@ -4629,6 +4882,7 @@
};
immae = {
email = "ismael@bouya.org";
+ matrix = "@immae:immae.eu";
github = "immae";
githubId = 510202;
name = "Ismaël Bouya";
@@ -4641,6 +4895,7 @@
};
infinisil = {
email = "contact@infinisil.com";
+ matrix = "@infinisil:matrix.org";
github = "infinisil";
githubId = 20525370;
name = "Silvan Mosberger";
@@ -4658,6 +4913,7 @@
irenes = {
name = "Irene Knapp";
email = "ireneista@gmail.com";
+ matrix = "@irenes:matrix.org";
github = "IreneKnapp";
githubId = 157678;
keys = [{
@@ -4747,6 +5003,12 @@
githubId = 26877687;
name = "Yurii Izorkin";
};
+ j0xaf = {
+ email = "j0xaf@j0xaf.de";
+ name = "Jörn Gersdorf";
+ github = "j0xaf";
+ githubId = 932697;
+ };
j0hax = {
name = "Johannes Arnold";
email = "johannes.arnold@stud.uni-hannover.de";
@@ -4881,6 +5143,7 @@
};
jbedo = {
email = "cu@cua0.org";
+ matrix = "@jb:vk3.wtf";
github = "jbedo";
githubId = 372912;
name = "Justin Bedő";
@@ -4937,6 +5200,12 @@
githubId = 117874;
name = "Jeroen de Haas";
};
+ jdreaver = {
+ email = "johndreaver@gmail.com";
+ github = "jdreaver";
+ githubId = 1253071;
+ name = "David Reaver";
+ };
jduan = {
name = "Jingjing Duan";
email = "duanjingjing@gmail.com";
@@ -5012,6 +5281,7 @@
jfroche = {
name = "Jean-François Roche";
email = "jfroche@pyxel.be";
+ matrix = "@jfroche:matrix.pyxel.cloud";
github = "jfroche";
githubId = 207369;
keys = [{
@@ -5087,10 +5357,17 @@
};
jk = {
email = "hello+nixpkgs@j-k.io";
+ matrix = "@j-k:matrix.org";
github = "06kellyjac";
githubId = 9866621;
name = "Jack";
};
+ jkarlson = {
+ email = "jekarlson@gmail.com";
+ github = "jkarlson";
+ githubId = 1204734;
+ name = "Emil Karlson";
+ };
jlesquembre = {
email = "jl@lafuente.me";
github = "jlesquembre";
@@ -5181,6 +5458,7 @@
};
joepie91 = {
email = "admin@cryto.net";
+ matrix = "@joepie91:pixie.town";
name = "Sven Slootweg";
github = "joepie91";
githubId = 1663259;
@@ -5227,6 +5505,12 @@
githubId = 8735102;
name = "John Ramsden";
};
+ johnrichardrinehart = {
+ email = "johnrichardrinehart@gmail.com";
+ github = "johnrichardrinehart";
+ githubId = 6321578;
+ name = "John Rinehart";
+ };
johntitor = {
email = "huyuumi.dev@gmail.com";
github = "JohnTitor";
@@ -5236,6 +5520,7 @@
jojosch = {
name = "Johannes Schleifenbaum";
email = "johannes@js-webcoding.de";
+ matrix = "@jojosch:jswc.de";
github = "jojosch";
githubId = 327488;
keys = [{
@@ -5274,6 +5559,7 @@
};
jonringer = {
email = "jonringer117@gmail.com";
+ matrix = "@jonringer:matrix.org";
github = "jonringer";
githubId = 7673602;
name = "Jonathan Ringer";
@@ -5346,6 +5632,7 @@
};
jschievink = {
email = "jonasschievink@gmail.com";
+ matrix = "@jschievink:matrix.org";
github = "jonas-schievink";
githubId = 1786438;
name = "Jonas Schievink";
@@ -5380,6 +5667,7 @@
};
jtojnar = {
email = "jtojnar@gmail.com";
+ matrix = "@jtojnar:matrix.org";
github = "jtojnar";
githubId = 705123;
name = "Jan Tojnar";
@@ -5408,6 +5696,12 @@
githubId = 39434424;
name = "Felix Springer";
};
+ junjihashimoto = {
+ email = "junji.hashimoto@gmail.com";
+ github = "junjihashimoto";
+ githubId = 2469618;
+ name = "Junji Hashimoto";
+ };
justinas = {
email = "justinas@justinas.org";
github = "justinas";
@@ -5472,6 +5766,12 @@
githubId = 66669;
name = "Jeff Zellner";
};
+ k4leg = {
+ name = "k4leg";
+ email = "python.bogdan@gmail.com";
+ github = "k4leg";
+ githubId = 39882583;
+ };
kaction = {
name = "Dmitry Bogatov";
email = "KAction@disroot.org";
@@ -5490,6 +5790,7 @@
};
kalbasit = {
email = "wael.nasreddine@gmail.com";
+ matrix = "@kalbasit:matrix.org";
github = "kalbasit";
githubId = 87115;
name = "Wael Nasreddine";
@@ -5580,6 +5881,7 @@
};
kevincox = {
email = "kevincox@kevincox.ca";
+ matrix = "@kevincox:matrix.org";
github = "kevincox";
githubId = 494012;
name = "Kevin Cox";
@@ -5680,6 +5982,7 @@
};
kirelagin = {
email = "kirelagin@gmail.com";
+ matrix = "@kirelagin:matrix.org";
github = "kirelagin";
githubId = 451835;
name = "Kirill Elagin";
@@ -5734,6 +6037,7 @@
};
kloenk = {
email = "me@kloenk.de";
+ matrix = "@kloenk:petabyte.dev";
name = "Finn Behrens";
github = "kloenk";
githubId = 12898828;
@@ -5947,6 +6251,7 @@
};
l-as = {
email = "las@protonmail.ch";
+ matrix = "@Las:matrix.org";
github = "L-as";
githubId = 22075344;
keys = [{
@@ -5957,6 +6262,7 @@
};
l3af = {
email = "L3afMeAlon3@gmail.com";
+ matrix = "@L3afMe:matrix.org";
github = "L3afMe";
githubId = 72546287;
name = "L3af";
@@ -5997,6 +6303,7 @@
};
lassulus = {
email = "lassulus@gmail.com";
+ matrix = "@lassulus:nixos.dev";
github = "Lassulus";
githubId = 621759;
name = "Lassulus";
@@ -6058,6 +6365,7 @@
};
ldesgoui = {
email = "ldesgoui@gmail.com";
+ matrix = "@ldesgoui:matrix.org";
github = "ldesgoui";
githubId = 2472678;
name = "Lucas Desgouilles";
@@ -6100,6 +6408,7 @@
};
legendofmiracles = {
email = "legendofmiracles@protonmail.com";
+ matrix = "@legendofmiracles:matrix.org";
github = "legendofmiracles";
githubId = 30902201;
name = "legendofmiracles";
@@ -6148,6 +6457,7 @@
};
lewo = {
email = "lewo@abesis.fr";
+ matrix = "@lewo:matrix.org";
github = "nlewo";
githubId = 3425311;
name = "Antoine Eiche";
@@ -6246,6 +6556,12 @@
githubId = 791115;
name = "Linquize";
};
+ linsui = {
+ email = "linsui555@gmail.com";
+ github = "linsui";
+ githubId = 36977733;
+ name = "linsui";
+ };
linus = {
email = "linusarver@gmail.com";
github = "listx";
@@ -6346,6 +6662,7 @@
};
lovesegfault = {
email = "meurerbernardo@gmail.com";
+ matrix = "@lovesegfault:matrix.org";
github = "lovesegfault";
githubId = 7243783;
name = "Bernardo Meurer";
@@ -6372,6 +6689,7 @@
};
lschuermann = {
email = "leon.git@is.currently.online";
+ matrix = "@leons:is.currently.online";
github = "lschuermann";
githubId = 5341193;
name = "Leon Schuermann";
@@ -6448,6 +6766,7 @@
};
lukegb = {
email = "nix@lukegb.com";
+ matrix = "@lukegb:zxcvbnm.ninja";
github = "lukegb";
githubId = 246745;
name = "Luke Granger-Brown";
@@ -6466,6 +6785,7 @@
};
lunik1 = {
email = "ch.nixpkgs@themaw.xyz";
+ matrix = "@lunik1:lunik.one";
github = "lunik1";
githubId = 13547699;
name = "Corin Hoad";
@@ -6514,6 +6834,7 @@
};
ma27 = {
email = "maximilian@mbosch.me";
+ matrix = "@ma27:nicht-so.sexy";
github = "ma27";
githubId = 6025220;
name = "Maximilian Bosch";
@@ -6604,12 +6925,14 @@
};
manveru = {
email = "m.fellinger@gmail.com";
+ matrix = "@manveru:matrix.org";
github = "manveru";
githubId = 3507;
name = "Michael Fellinger";
};
maralorn = {
email = "malte.brandy@maralorn.de";
+ matrix = "@maralorn:maralorn.de";
github = "maralorn";
githubId = 1651325;
name = "Malte Brandy";
@@ -6678,6 +7001,12 @@
githubId = 458783;
name = "Martin Gammelsæter";
};
+ martfont = {
+ name = "Martino Fontana";
+ email = "tinozzo123@tutanota.com";
+ github = "SuperSamus";
+ githubId = 40663462;
+ };
marzipankaiser = {
email = "nixos@gaisseml.de";
github = "marzipankaiser";
@@ -6694,6 +7023,12 @@
githubId = 775189;
name = "Jordi Masip";
};
+ MaskedBelgian = {
+ email = "michael.colicchia@imio.be";
+ github = "MaskedBelgian";
+ githubId = 29855073;
+ name = "Michael Colicchia";
+ };
matdsoupe = {
github = "matdsoupe";
githubId = 44469426;
@@ -6742,6 +7077,7 @@
};
matthiasbeyer = {
email = "mail@beyermatthias.de";
+ matrix = "@musicmatze:beyermatthi.as";
github = "matthiasbeyer";
githubId = 427866;
name = "Matthias Beyer";
@@ -7024,6 +7360,7 @@
};
mic92 = {
email = "joerg@thalheim.io";
+ matrix = "@mic92:nixos.dev";
github = "mic92";
githubId = 96200;
name = "Jörg Thalheim";
@@ -7071,6 +7408,7 @@
};
midchildan = {
email = "git@midchildan.org";
+ matrix = "@midchildan:matrix.org";
github = "midchildan";
githubId = 7343721;
name = "midchildan";
@@ -7119,6 +7457,12 @@
githubId = 7610974;
name = "Jason Miller";
};
+ milogert = {
+ email = "milo@milogert.com";
+ github = "milogert";
+ githubId = 5378535;
+ name = "Milo Gertjejansen";
+ };
miltador = {
email = "miltador@yandex.ua";
name = "Vasiliy Solovey";
@@ -7177,6 +7521,7 @@
};
mjlbach = {
email = "m.j.lbach@gmail.com";
+ matrix = "@atrius:matrix.org";
github = "mjlbach";
githubId = 13316262;
name = "Michael Lingelbach";
@@ -7217,6 +7562,7 @@
};
mkg20001 = {
email = "mkg20001+nix@gmail.com";
+ matrix = "@mkg20001:matrix.org";
github = "mkg20001";
githubId = 7735145;
name = "Maciej Krüger";
@@ -7445,6 +7791,16 @@
name = "Maxim Schuwalow";
email = "maxim.schuwalow@gmail.com";
};
+ msfjarvis = {
+ github = "msfjarvis";
+ githubId = 3348378;
+ name = "Harsh Shandilya";
+ email = "nixos@msfjarvis.dev";
+ keys = [{
+ longkeyid = "rsa4096/0xB7843F823355E9B9";
+ fingerprint = "8F87 050B 0F9C B841 1515 7399 B784 3F82 3355 E9B9";
+ }];
+ };
msiedlarek = {
email = "mikolaj@siedlarek.pl";
github = "msiedlarek";
@@ -7537,10 +7893,17 @@
};
mvnetbiz = {
email = "mvnetbiz@gmail.com";
+ matrix = "@mvtva:matrix.org";
github = "mvnetbiz";
githubId = 6455574;
name = "Matt Votava";
};
+ mvs = {
+ email = "mvs@nya.yt";
+ github = "illdefined";
+ githubId = 772914;
+ name = "Mikael Voss";
+ };
maxwilson = {
email = "nixpkgs@maxwilson.dev";
github = "mwilsoncoding";
@@ -7617,6 +7980,7 @@
};
ncfavier = {
email = "n@monade.li";
+ matrix = "@ncfavier:matrix.org";
github = "ncfavier";
githubId = 4323933;
name = "Naïm Favier";
@@ -7649,6 +8013,12 @@
githubId = 2590830;
name = "Sage Raflik";
};
+ neosimsim = {
+ email = "me@abn.sh";
+ github = "neosimsim";
+ githubId = 1771772;
+ name = "Alexander Ben Nasrallah";
+ };
nequissimus = {
email = "tim@nequissimus.com";
github = "nequissimus";
@@ -7702,6 +8072,7 @@
};
nh2 = {
email = "mail@nh2.me";
+ matrix = "@nh2:matrix.org";
github = "nh2";
githubId = 399535;
name = "Niklas Hambüchen";
@@ -7788,6 +8159,7 @@
};
ninjatrappeur = {
email = "felix@alternativebit.fr";
+ matrix = "@ninjatrappeur:matrix.org";
github = "ninjatrappeur";
githubId = 1219785;
name = "Félix Baylac-Jacqué";
@@ -7842,6 +8214,7 @@
};
nixinator = {
email = "33lockdown33@protonmail.com";
+ matrix = "@nixinator:nixos.dev";
github = "nixinator";
githubId = 66913205;
name = "Rick Sanchez";
@@ -7890,6 +8263,7 @@
};
noneucat = {
email = "andy@lolc.at";
+ matrix = "@noneucat:lolc.at";
github = "noneucat";
githubId = 40049608;
name = "Andy Chun";
@@ -7932,6 +8306,7 @@
};
nrdxp = {
email = "tim.deh@pm.me";
+ matrix = "@timdeh:matrix.org";
github = "nrdxp";
githubId = 34083928;
name = "Tim DeHerrera";
@@ -7973,6 +8348,7 @@
numkem = {
name = "Sebastien Bariteau";
email = "numkem@numkem.org";
+ matrix = "@numkem:matrix.org";
github = "numkem";
githubId = 332423;
};
@@ -7994,6 +8370,17 @@
githubId = 127548;
name = "Judson Lester";
};
+ nzbr = {
+ email = "nixos@nzbr.de";
+ github = "nzbr";
+ githubId = 7851175;
+ name = "nzbr";
+ matrix = "@nzbr:nzbr.de";
+ keys = [{
+ longkeyid = "rsa2048/0x6C78B50B97A42F8A";
+ fingerprint = "BF3A 3EE6 3144 2C5F C9FB 39A7 6C78 B50B 97A4 2F8A";
+ }];
+ };
nzhang-zh = {
email = "n.zhang.hp.au@gmail.com";
github = "nzhang-zh";
@@ -8014,6 +8401,7 @@
};
obfusk = {
email = "flx@obfusk.net";
+ matrix = "@obfusk:matrix.org";
github = "obfusk";
githubId = 1260687;
name = "Felix C. Stegerman";
@@ -8208,6 +8596,7 @@
};
pacman99 = {
email = "pachum99@gmail.com";
+ matrix = "@pachumicchu:myrdd.info";
github = "Pacman99";
githubId = 16345849;
name = "Parthiv Seetharaman";
@@ -8354,6 +8743,12 @@
githubId = 13225611;
name = "Nicolas Martin";
};
+ pennae = {
+ name = "pennae";
+ email = "github@quasiparticle.net";
+ github = "pennae";
+ githubId = 82953136;
+ };
p3psi = {
name = "Elliot Boo";
email = "p3psi.boo@gmail.com";
@@ -8368,6 +8763,7 @@
};
petabyteboy = {
email = "milan@petabyte.dev";
+ matrix = "@milan:petabyte.dev";
github = "petabyteboy";
githubId = 3250809;
name = "Milan Pässler";
@@ -8465,6 +8861,7 @@
piegames = {
name = "piegames";
email = "nix@piegames.de";
+ matrix = "@piegames:matrix.org";
github = "piegamesde";
githubId = 14054505;
};
@@ -8514,7 +8911,7 @@
githubId = 1719781;
name = "Pablo Ovelleiro Corral";
keys = [{
- longkeyid = "sa4096/0x823A6154426408D3";
+ longkeyid = "rsa4096/0x823A6154426408D3";
fingerprint = "D03B 218C AE77 1F77 D7F9 20D9 823A 6154 4264 08D3";
}];
};
@@ -8626,6 +9023,12 @@
githubId = 11365056;
name = "Kevin Liu";
};
+ pnmadelaine = {
+ name = "Paul-Nicolas Madelaine";
+ email = "pnm@pnm.tf";
+ github = "pnmadelaine";
+ githubId = 21977014;
+ };
pnotequalnp = {
email = "kevin@pnotequalnp.com";
github = "pnotequalnp";
@@ -8712,6 +9115,7 @@
};
primeos = {
email = "dev.primeos@gmail.com";
+ matrix = "@primeos:matrix.org";
github = "primeos";
githubId = 7537109;
name = "Michael Weiss";
@@ -8772,14 +9176,23 @@
githubId = 33375;
name = "Peter Sanford";
};
+ pshirshov = {
+ email = "pshirshov@eml.cc";
+ github = "pshirshov";
+ githubId = 295225;
+ name = "Pavel Shirshov";
+ };
psibi = {
email = "sibi@psibi.in";
+ matrix = "@psibi:matrix.org";
github = "psibi";
githubId = 737477;
name = "Sibi Prabakaran";
};
pstn = {
email = "philipp@xndr.de";
+ github = "pstn";
+ githubId = 1329940;
name = "Philipp Steinpaß";
};
pSub = {
@@ -8826,6 +9239,7 @@
};
putchar = {
email = "slim.cadoux@gmail.com";
+ matrix = "@putch4r:matrix.org";
github = "putchar";
githubId = 8208767;
name = "Slim Cadoux";
@@ -8896,6 +9310,7 @@
};
raboof = {
email = "arnout@bzzt.net";
+ matrix = "@raboof:matrix.org";
github = "raboof";
githubId = 131856;
name = "Arnout Engelen";
@@ -8926,6 +9341,7 @@
};
ralith = {
email = "ben.e.saunders@gmail.com";
+ matrix = "@ralith:ralith.com";
github = "ralith";
githubId = 104558;
name = "Benjamin Saunders";
@@ -9066,6 +9482,12 @@
githubId = 546296;
name = "Eric Ren";
};
+ renesat = {
+ name = "Ivan Smolyakov";
+ email = "smol.ivan97@gmail.com";
+ github = "renesat";
+ githubId = 11363539;
+ };
renzo = {
email = "renzocarbonara@gmail.com";
github = "k0001";
@@ -9159,6 +9581,7 @@
risson = {
name = "Marc Schmitt";
email = "marc.schmitt@risson.space";
+ matrix = "@risson:lama-corp.space";
github = "rissson";
githubId = 18313093;
keys = [
@@ -9216,6 +9639,7 @@
};
rmcgibbo = {
email = "rmcgibbo@gmail.com";
+ matrix = "@rmcgibbo:matrix.org";
github = "rmcgibbo";
githubId = 641278;
name = "Robert T. McGibbon";
@@ -9262,6 +9686,7 @@
};
roberth = {
email = "nixpkgs@roberthensing.nl";
+ matrix = "@roberthensing:matrix.org";
github = "roberth";
githubId = 496447;
name = "Robert Hensing";
@@ -9320,16 +9745,6 @@
githubId = 1312525;
name = "Rongcui Dong";
};
- ronthecookie = {
- name = "Ron B";
- email = "me@ronthecookie.me";
- github = "ronthecookie";
- githubId = 2526321;
- keys = [{
- longkeyid = "rsa2048/0x6F5B32DE5E5FA80C";
- fingerprint = "4B2C DDA5 FA35 642D 956D 7294 6F5B 32DE 5E5F A80C";
- }];
- };
roosemberth = {
email = "roosembert.palacios+nixpkgs@posteo.ch";
github = "roosemberth";
@@ -9522,10 +9937,17 @@
};
s1341 = {
email = "s1341@shmarya.net";
+ matrix = "@s1341:matrix.org";
name = "Shmarya Rubenstein";
github = "s1341";
githubId = 5682183;
};
+ samalws = {
+ email = "sam@samalws.com";
+ name = "Sam Alws";
+ github = "samalws";
+ githubId = 20981725;
+ };
samb96 = {
email = "samb96@gmail.com";
github = "samb96";
@@ -9560,8 +9982,15 @@
githubId = 115821;
name = "Sam Rose";
};
+ samuela = {
+ email = "skainsworth@gmail.com";
+ github = "samuela";
+ githubId = 226872;
+ name = "Samuel Ainsworth";
+ };
samueldr = {
email = "samuel@dionne-riel.com";
+ matrix = "@samueldr:matrix.org";
github = "samueldr";
githubId = 132835;
name = "Samuel Dionne-Riel";
@@ -9702,6 +10131,7 @@
};
Scriptkiddi = {
email = "nixos@scriptkiddi.de";
+ matrix = "@fritz.otlinghaus:helsinki-systems.de";
github = "scriptkiddi";
githubId = 3598650;
name = "Fritz Otlinghaus";
@@ -9714,16 +10144,11 @@
};
sdier = {
email = "scott@dier.name";
+ matrix = "@sdier:matrix.org";
github = "sdier";
githubId = 11613056;
name = "Scott Dier";
};
- sdll = {
- email = "sasha.delly@gmail.com";
- github = "sdll";
- githubId = 17913919;
- name = "Sasha Illarionov";
- };
SeanZicari = {
email = "sean.zicari@gmail.com";
github = "SeanZicari";
@@ -9790,6 +10215,12 @@
githubId = 307899;
name = "Gurkan Gur";
};
+ sersorrel = {
+ email = "ash@sorrel.sh";
+ github = "sersorrel";
+ githubId = 9433472;
+ name = "ash";
+ };
servalcatty = {
email = "servalcat@pm.me";
github = "servalcatty";
@@ -9968,6 +10399,12 @@
fingerprint = "ADF4 C13D 0E36 1240 BD01 9B51 D1DE 6D7F 6936 63A5";
}];
};
+ simarra = {
+ name = "simarra";
+ email = "loic.martel@protonmail.com";
+ github = "simarra";
+ githubId = 14372987;
+ };
simonchatts = {
email = "code@chatts.net";
github = "simonchatts";
@@ -9988,6 +10425,7 @@
};
siraben = {
email = "bensiraphob@gmail.com";
+ matrix = "@siraben:matrix.org";
github = "siraben";
githubId = 8219659;
name = "Siraphob Phipathananunth";
@@ -10002,6 +10440,12 @@
fingerprint = "B234 EFD4 2B42 FE81 EE4D 7627 F72C 4A88 7F9A 24CA";
}];
};
+ sirseruju = {
+ email = "sir.seruju@yandex.ru";
+ github = "sirseruju";
+ githubId = 74881555;
+ name = "Fofanov Sergey";
+ };
sivteck = {
email = "sivaram1992@gmail.com";
github = "sivteck";
@@ -10060,6 +10504,7 @@
};
SlothOfAnarchy = {
email = "slothofanarchy1@gmail.com";
+ matrix = "@michel.weitbrecht:helsinki-systems.de";
github = "SlothOfAnarchy";
githubId = 12828415;
name = "Michel Weitbrecht";
@@ -10096,6 +10541,13 @@
githubId = 4477729;
name = "Sergey Mironov";
};
+ smitop = {
+ name = "Smitty van Bodegom";
+ email = "me@smitop.com";
+ matrix = "@smitop:kde.org";
+ github = "Smittyvb";
+ githubId = 10530973;
+ };
sna = {
email = "abouzahra.9@wright.edu";
github = "s-na";
@@ -10134,6 +10586,7 @@
};
solson = {
email = "scott@solson.me";
+ matrix = "@solson:matrix.org";
github = "solson";
githubId = 26806;
name = "Scott Olson";
@@ -10186,6 +10639,7 @@
};
spencerjanssen = {
email = "spencerjanssen@gmail.com";
+ matrix = "@sjanssen:matrix.org";
github = "spencerjanssen";
githubId = 2600039;
name = "Spencer Janssen";
@@ -10234,6 +10688,7 @@
};
srhb = {
email = "sbrofeldt@gmail.com";
+ matrix = "@srhb:matrix.org";
github = "srhb";
githubId = 219362;
name = "Sarah Brofeldt";
@@ -10250,6 +10705,12 @@
githubId = 8668915;
name = "Stephane Schitter";
};
+ staccato = {
+ name = "staccato";
+ email = "moveq@riseup.net";
+ github = "staccato";
+ githubId = 86573128;
+ };
steell = {
email = "steve@steellworks.com";
github = "Steell";
@@ -10264,6 +10725,7 @@
};
stephank = {
email = "nix@stephank.nl";
+ matrix = "@skochen:matrix.org";
github = "stephank";
githubId = 89950;
name = "Stéphan Kochen";
@@ -10395,11 +10857,13 @@
superherointj = {
name = "Sérgio G.";
email = "5861043+superherointj@users.noreply.github.com";
+ matrix = "@superherointj:matrix.org";
github = "superherointj";
githubId = 5861043;
};
SuperSandro2000 = {
email = "sandro.jaeckel@gmail.com";
+ matrix = "@sandro:supersandro.de";
github = "SuperSandro2000";
githubId = 7258858;
name = "Sandro Jäckel";
@@ -10484,6 +10948,7 @@
};
symphorien = {
email = "symphorien_nixpkgs@xlumurb.eu";
+ matrix = "@symphorien:xlumurb.eu";
github = "symphorien";
githubId = 12595971;
name = "Guillaume Girol";
@@ -10572,6 +11037,7 @@
};
taktoa = {
email = "taktoa@gmail.com";
+ matrix = "@taktoa:matrix.org";
github = "taktoa";
githubId = 553443;
name = "Remy Goldschmidt";
@@ -10590,6 +11056,7 @@
};
talyz = {
email = "kim.lindberger@gmail.com";
+ matrix = "@talyz:matrix.org";
github = "talyz";
githubId = 63433;
name = "Kim Lindberger";
@@ -10698,6 +11165,7 @@
};
tfc = {
email = "jacek@galowicz.de";
+ matrix = "@jonge:ukvly.org";
github = "tfc";
githubId = 29044;
name = "Jacek Galowicz";
@@ -10792,6 +11260,7 @@
};
thibautmarty = {
email = "github@thibautmarty.fr";
+ matrix = "@thibaut:thibautmarty.fr";
github = "ThibautMarty";
githubId = 3268082;
name = "Thibaut Marty";
@@ -10932,6 +11401,7 @@
};
tnias = {
email = "phil@grmr.de";
+ matrix = "@tnias:stratum0.org";
github = "tnias";
githubId = 9853194;
name = "Philipp Bartsch";
@@ -10978,6 +11448,7 @@
};
tomberek = {
email = "tomberek@gmail.com";
+ matrix = "@tomberek:matrix.org";
github = "tomberek";
githubId = 178444;
name = "Thomas Bereknyei";
@@ -10996,6 +11467,7 @@
};
toonn = {
email = "nixpkgs@toonn.io";
+ matrix = "@toonn:matrix.org";
github = "toonn";
githubId = 1486805;
name = "Toon Nolten";
@@ -11024,6 +11496,12 @@
githubId = 10110;
name = "Travis B. Hartwell";
};
+ travisdavis-ops = {
+ email = "travisdavismedia@gmail.com";
+ github = "travisdavis-ops";
+ githubId = 52011418;
+ name = "Travis Davis";
+ };
TredwellGit = {
email = "tredwell@tutanota.com";
github = "TredwellGit";
@@ -11192,6 +11670,7 @@
};
unode = {
email = "alves.rjc@gmail.com";
+ matrix = "@renato_alves:matrix.org";
github = "unode";
githubId = 122319;
name = "Renato Alves";
@@ -11252,6 +11731,7 @@
};
vaibhavsagar = {
email = "vaibhavsagar@gmail.com";
+ matrix = "@vaibhavsagar:matrix.org";
github = "vaibhavsagar";
githubId = 1525767;
name = "Vaibhav Sagar";
@@ -11270,6 +11750,7 @@
};
valodim = {
email = "look@my.amazin.horse";
+ matrix = "@Valodim:stratum0.org";
github = "valodim";
githubId = 27813;
name = "Vincent Breitmoser";
@@ -11281,10 +11762,14 @@
name = "Jos van den Oever";
};
vanilla = {
- email = "neko@hydev.org";
+ email = "osu_vanilla@126.com";
github = "VergeDX";
githubId = 25173827;
name = "Vanilla";
+ keys = [{
+ longkeyid = "rsa4096/0x3750028ED04FA42E";
+ fingerprint = "2649 340C C909 F821 D251 6714 3750 028E D04F A42E";
+ }];
};
vanschelven = {
email = "klaas@vanschelven.com";
@@ -11326,6 +11811,7 @@
name = "Vladimír Čunát";
# vcunat@gmail.com predominated in commits before 2019/03
email = "v@cunat.cz";
+ matrix = "@vcunat:matrix.org";
github = "vcunat";
githubId = 1785925;
keys = [{
@@ -11736,6 +12222,12 @@
githubId = 3992240;
name = "Elijah Rum";
};
+ x3ro = {
+ name = "^x3ro";
+ email = "nix@x3ro.dev";
+ github = "x3rAx";
+ githubId = 2268851;
+ };
xaverdh = {
email = "hoe.dom@gmx.de";
github = "xaverdh";
@@ -11756,6 +12248,7 @@
};
xe = {
email = "me@christine.website";
+ matrix = "@withoutwithin:matrix.org";
github = "Xe";
githubId = 529003;
name = "Christine Dodrill";
@@ -11774,6 +12267,7 @@
};
xfix = {
email = "konrad@borowski.pw";
+ matrix = "@xfix:matrix.org";
github = "xfix";
githubId = 1297598;
name = "Konrad Borowski";
@@ -11846,6 +12340,7 @@
};
yayayayaka = {
email = "nixpkgs@uwu.is";
+ matrix = "@lara:uwu.is";
github = "yayayayaka";
githubId = 73759599;
name = "Lara A.";
@@ -11862,6 +12357,12 @@
githubId = 4113027;
name = "Jesper Geertsen Jonsson";
};
+ yinfeng = {
+ email = "lin.yinfeng@outlook.com";
+ github = "linyinfeng";
+ githubId = 11229748;
+ name = "Lin Yinfeng";
+ };
ylwghst = {
email = "ylwghst@onionmail.info";
github = "ylwghst";
@@ -11888,6 +12389,7 @@
};
yorickvp = {
email = "yorickvanpelt@gmail.com";
+ matrix = "@yorickvp:matrix.org";
github = "yorickvp";
githubId = 647076;
name = "Yorick van Pelt";
@@ -11898,6 +12400,12 @@
githubId = 452;
name = "Yurii Rashkovskii";
};
+ yrd = {
+ name = "Yannik Rödel";
+ email = "nix@yannik.info";
+ github = "yrd";
+ githubId = 1820447;
+ };
ysndr = {
email = "me@ysndr.de";
github = "ysndr";
@@ -11928,12 +12436,14 @@
};
yuka = {
email = "yuka@yuka.dev";
+ matrix = "@yuka:yuka.dev";
github = "yu-re-ka";
githubId = 86169957;
name = "Yureka";
};
yusdacra = {
email = "y.bera003.06@protonmail.com";
+ matrix = "@yusdacra:nixos.dev";
github = "yusdacra";
githubId = 19897088;
name = "Yusuf Bera Ertan";
@@ -12036,6 +12546,7 @@
};
zhaofengli = {
email = "hello@zhaofeng.li";
+ matrix = "@zhaofeng:zhaofeng.li";
github = "zhaofengli";
githubId = 2189609;
name = "Zhaofeng Li";
@@ -12251,6 +12762,7 @@
fzakaria = {
name = "Farid Zakaria";
email = "farid.m.zakaria@gmail.com";
+ matrix = "@fzakaria:matrix.org";
github = "fzakaria";
githubId = 605070;
};
@@ -12269,6 +12781,7 @@
hmenke = {
name = "Henri Menke";
email = "henri@henrimenke.de";
+ matrix = "@hmenke:matrix.org";
github = "hmenke";
githubId = 1903556;
keys = [{
@@ -12335,6 +12848,7 @@
princemachiavelli = {
name = "Josh Hoffer";
email = "jhoffer@sansorgan.es";
+ matrix = "@princemachiavelli:matrix.org";
github = "princemachiavelli";
githubId = 2730968;
keys = [{
@@ -12362,9 +12876,20 @@
fingerprint = "61AE D40F 368B 6F26 9DAE 3892 6861 6B2D 8AC4 DCC5";
}];
};
+ zenithal = {
+ name = "zenithal";
+ email = "i@zenithal.me";
+ github = "ZenithalHourlyRate";
+ githubId = 19512674;
+ keys = [{
+ longkeyid = "rsa4096/0x87E17EEF9B18B6C9";
+ fingerprint = "1127 F188 280A E312 3619 3329 87E1 7EEF 9B18 B6C9";
+ }];
+ };
zeri = {
name = "zeri";
email = "68825133+zeri42@users.noreply.github.com";
+ matrix = "@zeri:matrix.org";
github = "zeri42";
githubId = 68825133;
};
@@ -12390,4 +12915,11 @@
github = "rski";
githubId = 2960312;
};
+ mbprtpmnr = {
+ name = "mbprtpmnr";
+ email = "mbprtpmnr@pm.me";
+ github = "mbprtpmnr";
+ githubId = 88109321;
+ };
+
}
diff --git a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/db-to-md.sh b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/db-to-md.sh
new file mode 100755
index 00000000000..01357d1e241
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/db-to-md.sh
@@ -0,0 +1,88 @@
+#! /usr/bin/env nix-shell
+#! nix-shell -I nixpkgs=. -i bash -p pandoc
+
+# This script is temporarily needed while we transition the manual to
+# CommonMark. It converts DocBook files into our CommonMark flavour.
+
+debug=
+files=()
+
+while [ "$#" -gt 0 ]; do
+ i="$1"; shift 1
+ case "$i" in
+ --debug)
+ debug=1
+ ;;
+ *)
+ files+=("$i")
+ ;;
+ esac
+done
+
+echo "WARNING: This is an experimental script and might not preserve all formatting." > /dev/stderr
+echo "Please report any issues you discover." > /dev/stderr
+
+outExtension="md"
+if [[ $debug ]]; then
+ outExtension="json"
+fi
+
+DIR="$( cd "$( dirname "${BASH_SOURCE[0]}" )" >/dev/null 2>&1 && pwd )"
+
+# NOTE: Keep in sync with Nixpkgs manual (/doc/Makefile).
+# TODO: Remove raw-attribute when we can get rid of DocBook altogether.
+pandoc_commonmark_enabled_extensions=+attributes+fenced_divs+footnotes+bracketed_spans+definition_lists+pipe_tables+raw_attribute
+targetLang="commonmark${pandoc_commonmark_enabled_extensions}+smart"
+if [[ $debug ]]; then
+ targetLang=json
+fi
+pandoc_flags=(
+ # Not needed:
+ # - diagram-generator.lua (we do not support that in NixOS manual to limit dependencies)
+ # - media extraction (was only required for diagram generator)
+ # - myst-reader/roles.lua (only relevant for MyST → DocBook)
+ # - link-unix-man-references.lua (links should only be added to display output)
+ # - docbook-writer/rst-roles.lua (only relevant for → DocBook)
+ # - docbook-writer/labelless-link-is-xref.lua (only relevant for → DocBook)
+ "--lua-filter=$DIR/../../doc/build-aux/pandoc-filters/docbook-reader/citerefentry-to-rst-role.lua"
+ "--lua-filter=$DIR/../../doc/build-aux/pandoc-filters/myst-writer/roles.lua"
+ "--lua-filter=$DIR/doc/unknown-code-language.lua"
+ -f docbook
+ -t "$targetLang"
+ --tab-stop=2
+ --wrap=none
+)
+
+for file in "${files[@]}"; do
+ if [[ ! -f "$file" ]]; then
+ echo "db-to-md.sh: $file does not exist" > /dev/stderr
+ exit 1
+ else
+ rootElement=$(xmllint --xpath 'name(//*)' "$file")
+
+ if [[ $rootElement = chapter ]]; then
+ extension=".chapter.$outExtension"
+ elif [[ $rootElement = section ]]; then
+ extension=".section.$outExtension"
+ else
+ echo "db-to-md.sh: $file contains an unsupported root element $rootElement" > /dev/stderr
+ exit 1
+ fi
+
+ outFile="${file%".section.xml"}"
+ outFile="${outFile%".chapter.xml"}"
+ outFile="${outFile%".xml"}$extension"
+ temp1=$(mktemp)
+ $DIR/doc/escape-code-markup.py "$file" "$temp1"
+ if [[ $debug ]]; then
+ echo "Converted $file to $temp1" > /dev/stderr
+ fi
+ temp2=$(mktemp)
+ $DIR/doc/replace-xrefs-by-empty-links.py "$temp1" "$temp2"
+ if [[ $debug ]]; then
+ echo "Converted $temp1 to $temp2" > /dev/stderr
+ fi
+ pandoc "$temp2" -o "$outFile" "${pandoc_flags[@]}"
+ echo "Converted $file to $outFile" > /dev/stderr
+ fi
+done
diff --git a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/doc/escape-code-markup.py b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/doc/escape-code-markup.py
new file mode 100755
index 00000000000..015435b698e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/doc/escape-code-markup.py
@@ -0,0 +1,97 @@
+#! /usr/bin/env nix-shell
+#! nix-shell -I nixpkgs=channel:nixos-unstable -i python3 -p python3 -p python3.pkgs.lxml
+
+"""
+Pandoc will strip any markup within code elements so
+let’s escape them so that they can be handled manually.
+"""
+
+import lxml.etree as ET
+import re
+import sys
+
+def replace_element_by_text(el: ET.Element, text: str) -> None:
+ """
+ Author: bernulf
+ Source: https://stackoverflow.com/a/10520552/160386
+ SPDX-License-Identifier: CC-BY-SA-3.0
+ """
+ text = text + (el.tail or "")
+ parent = el.getparent()
+ if parent is not None:
+ previous = el.getprevious()
+ if previous is not None:
+ previous.tail = (previous.tail or "") + text
+ else:
+ parent.text = (parent.text or "") + text
+ parent.remove(el)
+
+DOCBOOK_NS = "http://docbook.org/ns/docbook"
+
+# List of elements that pandoc’s DocBook reader strips markup from.
+# https://github.com/jgm/pandoc/blob/master/src/Text/Pandoc/Readers/DocBook.hs
+code_elements = [
+ # CodeBlock
+ "literallayout",
+ "screen",
+ "programlisting",
+ # Code (inline)
+ "classname",
+ "code",
+ "filename",
+ "envar",
+ "literal",
+ "computeroutput",
+ "prompt",
+ "parameter",
+ "option",
+ "markup",
+ "wordasword",
+ "command",
+ "varname",
+ "function",
+ "type",
+ "symbol",
+ "constant",
+ "userinput",
+ "systemitem",
+]
+
+XMLNS_REGEX = re.compile(r'\s+xmlns(?::[^=]+)?="[^"]*"')
+ROOT_ELEMENT_REGEX = re.compile(r'^\s*<[^>]+>')
+
+def remove_xmlns(match: re.Match) -> str:
+ """
+ Removes xmlns attributes.
+
+ Expects a match containing an opening tag.
+ """
+ return XMLNS_REGEX.sub('', match.group(0))
+
+if __name__ == '__main__':
+ assert len(sys.argv) >= 3, "usage: escape-code-markup.py "
+
+ tree = ET.parse(sys.argv[1])
+ name_predicate = " or ".join([f"local-name()='{el}'" for el in code_elements])
+
+ for markup in tree.xpath(f"//*[({name_predicate}) and namespace-uri()='{DOCBOOK_NS}']/*"):
+ text = ET.tostring(markup, encoding=str)
+
+ # tostring adds xmlns attributes to the element we want to stringify
+ # as if it was supposed to be usable standalone.
+ # We are just converting it to CDATA so we do not care.
+ # Let’s strip the namespace declarations to keep the code clean.
+ #
+ # Note that this removes even namespaces that were potentially
+ # in the original file. Though, that should be very rare –
+ # most of the time, we will stringify empty DocBook elements
+ # like or or, at worst, with xlink:href attribute.
+ #
+ # Also note that the regex expects the root element to be first
+ # thing in the string. But that should be fine, the tostring method
+ # does not produce XML declaration or doctype by default.
+ text = ROOT_ELEMENT_REGEX.sub(remove_xmlns, text)
+
+ replace_element_by_text(markup, text)
+
+ tree.write(sys.argv[2])
diff --git a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/doc/replace-xrefs-by-empty-links.py b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/doc/replace-xrefs-by-empty-links.py
new file mode 100755
index 00000000000..2006ef897f7
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/doc/replace-xrefs-by-empty-links.py
@@ -0,0 +1,32 @@
+#! /usr/bin/env nix-shell
+#! nix-shell -I nixpkgs=channel:nixos-unstable -i python3 -p python3 -p python3.pkgs.lxml
+
+"""
+Pandoc will try to resolve xrefs and replace them with regular links.
+let’s replace them with links with empty labels which MyST
+and our pandoc filters recognize as cross-references.
+"""
+
+import lxml.etree as ET
+import sys
+
+XLINK_NS = "http://www.w3.org/1999/xlink"
+
+ns = {
+ "db": "http://docbook.org/ns/docbook",
+}
+
+
+if __name__ == '__main__':
+ assert len(sys.argv) >= 3, "usage: replace-xrefs-by-empty-links.py "
+
+ tree = ET.parse(sys.argv[1])
+ for xref in tree.findall(".//db:xref", ns):
+ text = ET.tostring(xref, encoding=str)
+ parent = xref.getparent()
+ link = parent.makeelement('link')
+ target_name = xref.get("linkend")
+ link.set(f"{{{XLINK_NS}}}href", f"#{target_name}")
+ parent.replace(xref, link)
+
+ tree.write(sys.argv[2])
diff --git a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/doc/unknown-code-language.lua b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/doc/unknown-code-language.lua
new file mode 100644
index 00000000000..85d8df4690b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/doc/unknown-code-language.lua
@@ -0,0 +1,12 @@
+--[[
+Adds “unknown” class to CodeBlock AST nodes without any classes.
+
+This will cause Pandoc to use fenced code block, which we prefer.
+]]
+
+function CodeBlock(elem)
+ if #elem.classes == 0 then
+ elem.classes:insert('unknown')
+ return elem
+ end
+end
diff --git a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/haskell/dependencies.nix b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/haskell/dependencies.nix
new file mode 100644
index 00000000000..f0620902c0e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/haskell/dependencies.nix
@@ -0,0 +1,10 @@
+# Nix script to calculate the Haskell dependencies of every haskellPackage. Used by ./hydra-report.hs.
+let
+ pkgs = import ../../.. {};
+ inherit (pkgs) lib;
+ getDeps = _: pkg: {
+ deps = builtins.filter (x: !isNull x) (map (x: x.pname or null) (pkg.propagatedBuildInputs or []));
+ broken = (pkg.meta.hydraPlatforms or [null]) == [];
+ };
+in
+ lib.mapAttrs getDeps pkgs.haskellPackages
diff --git a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/haskell/hydra-report.hs b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/haskell/hydra-report.hs
index fd6430d43c9..360b9f2058d 100755
--- a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/haskell/hydra-report.hs
+++ b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/haskell/hydra-report.hs
@@ -26,6 +26,8 @@ Because step 1) is quite expensive and takes roughly ~5 minutes the result is ca
{-# LANGUAGE ScopedTypeVariables #-}
{-# LANGUAGE TupleSections #-}
{-# OPTIONS_GHC -Wall #-}
+{-# LANGUAGE ViewPatterns #-}
+{-# LANGUAGE TupleSections #-}
import Control.Monad (forM_, (<=<))
import Control.Monad.Trans (MonadIO (liftIO))
@@ -41,7 +43,7 @@ import Data.List.NonEmpty (NonEmpty, nonEmpty)
import qualified Data.List.NonEmpty as NonEmpty
import Data.Map.Strict (Map)
import qualified Data.Map.Strict as Map
-import Data.Maybe (fromMaybe, mapMaybe)
+import Data.Maybe (fromMaybe, mapMaybe, isNothing)
import Data.Monoid (Sum (Sum, getSum))
import Data.Sequence (Seq)
import qualified Data.Sequence as Seq
@@ -70,6 +72,12 @@ import System.Directory (XdgDirectory (XdgCache), getXdgDirectory)
import System.Environment (getArgs)
import System.Process (readProcess)
import Prelude hiding (id)
+import Data.List (sortOn)
+import Control.Concurrent.Async (concurrently)
+import Control.Exception (evaluate)
+import qualified Data.IntMap.Strict as IntMap
+import qualified Data.IntSet as IntSet
+import Data.Bifunctor (second)
newtype JobsetEvals = JobsetEvals
{ evals :: Seq Eval
@@ -134,20 +142,17 @@ hydraEvalCommand = "hydra-eval-jobs"
hydraEvalParams :: [String]
hydraEvalParams = ["-I", ".", "pkgs/top-level/release-haskell.nix"]
-handlesCommand :: FilePath
-handlesCommand = "nix-instantiate"
-
-handlesParams :: [String]
-handlesParams = ["--eval", "--strict", "--json", "-"]
+nixExprCommand :: FilePath
+nixExprCommand = "nix-instantiate"
-handlesExpression :: String
-handlesExpression = "with import ./. {}; with lib; zipAttrsWith (_: builtins.head) (mapAttrsToList (_: v: if v ? github then { \"${v.email}\" = v.github; } else {}) (import maintainers/maintainer-list.nix))"
+nixExprParams :: [String]
+nixExprParams = ["--eval", "--strict", "--json"]
-- | This newtype is used to parse a Hydra job output from @hydra-eval-jobs@.
-- The only field we are interested in is @maintainers@, which is why this
-- is just a newtype.
--
--- Note that there are occassionally jobs that don't have a maintainers
+-- Note that there are occasionally jobs that don't have a maintainers
-- field, which is why this has to be @Maybe Text@.
newtype Maintainers = Maintainers { maintainers :: Maybe Text }
deriving stock (Generic, Show)
@@ -195,13 +200,49 @@ type EmailToGitHubHandles = Map Text Text
-- @@
type MaintainerMap = Map Text (NonEmpty Text)
--- | Generate a mapping of Hydra job names to maintainer GitHub handles.
+-- | Information about a package which lists its dependencies and whether the
+-- package is marked broken.
+data DepInfo = DepInfo {
+ deps :: Set Text,
+ broken :: Bool
+}
+ deriving stock (Generic, Show)
+ deriving anyclass (FromJSON, ToJSON)
+
+-- | Map from package names to their DepInfo. This is the data we get out of a
+-- nix call.
+type DependencyMap = Map Text DepInfo
+
+-- | Map from package names to its broken state, number of reverse dependencies (fst) and
+-- unbroken reverse dependencies (snd).
+type ReverseDependencyMap = Map Text (Int, Int)
+
+-- | Calculate the (unbroken) reverse dependencies of a package by transitively
+-- going through all packages if it’s a dependency of them.
+calculateReverseDependencies :: DependencyMap -> ReverseDependencyMap
+calculateReverseDependencies depMap = Map.fromDistinctAscList $ zip keys (zip (rdepMap False) (rdepMap True))
+ where
+ -- This code tries to efficiently invert the dependency map and calculate
+ -- it’s transitive closure by internally identifying every pkg with it’s index
+ -- in the package list and then using memoization.
+ keys = Map.keys depMap
+ pkgToIndexMap = Map.fromDistinctAscList (zip keys [0..])
+ intDeps = zip [0..] $ (\DepInfo{broken,deps} -> (broken,mapMaybe (`Map.lookup` pkgToIndexMap) $ Set.toList deps)) <$> Map.elems depMap
+ rdepMap onlyUnbroken = IntSet.size <$> resultList
+ where
+ resultList = go <$> [0..]
+ oneStepMap = IntMap.fromListWith IntSet.union $ (\(key,(_,deps)) -> (,IntSet.singleton key) <$> deps) <=< filter (\(_, (broken,_)) -> not (broken && onlyUnbroken)) $ intDeps
+ go pkg = IntSet.unions (oneStep:((resultList !!) <$> IntSet.toList oneStep))
+ where oneStep = IntMap.findWithDefault mempty pkg oneStepMap
+
+-- | Generate a mapping of Hydra job names to maintainer GitHub handles. Calls
+-- hydra-eval-jobs and the nix script ./maintainer-handles.nix.
getMaintainerMap :: IO MaintainerMap
getMaintainerMap = do
hydraJobs :: HydraJobs <-
- readJSONProcess hydraEvalCommand hydraEvalParams "" "Failed to decode hydra-eval-jobs output: "
+ readJSONProcess hydraEvalCommand hydraEvalParams "Failed to decode hydra-eval-jobs output: "
handlesMap :: EmailToGitHubHandles <-
- readJSONProcess handlesCommand handlesParams handlesExpression "Failed to decode nix output for lookup of github handles: "
+ readJSONProcess nixExprCommand ("maintainers/scripts/haskell/maintainer-handles.nix":nixExprParams) "Failed to decode nix output for lookup of github handles: "
pure $ Map.mapMaybe (splitMaintainersToGitHubHandles handlesMap) hydraJobs
where
-- Split a comma-spearated string of Maintainers into a NonEmpty list of
@@ -211,6 +252,12 @@ getMaintainerMap = do
splitMaintainersToGitHubHandles handlesMap (Maintainers maint) =
nonEmpty . mapMaybe (`Map.lookup` handlesMap) . Text.splitOn ", " $ fromMaybe "" maint
+-- | Get the a map of all dependencies of every package by calling the nix
+-- script ./dependencies.nix.
+getDependencyMap :: IO DependencyMap
+getDependencyMap =
+ readJSONProcess nixExprCommand ("maintainers/scripts/haskell/dependencies.nix":nixExprParams) "Failed to decode nix output for lookup of dependencies: "
+
-- | Run a process that produces JSON on stdout and and decode the JSON to a
-- data type.
--
@@ -219,11 +266,10 @@ readJSONProcess
:: FromJSON a
=> FilePath -- ^ Filename of executable.
-> [String] -- ^ Arguments
- -> String -- ^ stdin to pass to the process
-> String -- ^ String to prefix to JSON-decode error.
-> IO a
-readJSONProcess exe args input err = do
- output <- readProcess exe args input
+readJSONProcess exe args err = do
+ output <- readProcess exe args ""
let eitherDecodedOutput = eitherDecodeStrict' . encodeUtf8 . Text.pack $ output
case eitherDecodedOutput of
Left decodeErr -> error $ err <> decodeErr <> "\nRaw: '" <> take 1000 output <> "'"
@@ -264,7 +310,13 @@ platformIcon (Platform x) = case x of
data BuildResult = BuildResult {state :: BuildState, id :: Int} deriving (Show, Eq, Ord)
newtype Platform = Platform {platform :: Text} deriving (Show, Eq, Ord)
newtype Table row col a = Table (Map (row, col) a)
-type StatusSummary = Map Text (Table Text Platform BuildResult, Set Text)
+data SummaryEntry = SummaryEntry {
+ summaryBuilds :: Table Text Platform BuildResult,
+ summaryMaintainers :: Set Text,
+ summaryReverseDeps :: Int,
+ summaryUnbrokenReverseDeps :: Int
+}
+type StatusSummary = Map Text SummaryEntry
instance (Ord row, Ord col, Semigroup a) => Semigroup (Table row col a) where
Table l <> Table r = Table (Map.unionWith (<>) l r)
@@ -275,11 +327,11 @@ instance Functor (Table row col) where
instance Foldable (Table row col) where
foldMap f (Table a) = foldMap f a
-buildSummary :: MaintainerMap -> Seq Build -> StatusSummary
-buildSummary maintainerMap = foldl (Map.unionWith unionSummary) Map.empty . fmap toSummary
+buildSummary :: MaintainerMap -> ReverseDependencyMap -> Seq Build -> StatusSummary
+buildSummary maintainerMap reverseDependencyMap = foldl (Map.unionWith unionSummary) Map.empty . fmap toSummary
where
- unionSummary (Table l, l') (Table r, r') = (Table $ Map.union l r, l' <> r')
- toSummary Build{finished, buildstatus, job, id, system} = Map.singleton name (Table (Map.singleton (set, Platform system) (BuildResult state id)), maintainers)
+ unionSummary (SummaryEntry (Table lb) lm lr lu) (SummaryEntry (Table rb) rm rr ru) = SummaryEntry (Table $ Map.union lb rb) (lm <> rm) (max lr rr) (max lu ru)
+ toSummary Build{finished, buildstatus, job, id, system} = Map.singleton name (SummaryEntry (Table (Map.singleton (set, Platform system) (BuildResult state id))) maintainers reverseDeps unbrokenReverseDeps)
where
state :: BuildState
state = case (finished, buildstatus) of
@@ -297,6 +349,7 @@ buildSummary maintainerMap = foldl (Map.unionWith unionSummary) Map.empty . fmap
name = maybe packageName NonEmpty.last splitted
set = maybe "" (Text.intercalate "." . NonEmpty.init) splitted
maintainers = maybe mempty (Set.fromList . toList) (Map.lookup job maintainerMap)
+ (reverseDeps, unbrokenReverseDeps) = Map.findWithDefault (0,0) name reverseDependencyMap
readBuildReports :: IO (Eval, UTCTime, Seq Build)
readBuildReports = do
@@ -339,25 +392,29 @@ makeSearchLink evalId linkLabel query = "[" <> linkLabel <> "](" <> "https://hyd
statusToNumSummary :: StatusSummary -> NumSummary
statusToNumSummary = fmap getSum . foldMap (fmap Sum . jobTotals)
-jobTotals :: (Table Text Platform BuildResult, a) -> Table Platform BuildState Int
-jobTotals (Table mapping, _) = getSum <$> Table (Map.foldMapWithKey (\(_, platform) (BuildResult buildstate _) -> Map.singleton (platform, buildstate) (Sum 1)) mapping)
+jobTotals :: SummaryEntry -> Table Platform BuildState Int
+jobTotals (summaryBuilds -> Table mapping) = getSum <$> Table (Map.foldMapWithKey (\(_, platform) (BuildResult buildstate _) -> Map.singleton (platform, buildstate) (Sum 1)) mapping)
details :: Text -> [Text] -> [Text]
details summary content = ["" <> summary <> " ", ""] <> content <> [" ", ""]
-printBuildSummary :: Eval -> UTCTime -> StatusSummary -> Text
+printBuildSummary :: Eval -> UTCTime -> StatusSummary -> [(Text, Int)] -> Text
printBuildSummary
Eval{id, jobsetevalinputs = JobsetEvalInputs{nixpkgs = Nixpkgs{revision}}}
fetchTime
- summary =
+ summary
+ topBrokenRdeps =
Text.unlines $
- headline <> totals
+ headline <> [""] <> tldr <> ((" * "<>) <$> (errors <> warnings)) <> [""]
+ <> totals
<> optionalList "#### Maintained packages with build failure" (maintainedList fails)
<> optionalList "#### Maintained packages with failed dependency" (maintainedList failedDeps)
<> optionalList "#### Maintained packages with unknown error" (maintainedList unknownErr)
<> optionalHideableList "#### Unmaintained packages with build failure" (unmaintainedList fails)
<> optionalHideableList "#### Unmaintained packages with failed dependency" (unmaintainedList failedDeps)
<> optionalHideableList "#### Unmaintained packages with unknown error" (unmaintainedList unknownErr)
+ <> optionalHideableList "#### Top 50 broken packages, sorted by number of reverse dependencies" (brokenLine <$> topBrokenRdeps)
+ <> ["","*:arrow_heading_up:: The number of packages that depend (directly or indirectly) on this package (if any). If two numbers are shown the first (lower) number considers only packages which currently have enabled hydra jobs, i.e. are not marked broken. The second (higher) number considers all packages.*",""]
<> footer
where
footer = ["*Report generated with [maintainers/scripts/haskell/hydra-report.hs](https://github.com/NixOS/nixpkgs/blob/haskell-updates/maintainers/scripts/haskell/hydra-report.sh)*"]
@@ -365,7 +422,7 @@ printBuildSummary
[ "#### Build summary"
, ""
]
- <> printTable "Platform" (\x -> makeSearchLink id (platform x <> " " <> platformIcon x) ("." <> platform x)) (\x -> showT x <> " " <> icon x) showT (statusToNumSummary summary)
+ <> printTable "Platform" (\x -> makeSearchLink id (platform x <> " " <> platformIcon x) ("." <> platform x)) (\x -> showT x <> " " <> icon x) showT numSummary
headline =
[ "### [haskell-updates build report from hydra](https://hydra.nixos.org/jobset/nixpkgs/haskell-updates)"
, "*evaluation ["
@@ -380,24 +437,49 @@ printBuildSummary
<> Text.pack (formatTime defaultTimeLocale "%Y-%m-%d %H:%M UTC" fetchTime)
<> "*"
]
- jobsByState predicate = Map.filter (predicate . foldl' min Success . fmap state . fst) summary
+ brokenLine (name, rdeps) = "[" <> name <> "](https://packdeps.haskellers.com/reverse/" <> name <> ") :arrow_heading_up: " <> Text.pack (show rdeps) <> " "
+ numSummary = statusToNumSummary summary
+ jobsByState predicate = Map.filter (predicate . worstState) summary
+ worstState = foldl' min Success . fmap state . summaryBuilds
fails = jobsByState (== Failed)
failedDeps = jobsByState (== DependencyFailed)
unknownErr = jobsByState (\x -> x > DependencyFailed && x < TimedOut)
- withMaintainer = Map.mapMaybe (\(x, m) -> (x,) <$> nonEmpty (Set.toList m))
- withoutMaintainer = Map.mapMaybe (\(x, m) -> if Set.null m then Just x else Nothing)
+ withMaintainer = Map.mapMaybe (\e -> (summaryBuilds e,) <$> nonEmpty (Set.toList (summaryMaintainers e)))
+ withoutMaintainer = Map.mapMaybe (\e -> if Set.null (summaryMaintainers e) then Just e else Nothing)
optionalList heading list = if null list then mempty else [heading] <> list
optionalHideableList heading list = if null list then mempty else [heading] <> details (showT (length list) <> " job(s)") list
maintainedList = showMaintainedBuild <=< Map.toList . withMaintainer
- unmaintainedList = showBuild <=< Map.toList . withoutMaintainer
- showBuild (name, table) = printJob id name (table, "")
+ unmaintainedList = showBuild <=< sortOn (\(snd -> x) -> (negate (summaryUnbrokenReverseDeps x), negate (summaryReverseDeps x))) . Map.toList . withoutMaintainer
+ showBuild (name, entry) = printJob id name (summaryBuilds entry, Text.pack (if summaryReverseDeps entry > 0 then " :arrow_heading_up: " <> show (summaryUnbrokenReverseDeps entry) <>" | "<> show (summaryReverseDeps entry) else ""))
showMaintainedBuild (name, (table, maintainers)) = printJob id name (table, Text.intercalate " " (fmap ("@" <>) (toList maintainers)))
+ tldr = case (errors, warnings) of
+ ([],[]) -> [":green_circle: **Ready to merge**"]
+ ([],_) -> [":yellow_circle: **Potential issues**"]
+ _ -> [":red_circle: **Branch not mergeable**"]
+ warnings =
+ if' (Unfinished > maybe Success worstState maintainedJob) "`maintained` jobset failed." <>
+ if' (Unfinished == maybe Success worstState mergeableJob) "`mergeable` jobset is not finished." <>
+ if' (Unfinished == maybe Success worstState maintainedJob) "`maintained` jobset is not finished."
+ errors =
+ if' (isNothing mergeableJob) "No `mergeable` job found." <>
+ if' (isNothing maintainedJob) "No `maintained` job found." <>
+ if' (Unfinished > maybe Success worstState mergeableJob) "`mergeable` jobset failed." <>
+ if' (outstandingJobs (Platform "x86_64-linux") > 100) "Too many outstanding jobs on x86_64-linux." <>
+ if' (outstandingJobs (Platform "aarch64-linux") > 100) "Too many outstanding jobs on aarch64-linux."
+ if' p e = if p then [e] else mempty
+ outstandingJobs platform | Table m <- numSummary = Map.findWithDefault 0 (platform, Unfinished) m
+ maintainedJob = Map.lookup "maintained" summary
+ mergeableJob = Map.lookup "mergeable" summary
printMaintainerPing :: IO ()
printMaintainerPing = do
- maintainerMap <- getMaintainerMap
+ (maintainerMap, (reverseDependencyMap, topBrokenRdeps)) <- concurrently getMaintainerMap do
+ depMap <- getDependencyMap
+ rdepMap <- evaluate . calculateReverseDependencies $ depMap
+ let tops = take 50 . sortOn (negate . snd) . fmap (second fst) . filter (\x -> maybe False broken $ Map.lookup (fst x) depMap) . Map.toList $ rdepMap
+ pure (rdepMap, tops)
(eval, fetchTime, buildReport) <- readBuildReports
- putStrLn (Text.unpack (printBuildSummary eval fetchTime (buildSummary maintainerMap buildReport)))
+ putStrLn (Text.unpack (printBuildSummary eval fetchTime (buildSummary maintainerMap reverseDependencyMap buildReport) topBrokenRdeps))
printMarkBrokenList :: IO ()
printMarkBrokenList = do
diff --git a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/haskell/maintainer-handles.nix b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/haskell/maintainer-handles.nix
new file mode 100644
index 00000000000..08c6bc4c96a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/haskell/maintainer-handles.nix
@@ -0,0 +1,7 @@
+# Nix script to lookup maintainer github handles from their email address. Used by ./hydra-report.hs.
+let
+ pkgs = import ../../.. {};
+ maintainers = import ../../maintainer-list.nix;
+ inherit (pkgs) lib;
+ mkMailGithubPair = _: maintainer: if maintainer ? github then { "${maintainer.email}" = maintainer.github; } else {};
+in lib.zipAttrsWith (_: builtins.head) (lib.mapAttrsToList mkMailGithubPair maintainers)
diff --git a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/haskell/merge-and-open-pr.sh b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/haskell/merge-and-open-pr.sh
new file mode 100755
index 00000000000..18db1da0f2a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/haskell/merge-and-open-pr.sh
@@ -0,0 +1,122 @@
+#! /usr/bin/env nix-shell
+#! nix-shell -i bash -p git gh -I nixpkgs=.
+#
+# Script to merge the currently open haskell-updates PR into master, bump the
+# Stackage version and Hackage versions, and open the next haskell-updates PR.
+
+set -eu -o pipefail
+
+# exit after printing first argument to this function
+function die {
+ # echo the first argument
+ echo "ERROR: $1"
+ echo "Aborting!"
+
+ exit 1
+}
+
+function help {
+ echo "Usage: $0 HASKELL_UPDATES_PR_NUM"
+ echo "Merge the currently open haskell-updates PR into master, and open the next one."
+ echo
+ echo " -h, --help print this help"
+ echo " HASKELL_UPDATES_PR_NUM number of the currently open PR on NixOS/nixpkgs"
+ echo " for the haskell-updates branch"
+ echo
+ echo "Example:"
+ echo " \$ $0 137340"
+
+ exit 1
+}
+
+# Read in the current haskell-updates PR number from the command line.
+while [[ $# -gt 0 ]]; do
+ key="$1"
+
+ case $key in
+ -h|--help)
+ help
+ ;;
+ *)
+ curr_haskell_updates_pr_num="$1"
+ shift
+ ;;
+ esac
+done
+
+if [[ -z "${curr_haskell_updates_pr_num-}" ]] ; then
+ die "You must pass the current haskell-updates PR number as the first argument to this script."
+fi
+
+# Make sure you have gh authentication setup.
+if ! gh auth status 2>/dev/null ; then
+ die "You must setup the \`gh\` command. Run \`gh auth login\`."
+fi
+
+# Fetch nixpkgs to get an up-to-date origin/haskell-updates branch.
+echo "Fetching origin..."
+git fetch origin >/dev/null
+
+# Make sure we are currently on a local haskell-updates branch.
+curr_branch="$(git rev-parse --abbrev-ref HEAD)"
+if [[ "$curr_branch" != "haskell-updates" ]]; then
+ die "Current branch is not called \"haskell-updates\"."
+fi
+
+# Make sure our local haskell-updates branch is on the same commit as
+# origin/haskell-updates.
+curr_branch_commit="$(git rev-parse haskell-updates)"
+origin_haskell_updates_commit="$(git rev-parse origin/haskell-updates)"
+if [[ "$curr_branch_commit" != "$origin_haskell_updates_commit" ]]; then
+ die "Current branch is not at the same commit as origin/haskell-updates"
+fi
+
+# Merge the current open haskell-updates PR.
+echo "Merging https://github.com/NixOS/nixpkgs/pull/${curr_haskell_updates_pr_num}..."
+gh pr merge --repo NixOS/nixpkgs --merge "$curr_haskell_updates_pr_num"
+
+# Update the list of Haskell package versions in NixOS on Hackage.
+echo "Updating list of Haskell package versions in NixOS on Hackage..."
+./maintainers/scripts/haskell/upload-nixos-package-list-to-hackage.sh
+
+# Update stackage, Hackage hashes, and regenerate Haskell package set
+echo "Updating Stackage..."
+./maintainers/scripts/haskell/update-stackage.sh --do-commit
+echo "Updating Hackage hashes..."
+./maintainers/scripts/haskell/update-hackage.sh --do-commit
+echo "Regenerating Hackage packages..."
+./maintainers/scripts/haskell/regenerate-hackage-packages.sh --do-commit
+
+# Push these new commits to the haskell-updates branch
+echo "Pushing commits just created to the remote haskell-updates branch..."
+git push
+
+# Open new PR
+new_pr_body=$(cat < "$expr"
-
- nix-env -f "$expr" -qaP --no-name --out-path --show-trace $3 \
- | sort > "${list[$i]}" &
-
- if [ "$parallel" != "true" ]; then
- wait
- fi
- done
-
- wait
- comm -13 "${list[@]}"
+ # We use files instead of pipes, as running multiple nix-env processes
+ # could eat too much memory for a standard 4GiB machine.
+ local -a list
+ for i in 1 2; do
+ local l="$($MKTEMP)"
+ list[$i]="$l"
+ toRemove+=("$l")
+
+ local expr="$($MKTEMP)"
+ toRemove+=("$expr")
+ nixexpr "${!i}" > "$expr"
+
+ nix-env -f "$expr" -qaP --no-name --out-path --show-trace $3 \
+ | sort > "${list[$i]}" &
+
+ if [ "$parallel" != "true" ]; then
+ wait
+ fi
+ done
+
+ wait
+ comm -13 "${list[@]}"
}
# Prepare nixpkgs trees.
declare -a tree
for i in 1 2; do
- if [ -n "${!i}" ]; then # use the given commit
- dir="$($MKTEMP -d)"
- tree[$i]="$dir"
- toRemove+=("$dir")
-
- git clone --shared --no-checkout --quiet . "${tree[$i]}"
- (cd "${tree[$i]}" && git checkout --quiet "${!i}")
- else #use the current tree
- tree[$i]="$(pwd)"
- fi
+ if [ -n "${!i}" ]; then # use the given commit
+ dir="$($MKTEMP -d)"
+ tree[$i]="$dir"
+ toRemove+=("$dir")
+
+ git clone --shared --no-checkout --quiet . "${tree[$i]}"
+ (cd "${tree[$i]}" && git checkout --quiet "${!i}")
+ else #use the current tree
+ tree[$i]="$(pwd)"
+ fi
done
newlist="$($MKTEMP)"
toRemove+=("$newlist")
# Notes:
-# - the evaluation is done on x86_64-linux, like on Hydra.
-# - using $newlist file so that newPkgs() isn't in a sub-shell (because of toRemove)
+# - the evaluation is done on x86_64-linux, like on Hydra.
+# - using $newlist file so that newPkgs() isn't in a sub-shell (because of toRemove)
newPkgs "${tree[1]}" "${tree[2]}" '--argstr system "x86_64-linux"' > "$newlist"
# Hacky: keep only the last word of each attribute path and sort.
sed -n 's/\([^. ]*\.\)*\([^. ]*\) .*$/\2/p' < "$newlist" \
- | sort | uniq -c
+ | sort | uniq -c
if [ -n "$optPrint" ]; then
- echo
- cat "$newlist"
+ echo
+ cat "$newlist"
fi
diff --git a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/update-luarocks-packages b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/update-luarocks-packages
index 6de97799846..c559d5699df 100755
--- a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/update-luarocks-packages
+++ b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/update-luarocks-packages
@@ -1,5 +1,5 @@
#!/usr/bin/env nix-shell
-#!nix-shell -p nix-prefetch-git luarocks-nix python3 python3Packages.GitPython nix -i python3
+#!nix-shell update-luarocks-shell.nix -i python3
# format:
# $ nix run nixpkgs.python3Packages.black -c black update.py
@@ -19,7 +19,7 @@ import logging
import textwrap
from multiprocessing.dummy import Pool
-from typing import List, Tuple
+from typing import List, Tuple, Optional
from pathlib import Path
log = logging.getLogger()
@@ -33,8 +33,7 @@ TMP_FILE="$(mktemp)"
GENERATED_NIXFILE="pkgs/development/lua-modules/generated-packages.nix"
LUAROCKS_CONFIG="$NIXPKGS_PATH/maintainers/scripts/luarocks-config.lua"
-HEADER = """
-/* {GENERATED_NIXFILE} is an auto-generated file -- DO NOT EDIT!
+HEADER = """/* {GENERATED_NIXFILE} is an auto-generated file -- DO NOT EDIT!
Regenerate it with:
nixpkgs$ ./maintainers/scripts/update-luarocks-packages
@@ -50,10 +49,21 @@ FOOTER="""
@dataclass
class LuaPlugin:
name: str
- version: str
- server: str
- luaversion: str
- maintainers: str
+ '''Name of the plugin, as seen on luarocks.org'''
+ src: str
+ '''address to the git repository'''
+ ref: Optional[str]
+ '''git reference (branch name/tag)'''
+ version: Optional[str]
+ '''Set it to pin a package '''
+ server: Optional[str]
+ '''luarocks.org registers packages under different manifests.
+ Its value can be 'http://luarocks.org/dev'
+ '''
+ luaversion: Optional[str]
+ '''Attribue of the lua interpreter if a package is available only for a specific lua version'''
+ maintainers: Optional[str]
+ ''' Optional string listing maintainers separated by spaces'''
@property
def normalized_name(self) -> str:
@@ -88,9 +98,8 @@ class LuaEditor(Editor):
header2 = textwrap.dedent(
# header2 = inspect.cleandoc(
"""
- { self, stdenv, lib, fetchurl, fetchgit, ... } @ args:
- self: super:
- with self;
+ { self, stdenv, lib, fetchurl, fetchgit, callPackage, ... } @ args:
+ final: prev:
{
""")
f.write(header2)
@@ -149,16 +158,33 @@ def generate_pkg_nix(plug: LuaPlugin):
Our cache key associates "p.name-p.version" to its rockspec
'''
log.debug("Generating nix expression for %s", plug.name)
- cmd = [ "luarocks", "nix", plug.name]
+ cmd = [ "luarocks", "nix"]
- if plug.server:
- cmd.append(f"--only-server={plug.server}")
if plug.maintainers:
cmd.append(f"--maintainers={plug.maintainers}")
- if plug.version:
- cmd.append(plug.version)
+ # updates plugin directly from its repository
+ print("server: [%s]" % plug.server)
+ # if plug.server == "src":
+ if plug.src != "":
+ if plug.src is None:
+ msg = "src must be set when 'version' is set to \"src\" for package %s" % plug.name
+ log.error(msg)
+ raise RuntimeError(msg)
+ log.debug("Updating from source %s", plug.src)
+ cmd.append(plug.src)
+ # update the plugin from luarocks
+ else:
+ cmd.append(plug.name)
+ if plug.version and plug.version != "src":
+
+ cmd.append(plug.version)
+
+ #
+ if plug.server != "src" and plug.server:
+ cmd.append(f"--only-server={plug.server}")
+
if plug.luaversion:
with CleanEnvironment():
@@ -169,8 +195,9 @@ def generate_pkg_nix(plug: LuaPlugin):
lua_drv_path=subprocess.check_output(cmd2, text=True).strip()
cmd.append(f"--lua-dir={lua_drv_path}/bin")
- log.debug("running %s", cmd)
+ log.debug("running %s", ' '.join(cmd))
output = subprocess.check_output(cmd, text=True)
+ output = "callPackage(" + output.strip() + ") {};\n\n"
return (plug, output)
def main():
@@ -191,3 +218,4 @@ if __name__ == "__main__":
main()
+# vim: set ft=python noet fdm=manual fenc=utf-8 ff=unix sts=0 sw=4 ts=4 :
diff --git a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/update-luarocks-shell.nix b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/update-luarocks-shell.nix
index d3f342b07a9..a58674fca8d 100644
--- a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/update-luarocks-shell.nix
+++ b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/update-luarocks-shell.nix
@@ -1,12 +1,13 @@
{ nixpkgs ? import ../.. { }
}:
with nixpkgs;
+let
+ pyEnv = python3.withPackages(ps: [ ps.GitPython ]);
+in
mkShell {
packages = [
- bash
+ pyEnv
luarocks-nix
nix-prefetch-scripts
- parallel
];
- LUAROCKS_NIXPKGS_PATH = toString nixpkgs.path;
}
diff --git a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/update.nix b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/update.nix
index 7435cf64425..d881d68301b 100755
--- a/infra/libkookie/nixpkgs/unstable/maintainers/scripts/update.nix
+++ b/infra/libkookie/nixpkgs/unstable/maintainers/scripts/update.nix
@@ -103,7 +103,7 @@ let
pathContent = lib.attrByPath prefix null pkgs;
in
if pathContent == null then
- builtins.throw "Attribute path `${path}` does not exists."
+ builtins.throw "Attribute path `${path}` does not exist."
else
packagesWithPath prefix (path: pkg: builtins.hasAttr "updateScript" pkg)
pathContent;
@@ -115,7 +115,7 @@ let
package = lib.attrByPath (lib.splitString "." path) null pkgs;
in
if package == null then
- builtins.throw "Package with an attribute name `${path}` does not exists."
+ builtins.throw "Package with an attribute name `${path}` does not exist."
else if ! builtins.hasAttr "updateScript" package then
builtins.throw "Package with an attribute name `${path}` does not have a `passthru.updateScript` attribute defined."
else
diff --git a/infra/libkookie/nixpkgs/unstable/maintainers/team-list.nix b/infra/libkookie/nixpkgs/unstable/maintainers/team-list.nix
index a86af02d235..4a1ca99a590 100644
--- a/infra/libkookie/nixpkgs/unstable/maintainers/team-list.nix
+++ b/infra/libkookie/nixpkgs/unstable/maintainers/team-list.nix
@@ -137,7 +137,7 @@ with lib.maintainers; {
cleverca22
disassembler
jonringer
- maveru
+ manveru
nrdxp
];
scope = "Input-Output Global employees, which maintain critical software";
@@ -164,6 +164,24 @@ with lib.maintainers; {
scope = "Maintain Kodi and related packages.";
};
+ linux-kernel = {
+ members = [
+ TredwellGit
+ ma27
+ nequissimus
+ qyliss
+ ];
+ scope = "Maintain the Linux kernel.";
+ };
+
+ mate = {
+ members = [
+ j03
+ romildo
+ ];
+ scope = "Maintain Mate desktop environment and related packages.";
+ };
+
matrix = {
members = [
ma27
@@ -178,6 +196,15 @@ with lib.maintainers; {
scope = "Maintain the ecosystem around Matrix, a decentralized messenger.";
};
+ openstack = {
+ members = [
+ angustrau
+ superherointj
+ SuperSandro2000
+ ];
+ scope = "Maintain the ecosystem around OpenStack";
+ };
+
pantheon = {
members = [
davidak
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/boot-problems.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/boot-problems.section.md
index dee83e7ec22..bca4fdc3fb3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/boot-problems.section.md
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/boot-problems.section.md
@@ -16,7 +16,7 @@ If NixOS fails to boot, there are a number of kernel command line parameters tha
`boot.debug1mounts`
-: Like `boot.debug1` or `boot.debug1devices`, but runs stage1 until all filesystems that are mounted during initrd are mounted (see [neededForBoot](#opt-fileSystems._name_.neededForBoot)). As a motivating example, this could be useful if you've forgotten to set [neededForBoot](options.html#opt-fileSystems._name_.neededForBoot) on a file system.
+: Like `boot.debug1` or `boot.debug1devices`, but runs stage1 until all filesystems that are mounted during initrd are mounted (see [neededForBoot](#opt-fileSystems._name_.neededForBoot)). As a motivating example, this could be useful if you've forgotten to set [neededForBoot](#opt-fileSystems._name_.neededForBoot) on a file system.
`boot.trace`
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/cleaning-store.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/cleaning-store.chapter.md
new file mode 100644
index 00000000000..fb2090b31d8
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/cleaning-store.chapter.md
@@ -0,0 +1,62 @@
+# Cleaning the Nix Store {#sec-nix-gc}
+
+Nix has a purely functional model, meaning that packages are never
+upgraded in place. Instead new versions of packages end up in a
+different location in the Nix store (`/nix/store`). You should
+periodically run Nix's *garbage collector* to remove old, unreferenced
+packages. This is easy:
+
+```ShellSession
+$ nix-collect-garbage
+```
+
+Alternatively, you can use a systemd unit that does the same in the
+background:
+
+```ShellSession
+# systemctl start nix-gc.service
+```
+
+You can tell NixOS in `configuration.nix` to run this unit automatically
+at certain points in time, for instance, every night at 03:15:
+
+```nix
+nix.gc.automatic = true;
+nix.gc.dates = "03:15";
+```
+
+The commands above do not remove garbage collector roots, such as old
+system configurations. Thus they do not remove the ability to roll back
+to previous configurations. The following command deletes old roots,
+removing the ability to roll back to them:
+
+```ShellSession
+$ nix-collect-garbage -d
+```
+
+You can also do this for specific profiles, e.g.
+
+```ShellSession
+$ nix-env -p /nix/var/nix/profiles/per-user/eelco/profile --delete-generations old
+```
+
+Note that NixOS system configurations are stored in the profile
+`/nix/var/nix/profiles/system`.
+
+Another way to reclaim disk space (often as much as 40% of the size of
+the Nix store) is to run Nix's store optimiser, which seeks out
+identical files in the store and replaces them with hard links to a
+single copy.
+
+```ShellSession
+$ nix-store --optimise
+```
+
+Since this command needs to read the entire Nix store, it can take quite
+a while to finish.
+
+## NixOS Boot Entries {#sect-nixos-gc-boot-entries}
+
+If your `/boot` partition runs out of space, after clearing old profiles
+you must rebuild your system with `nixos-rebuild` to update the `/boot`
+partition and clear space.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/cleaning-store.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/cleaning-store.xml
deleted file mode 100644
index 526803e429b..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/cleaning-store.xml
+++ /dev/null
@@ -1,63 +0,0 @@
-
- Cleaning the Nix Store
-
- Nix has a purely functional model, meaning that packages are never upgraded
- in place. Instead new versions of packages end up in a different location in
- the Nix store (/nix/store ). You should periodically run
- Nix’s garbage collector to remove old, unreferenced
- packages. This is easy:
-
-$ nix-collect-garbage
-
- Alternatively, you can use a systemd unit that does the same in the
- background:
-
-# systemctl start nix-gc.service
-
- You can tell NixOS in configuration.nix to run this unit
- automatically at certain points in time, for instance, every night at 03:15:
-
- = true;
- = "03:15";
-
-
-
- The commands above do not remove garbage collector roots, such as old system
- configurations. Thus they do not remove the ability to roll back to previous
- configurations. The following command deletes old roots, removing the ability
- to roll back to them:
-
-$ nix-collect-garbage -d
-
- You can also do this for specific profiles, e.g.
-
-$ nix-env -p /nix/var/nix/profiles/per-user/eelco/profile --delete-generations old
-
- Note that NixOS system configurations are stored in the profile
- /nix/var/nix/profiles/system .
-
-
- Another way to reclaim disk space (often as much as 40% of the size of the
- Nix store) is to run Nix’s store optimiser, which seeks out identical files
- in the store and replaces them with hard links to a single copy.
-
-$ nix-store --optimise
-
- Since this command needs to read the entire Nix store, it can take quite a
- while to finish.
-
-
- NixOS Boot Entries
-
-
- If your /boot partition runs out of space, after
- clearing old profiles you must rebuild your system with
- nixos-rebuild to update the /boot
- partition and clear space.
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/container-networking.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/container-networking.section.md
new file mode 100644
index 00000000000..0873768376c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/container-networking.section.md
@@ -0,0 +1,44 @@
+# Container Networking {#sec-container-networking}
+
+When you create a container using `nixos-container create`, it gets it
+own private IPv4 address in the range `10.233.0.0/16`. You can get the
+container's IPv4 address as follows:
+
+```ShellSession
+# nixos-container show-ip foo
+10.233.4.2
+
+$ ping -c1 10.233.4.2
+64 bytes from 10.233.4.2: icmp_seq=1 ttl=64 time=0.106 ms
+```
+
+Networking is implemented using a pair of virtual Ethernet devices. The
+network interface in the container is called `eth0`, while the matching
+interface in the host is called `ve-container-name` (e.g., `ve-foo`).
+The container has its own network namespace and the `CAP_NET_ADMIN`
+capability, so it can perform arbitrary network configuration such as
+setting up firewall rules, without affecting or having access to the
+host's network.
+
+By default, containers cannot talk to the outside network. If you want
+that, you should set up Network Address Translation (NAT) rules on the
+host to rewrite container traffic to use your external IP address. This
+can be accomplished using the following configuration on the host:
+
+```nix
+networking.nat.enable = true;
+networking.nat.internalInterfaces = ["ve-+"];
+networking.nat.externalInterface = "eth0";
+```
+
+where `eth0` should be replaced with the desired external interface.
+Note that `ve-+` is a wildcard that matches all container interfaces.
+
+If you are using Network Manager, you need to explicitly prevent it from
+managing container interfaces:
+
+```nix
+networking.networkmanager.unmanaged = [ "interface-name:ve-*" ];
+```
+
+You may need to restart your system for the changes to take effect.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/container-networking.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/container-networking.xml
deleted file mode 100644
index 42486f01fe8..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/container-networking.xml
+++ /dev/null
@@ -1,59 +0,0 @@
-
- Container Networking
-
-
- When you create a container using nixos-container create ,
- it gets it own private IPv4 address in the range
- 10.233.0.0/16 . You can get the container’s IPv4 address
- as follows:
-
-# nixos-container show-ip foo
-10.233.4.2
-
-$ ping -c1 10.233.4.2
-64 bytes from 10.233.4.2: icmp_seq=1 ttl=64 time=0.106 ms
-
-
-
-
- Networking is implemented using a pair of virtual Ethernet devices. The
- network interface in the container is called eth0 , while
- the matching interface in the host is called
- ve-container-name (e.g.,
- ve-foo ). The container has its own network namespace and
- the CAP_NET_ADMIN capability, so it can perform arbitrary
- network configuration such as setting up firewall rules, without affecting or
- having access to the host’s network.
-
-
-
- By default, containers cannot talk to the outside network. If you want that,
- you should set up Network Address Translation (NAT) rules on the host to
- rewrite container traffic to use your external IP address. This can be
- accomplished using the following configuration on the host:
-
- = true;
- = ["ve-+"];
- = "eth0";
-
- where eth0 should be replaced with the desired external
- interface. Note that ve-+ is a wildcard that matches all
- container interfaces.
-
-
-
- If you are using Network Manager, you need to explicitly prevent it from
- managing container interfaces:
-
-networking.networkmanager.unmanaged = [ "interface-name:ve-*" ];
-
-
-
-
- You may need to restart your system for the changes to take effect.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/containers.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/containers.chapter.md
new file mode 100644
index 00000000000..ea51f91f698
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/containers.chapter.md
@@ -0,0 +1,28 @@
+# Container Management {#ch-containers}
+
+NixOS allows you to easily run other NixOS instances as *containers*.
+Containers are a light-weight approach to virtualisation that runs
+software in the container at the same speed as in the host system. NixOS
+containers share the Nix store of the host, making container creation
+very efficient.
+
+::: {.warning}
+Currently, NixOS containers are not perfectly isolated from the host
+system. This means that a user with root access to the container can do
+things that affect the host. So you should not give container root
+access to untrusted users.
+:::
+
+NixOS containers can be created in two ways: imperatively, using the
+command `nixos-container`, and declaratively, by specifying them in your
+`configuration.nix`. The declarative approach implies that containers
+get upgraded along with your host system when you run `nixos-rebuild`,
+which is often not what you want. By contrast, in the imperative
+approach, containers are configured and updated independently from the
+host system.
+
+```{=docbook}
+
+
+
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/containers.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/containers.xml
deleted file mode 100644
index 0d3355e56a5..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/containers.xml
+++ /dev/null
@@ -1,34 +0,0 @@
-
- Container Management
-
- NixOS allows you to easily run other NixOS instances as
- containers . Containers are a light-weight approach to
- virtualisation that runs software in the container at the same speed as in
- the host system. NixOS containers share the Nix store of the host, making
- container creation very efficient.
-
-
-
- Currently, NixOS containers are not perfectly isolated from the host system.
- This means that a user with root access to the container can do things that
- affect the host. So you should not give container root access to untrusted
- users.
-
-
-
- NixOS containers can be created in two ways: imperatively, using the command
- nixos-container , and declaratively, by specifying them in
- your configuration.nix . The declarative approach implies
- that containers get upgraded along with your host system when you run
- nixos-rebuild , which is often not what you want. By
- contrast, in the imperative approach, containers are configured and updated
- independently from the host system.
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/control-groups.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/control-groups.chapter.md
new file mode 100644
index 00000000000..abe8dd80b5a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/control-groups.chapter.md
@@ -0,0 +1,59 @@
+# Control Groups {#sec-cgroups}
+
+To keep track of the processes in a running system, systemd uses
+*control groups* (cgroups). A control group is a set of processes used
+to allocate resources such as CPU, memory or I/O bandwidth. There can be
+multiple control group hierarchies, allowing each kind of resource to be
+managed independently.
+
+The command `systemd-cgls` lists all control groups in the `systemd`
+hierarchy, which is what systemd uses to keep track of the processes
+belonging to each service or user session:
+
+```ShellSession
+$ systemd-cgls
+├─user
+│ └─eelco
+│ └─c1
+│ ├─ 2567 -:0
+│ ├─ 2682 kdeinit4: kdeinit4 Running...
+│ ├─ ...
+│ └─10851 sh -c less -R
+└─system
+ ├─httpd.service
+ │ ├─2444 httpd -f /nix/store/3pyacby5cpr55a03qwbnndizpciwq161-httpd.conf -DNO_DETACH
+ │ └─...
+ ├─dhcpcd.service
+ │ └─2376 dhcpcd --config /nix/store/f8dif8dsi2yaa70n03xir8r653776ka6-dhcpcd.conf
+ └─ ...
+```
+
+Similarly, `systemd-cgls cpu` shows the cgroups in the CPU hierarchy,
+which allows per-cgroup CPU scheduling priorities. By default, every
+systemd service gets its own CPU cgroup, while all user sessions are in
+the top-level CPU cgroup. This ensures, for instance, that a thousand
+run-away processes in the `httpd.service` cgroup cannot starve the CPU
+for one process in the `postgresql.service` cgroup. (By contrast, it
+they were in the same cgroup, then the PostgreSQL process would get
+1/1001 of the cgroup's CPU time.) You can limit a service's CPU share in
+`configuration.nix`:
+
+```nix
+systemd.services.httpd.serviceConfig.CPUShares = 512;
+```
+
+By default, every cgroup has 1024 CPU shares, so this will halve the CPU
+allocation of the `httpd.service` cgroup.
+
+There also is a `memory` hierarchy that controls memory allocation
+limits; by default, all processes are in the top-level cgroup, so any
+service or session can exhaust all available memory. Per-cgroup memory
+limits can be specified in `configuration.nix`; for instance, to limit
+`httpd.service` to 512 MiB of RAM (excluding swap):
+
+```nix
+systemd.services.httpd.serviceConfig.MemoryLimit = "512M";
+```
+
+The command `systemd-cgtop` shows a continuously updated list of all
+cgroups with their CPU and memory usage.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/control-groups.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/control-groups.xml
deleted file mode 100644
index 16d03cc0d1a..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/control-groups.xml
+++ /dev/null
@@ -1,65 +0,0 @@
-
- Control Groups
-
- To keep track of the processes in a running system, systemd uses
- control groups (cgroups). A control group is a set of
- processes used to allocate resources such as CPU, memory or I/O bandwidth.
- There can be multiple control group hierarchies, allowing each kind of
- resource to be managed independently.
-
-
- The command systemd-cgls lists all control groups in the
- systemd hierarchy, which is what systemd uses to keep
- track of the processes belonging to each service or user session:
-
-$ systemd-cgls
-├─user
-│ └─eelco
-│ └─c1
-│ ├─ 2567 -:0
-│ ├─ 2682 kdeinit4: kdeinit4 Running...
-│ ├─ ...
-│ └─10851 sh -c less -R
-└─system
- ├─httpd.service
- │ ├─2444 httpd -f /nix/store/3pyacby5cpr55a03qwbnndizpciwq161-httpd.conf -DNO_DETACH
- │ └─...
- ├─dhcpcd.service
- │ └─2376 dhcpcd --config /nix/store/f8dif8dsi2yaa70n03xir8r653776ka6-dhcpcd.conf
- └─ ...
-
- Similarly, systemd-cgls cpu shows the cgroups in the CPU
- hierarchy, which allows per-cgroup CPU scheduling priorities. By default,
- every systemd service gets its own CPU cgroup, while all user sessions are in
- the top-level CPU cgroup. This ensures, for instance, that a thousand
- run-away processes in the httpd.service cgroup cannot
- starve the CPU for one process in the postgresql.service
- cgroup. (By contrast, it they were in the same cgroup, then the PostgreSQL
- process would get 1/1001 of the cgroup’s CPU time.) You can limit a
- service’s CPU share in configuration.nix :
-
- systemd.services.httpd.serviceConfig.CPUShares = 512;
-
- By default, every cgroup has 1024 CPU shares, so this will halve the CPU
- allocation of the httpd.service cgroup.
-
-
- There also is a memory hierarchy that controls memory
- allocation limits; by default, all processes are in the top-level cgroup, so
- any service or session can exhaust all available memory. Per-cgroup memory
- limits can be specified in configuration.nix ; for
- instance, to limit httpd.service to 512 MiB of RAM
- (excluding swap):
-
- systemd.services.httpd.serviceConfig.MemoryLimit = "512M";
-
-
-
- The command systemd-cgtop shows a continuously updated
- list of all cgroups with their CPU and memory usage.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/declarative-containers.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/declarative-containers.section.md
new file mode 100644
index 00000000000..273672fc10c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/declarative-containers.section.md
@@ -0,0 +1,48 @@
+# Declarative Container Specification {#sec-declarative-containers}
+
+You can also specify containers and their configuration in the host's
+`configuration.nix`. For example, the following specifies that there
+shall be a container named `database` running PostgreSQL:
+
+```nix
+containers.database =
+ { config =
+ { config, pkgs, ... }:
+ { services.postgresql.enable = true;
+ services.postgresql.package = pkgs.postgresql_9_6;
+ };
+ };
+```
+
+If you run `nixos-rebuild switch`, the container will be built. If the
+container was already running, it will be updated in place, without
+rebooting. The container can be configured to start automatically by
+setting `containers.database.autoStart = true` in its configuration.
+
+By default, declarative containers share the network namespace of the
+host, meaning that they can listen on (privileged) ports. However, they
+cannot change the network configuration. You can give a container its
+own network as follows:
+
+```nix
+containers.database = {
+ privateNetwork = true;
+ hostAddress = "192.168.100.10";
+ localAddress = "192.168.100.11";
+};
+```
+
+This gives the container a private virtual Ethernet interface with IP
+address `192.168.100.11`, which is hooked up to a virtual Ethernet
+interface on the host with IP address `192.168.100.10`. (See the next
+section for details on container networking.)
+
+To disable the container, just remove it from `configuration.nix` and
+run `nixos-rebuild
+ switch`. Note that this will not delete the root directory of the
+container in `/var/lib/containers`. Containers can be destroyed using
+the imperative method: `nixos-container destroy foo`.
+
+Declarative containers can be started and stopped using the
+corresponding systemd service, e.g.
+`systemctl start container@database`.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/declarative-containers.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/declarative-containers.xml
deleted file mode 100644
index d03dbc4d705..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/declarative-containers.xml
+++ /dev/null
@@ -1,60 +0,0 @@
-
- Declarative Container Specification
-
-
- You can also specify containers and their configuration in the host’s
- configuration.nix . For example, the following specifies
- that there shall be a container named database running
- PostgreSQL:
-
-containers.database =
- { config =
- { config, pkgs, ... }:
- { = true;
- = pkgs.postgresql_9_6;
- };
- };
-
- If you run nixos-rebuild switch , the container will be
- built. If the container was already running, it will be updated in place,
- without rebooting. The container can be configured to start automatically by
- setting containers.database.autoStart = true in its
- configuration.
-
-
-
- By default, declarative containers share the network namespace of the host,
- meaning that they can listen on (privileged) ports. However, they cannot
- change the network configuration. You can give a container its own network as
- follows:
-
-containers.database = {
- privateNetwork = true;
- hostAddress = "192.168.100.10";
- localAddress = "192.168.100.11";
-};
-
- This gives the container a private virtual Ethernet interface with IP address
- 192.168.100.11 , which is hooked up to a virtual Ethernet
- interface on the host with IP address 192.168.100.10 . (See
- the next section for details on container networking.)
-
-
-
- To disable the container, just remove it from
- configuration.nix and run nixos-rebuild
- switch . Note that this will not delete the root directory of the
- container in /var/lib/containers . Containers can be
- destroyed using the imperative method: nixos-container destroy
- foo .
-
-
-
- Declarative containers can be started and stopped using the corresponding
- systemd service, e.g. systemctl start container@database .
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/imperative-containers.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/imperative-containers.section.md
new file mode 100644
index 00000000000..05196bf5d81
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/imperative-containers.section.md
@@ -0,0 +1,115 @@
+# Imperative Container Management {#sec-imperative-containers}
+
+We'll cover imperative container management using `nixos-container`
+first. Be aware that container management is currently only possible as
+`root`.
+
+You create a container with identifier `foo` as follows:
+
+```ShellSession
+# nixos-container create foo
+```
+
+This creates the container's root directory in `/var/lib/containers/foo`
+and a small configuration file in `/etc/containers/foo.conf`. It also
+builds the container's initial system configuration and stores it in
+`/nix/var/nix/profiles/per-container/foo/system`. You can modify the
+initial configuration of the container on the command line. For
+instance, to create a container that has `sshd` running, with the given
+public key for `root`:
+
+```ShellSession
+# nixos-container create foo --config '
+ services.openssh.enable = true;
+ users.users.root.openssh.authorizedKeys.keys = ["ssh-dss AAAAB3N…"];
+'
+```
+
+By default the next free address in the `10.233.0.0/16` subnet will be
+chosen as container IP. This behavior can be altered by setting
+`--host-address` and `--local-address`:
+
+```ShellSession
+# nixos-container create test --config-file test-container.nix \
+ --local-address 10.235.1.2 --host-address 10.235.1.1
+```
+
+Creating a container does not start it. To start the container, run:
+
+```ShellSession
+# nixos-container start foo
+```
+
+This command will return as soon as the container has booted and has
+reached `multi-user.target`. On the host, the container runs within a
+systemd unit called `container@container-name.service`. Thus, if
+something went wrong, you can get status info using `systemctl`:
+
+```ShellSession
+# systemctl status container@foo
+```
+
+If the container has started successfully, you can log in as root using
+the `root-login` operation:
+
+```ShellSession
+# nixos-container root-login foo
+[root@foo:~]#
+```
+
+Note that only root on the host can do this (since there is no
+authentication). You can also get a regular login prompt using the
+`login` operation, which is available to all users on the host:
+
+```ShellSession
+# nixos-container login foo
+foo login: alice
+Password: ***
+```
+
+With `nixos-container run`, you can execute arbitrary commands in the
+container:
+
+```ShellSession
+# nixos-container run foo -- uname -a
+Linux foo 3.4.82 #1-NixOS SMP Thu Mar 20 14:44:05 UTC 2014 x86_64 GNU/Linux
+```
+
+There are several ways to change the configuration of the container.
+First, on the host, you can edit
+`/var/lib/container/name/etc/nixos/configuration.nix`, and run
+
+```ShellSession
+# nixos-container update foo
+```
+
+This will build and activate the new configuration. You can also specify
+a new configuration on the command line:
+
+```ShellSession
+# nixos-container update foo --config '
+ services.httpd.enable = true;
+ services.httpd.adminAddr = "foo@example.org";
+ networking.firewall.allowedTCPPorts = [ 80 ];
+'
+
+# curl http://$(nixos-container show-ip foo)/
+…
+```
+
+However, note that this will overwrite the container's
+`/etc/nixos/configuration.nix`.
+
+Alternatively, you can change the configuration from within the
+container itself by running `nixos-rebuild switch` inside the container.
+Note that the container by default does not have a copy of the NixOS
+channel, so you should run `nix-channel --update` first.
+
+Containers can be stopped and started using `nixos-container
+ stop` and `nixos-container start`, respectively, or by using
+`systemctl` on the container's service unit. To destroy a container,
+including its file system, do
+
+```ShellSession
+# nixos-container destroy foo
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/imperative-containers.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/imperative-containers.xml
deleted file mode 100644
index bc19acf9f69..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/imperative-containers.xml
+++ /dev/null
@@ -1,123 +0,0 @@
-
- Imperative Container Management
-
-
- We’ll cover imperative container management using
- nixos-container first. Be aware that container management
- is currently only possible as root .
-
-
-
- You create a container with identifier foo as follows:
-
-# nixos-container create foo
-
- This creates the container’s root directory in
- /var/lib/containers/foo and a small configuration file
- in /etc/containers/foo .conf . It also builds the
- container’s initial system configuration and stores it in
- /nix/var/nix/profiles/per-container/foo /system . You can
- modify the initial configuration of the container on the command line. For
- instance, to create a container that has sshd running,
- with the given public key for root :
-
-# nixos-container create foo --config '
- = true;
- users.users.root.openssh.authorizedKeys.keys = ["ssh-dss AAAAB3N…"];
-'
-
- By default the next free address in the 10.233.0.0/16 subnet will be chosen
- as container IP. This behavior can be altered by setting --host-address and
- --local-address :
-
-# nixos-container create test --config-file test-container.nix \
- --local-address 10.235.1.2 --host-address 10.235.1.1
-
-
-
-
- Creating a container does not start it. To start the container, run:
-
-# nixos-container start foo
-
- This command will return as soon as the container has booted and has reached
- multi-user.target . On the host, the container runs within
- a systemd unit called
- container@container-name .service .
- Thus, if something went wrong, you can get status info using
- systemctl :
-
-# systemctl status container@foo
-
-
-
-
- If the container has started successfully, you can log in as root using the
- root-login operation:
-
-# nixos-container root-login foo
-[root@foo:~]#
-
- Note that only root on the host can do this (since there is no
- authentication). You can also get a regular login prompt using the
- login operation, which is available to all users on the
- host:
-
-# nixos-container login foo
-foo login: alice
-Password: ***
-
- With nixos-container run , you can execute arbitrary
- commands in the container:
-
-# nixos-container run foo -- uname -a
-Linux foo 3.4.82 #1-NixOS SMP Thu Mar 20 14:44:05 UTC 2014 x86_64 GNU/Linux
-
-
-
-
- There are several ways to change the configuration of the container. First,
- on the host, you can edit
- /var/lib/container/name /etc/nixos/configuration.nix ,
- and run
-
-# nixos-container update foo
-
- This will build and activate the new configuration. You can also specify a
- new configuration on the command line:
-
-# nixos-container update foo --config '
- = true;
- = "foo@example.org";
- = [ 80 ];
-'
-
-# curl http://$(nixos-container show-ip foo )/
-<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 3.2 Final//EN">…
-
- However, note that this will overwrite the container’s
- /etc/nixos/configuration.nix .
-
-
-
- Alternatively, you can change the configuration from within the container
- itself by running nixos-rebuild switch inside the
- container. Note that the container by default does not have a copy of the
- NixOS channel, so you should run nix-channel --update
- first.
-
-
-
- Containers can be stopped and started using nixos-container
- stop and nixos-container start , respectively, or
- by using systemctl on the container’s service unit. To
- destroy a container, including its file system, do
-
-# nixos-container destroy foo
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/logging.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/logging.chapter.md
new file mode 100644
index 00000000000..4ce6f5e9fa7
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/logging.chapter.md
@@ -0,0 +1,38 @@
+# Logging {#sec-logging}
+
+System-wide logging is provided by systemd's *journal*, which subsumes
+traditional logging daemons such as syslogd and klogd. Log entries are
+kept in binary files in `/var/log/journal/`. The command `journalctl`
+allows you to see the contents of the journal. For example,
+
+```ShellSession
+$ journalctl -b
+```
+
+shows all journal entries since the last reboot. (The output of
+`journalctl` is piped into `less` by default.) You can use various
+options and match operators to restrict output to messages of interest.
+For instance, to get all messages from PostgreSQL:
+
+```ShellSession
+$ journalctl -u postgresql.service
+-- Logs begin at Mon, 2013-01-07 13:28:01 CET, end at Tue, 2013-01-08 01:09:57 CET. --
+...
+Jan 07 15:44:14 hagbard postgres[2681]: [2-1] LOG: database system is shut down
+-- Reboot --
+Jan 07 15:45:10 hagbard postgres[2532]: [1-1] LOG: database system was shut down at 2013-01-07 15:44:14 CET
+Jan 07 15:45:13 hagbard postgres[2500]: [1-1] LOG: database system is ready to accept connections
+```
+
+Or to get all messages since the last reboot that have at least a
+"critical" severity level:
+
+```ShellSession
+$ journalctl -b -p crit
+Dec 17 21:08:06 mandark sudo[3673]: pam_unix(sudo:auth): auth could not identify password for [alice]
+Dec 29 01:30:22 mandark kernel[6131]: [1053513.909444] CPU6: Core temperature above threshold, cpu clock throttled (total events = 1)
+```
+
+The system journal is readable by root and by users in the `wheel` and
+`systemd-journal` groups. All users have a private journal that can be
+read using `journalctl`.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/logging.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/logging.xml
deleted file mode 100644
index da4877fcdf0..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/logging.xml
+++ /dev/null
@@ -1,43 +0,0 @@
-
- Logging
-
- System-wide logging is provided by systemd’s journal ,
- which subsumes traditional logging daemons such as syslogd and klogd. Log
- entries are kept in binary files in /var/log/journal/ .
- The command journalctl allows you to see the contents of
- the journal. For example,
-
-$ journalctl -b
-
- shows all journal entries since the last reboot. (The output of
- journalctl is piped into less by
- default.) You can use various options and match operators to restrict output
- to messages of interest. For instance, to get all messages from PostgreSQL:
-
-$ journalctl -u postgresql.service
--- Logs begin at Mon, 2013-01-07 13:28:01 CET, end at Tue, 2013-01-08 01:09:57 CET. --
-...
-Jan 07 15:44:14 hagbard postgres[2681]: [2-1] LOG: database system is shut down
--- Reboot --
-Jan 07 15:45:10 hagbard postgres[2532]: [1-1] LOG: database system was shut down at 2013-01-07 15:44:14 CET
-Jan 07 15:45:13 hagbard postgres[2500]: [1-1] LOG: database system is ready to accept connections
-
- Or to get all messages since the last reboot that have at least a
- “critical” severity level:
-
-$ journalctl -b -p crit
-Dec 17 21:08:06 mandark sudo[3673]: pam_unix(sudo:auth): auth could not identify password for [alice]
-Dec 29 01:30:22 mandark kernel[6131]: [1053513.909444] CPU6: Core temperature above threshold, cpu clock throttled (total events = 1)
-
-
-
- The system journal is readable by root and by users in the
- wheel and systemd-journal groups. All
- users have a private journal that can be read using
- journalctl .
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/maintenance-mode.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/maintenance-mode.section.md
new file mode 100644
index 00000000000..0aec013c0a9
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/maintenance-mode.section.md
@@ -0,0 +1,11 @@
+# Maintenance Mode {#sec-maintenance-mode}
+
+You can enter rescue mode by running:
+
+```ShellSession
+# systemctl rescue
+```
+
+This will eventually give you a single-user root shell. Systemd will
+stop (almost) all system services. To get out of maintenance mode, just
+exit from the rescue shell.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/maintenance-mode.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/maintenance-mode.xml
deleted file mode 100644
index 74abfdd7c66..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/maintenance-mode.xml
+++ /dev/null
@@ -1,16 +0,0 @@
-
- Maintenance Mode
-
-
- You can enter rescue mode by running:
-
-# systemctl rescue
- This will eventually give you a single-user root shell. Systemd will stop
- (almost) all system services. To get out of maintenance mode, just exit from
- the rescue shell.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/network-problems.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/network-problems.section.md
new file mode 100644
index 00000000000..d360120d72d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/network-problems.section.md
@@ -0,0 +1,21 @@
+# Network Problems {#sec-nix-network-issues}
+
+Nix uses a so-called *binary cache* to optimise building a package from
+source into downloading it as a pre-built binary. That is, whenever a
+command like `nixos-rebuild` needs a path in the Nix store, Nix will try
+to download that path from the Internet rather than build it from
+source. The default binary cache is `https://cache.nixos.org/`. If this
+cache is unreachable, Nix operations may take a long time due to HTTP
+connection timeouts. You can disable the use of the binary cache by
+adding `--option use-binary-caches false`, e.g.
+
+```ShellSession
+# nixos-rebuild switch --option use-binary-caches false
+```
+
+If you have an alternative binary cache at your disposal, you can use it
+instead:
+
+```ShellSession
+# nixos-rebuild switch --option binary-caches http://my-cache.example.org/
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/network-problems.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/network-problems.xml
deleted file mode 100644
index 1035e4e056a..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/network-problems.xml
+++ /dev/null
@@ -1,27 +0,0 @@
-
- Network Problems
-
-
- Nix uses a so-called binary cache to optimise building a
- package from source into downloading it as a pre-built binary. That is,
- whenever a command like nixos-rebuild needs a path in the
- Nix store, Nix will try to download that path from the Internet rather than
- build it from source. The default binary cache is
- https://cache.nixos.org/ . If this cache is unreachable, Nix
- operations may take a long time due to HTTP connection timeouts. You can
- disable the use of the binary cache by adding --option
- use-binary-caches false , e.g.
-
-# nixos-rebuild switch --option use-binary-caches false
-
- If you have an alternative binary cache at your disposal, you can use it
- instead:
-
-# nixos-rebuild switch --option binary-caches http://my-cache.example.org/
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/rebooting.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/rebooting.chapter.md
new file mode 100644
index 00000000000..ec4b889b164
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/rebooting.chapter.md
@@ -0,0 +1,30 @@
+# Rebooting and Shutting Down {#sec-rebooting}
+
+The system can be shut down (and automatically powered off) by doing:
+
+```ShellSession
+# shutdown
+```
+
+This is equivalent to running `systemctl poweroff`.
+
+To reboot the system, run
+
+```ShellSession
+# reboot
+```
+
+which is equivalent to `systemctl reboot`. Alternatively, you can
+quickly reboot the system using `kexec`, which bypasses the BIOS by
+directly loading the new kernel into memory:
+
+```ShellSession
+# systemctl kexec
+```
+
+The machine can be suspended to RAM (if supported) using `systemctl suspend`,
+and suspended to disk using `systemctl hibernate`.
+
+These commands can be run by any user who is logged in locally, i.e. on
+a virtual console or in X11; otherwise, the user is asked for
+authentication.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/rebooting.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/rebooting.xml
deleted file mode 100644
index c57d885c5f3..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/rebooting.xml
+++ /dev/null
@@ -1,35 +0,0 @@
-
- Rebooting and Shutting Down
-
- The system can be shut down (and automatically powered off) by doing:
-
-# shutdown
-
- This is equivalent to running systemctl poweroff .
-
-
- To reboot the system, run
-
-# reboot
-
- which is equivalent to systemctl reboot . Alternatively,
- you can quickly reboot the system using kexec , which
- bypasses the BIOS by directly loading the new kernel into memory:
-
-# systemctl kexec
-
-
-
- The machine can be suspended to RAM (if supported) using systemctl
- suspend , and suspended to disk using systemctl
- hibernate .
-
-
- These commands can be run by any user who is logged in locally, i.e. on a
- virtual console or in X11; otherwise, the user is asked for authentication.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/rollback.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/rollback.section.md
new file mode 100644
index 00000000000..290d685a2a1
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/rollback.section.md
@@ -0,0 +1,38 @@
+# Rolling Back Configuration Changes {#sec-rollback}
+
+After running `nixos-rebuild` to switch to a new configuration, you may
+find that the new configuration doesn't work very well. In that case,
+there are several ways to return to a previous configuration.
+
+First, the GRUB boot manager allows you to boot into any previous
+configuration that hasn't been garbage-collected. These configurations
+can be found under the GRUB submenu "NixOS - All configurations". This
+is especially useful if the new configuration fails to boot. After the
+system has booted, you can make the selected configuration the default
+for subsequent boots:
+
+```ShellSession
+# /run/current-system/bin/switch-to-configuration boot
+```
+
+Second, you can switch to the previous configuration in a running
+system:
+
+```ShellSession
+# nixos-rebuild switch --rollback
+```
+
+This is equivalent to running:
+
+```ShellSession
+# /nix/var/nix/profiles/system-N-link/bin/switch-to-configuration switch
+```
+
+where `N` is the number of the NixOS system configuration. To get a
+list of the available configurations, do:
+
+```ShellSession
+$ ls -l /nix/var/nix/profiles/system-*-link
+...
+lrwxrwxrwx 1 root root 78 Aug 12 13:54 /nix/var/nix/profiles/system-268-link -> /nix/store/202b...-nixos-13.07pre4932_5a676e4-4be1055
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/rollback.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/rollback.xml
deleted file mode 100644
index 80d79e1a53f..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/rollback.xml
+++ /dev/null
@@ -1,41 +0,0 @@
-
- Rolling Back Configuration Changes
-
-
- After running nixos-rebuild to switch to a new
- configuration, you may find that the new configuration doesn’t work very
- well. In that case, there are several ways to return to a previous
- configuration.
-
-
-
- First, the GRUB boot manager allows you to boot into any previous
- configuration that hasn’t been garbage-collected. These configurations can
- be found under the GRUB submenu “NixOS - All configurations”. This is
- especially useful if the new configuration fails to boot. After the system
- has booted, you can make the selected configuration the default for
- subsequent boots:
-
-# /run/current-system/bin/switch-to-configuration boot
-
-
-
- Second, you can switch to the previous configuration in a running system:
-
-# nixos-rebuild switch --rollback
- This is equivalent to running:
-
-# /nix/var/nix/profiles/system-N -link/bin/switch-to-configuration switch
- where N is the number of the NixOS system
- configuration. To get a list of the available configurations, do:
-
-$ ls -l /nix/var/nix/profiles/system-*-link
-...
-lrwxrwxrwx 1 root root 78 Aug 12 13:54 /nix/var/nix/profiles/system-268-link -> /nix/store/202b...-nixos-13.07pre4932_5a676e4-4be1055
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/running.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/running.xml
index 19bec1f7794..d9fcc1aee26 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/running.xml
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/running.xml
@@ -10,12 +10,12 @@
such as how to use the systemd service manager.
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/service-mgmt.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/service-mgmt.chapter.md
new file mode 100644
index 00000000000..bb0f9b62e91
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/service-mgmt.chapter.md
@@ -0,0 +1,120 @@
+# Service Management {#sec-systemctl}
+
+In NixOS, all system services are started and monitored using the
+systemd program. systemd is the "init" process of the system (i.e. PID
+1), the parent of all other processes. It manages a set of so-called
+"units", which can be things like system services (programs), but also
+mount points, swap files, devices, targets (groups of units) and more.
+Units can have complex dependencies; for instance, one unit can require
+that another unit must be successfully started before the first unit can
+be started. When the system boots, it starts a unit named
+`default.target`; the dependencies of this unit cause all system
+services to be started, file systems to be mounted, swap files to be
+activated, and so on.
+
+## Interacting with a running systemd {#sect-nixos-systemd-general}
+
+The command `systemctl` is the main way to interact with `systemd`. The
+following paragraphs demonstrate ways to interact with any OS running
+systemd as init system. NixOS is of no exception. The [next section
+](#sect-nixos-systemd-nixos) explains NixOS specific things worth
+knowing.
+
+Without any arguments, `systemctl` the status of active units:
+
+```ShellSession
+$ systemctl
+-.mount loaded active mounted /
+swapfile.swap loaded active active /swapfile
+sshd.service loaded active running SSH Daemon
+graphical.target loaded active active Graphical Interface
+...
+```
+
+You can ask for detailed status information about a unit, for instance,
+the PostgreSQL database service:
+
+```ShellSession
+$ systemctl status postgresql.service
+postgresql.service - PostgreSQL Server
+ Loaded: loaded (/nix/store/pn3q73mvh75gsrl8w7fdlfk3fq5qm5mw-unit/postgresql.service)
+ Active: active (running) since Mon, 2013-01-07 15:55:57 CET; 9h ago
+ Main PID: 2390 (postgres)
+ CGroup: name=systemd:/system/postgresql.service
+ ├─2390 postgres
+ ├─2418 postgres: writer process
+ ├─2419 postgres: wal writer process
+ ├─2420 postgres: autovacuum launcher process
+ ├─2421 postgres: stats collector process
+ └─2498 postgres: zabbix zabbix [local] idle
+
+Jan 07 15:55:55 hagbard postgres[2394]: [1-1] LOG: database system was shut down at 2013-01-07 15:55:05 CET
+Jan 07 15:55:57 hagbard postgres[2390]: [1-1] LOG: database system is ready to accept connections
+Jan 07 15:55:57 hagbard postgres[2420]: [1-1] LOG: autovacuum launcher started
+Jan 07 15:55:57 hagbard systemd[1]: Started PostgreSQL Server.
+```
+
+Note that this shows the status of the unit (active and running), all
+the processes belonging to the service, as well as the most recent log
+messages from the service.
+
+Units can be stopped, started or restarted:
+
+```ShellSession
+# systemctl stop postgresql.service
+# systemctl start postgresql.service
+# systemctl restart postgresql.service
+```
+
+These operations are synchronous: they wait until the service has
+finished starting or stopping (or has failed). Starting a unit will
+cause the dependencies of that unit to be started as well (if
+necessary).
+
+## systemd in NixOS {#sect-nixos-systemd-nixos}
+
+Packages in Nixpkgs sometimes provide systemd units with them, usually
+in e.g `#pkg-out#/lib/systemd/`. Putting such a package in
+`environment.systemPackages` doesn\'t make the service available to
+users or the system.
+
+In order to enable a systemd *system* service with provided upstream
+package, use (e.g):
+
+```nix
+systemd.packages = [ pkgs.packagekit ];
+```
+
+Usually NixOS modules written by the community do the above, plus take
+care of other details. If a module was written for a service you are
+interested in, you\'d probably need only to use
+`services.#name#.enable = true;`. These services are defined in
+Nixpkgs\' [ `nixos/modules/` directory
+](https://github.com/NixOS/nixpkgs/tree/master/nixos/modules). In case
+the service is simple enough, the above method should work, and start
+the service on boot.
+
+*User* systemd services on the other hand, should be treated
+differently. Given a package that has a systemd unit file at
+`#pkg-out#/lib/systemd/user/`, using [](#opt-systemd.packages) will
+make you able to start the service via `systemctl --user start`, but it
+won\'t start automatically on login. However, You can imperatively
+enable it by adding the package\'s attribute to
+[](#opt-systemd.packages) and then do this (e.g):
+
+```ShellSession
+$ mkdir -p ~/.config/systemd/user/default.target.wants
+$ ln -s /run/current-system/sw/lib/systemd/user/syncthing.service ~/.config/systemd/user/default.target.wants/
+$ systemctl --user daemon-reload
+$ systemctl --user enable syncthing.service
+```
+
+If you are interested in a timer file, use `timers.target.wants` instead
+of `default.target.wants` in the 1st and 2nd command.
+
+Using `systemctl --user enable syncthing.service` instead of the above,
+will work, but it\'ll use the absolute path of `syncthing.service` for
+the symlink, and this path is in `/nix/store/.../lib/systemd/user/`.
+Hence [garbage collection](#sec-nix-gc) will remove that file and you
+will wind up with a broken symlink in your systemd configuration, which
+in turn will not make the service / timer start on login.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/service-mgmt.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/service-mgmt.xml
deleted file mode 100644
index 863b0d47f6c..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/service-mgmt.xml
+++ /dev/null
@@ -1,140 +0,0 @@
-
- Service Management
-
- In NixOS, all system services are started and monitored using the systemd
- program. systemd is the “init” process of the system (i.e. PID 1), the
- parent of all other processes. It manages a set of so-called “units”,
- which can be things like system services (programs), but also mount points,
- swap files, devices, targets (groups of units) and more. Units can have
- complex dependencies; for instance, one unit can require that another unit
- must be successfully started before the first unit can be started. When the
- system boots, it starts a unit named default.target ; the
- dependencies of this unit cause all system services to be started, file
- systems to be mounted, swap files to be activated, and so on.
-
-
- Interacting with a running systemd
-
- The command systemctl is the main way to interact with
- systemd . The following paragraphs demonstrate ways to
- interact with any OS running systemd as init system. NixOS is of no
- exception. The next section
- explains NixOS specific things worth knowing.
-
-
- Without any arguments, systmctl the status of active units:
-
-$ systemctl
--.mount loaded active mounted /
-swapfile.swap loaded active active /swapfile
-sshd.service loaded active running SSH Daemon
-graphical.target loaded active active Graphical Interface
-...
-
-
-
- You can ask for detailed status information about a unit, for instance, the
- PostgreSQL database service:
-
-$ systemctl status postgresql.service
-postgresql.service - PostgreSQL Server
- Loaded: loaded (/nix/store/pn3q73mvh75gsrl8w7fdlfk3fq5qm5mw-unit/postgresql.service)
- Active: active (running) since Mon, 2013-01-07 15:55:57 CET; 9h ago
- Main PID: 2390 (postgres)
- CGroup: name=systemd:/system/postgresql.service
- ├─2390 postgres
- ├─2418 postgres: writer process
- ├─2419 postgres: wal writer process
- ├─2420 postgres: autovacuum launcher process
- ├─2421 postgres: stats collector process
- └─2498 postgres: zabbix zabbix [local] idle
-
-Jan 07 15:55:55 hagbard postgres[2394]: [1-1] LOG: database system was shut down at 2013-01-07 15:55:05 CET
-Jan 07 15:55:57 hagbard postgres[2390]: [1-1] LOG: database system is ready to accept connections
-Jan 07 15:55:57 hagbard postgres[2420]: [1-1] LOG: autovacuum launcher started
-Jan 07 15:55:57 hagbard systemd[1]: Started PostgreSQL Server.
-
- Note that this shows the status of the unit (active and running), all the
- processes belonging to the service, as well as the most recent log messages
- from the service.
-
-
- Units can be stopped, started or restarted:
-
-# systemctl stop postgresql.service
-# systemctl start postgresql.service
-# systemctl restart postgresql.service
-
- These operations are synchronous: they wait until the service has finished
- starting or stopping (or has failed). Starting a unit will cause the
- dependencies of that unit to be started as well (if necessary).
-
-
-
-
- systemd in NixOS
-
- Packages in Nixpkgs sometimes provide systemd units with them, usually in
- e.g #pkg-out#/lib/systemd/ . Putting such a package in
- environment.systemPackages doesn't make the service
- available to users or the system.
-
-
- In order to enable a systemd system service with
- provided upstream package, use (e.g):
-
- = [ pkgs.packagekit ];
-
-
-
- Usually NixOS modules written by the community do the above, plus take care of
- other details. If a module was written for a service you are interested in,
- you'd probably need only to use
- services.#name#.enable = true; . These services are defined
- in Nixpkgs'
-
- nixos/modules/ directory . In case the service is
- simple enough, the above method should work, and start the service on boot.
-
-
- User systemd services on the other hand, should be
- treated differently. Given a package that has a systemd unit file at
- #pkg-out#/lib/systemd/user/ , using
- will make you able to start the service via
- systemctl --user start , but it won't start automatically on login.
-
- However, You can imperatively enable it by adding the package's attribute to
-
- systemd.packages and then do this (e.g):
-
-$ mkdir -p ~/.config/systemd/user/default.target.wants
-$ ln -s /run/current-system/sw/lib/systemd/user/syncthing.service ~/.config/systemd/user/default.target.wants/
-$ systemctl --user daemon-reload
-$ systemctl --user enable syncthing.service
-
- If you are interested in a timer file, use timers.target.wants
- instead of default.target.wants in the 1st and 2nd command.
-
-
- Using systemctl --user enable syncthing.service instead of
- the above, will work, but it'll use the absolute path of
- syncthing.service for the symlink, and this path is in
- /nix/store/.../lib/systemd/user/ . Hence
- garbage collection will remove that file
- and you will wind up with a broken symlink in your systemd configuration, which
- in turn will not make the service / timer start on login.
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/store-corruption.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/store-corruption.section.md
new file mode 100644
index 00000000000..bd8a5772b37
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/store-corruption.section.md
@@ -0,0 +1,28 @@
+# Nix Store Corruption {#sec-nix-store-corruption}
+
+After a system crash, it's possible for files in the Nix store to become
+corrupted. (For instance, the Ext4 file system has the tendency to
+replace un-synced files with zero bytes.) NixOS tries hard to prevent
+this from happening: it performs a `sync` before switching to a new
+configuration, and Nix's database is fully transactional. If corruption
+still occurs, you may be able to fix it automatically.
+
+If the corruption is in a path in the closure of the NixOS system
+configuration, you can fix it by doing
+
+```ShellSession
+# nixos-rebuild switch --repair
+```
+
+This will cause Nix to check every path in the closure, and if its
+cryptographic hash differs from the hash recorded in Nix's database, the
+path is rebuilt or redownloaded.
+
+You can also scan the entire Nix store for corrupt paths:
+
+```ShellSession
+# nix-store --verify --check-contents --repair
+```
+
+Any corrupt paths will be redownloaded if they're available in a binary
+cache; otherwise, they cannot be repaired.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/store-corruption.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/store-corruption.xml
deleted file mode 100644
index b9d11152d5e..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/store-corruption.xml
+++ /dev/null
@@ -1,36 +0,0 @@
-
- Nix Store Corruption
-
-
- After a system crash, it’s possible for files in the Nix store to become
- corrupted. (For instance, the Ext4 file system has the tendency to replace
- un-synced files with zero bytes.) NixOS tries hard to prevent this from
- happening: it performs a sync before switching to a new
- configuration, and Nix’s database is fully transactional. If corruption
- still occurs, you may be able to fix it automatically.
-
-
-
- If the corruption is in a path in the closure of the NixOS system
- configuration, you can fix it by doing
-
-# nixos-rebuild switch --repair
-
- This will cause Nix to check every path in the closure, and if its
- cryptographic hash differs from the hash recorded in Nix’s database, the
- path is rebuilt or redownloaded.
-
-
-
- You can also scan the entire Nix store for corrupt paths:
-
-# nix-store --verify --check-contents --repair
-
- Any corrupt paths will be redownloaded if they’re available in a binary
- cache; otherwise, they cannot be repaired.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/troubleshooting.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/troubleshooting.chapter.md
new file mode 100644
index 00000000000..548456eaf6d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/troubleshooting.chapter.md
@@ -0,0 +1,12 @@
+# Troubleshooting {#ch-troubleshooting}
+
+This chapter describes solutions to common problems you might encounter
+when you manage your NixOS system.
+
+```{=docbook}
+
+
+
+
+
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/troubleshooting.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/troubleshooting.xml
deleted file mode 100644
index b055acadacf..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/troubleshooting.xml
+++ /dev/null
@@ -1,16 +0,0 @@
-
- Troubleshooting
-
- This chapter describes solutions to common problems you might encounter when
- you manage your NixOS system.
-
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/user-sessions.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/user-sessions.chapter.md
new file mode 100644
index 00000000000..5ff468b3012
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/user-sessions.chapter.md
@@ -0,0 +1,43 @@
+# User Sessions {#sec-user-sessions}
+
+Systemd keeps track of all users who are logged into the system (e.g. on
+a virtual console or remotely via SSH). The command `loginctl` allows
+querying and manipulating user sessions. For instance, to list all user
+sessions:
+
+```ShellSession
+$ loginctl
+ SESSION UID USER SEAT
+ c1 500 eelco seat0
+ c3 0 root seat0
+ c4 500 alice
+```
+
+This shows that two users are logged in locally, while another is logged
+in remotely. ("Seats" are essentially the combinations of displays and
+input devices attached to the system; usually, there is only one seat.)
+To get information about a session:
+
+```ShellSession
+$ loginctl session-status c3
+c3 - root (0)
+ Since: Tue, 2013-01-08 01:17:56 CET; 4min 42s ago
+ Leader: 2536 (login)
+ Seat: seat0; vc3
+ TTY: /dev/tty3
+ Service: login; type tty; class user
+ State: online
+ CGroup: name=systemd:/user/root/c3
+ ├─ 2536 /nix/store/10mn4xip9n7y9bxqwnsx7xwx2v2g34xn-shadow-4.1.5.1/bin/login --
+ ├─10339 -bash
+ └─10355 w3m nixos.org
+```
+
+This shows that the user is logged in on virtual console 3. It also
+lists the processes belonging to this session. Since systemd keeps track
+of this, you can terminate a session in a way that ensures that all the
+session's processes are gone:
+
+```ShellSession
+# loginctl terminate-session c3
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/user-sessions.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/user-sessions.xml
deleted file mode 100644
index 9acb147ac1a..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/administration/user-sessions.xml
+++ /dev/null
@@ -1,45 +0,0 @@
-
- User Sessions
-
- Systemd keeps track of all users who are logged into the system (e.g. on a
- virtual console or remotely via SSH). The command loginctl
- allows querying and manipulating user sessions. For instance, to list all
- user sessions:
-
-$ loginctl
- SESSION UID USER SEAT
- c1 500 eelco seat0
- c3 0 root seat0
- c4 500 alice
-
- This shows that two users are logged in locally, while another is logged in
- remotely. (“Seats” are essentially the combinations of displays and input
- devices attached to the system; usually, there is only one seat.) To get
- information about a session:
-
-$ loginctl session-status c3
-c3 - root (0)
- Since: Tue, 2013-01-08 01:17:56 CET; 4min 42s ago
- Leader: 2536 (login)
- Seat: seat0; vc3
- TTY: /dev/tty3
- Service: login; type tty; class user
- State: online
- CGroup: name=systemd:/user/root/c3
- ├─ 2536 /nix/store/10mn4xip9n7y9bxqwnsx7xwx2v2g34xn-shadow-4.1.5.1/bin/login --
- ├─10339 -bash
- └─10355 w3m nixos.org
-
- This shows that the user is logged in on virtual console 3. It also lists the
- processes belonging to this session. Since systemd keeps track of this, you
- can terminate a session in a way that ensures that all the session’s
- processes are gone:
-
-# loginctl terminate-session c3
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ad-hoc-network-config.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ad-hoc-network-config.section.md
new file mode 100644
index 00000000000..4478d77f361
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ad-hoc-network-config.section.md
@@ -0,0 +1,13 @@
+# Ad-Hoc Configuration {#ad-hoc-network-config}
+
+You can use [](#opt-networking.localCommands) to
+specify shell commands to be run at the end of `network-setup.service`. This
+is useful for doing network configuration not covered by the existing NixOS
+modules. For instance, to statically configure an IPv6 address:
+
+```nix
+networking.localCommands =
+ ''
+ ip -6 addr add 2001:610:685:1::1/64 dev eth0
+ '';
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ad-hoc-network-config.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ad-hoc-network-config.xml
deleted file mode 100644
index 00e595c7cb7..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ad-hoc-network-config.xml
+++ /dev/null
@@ -1,20 +0,0 @@
-
- Ad-Hoc Configuration
-
-
- You can use to specify shell
- commands to be run at the end of network-setup.service .
- This is useful for doing network configuration not covered by the existing
- NixOS modules. For instance, to statically configure an IPv6 address:
-
- =
- ''
- ip -6 addr add 2001:610:685:1::1/64 dev eth0
- '';
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ad-hoc-packages.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ad-hoc-packages.section.md
new file mode 100644
index 00000000000..e9d574903a1
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ad-hoc-packages.section.md
@@ -0,0 +1,51 @@
+# Ad-Hoc Package Management {#sec-ad-hoc-packages}
+
+With the command `nix-env`, you can install and uninstall packages from
+the command line. For instance, to install Mozilla Thunderbird:
+
+```ShellSession
+$ nix-env -iA nixos.thunderbird
+```
+
+If you invoke this as root, the package is installed in the Nix profile
+`/nix/var/nix/profiles/default` and visible to all users of the system;
+otherwise, the package ends up in
+`/nix/var/nix/profiles/per-user/username/profile` and is not visible to
+other users. The `-A` flag specifies the package by its attribute name;
+without it, the package is installed by matching against its package
+name (e.g. `thunderbird`). The latter is slower because it requires
+matching against all available Nix packages, and is ambiguous if there
+are multiple matching packages.
+
+Packages come from the NixOS channel. You typically upgrade a package by
+updating to the latest version of the NixOS channel:
+
+```ShellSession
+$ nix-channel --update nixos
+```
+
+and then running `nix-env -i` again. Other packages in the profile are
+*not* affected; this is the crucial difference with the declarative
+style of package management, where running `nixos-rebuild switch` causes
+all packages to be updated to their current versions in the NixOS
+channel. You can however upgrade all packages for which there is a newer
+version by doing:
+
+```ShellSession
+$ nix-env -u '*'
+```
+
+A package can be uninstalled using the `-e` flag:
+
+```ShellSession
+$ nix-env -e thunderbird
+```
+
+Finally, you can roll back an undesirable `nix-env` action:
+
+```ShellSession
+$ nix-env --rollback
+```
+
+`nix-env` has many more flags. For details, see the nix-env(1) manpage or
+the Nix manual.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ad-hoc-packages.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ad-hoc-packages.xml
deleted file mode 100644
index c7e882d846f..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ad-hoc-packages.xml
+++ /dev/null
@@ -1,61 +0,0 @@
-
- Ad-Hoc Package Management
-
-
- With the command nix-env , you can install and uninstall
- packages from the command line. For instance, to install Mozilla Thunderbird:
-
-$ nix-env -iA nixos.thunderbird
- If you invoke this as root, the package is installed in the Nix profile
- /nix/var/nix/profiles/default and visible to all users
- of the system; otherwise, the package ends up in
- /nix/var/nix/profiles/per-user/username /profile
- and is not visible to other users. The -A flag specifies the
- package by its attribute name; without it, the package is installed by
- matching against its package name (e.g. thunderbird ). The
- latter is slower because it requires matching against all available Nix
- packages, and is ambiguous if there are multiple matching packages.
-
-
-
- Packages come from the NixOS channel. You typically upgrade a package by
- updating to the latest version of the NixOS channel:
-
-$ nix-channel --update nixos
-
- and then running nix-env -i again. Other packages in the
- profile are not affected; this is the crucial difference
- with the declarative style of package management, where running
- nixos-rebuild switch causes all packages to be updated to
- their current versions in the NixOS channel. You can however upgrade all
- packages for which there is a newer version by doing:
-
-$ nix-env -u '*'
-
-
-
-
- A package can be uninstalled using the -e flag:
-
-$ nix-env -e thunderbird
-
-
-
-
- Finally, you can roll back an undesirable nix-env action:
-
-$ nix-env --rollback
-
-
-
-
- nix-env has many more flags. For details, see the
-
- nix-env
- 1 manpage or the Nix manual.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/adding-custom-packages.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/adding-custom-packages.section.md
new file mode 100644
index 00000000000..5d1198fb0f4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/adding-custom-packages.section.md
@@ -0,0 +1,74 @@
+# Adding Custom Packages {#sec-custom-packages}
+
+It's possible that a package you need is not available in NixOS. In that
+case, you can do two things. First, you can clone the Nixpkgs
+repository, add the package to your clone, and (optionally) submit a
+patch or pull request to have it accepted into the main Nixpkgs repository.
+This is described in detail in the [Nixpkgs manual](https://nixos.org/nixpkgs/manual).
+In short, you clone Nixpkgs:
+
+```ShellSession
+$ git clone https://github.com/NixOS/nixpkgs
+$ cd nixpkgs
+```
+
+Then you write and test the package as described in the Nixpkgs manual.
+Finally, you add it to [](#opt-environment.systemPackages), e.g.
+
+```nix
+environment.systemPackages = [ pkgs.my-package ];
+```
+
+and you run `nixos-rebuild`, specifying your own Nixpkgs tree:
+
+```ShellSession
+# nixos-rebuild switch -I nixpkgs=/path/to/my/nixpkgs
+```
+
+The second possibility is to add the package outside of the Nixpkgs
+tree. For instance, here is how you specify a build of the
+[GNU Hello](https://www.gnu.org/software/hello/) package directly in
+`configuration.nix`:
+
+```nix
+environment.systemPackages =
+ let
+ my-hello = with pkgs; stdenv.mkDerivation rec {
+ name = "hello-2.8";
+ src = fetchurl {
+ url = "mirror://gnu/hello/${name}.tar.gz";
+ sha256 = "0wqd8sjmxfskrflaxywc7gqw7sfawrfvdxd9skxawzfgyy0pzdz6";
+ };
+ };
+ in
+ [ my-hello ];
+```
+
+Of course, you can also move the definition of `my-hello` into a
+separate Nix expression, e.g.
+
+```nix
+environment.systemPackages = [ (import ./my-hello.nix) ];
+```
+
+where `my-hello.nix` contains:
+
+```nix
+with import {}; # bring all of Nixpkgs into scope
+
+stdenv.mkDerivation rec {
+ name = "hello-2.8";
+ src = fetchurl {
+ url = "mirror://gnu/hello/${name}.tar.gz";
+ sha256 = "0wqd8sjmxfskrflaxywc7gqw7sfawrfvdxd9skxawzfgyy0pzdz6";
+ };
+}
+```
+
+This allows testing the package easily:
+
+```ShellSession
+$ nix-build my-hello.nix
+$ ./result/bin/hello
+Hello, world!
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/adding-custom-packages.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/adding-custom-packages.xml
deleted file mode 100644
index 19eb2429d0a..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/adding-custom-packages.xml
+++ /dev/null
@@ -1,73 +0,0 @@
-
- Adding Custom Packages
-
-
- It’s possible that a package you need is not available in NixOS. In that
- case, you can do two things. First, you can clone the Nixpkgs repository, add
- the package to your clone, and (optionally) submit a patch or pull request to
- have it accepted into the main Nixpkgs repository. This is described in
- detail in the Nixpkgs
- manual. In short, you clone Nixpkgs:
-
-$ git clone https://github.com/NixOS/nixpkgs
-$ cd nixpkgs
-
- Then you write and test the package as described in the Nixpkgs manual.
- Finally, you add it to environment.systemPackages , e.g.
-
- = [ pkgs.my-package ];
-
- and you run nixos-rebuild , specifying your own Nixpkgs
- tree:
-
-# nixos-rebuild switch -I nixpkgs=/path/to/my/nixpkgs
-
-
-
- The second possibility is to add the package outside of the Nixpkgs tree. For
- instance, here is how you specify a build of the
- GNU Hello
- package directly in configuration.nix :
-
- =
- let
- my-hello = with pkgs; stdenv.mkDerivation rec {
- name = "hello-2.8";
- src = fetchurl {
- url = "mirror://gnu/hello/${name}.tar.gz";
- sha256 = "0wqd8sjmxfskrflaxywc7gqw7sfawrfvdxd9skxawzfgyy0pzdz6";
- };
- };
- in
- [ my-hello ];
-
- Of course, you can also move the definition of my-hello
- into a separate Nix expression, e.g.
-
- = [ (import ./my-hello.nix) ];
-
- where my-hello.nix contains:
-
-with import <nixpkgs> {}; # bring all of Nixpkgs into scope
-
-stdenv.mkDerivation rec {
- name = "hello-2.8";
- src = fetchurl {
- url = "mirror://gnu/hello/${name}.tar.gz";
- sha256 = "0wqd8sjmxfskrflaxywc7gqw7sfawrfvdxd9skxawzfgyy0pzdz6";
- };
-}
-
- This allows testing the package easily:
-
-$ nix-build my-hello.nix
-$ ./result/bin/hello
-Hello, world!
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/config-file.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/config-file.section.md
new file mode 100644
index 00000000000..f21ba113bf8
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/config-file.section.md
@@ -0,0 +1,175 @@
+# NixOS Configuration File {#sec-configuration-file}
+
+The NixOS configuration file generally looks like this:
+
+```nix
+{ config, pkgs, ... }:
+
+{ option definitions
+}
+```
+
+The first line (`{ config, pkgs, ... }:`) denotes that this is actually
+a function that takes at least the two arguments `config` and `pkgs`.
+(These are explained later, in chapter [](#sec-writing-modules)) The
+function returns a *set* of option definitions (`{ ... }`).
+These definitions have the form `name = value`, where `name` is the
+name of an option and `value` is its value. For example,
+
+```nix
+{ config, pkgs, ... }:
+
+{ services.httpd.enable = true;
+ services.httpd.adminAddr = "alice@example.org";
+ services.httpd.virtualHosts.localhost.documentRoot = "/webroot";
+}
+```
+
+defines a configuration with three option definitions that together
+enable the Apache HTTP Server with `/webroot` as the document root.
+
+Sets can be nested, and in fact dots in option names are shorthand for
+defining a set containing another set. For instance,
+[](#opt-services.httpd.enable) defines a set named
+`services` that contains a set named `httpd`, which in turn contains an
+option definition named `enable` with value `true`. This means that the
+example above can also be written as:
+
+```nix
+{ config, pkgs, ... }:
+
+{ services = {
+ httpd = {
+ enable = true;
+ adminAddr = "alice@example.org";
+ virtualHosts = {
+ localhost = {
+ documentRoot = "/webroot";
+ };
+ };
+ };
+ };
+}
+```
+
+which may be more convenient if you have lots of option definitions that
+share the same prefix (such as `services.httpd`).
+
+NixOS checks your option definitions for correctness. For instance, if
+you try to define an option that doesn't exist (that is, doesn't have a
+corresponding *option declaration*), `nixos-rebuild` will give an error
+like:
+
+```plain
+The option `services.httpd.enable' defined in `/etc/nixos/configuration.nix' does not exist.
+```
+
+Likewise, values in option definitions must have a correct type. For
+instance, `services.httpd.enable` must be a Boolean (`true` or `false`).
+Trying to give it a value of another type, such as a string, will cause
+an error:
+
+```plain
+The option value `services.httpd.enable' in `/etc/nixos/configuration.nix' is not a boolean.
+```
+
+Options have various types of values. The most important are:
+
+Strings
+
+: Strings are enclosed in double quotes, e.g.
+
+ ```nix
+ networking.hostName = "dexter";
+ ```
+
+ Special characters can be escaped by prefixing them with a backslash
+ (e.g. `\"`).
+
+ Multi-line strings can be enclosed in *double single quotes*, e.g.
+
+ ```nix
+ networking.extraHosts =
+ ''
+ 127.0.0.2 other-localhost
+ 10.0.0.1 server
+ '';
+ ```
+
+ The main difference is that it strips from each line a number of
+ spaces equal to the minimal indentation of the string as a whole
+ (disregarding the indentation of empty lines), and that characters
+ like `"` and `\` are not special (making it more convenient for
+ including things like shell code). See more info about this in the
+ Nix manual [here](https://nixos.org/nix/manual/#ssec-values).
+
+Booleans
+
+: These can be `true` or `false`, e.g.
+
+ ```nix
+ networking.firewall.enable = true;
+ networking.firewall.allowPing = false;
+ ```
+
+Integers
+
+: For example,
+
+ ```nix
+ boot.kernel.sysctl."net.ipv4.tcp_keepalive_time" = 60;
+ ```
+
+ (Note that here the attribute name `net.ipv4.tcp_keepalive_time` is
+ enclosed in quotes to prevent it from being interpreted as a set
+ named `net` containing a set named `ipv4`, and so on. This is
+ because it's not a NixOS option but the literal name of a Linux
+ kernel setting.)
+
+Sets
+
+: Sets were introduced above. They are name/value pairs enclosed in
+ braces, as in the option definition
+
+ ```nix
+ fileSystems."/boot" =
+ { device = "/dev/sda1";
+ fsType = "ext4";
+ options = [ "rw" "data=ordered" "relatime" ];
+ };
+ ```
+
+Lists
+
+: The important thing to note about lists is that list elements are
+ separated by whitespace, like this:
+
+ ```nix
+ boot.kernelModules = [ "fuse" "kvm-intel" "coretemp" ];
+ ```
+
+ List elements can be any other type, e.g. sets:
+
+ ```nix
+ swapDevices = [ { device = "/dev/disk/by-label/swap"; } ];
+ ```
+
+Packages
+
+: Usually, the packages you need are already part of the Nix Packages
+ collection, which is a set that can be accessed through the function
+ argument `pkgs`. Typical uses:
+
+ ```nix
+ environment.systemPackages =
+ [ pkgs.thunderbird
+ pkgs.emacs
+ ];
+
+ services.postgresql.package = pkgs.postgresql_10;
+ ```
+
+ The latter option definition changes the default PostgreSQL package
+ used by NixOS's PostgreSQL service to 10.x. For more information on
+ packages, including how to add new ones, see
+ [](#sec-custom-packages).
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/config-file.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/config-file.xml
deleted file mode 100644
index 19cfb57920d..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/config-file.xml
+++ /dev/null
@@ -1,216 +0,0 @@
-
- NixOS Configuration File
-
-
- The NixOS configuration file generally looks like this:
-
-{ config, pkgs, ... }:
-
-{ option definitions
-}
-
- The first line ({ config, pkgs, ... }: ) denotes that this
- is actually a function that takes at least the two arguments
- config and pkgs . (These are explained
- later, in chapter ) The function returns
- a set of option definitions ({
- ... } ). These definitions have the form
- name =
- value , where
- name is the name of an option and
- value is its value. For example,
-
-{ config, pkgs, ... }:
-
-{ = true;
- = "alice@example.org";
- services.httpd.virtualHosts.localhost.documentRoot = "/webroot";
-}
-
- defines a configuration with three option definitions that together enable
- the Apache HTTP Server with /webroot as the document
- root.
-
-
-
- Sets can be nested, and in fact dots in option names are shorthand for
- defining a set containing another set. For instance,
- defines a set named
- services that contains a set named
- httpd , which in turn contains an option definition named
- enable with value true . This means that
- the example above can also be written as:
-
-{ config, pkgs, ... }:
-
-{ services = {
- httpd = {
- enable = true;
- adminAddr = "alice@example.org";
- virtualHosts = {
- localhost = {
- documentRoot = "/webroot";
- };
- };
- };
- };
-}
-
- which may be more convenient if you have lots of option definitions that
- share the same prefix (such as services.httpd ).
-
-
-
- NixOS checks your option definitions for correctness. For instance, if you
- try to define an option that doesn’t exist (that is, doesn’t have a
- corresponding option declaration ),
- nixos-rebuild will give an error like:
-
-The option `services.httpd.enable' defined in `/etc/nixos/configuration.nix' does not exist.
-
- Likewise, values in option definitions must have a correct type. For
- instance, services.httpd.enable must be a Boolean
- (true or false ). Trying to give it a
- value of another type, such as a string, will cause an error:
-
-The option value `services.httpd.enable' in `/etc/nixos/configuration.nix' is not a boolean.
-
-
-
-
- Options have various types of values. The most important are:
-
-
-
- Strings
-
-
-
- Strings are enclosed in double quotes, e.g.
-
- = "dexter";
-
- Special characters can be escaped by prefixing them with a backslash
- (e.g. \" ).
-
-
- Multi-line strings can be enclosed in double single
- quotes , e.g.
-
- =
- ''
- 127.0.0.2 other-localhost
- 10.0.0.1 server
- '';
-
- The main difference is that it strips from each line a number of spaces
- equal to the minimal indentation of the string as a whole (disregarding
- the indentation of empty lines), and that characters like
- " and \ are not special (making it
- more convenient for including things like shell code). See more info
- about this in the Nix manual
- here.
-
-
-
-
-
- Booleans
-
-
-
- These can be true or false , e.g.
-
- = true;
- = false;
-
-
-
-
-
-
- Integers
-
-
-
- For example,
-
- ."net.ipv4.tcp_keepalive_time" = 60;
-
- (Note that here the attribute name
- net.ipv4.tcp_keepalive_time is enclosed in quotes to
- prevent it from being interpreted as a set named net
- containing a set named ipv4 , and so on. This is
- because it’s not a NixOS option but the literal name of a Linux kernel
- setting.)
-
-
-
-
-
- Sets
-
-
-
- Sets were introduced above. They are name/value pairs enclosed in braces,
- as in the option definition
-
- ."/boot" =
- { device = "/dev/sda1";
- fsType = "ext4";
- options = [ "rw" "data=ordered" "relatime" ];
- };
-
-
-
-
-
-
- Lists
-
-
-
- The important thing to note about lists is that list elements are
- separated by whitespace, like this:
-
- = [ "fuse" "kvm-intel" "coretemp" ];
-
- List elements can be any other type, e.g. sets:
-
-swapDevices = [ { device = "/dev/disk/by-label/swap"; } ];
-
-
-
-
-
-
- Packages
-
-
-
- Usually, the packages you need are already part of the Nix Packages
- collection, which is a set that can be accessed through the function
- argument pkgs . Typical uses:
-
- =
- [ pkgs.thunderbird
- pkgs.emacs
- ];
-
- = pkgs.postgresql_10;
-
- The latter option definition changes the default PostgreSQL package used
- by NixOS’s PostgreSQL service to 10.x. For more information on
- packages, including how to add new ones, see
- .
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/config-syntax.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/config-syntax.chapter.md
new file mode 100644
index 00000000000..56d093c0f6e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/config-syntax.chapter.md
@@ -0,0 +1,19 @@
+# Configuration Syntax {#sec-configuration-syntax}
+
+The NixOS configuration file `/etc/nixos/configuration.nix` is actually
+a *Nix expression*, which is the Nix package manager's purely functional
+language for describing how to build packages and configurations. This
+means you have all the expressive power of that language at your
+disposal, including the ability to abstract over common patterns, which
+is very useful when managing complex systems. The syntax and semantics
+of the Nix language are fully described in the [Nix
+manual](https://nixos.org/nix/manual/#chap-writing-nix-expressions), but
+here we give a short overview of the most important constructs useful in
+NixOS configuration files.
+
+```{=docbook}
+
+
+
+
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/config-syntax.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/config-syntax.xml
deleted file mode 100644
index a374c6a8707..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/config-syntax.xml
+++ /dev/null
@@ -1,25 +0,0 @@
-
- Configuration Syntax
-
- The NixOS configuration file
- /etc/nixos/configuration.nix is actually a Nix
- expression , which is the Nix package manager’s purely functional
- language for describing how to build packages and configurations. This means
- you have all the expressive power of that language at your disposal,
- including the ability to abstract over common patterns, which is very useful
- when managing complex systems. The syntax and semantics of the Nix language
- are fully described in the
- Nix
- manual, but here we give a short overview of the most important
- constructs useful in NixOS configuration files.
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/configuration.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/configuration.xml
index 6949189b888..b04316cfa48 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/configuration.xml
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/configuration.xml
@@ -13,19 +13,19 @@
effect after you run nixos-rebuild .
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
-
-
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/customizing-packages.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/customizing-packages.section.md
new file mode 100644
index 00000000000..bceeeb2d7a1
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/customizing-packages.section.md
@@ -0,0 +1,74 @@
+# Customising Packages {#sec-customising-packages}
+
+Some packages in Nixpkgs have options to enable or disable optional
+functionality or change other aspects of the package. For instance, the
+Firefox wrapper package (which provides Firefox with a set of plugins
+such as the Adobe Flash player) has an option to enable the Google Talk
+plugin. It can be set in `configuration.nix` as follows:
+`nixpkgs.config.firefox.enableGoogleTalkPlugin = true;`
+
+::: {.warning}
+Unfortunately, Nixpkgs currently lacks a way to query available
+configuration options.
+:::
+
+Apart from high-level options, it's possible to tweak a package in
+almost arbitrary ways, such as changing or disabling dependencies of a
+package. For instance, the Emacs package in Nixpkgs by default has a
+dependency on GTK 2. If you want to build it against GTK 3, you can
+specify that as follows:
+
+```nix
+environment.systemPackages = [ (pkgs.emacs.override { gtk = pkgs.gtk3; }) ];
+```
+
+The function `override` performs the call to the Nix function that
+produces Emacs, with the original arguments amended by the set of
+arguments specified by you. So here the function argument `gtk` gets the
+value `pkgs.gtk3`, causing Emacs to depend on GTK 3. (The parentheses
+are necessary because in Nix, function application binds more weakly
+than list construction, so without them,
+[](#opt-environment.systemPackages)
+would be a list with two elements.)
+
+Even greater customisation is possible using the function
+`overrideAttrs`. While the `override` mechanism above overrides the
+arguments of a package function, `overrideAttrs` allows changing the
+*attributes* passed to `mkDerivation`. This permits changing any aspect
+of the package, such as the source code. For instance, if you want to
+override the source code of Emacs, you can say:
+
+```nix
+environment.systemPackages = [
+ (pkgs.emacs.overrideAttrs (oldAttrs: {
+ name = "emacs-25.0-pre";
+ src = /path/to/my/emacs/tree;
+ }))
+];
+```
+
+Here, `overrideAttrs` takes the Nix derivation specified by `pkgs.emacs`
+and produces a new derivation in which the original's `name` and `src`
+attribute have been replaced by the given values by re-calling
+`stdenv.mkDerivation`. The original attributes are accessible via the
+function argument, which is conventionally named `oldAttrs`.
+
+The overrides shown above are not global. They do not affect the
+original package; other packages in Nixpkgs continue to depend on the
+original rather than the customised package. This means that if another
+package in your system depends on the original package, you end up with
+two instances of the package. If you want to have everything depend on
+your customised instance, you can apply a *global* override as follows:
+
+```nix
+nixpkgs.config.packageOverrides = pkgs:
+ { emacs = pkgs.emacs.override { gtk = pkgs.gtk3; };
+ };
+```
+
+The effect of this definition is essentially equivalent to modifying the
+`emacs` attribute in the Nixpkgs source tree. Any package in Nixpkgs
+that depends on `emacs` will be passed your customised instance.
+(However, the value `pkgs.emacs` in `nixpkgs.config.packageOverrides`
+refers to the original rather than overridden instance, to prevent an
+infinite recursion.)
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/customizing-packages.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/customizing-packages.xml
deleted file mode 100644
index 34e6ab4b24d..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/customizing-packages.xml
+++ /dev/null
@@ -1,86 +0,0 @@
-
- Customising Packages
-
-
- Some packages in Nixpkgs have options to enable or disable optional
- functionality or change other aspects of the package. For instance, the
- Firefox wrapper package (which provides Firefox with a set of plugins such as
- the Adobe Flash player) has an option to enable the Google Talk plugin. It
- can be set in configuration.nix as follows:
- nixpkgs.config.firefox.enableGoogleTalkPlugin = true;
-
-
-
-
- Unfortunately, Nixpkgs currently lacks a way to query available
- configuration options.
-
-
-
-
- Apart from high-level options, it’s possible to tweak a package in almost
- arbitrary ways, such as changing or disabling dependencies of a package. For
- instance, the Emacs package in Nixpkgs by default has a dependency on GTK 2.
- If you want to build it against GTK 3, you can specify that as follows:
-
- = [ (pkgs.emacs.override { gtk = pkgs.gtk3; }) ];
-
- The function override performs the call to the Nix
- function that produces Emacs, with the original arguments amended by the set
- of arguments specified by you. So here the function argument
- gtk gets the value pkgs.gtk3 , causing
- Emacs to depend on GTK 3. (The parentheses are necessary because in Nix,
- function application binds more weakly than list construction, so without
- them, would be a list with
- two elements.)
-
-
-
- Even greater customisation is possible using the function
- overrideAttrs . While the override
- mechanism above overrides the arguments of a package function,
- overrideAttrs allows changing the
- attributes passed to mkDerivation .
- This permits changing any aspect of the package, such as the source code. For
- instance, if you want to override the source code of Emacs, you can say:
-
- = [
- (pkgs.emacs.overrideAttrs (oldAttrs: {
- name = "emacs-25.0-pre";
- src = /path/to/my/emacs/tree;
- }))
-];
-
- Here, overrideAttrs takes the Nix derivation specified by
- pkgs.emacs and produces a new derivation in which the
- original’s name and src attribute
- have been replaced by the given values by re-calling
- stdenv.mkDerivation . The original attributes are
- accessible via the function argument, which is conventionally named
- oldAttrs .
-
-
-
- The overrides shown above are not global. They do not affect the original
- package; other packages in Nixpkgs continue to depend on the original rather
- than the customised package. This means that if another package in your
- system depends on the original package, you end up with two instances of the
- package. If you want to have everything depend on your customised instance,
- you can apply a global override as follows:
-
-nixpkgs.config.packageOverrides = pkgs:
- { emacs = pkgs.emacs.override { gtk = pkgs.gtk3; };
- };
-
- The effect of this definition is essentially equivalent to modifying the
- emacs attribute in the Nixpkgs source tree. Any package in
- Nixpkgs that depends on emacs will be passed your
- customised instance. (However, the value pkgs.emacs in
- nixpkgs.config.packageOverrides refers to the original
- rather than overridden instance, to prevent an infinite recursion.)
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/declarative-packages.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/declarative-packages.section.md
new file mode 100644
index 00000000000..337cdf8472e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/declarative-packages.section.md
@@ -0,0 +1,46 @@
+# Declarative Package Management {#sec-declarative-package-mgmt}
+
+With declarative package management, you specify which packages you want
+on your system by setting the option
+[](#opt-environment.systemPackages). For instance, adding the
+following line to `configuration.nix` enables the Mozilla Thunderbird
+email application:
+
+```nix
+environment.systemPackages = [ pkgs.thunderbird ];
+```
+
+The effect of this specification is that the Thunderbird package from
+Nixpkgs will be built or downloaded as part of the system when you run
+`nixos-rebuild switch`.
+
+::: {.note}
+Some packages require additional global configuration such as D-Bus or
+systemd service registration so adding them to
+[](#opt-environment.systemPackages) might not be sufficient. You are
+advised to check the [list of options](#ch-options) whether a NixOS
+module for the package does not exist.
+:::
+
+You can get a list of the available packages as follows:
+
+```ShellSession
+$ nix-env -qaP '*' --description
+nixos.firefox firefox-23.0 Mozilla Firefox - the browser, reloaded
+...
+```
+
+The first column in the output is the *attribute name*, such as
+`nixos.thunderbird`.
+
+Note: the `nixos` prefix tells us that we want to get the package from
+the `nixos` channel and works only in CLI tools. In declarative
+configuration use `pkgs` prefix (variable).
+
+To "uninstall" a package, simply remove it from
+[](#opt-environment.systemPackages) and run `nixos-rebuild switch`.
+
+```{=docbook}
+
+
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/declarative-packages.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/declarative-packages.xml
deleted file mode 100644
index cd84d1951d2..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/declarative-packages.xml
+++ /dev/null
@@ -1,54 +0,0 @@
-
- Declarative Package Management
-
-
- With declarative package management, you specify which packages you want on
- your system by setting the option
- . For instance, adding the
- following line to configuration.nix enables the Mozilla
- Thunderbird email application:
-
- = [ pkgs.thunderbird ];
-
- The effect of this specification is that the Thunderbird package from Nixpkgs
- will be built or downloaded as part of the system when you run
- nixos-rebuild switch .
-
-
-
-
- Some packages require additional global configuration such as D-Bus or systemd service registration so adding them to might not be sufficient. You are advised to check the list of options whether a NixOS module for the package does not exist.
-
-
-
-
- You can get a list of the available packages as follows:
-
-$ nix-env -qaP '*' --description
-nixos.firefox firefox-23.0 Mozilla Firefox - the browser, reloaded
-...
-
- The first column in the output is the attribute name ,
- such as nixos.thunderbird .
-
-
- Note: the nixos prefix tells us that we want to get the
- package from the nixos channel and works only in CLI tools.
-
- In declarative configuration use pkgs prefix (variable).
-
-
-
- To “uninstall” a package, simply remove it from
- and run
- nixos-rebuild switch .
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/file-systems.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/file-systems.chapter.md
new file mode 100644
index 00000000000..901e2e4f181
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/file-systems.chapter.md
@@ -0,0 +1,42 @@
+# File Systems {#ch-file-systems}
+
+You can define file systems using the `fileSystems` configuration
+option. For instance, the following definition causes NixOS to mount the
+Ext4 file system on device `/dev/disk/by-label/data` onto the mount
+point `/data`:
+
+```nix
+fileSystems."/data" =
+ { device = "/dev/disk/by-label/data";
+ fsType = "ext4";
+ };
+```
+
+This will create an entry in `/etc/fstab`, which will generate a
+corresponding [systemd.mount](https://www.freedesktop.org/software/systemd/man/systemd.mount.html)
+unit via [systemd-fstab-generator](https://www.freedesktop.org/software/systemd/man/systemd-fstab-generator.html).
+The filesystem will be mounted automatically unless `"noauto"` is
+present in [options](#opt-fileSystems._name_.options). `"noauto"`
+filesystems can be mounted explicitly using `systemctl` e.g.
+`systemctl start data.mount`. Mount points are created automatically if they don't
+already exist. For `device`, it's best to use the topology-independent
+device aliases in `/dev/disk/by-label` and `/dev/disk/by-uuid`, as these
+don't change if the topology changes (e.g. if a disk is moved to another
+IDE controller).
+
+You can usually omit the file system type (`fsType`), since `mount` can
+usually detect the type and load the necessary kernel module
+automatically. However, if the file system is needed at early boot (in
+the initial ramdisk) and is not `ext2`, `ext3` or `ext4`, then it's best
+to specify `fsType` to ensure that the kernel module is available.
+
+::: {.note}
+System startup will fail if any of the filesystems fails to mount,
+dropping you to the emergency shell. You can make a mount asynchronous
+and non-critical by adding `options = [ "nofail" ];`.
+:::
+
+```{=docbook}
+
+
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/file-systems.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/file-systems.xml
deleted file mode 100644
index 42c59844ff4..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/file-systems.xml
+++ /dev/null
@@ -1,58 +0,0 @@
-
- File Systems
-
- You can define file systems using the fileSystems
- configuration option. For instance, the following definition causes NixOS to
- mount the Ext4 file system on device
- /dev/disk/by-label/data onto the mount point
- /data :
-
- ."/data" =
- { device = "/dev/disk/by-label/data";
- fsType = "ext4";
- };
-
- This will create an entry in /etc/fstab , which will
- generate a corresponding
- systemd.mount
- unit via
- systemd-fstab-generator.
- The filesystem will be mounted automatically unless
- "noauto" is present in options.
- "noauto" filesystems can be mounted explicitly using
- systemctl e.g. systemctl start
- data.mount .
- Mount points are created automatically if they don’t already exist. For
- device ,
- it’s best to use the topology-independent device aliases in
- /dev/disk/by-label and
- /dev/disk/by-uuid , as these don’t change if the
- topology changes (e.g. if a disk is moved to another IDE controller).
-
-
- You can usually omit the file system type
- ( fsType ),
- since mount can usually detect the type and load the
- necessary kernel module automatically. However, if the file system is needed
- at early boot (in the initial ramdisk) and is not ext2 ,
- ext3 or ext4 , then it’s best to
- specify fsType to ensure that the kernel module is
- available.
-
-
-
- System startup will fail if any of the filesystems fails to mount, dropping
- you to the emergency shell. You can make a mount asynchronous and
- non-critical by adding
- options = [
- "nofail" ]; .
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/firewall.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/firewall.section.md
new file mode 100644
index 00000000000..dbf0ffb9273
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/firewall.section.md
@@ -0,0 +1,32 @@
+# Firewall {#sec-firewall}
+
+NixOS has a simple stateful firewall that blocks incoming connections
+and other unexpected packets. The firewall applies to both IPv4 and IPv6
+traffic. It is enabled by default. It can be disabled as follows:
+
+```nix
+networking.firewall.enable = false;
+```
+
+If the firewall is enabled, you can open specific TCP ports to the
+outside world:
+
+```nix
+networking.firewall.allowedTCPPorts = [ 80 443 ];
+```
+
+Note that TCP port 22 (ssh) is opened automatically if the SSH daemon is
+enabled (`services.openssh.enable = true`). UDP ports can be opened through
+[](#opt-networking.firewall.allowedUDPPorts).
+
+To open ranges of TCP ports:
+
+```nix
+networking.firewall.allowedTCPPortRanges = [
+ { from = 4000; to = 4007; }
+ { from = 8000; to = 8010; }
+];
+```
+
+Similarly, UDP port ranges can be opened through
+[](#opt-networking.firewall.allowedUDPPortRanges).
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/firewall.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/firewall.xml
deleted file mode 100644
index 47a19ac82c0..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/firewall.xml
+++ /dev/null
@@ -1,37 +0,0 @@
-
- Firewall
-
-
- NixOS has a simple stateful firewall that blocks incoming connections and
- other unexpected packets. The firewall applies to both IPv4 and IPv6 traffic.
- It is enabled by default. It can be disabled as follows:
-
- = false;
-
- If the firewall is enabled, you can open specific TCP ports to the outside
- world:
-
- = [ 80 443 ];
-
- Note that TCP port 22 (ssh) is opened automatically if the SSH daemon is
- enabled ( =
- true ). UDP ports can be opened through
- .
-
-
-
- To open ranges of TCP ports:
-
- = [
- { from = 4000; to = 4007; }
- { from = 8000; to = 8010; }
-];
-
- Similarly, UDP port ranges can be opened through
- .
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/gpu-accel.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/gpu-accel.chapter.md
new file mode 100644
index 00000000000..08b6af5d98a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/gpu-accel.chapter.md
@@ -0,0 +1,204 @@
+# GPU acceleration {#sec-gpu-accel}
+
+NixOS provides various APIs that benefit from GPU hardware acceleration,
+such as VA-API and VDPAU for video playback; OpenGL and Vulkan for 3D
+graphics; and OpenCL for general-purpose computing. This chapter
+describes how to set up GPU hardware acceleration (as far as this is not
+done automatically) and how to verify that hardware acceleration is
+indeed used.
+
+Most of the aforementioned APIs are agnostic with regards to which
+display server is used. Consequently, these instructions should apply
+both to the X Window System and Wayland compositors.
+
+## OpenCL {#sec-gpu-accel-opencl}
+
+[OpenCL](https://en.wikipedia.org/wiki/OpenCL) is a general compute API.
+It is used by various applications such as Blender and Darktable to
+accelerate certain operations.
+
+OpenCL applications load drivers through the *Installable Client Driver*
+(ICD) mechanism. In this mechanism, an ICD file specifies the path to
+the OpenCL driver for a particular GPU family. In NixOS, there are two
+ways to make ICD files visible to the ICD loader. The first is through
+the `OCL_ICD_VENDORS` environment variable. This variable can contain a
+directory which is scanned by the ICL loader for ICD files. For example:
+
+```ShellSession
+$ export \
+ OCL_ICD_VENDORS=`nix-build '' --no-out-link -A rocm-opencl-icd`/etc/OpenCL/vendors/
+```
+
+The second mechanism is to add the OpenCL driver package to
+[](#opt-hardware.opengl.extraPackages).
+This links the ICD file under `/run/opengl-driver`, where it will be visible
+to the ICD loader.
+
+The proper installation of OpenCL drivers can be verified through the
+`clinfo` command of the clinfo package. This command will report the
+number of hardware devices that is found and give detailed information
+for each device:
+
+```ShellSession
+$ clinfo | head -n3
+Number of platforms 1
+Platform Name AMD Accelerated Parallel Processing
+Platform Vendor Advanced Micro Devices, Inc.
+```
+
+### AMD {#sec-gpu-accel-opencl-amd}
+
+Modern AMD [Graphics Core
+Next](https://en.wikipedia.org/wiki/Graphics_Core_Next) (GCN) GPUs are
+supported through the rocm-opencl-icd package. Adding this package to
+[](#opt-hardware.opengl.extraPackages)
+enables OpenCL support:
+
+```nix
+hardware.opengl.extraPackages = [
+ rocm-opencl-icd
+];
+```
+
+### Intel {#sec-gpu-accel-opencl-intel}
+
+[Intel Gen8 and later
+GPUs](https://en.wikipedia.org/wiki/List_of_Intel_graphics_processing_units#Gen8)
+are supported by the Intel NEO OpenCL runtime that is provided by the
+intel-compute-runtime package. For Gen7 GPUs, the deprecated Beignet
+runtime can be used, which is provided by the beignet package. The
+proprietary Intel OpenCL runtime, in the intel-ocl package, is an
+alternative for Gen7 GPUs.
+
+The intel-compute-runtime, beignet, or intel-ocl package can be added to
+[](#opt-hardware.opengl.extraPackages)
+to enable OpenCL support. For example, for Gen8 and later GPUs, the following
+configuration can be used:
+
+```nix
+hardware.opengl.extraPackages = [
+ intel-compute-runtime
+];
+```
+
+## Vulkan {#sec-gpu-accel-vulkan}
+
+[Vulkan](https://en.wikipedia.org/wiki/Vulkan_(API)) is a graphics and
+compute API for GPUs. It is used directly by games or indirectly though
+compatibility layers like
+[DXVK](https://github.com/doitsujin/dxvk/wiki).
+
+By default, if [](#opt-hardware.opengl.driSupport)
+is enabled, mesa is installed and provides Vulkan for supported hardware.
+
+Similar to OpenCL, Vulkan drivers are loaded through the *Installable
+Client Driver* (ICD) mechanism. ICD files for Vulkan are JSON files that
+specify the path to the driver library and the supported Vulkan version.
+All successfully loaded drivers are exposed to the application as
+different GPUs. In NixOS, there are two ways to make ICD files visible
+to Vulkan applications: an environment variable and a module option.
+
+The first option is through the `VK_ICD_FILENAMES` environment variable.
+This variable can contain multiple JSON files, separated by `:`. For
+example:
+
+```ShellSession
+$ export \
+ VK_ICD_FILENAMES=`nix-build '' --no-out-link -A amdvlk`/share/vulkan/icd.d/amd_icd64.json
+```
+
+The second mechanism is to add the Vulkan driver package to
+[](#opt-hardware.opengl.extraPackages).
+This links the ICD file under `/run/opengl-driver`, where it will be
+visible to the ICD loader.
+
+The proper installation of Vulkan drivers can be verified through the
+`vulkaninfo` command of the vulkan-tools package. This command will
+report the hardware devices and drivers found, in this example output
+amdvlk and radv:
+
+```ShellSession
+$ vulkaninfo | grep GPU
+ GPU id : 0 (Unknown AMD GPU)
+ GPU id : 1 (AMD RADV NAVI10 (LLVM 9.0.1))
+ ...
+GPU0:
+ deviceType = PHYSICAL_DEVICE_TYPE_DISCRETE_GPU
+ deviceName = Unknown AMD GPU
+GPU1:
+ deviceType = PHYSICAL_DEVICE_TYPE_DISCRETE_GPU
+```
+
+A simple graphical application that uses Vulkan is `vkcube` from the
+vulkan-tools package.
+
+### AMD {#sec-gpu-accel-vulkan-amd}
+
+Modern AMD [Graphics Core
+Next](https://en.wikipedia.org/wiki/Graphics_Core_Next) (GCN) GPUs are
+supported through either radv, which is part of mesa, or the amdvlk
+package. Adding the amdvlk package to
+[](#opt-hardware.opengl.extraPackages)
+makes amdvlk the default driver and hides radv and lavapipe from the device list.
+A specific driver can be forced as follows:
+
+```nix
+hardware.opengl.extraPackages = [
+ pkgs.amdvlk
+];
+
+# To enable Vulkan support for 32-bit applications, also add:
+hardware.opengl.extraPackages32 = [
+ pkgs.driversi686Linux.amdvlk
+];
+
+# Force radv
+environment.variables.AMD_VULKAN_ICD = "RADV";
+# Or
+environment.variables.VK_ICD_FILENAMES =
+ "/run/opengl-driver/share/vulkan/icd.d/radeon_icd.x86_64.json";
+```
+
+## Common issues {#sec-gpu-accel-common-issues}
+
+### User permissions {#sec-gpu-accel-common-issues-permissions}
+
+Except where noted explicitly, it should not be necessary to adjust user
+permissions to use these acceleration APIs. In the default
+configuration, GPU devices have world-read/write permissions
+(`/dev/dri/renderD*`) or are tagged as `uaccess` (`/dev/dri/card*`). The
+access control lists of devices with the `uaccess` tag will be updated
+automatically when a user logs in through `systemd-logind`. For example,
+if the user *jane* is logged in, the access control list should look as
+follows:
+
+```ShellSession
+$ getfacl /dev/dri/card0
+# file: dev/dri/card0
+# owner: root
+# group: video
+user::rw-
+user:jane:rw-
+group::rw-
+mask::rw-
+other::---
+```
+
+If you disabled (this functionality of) `systemd-logind`, you may need
+to add the user to the `video` group and log in again.
+
+### Mixing different versions of nixpkgs {#sec-gpu-accel-common-issues-mixing-nixpkgs}
+
+The *Installable Client Driver* (ICD) mechanism used by OpenCL and
+Vulkan loads runtimes into its address space using `dlopen`. Mixing an
+ICD loader mechanism and runtimes from different version of nixpkgs may
+not work. For example, if the ICD loader uses an older version of glibc
+than the runtime, the runtime may not be loadable due to missing
+symbols. Unfortunately, the loader will generally be quiet about such
+issues.
+
+If you suspect that you are running into library version mismatches
+between an ICL loader and a runtime, you could run an application with
+the `LD_DEBUG` variable set to get more diagnostic information. For
+example, OpenCL can be tested with `LD_DEBUG=files clinfo`, which should
+report missing symbols.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/gpu-accel.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/gpu-accel.xml
deleted file mode 100644
index 9aa9be86a06..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/gpu-accel.xml
+++ /dev/null
@@ -1,262 +0,0 @@
-
- GPU acceleration
-
-
- NixOS provides various APIs that benefit from GPU hardware
- acceleration, such as VA-API and VDPAU for video playback; OpenGL and
- Vulkan for 3D graphics; and OpenCL for general-purpose computing.
- This chapter describes how to set up GPU hardware acceleration (as far
- as this is not done automatically) and how to verify that hardware
- acceleration is indeed used.
-
-
-
- Most of the aforementioned APIs are agnostic with regards to which
- display server is used. Consequently, these instructions should apply
- both to the X Window System and Wayland compositors.
-
-
-
- OpenCL
-
-
- OpenCL is a
- general compute API. It is used by various applications such as
- Blender and Darktable to accelerate certain operations.
-
-
-
- OpenCL applications load drivers through the Installable Client
- Driver (ICD) mechanism. In this mechanism, an ICD file
- specifies the path to the OpenCL driver for a particular GPU family.
- In NixOS, there are two ways to make ICD files visible to the ICD
- loader. The first is through the OCL_ICD_VENDORS
- environment variable. This variable can contain a directory which
- is scanned by the ICL loader for ICD files. For example:
-
- $ export \
- OCL_ICD_VENDORS=`nix-build '<nixpkgs>' --no-out-link -A rocm-opencl-icd`/etc/OpenCL/vendors/
-
-
-
- The second mechanism is to add the OpenCL driver package to
- . This links the
- ICD file under /run/opengl-driver , where it will
- be visible to the ICD loader.
-
-
-
- The proper installation of OpenCL drivers can be verified through
- the clinfo command of the clinfo
- package. This command will report the number of hardware devices
- that is found and give detailed information for each device:
-
-
- $ clinfo | head -n3
-Number of platforms 1
-Platform Name AMD Accelerated Parallel Processing
-Platform Vendor Advanced Micro Devices, Inc.
-
-
- AMD
-
-
- Modern AMD Graphics
- Core Next (GCN) GPUs are supported through the
- rocm-opencl-icd package. Adding this package to
- enables OpenCL
- support:
-
- = [
- rocm-opencl-icd
- ];
-
-
-
-
- Intel
-
-
- Intel
- Gen8 and later GPUs are supported by the Intel NEO OpenCL
- runtime that is provided by the
- intel-compute-runtime package. For Gen7 GPUs,
- the deprecated Beignet runtime can be used, which is provided
- by the beignet package. The proprietary Intel
- OpenCL runtime, in the intel-ocl package, is
- an alternative for Gen7 GPUs.
-
-
-
- The intel-compute-runtime , beignet ,
- or intel-ocl package can be added to
- to enable OpenCL
- support. For example, for Gen8 and later GPUs, the following
- configuration can be used:
-
- = [
- intel-compute-runtime
- ];
-
-
-
-
-
-
- Vulkan
-
-
- Vulkan is a
- graphics and compute API for GPUs. It is used directly by games or indirectly though
- compatibility layers like DXVK.
-
-
-
- By default, if is enabled,
- mesa is installed and provides Vulkan for supported hardware.
-
-
-
- Similar to OpenCL, Vulkan drivers are loaded through the Installable Client
- Driver (ICD) mechanism. ICD files for Vulkan are JSON files that specify
- the path to the driver library and the supported Vulkan version. All successfully
- loaded drivers are exposed to the application as different GPUs.
- In NixOS, there are two ways to make ICD files visible to Vulkan applications: an
- environment variable and a module option.
-
-
-
- The first option is through the VK_ICD_FILENAMES
- environment variable. This variable can contain multiple JSON files, separated by
- : . For example:
-
- $ export \
- VK_ICD_FILENAMES=`nix-build '<nixpkgs>' --no-out-link -A amdvlk`/share/vulkan/icd.d/amd_icd64.json
-
-
-
- The second mechanism is to add the Vulkan driver package to
- . This links the
- ICD file under /run/opengl-driver , where it will
- be visible to the ICD loader.
-
-
-
- The proper installation of Vulkan drivers can be verified through
- the vulkaninfo command of the vulkan-tools
- package. This command will report the hardware devices and drivers found,
- in this example output amdvlk and radv:
-
-
- $ vulkaninfo | grep GPU
- GPU id : 0 (Unknown AMD GPU)
- GPU id : 1 (AMD RADV NAVI10 (LLVM 9.0.1))
- ...
-GPU0:
- deviceType = PHYSICAL_DEVICE_TYPE_DISCRETE_GPU
- deviceName = Unknown AMD GPU
-GPU1:
- deviceType = PHYSICAL_DEVICE_TYPE_DISCRETE_GPU
-
-
- A simple graphical application that uses Vulkan is vkcube
- from the vulkan-tools package.
-
-
-
- AMD
-
-
- Modern AMD Graphics
- Core Next (GCN) GPUs are supported through either radv, which is
- part of mesa , or the amdvlk package.
- Adding the amdvlk package to
- makes amdvlk the
- default driver and hides radv and lavapipe from the device list. A
- specific driver can be forced as follows:
-
- = [
- pkgs.amdvlk
- ];
-
- # To enable Vulkan support for 32-bit applications, also add:
- = [
- pkgs.driversi686Linux.amdvlk
- ];
-
- # Force radv
- .AMD_VULKAN_ICD = "RADV";
- # Or
- .VK_ICD_FILENAMES =
- "/run/opengl-driver/share/vulkan/icd.d/radeon_icd.x86_64.json";
-
-
-
-
-
-
- Common issues
-
-
- User permissions
-
-
- Except where noted explicitly, it should not be necessary to
- adjust user permissions to use these acceleration APIs. In the default
- configuration, GPU devices have world-read/write permissions
- (/dev/dri/renderD* ) or are tagged as
- uaccess
(/dev/dri/card* ). The
- access control lists of devices with the uaccess
- tag will be updated automatically when a user logs in through
- systemd-logind . For example, if the user
- jane is logged in, the access control list
- should look as follows:
-
- $ getfacl /dev/dri/card0
-# file: dev/dri/card0
-# owner: root
-# group: video
-user::rw-
-user:jane:rw-
-group::rw-
-mask::rw-
-other::---
-
- If you disabled (this functionality of) systemd-logind ,
- you may need to add the user to the video
group and
- log in again.
-
-
-
-
- Mixing different versions of nixpkgs
-
-
- The Installable Client Driver (ICD)
- mechanism used by OpenCL and Vulkan loads runtimes into its address
- space using dlopen
. Mixing an ICD loader mechanism and
- runtimes from different version of nixpkgs may not work. For example,
- if the ICD loader uses an older version of glibc
- than the runtime, the runtime may not be loadable due to
- missing symbols. Unfortunately, the loader will generally be quiet
- about such issues.
-
-
-
- If you suspect that you are running into library version mismatches
- between an ICL loader and a runtime, you could run an application with
- the LD_DEBUG
variable set to get more diagnostic
- information. For example, OpenCL can be tested with
- LD_DEBUG=files clinfo
, which should report missing
- symbols.
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ipv4-config.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ipv4-config.section.md
new file mode 100644
index 00000000000..c73024b856d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ipv4-config.section.md
@@ -0,0 +1,35 @@
+# IPv4 Configuration {#sec-ipv4}
+
+By default, NixOS uses DHCP (specifically, `dhcpcd`) to automatically
+configure network interfaces. However, you can configure an interface
+manually as follows:
+
+```nix
+networking.interfaces.eth0.ipv4.addresses = [ {
+ address = "192.168.1.2";
+ prefixLength = 24;
+} ];
+```
+
+Typically you'll also want to set a default gateway and set of name
+servers:
+
+```nix
+networking.defaultGateway = "192.168.1.1";
+networking.nameservers = [ "8.8.8.8" ];
+```
+
+::: {.note}
+Statically configured interfaces are set up by the systemd service
+`interface-name-cfg.service`. The default gateway and name server
+configuration is performed by `network-setup.service`.
+:::
+
+The host name is set using [](#opt-networking.hostName):
+
+```nix
+networking.hostName = "cartman";
+```
+
+The default host name is `nixos`. Set it to the empty string (`""`) to
+allow the DHCP server to provide the host name.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ipv4-config.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ipv4-config.xml
deleted file mode 100644
index 884becf0979..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ipv4-config.xml
+++ /dev/null
@@ -1,43 +0,0 @@
-
- IPv4 Configuration
-
-
- By default, NixOS uses DHCP (specifically, dhcpcd ) to
- automatically configure network interfaces. However, you can configure an
- interface manually as follows:
-
- networking.interfaces.eth0.ipv4.addresses = [ {
- address = "192.168.1.2";
- prefixLength = 24;
-} ];
-
- Typically you’ll also want to set a default gateway and set of name
- servers:
-
- = "192.168.1.1";
- = [ "8.8.8.8" ];
-
-
-
-
-
- Statically configured interfaces are set up by the systemd service
- interface-name -cfg.service .
- The default gateway and name server configuration is performed by
- network-setup.service .
-
-
-
-
- The host name is set using :
-
- = "cartman";
-
- The default host name is nixos . Set it to the empty string
- ("" ) to allow the DHCP server to provide the host name.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ipv6-config.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ipv6-config.section.md
new file mode 100644
index 00000000000..ce66f53ed47
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ipv6-config.section.md
@@ -0,0 +1,42 @@
+# IPv6 Configuration {#sec-ipv6}
+
+IPv6 is enabled by default. Stateless address autoconfiguration is used
+to automatically assign IPv6 addresses to all interfaces, and Privacy
+Extensions (RFC 4946) are enabled by default. You can adjust the default
+for this by setting [](#opt-networking.tempAddresses). This option
+may be overridden on a per-interface basis by
+[](#opt-networking.interfaces._name_.tempAddress). You can disable
+IPv6 support globally by setting:
+
+```nix
+networking.enableIPv6 = false;
+```
+
+You can disable IPv6 on a single interface using a normal sysctl (in
+this example, we use interface `eth0`):
+
+```nix
+boot.kernel.sysctl."net.ipv6.conf.eth0.disable_ipv6" = true;
+```
+
+As with IPv4 networking interfaces are automatically configured via
+DHCPv6. You can configure an interface manually:
+
+```nix
+networking.interfaces.eth0.ipv6.addresses = [ {
+ address = "fe00:aa:bb:cc::2";
+ prefixLength = 64;
+} ];
+```
+
+For configuring a gateway, optionally with explicitly specified
+interface:
+
+```nix
+networking.defaultGateway6 = {
+ address = "fe00::1";
+ interface = "enp0s3";
+};
+```
+
+See [](#sec-ipv4) for similar examples and additional information.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ipv6-config.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ipv6-config.xml
deleted file mode 100644
index 45e85dbf3df..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ipv6-config.xml
+++ /dev/null
@@ -1,54 +0,0 @@
-
- IPv6 Configuration
-
-
- IPv6 is enabled by default. Stateless address autoconfiguration is used to
- automatically assign IPv6 addresses to all interfaces, and Privacy
- Extensions (RFC 4946) are enabled by default. You can adjust the default
- for this by setting .
- This option may be overridden on a per-interface basis by
- .
- You can disable IPv6 support globally by setting:
-
- = false;
-
-
-
-
- You can disable IPv6 on a single interface using a normal sysctl (in this
- example, we use interface eth0 ):
-
- ."net.ipv6.conf.eth0.disable_ipv6" = true;
-
-
-
-
- As with IPv4 networking interfaces are automatically configured via DHCPv6.
- You can configure an interface manually:
-
- networking.interfaces.eth0.ipv6.addresses = [ {
- address = "fe00:aa:bb:cc::2";
- prefixLength = 64;
-} ];
-
-
-
-
- For configuring a gateway, optionally with explicitly specified interface:
-
- = {
- address = "fe00::1";
- interface = "enp0s3";
-};
-
-
-
-
- See for similar examples and additional
- information.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/kubernetes.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/kubernetes.chapter.md
new file mode 100644
index 00000000000..93787577be9
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/kubernetes.chapter.md
@@ -0,0 +1,104 @@
+# Kubernetes {#sec-kubernetes}
+
+The NixOS Kubernetes module is a collective term for a handful of
+individual submodules implementing the Kubernetes cluster components.
+
+There are generally two ways of enabling Kubernetes on NixOS. One way is
+to enable and configure cluster components appropriately by hand:
+
+```nix
+services.kubernetes = {
+ apiserver.enable = true;
+ controllerManager.enable = true;
+ scheduler.enable = true;
+ addonManager.enable = true;
+ proxy.enable = true;
+ flannel.enable = true;
+};
+```
+
+Another way is to assign cluster roles (\"master\" and/or \"node\") to
+the host. This enables apiserver, controllerManager, scheduler,
+addonManager, kube-proxy and etcd:
+
+```nix
+services.kubernetes.roles = [ "master" ];
+```
+
+While this will enable the kubelet and kube-proxy only:
+
+```nix
+services.kubernetes.roles = [ "node" ];
+```
+
+Assigning both the master and node roles is usable if you want a single
+node Kubernetes cluster for dev or testing purposes:
+
+```nix
+services.kubernetes.roles = [ "master" "node" ];
+```
+
+Note: Assigning either role will also default both
+[](#opt-services.kubernetes.flannel.enable)
+and [](#opt-services.kubernetes.easyCerts)
+to true. This sets up flannel as CNI and activates automatic PKI bootstrapping.
+
+As of kubernetes 1.10.X it has been deprecated to open non-tls-enabled
+ports on kubernetes components. Thus, from NixOS 19.03 all plain HTTP
+ports have been disabled by default. While opening insecure ports is
+still possible, it is recommended not to bind these to other interfaces
+than loopback. To re-enable the insecure port on the apiserver, see options:
+[](#opt-services.kubernetes.apiserver.insecurePort) and
+[](#opt-services.kubernetes.apiserver.insecureBindAddress)
+
+::: {.note}
+As of NixOS 19.03, it is mandatory to configure:
+[](#opt-services.kubernetes.masterAddress).
+The masterAddress must be resolveable and routeable by all cluster nodes.
+In single node clusters, this can be set to `localhost`.
+:::
+
+Role-based access control (RBAC) authorization mode is enabled by
+default. This means that anonymous requests to the apiserver secure port
+will expectedly cause a permission denied error. All cluster components
+must therefore be configured with x509 certificates for two-way tls
+communication. The x509 certificate subject section determines the roles
+and permissions granted by the apiserver to perform clusterwide or
+namespaced operations. See also: [ Using RBAC
+Authorization](https://kubernetes.io/docs/reference/access-authn-authz/rbac/).
+
+The NixOS kubernetes module provides an option for automatic certificate
+bootstrapping and configuration,
+[](#opt-services.kubernetes.easyCerts).
+The PKI bootstrapping process involves setting up a certificate authority (CA)
+daemon (cfssl) on the kubernetes master node. cfssl generates a CA-cert
+for the cluster, and uses the CA-cert for signing subordinate certs issued
+to each of the cluster components. Subsequently, the certmgr daemon monitors
+active certificates and renews them when needed. For single node Kubernetes
+clusters, setting [](#opt-services.kubernetes.easyCerts)
+= true is sufficient and no further action is required. For joining extra node
+machines to an existing cluster on the other hand, establishing initial
+trust is mandatory.
+
+To add new nodes to the cluster: On any (non-master) cluster node where
+[](#opt-services.kubernetes.easyCerts)
+is enabled, the helper script `nixos-kubernetes-node-join` is available on PATH.
+Given a token on stdin, it will copy the token to the kubernetes secrets directory
+and restart the certmgr service. As requested certificates are issued, the
+script will restart kubernetes cluster components as needed for them to
+pick up new keypairs.
+
+::: {.note}
+Multi-master (HA) clusters are not supported by the easyCerts module.
+:::
+
+In order to interact with an RBAC-enabled cluster as an administrator,
+one needs to have cluster-admin privileges. By default, when easyCerts
+is enabled, a cluster-admin kubeconfig file is generated and linked into
+`/etc/kubernetes/cluster-admin.kubeconfig` as determined by
+[](#opt-services.kubernetes.pki.etcClusterAdminKubeconfig).
+`export KUBECONFIG=/etc/kubernetes/cluster-admin.kubeconfig` will make
+kubectl use this kubeconfig to access and authenticate the cluster. The
+cluster-admin kubeconfig references an auto-generated keypair owned by
+root. Thus, only root on the kubernetes master may obtain cluster-admin
+rights by means of this file.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/kubernetes.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/kubernetes.xml
deleted file mode 100644
index 54a100e4479..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/kubernetes.xml
+++ /dev/null
@@ -1,112 +0,0 @@
-
- Kubernetes
-
- The NixOS Kubernetes module is a collective term for a handful of individual
- submodules implementing the Kubernetes cluster components.
-
-
- There are generally two ways of enabling Kubernetes on NixOS. One way is to
- enable and configure cluster components appropriately by hand:
-
-services.kubernetes = {
- apiserver.enable = true;
- controllerManager.enable = true;
- scheduler.enable = true;
- addonManager.enable = true;
- proxy.enable = true;
- flannel.enable = true;
-};
-
- Another way is to assign cluster roles ("master" and/or "node") to the host.
- This enables apiserver, controllerManager, scheduler, addonManager,
- kube-proxy and etcd:
-
- = [ "master" ];
-
- While this will enable the kubelet and kube-proxy only:
-
- = [ "node" ];
-
- Assigning both the master and node roles is usable if you want a single node
- Kubernetes cluster for dev or testing purposes:
-
- = [ "master" "node" ];
-
- Note: Assigning either role will also default both
- and
- to true. This sets up
- flannel as CNI and activates automatic PKI bootstrapping.
-
-
- As of kubernetes 1.10.X it has been deprecated to open non-tls-enabled ports
- on kubernetes components. Thus, from NixOS 19.03 all plain HTTP ports have
- been disabled by default. While opening insecure ports is still possible, it
- is recommended not to bind these to other interfaces than loopback. To
- re-enable the insecure port on the apiserver, see options:
- and
-
-
-
-
- As of NixOS 19.03, it is mandatory to configure:
- . The masterAddress
- must be resolveable and routeable by all cluster nodes. In single node
- clusters, this can be set to localhost .
-
-
-
- Role-based access control (RBAC) authorization mode is enabled by default.
- This means that anonymous requests to the apiserver secure port will
- expectedly cause a permission denied error. All cluster components must
- therefore be configured with x509 certificates for two-way tls communication.
- The x509 certificate subject section determines the roles and permissions
- granted by the apiserver to perform clusterwide or namespaced operations. See
- also:
-
- Using RBAC Authorization.
-
-
- The NixOS kubernetes module provides an option for automatic certificate
- bootstrapping and configuration,
- . The PKI bootstrapping
- process involves setting up a certificate authority (CA) daemon (cfssl) on
- the kubernetes master node. cfssl generates a CA-cert for the cluster, and
- uses the CA-cert for signing subordinate certs issued to each of the cluster
- components. Subsequently, the certmgr daemon monitors active certificates and
- renews them when needed. For single node Kubernetes clusters, setting
- = true is sufficient and
- no further action is required. For joining extra node machines to an existing
- cluster on the other hand, establishing initial trust is mandatory.
-
-
- To add new nodes to the cluster: On any (non-master) cluster node where
- is enabled, the helper
- script nixos-kubernetes-node-join is available on PATH.
- Given a token on stdin, it will copy the token to the kubernetes secrets
- directory and restart the certmgr service. As requested certificates are
- issued, the script will restart kubernetes cluster components as needed for
- them to pick up new keypairs.
-
-
-
- Multi-master (HA) clusters are not supported by the easyCerts module.
-
-
-
- In order to interact with an RBAC-enabled cluster as an administrator, one
- needs to have cluster-admin privileges. By default, when easyCerts is
- enabled, a cluster-admin kubeconfig file is generated and linked into
- /etc/kubernetes/cluster-admin.kubeconfig as determined by
- .
- export KUBECONFIG=/etc/kubernetes/cluster-admin.kubeconfig
- will make kubectl use this kubeconfig to access and authenticate the cluster.
- The cluster-admin kubeconfig references an auto-generated keypair owned by
- root. Thus, only root on the kubernetes master may obtain cluster-admin
- rights by means of this file.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/linux-kernel.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/linux-kernel.chapter.md
new file mode 100644
index 00000000000..1d06543d4f1
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/linux-kernel.chapter.md
@@ -0,0 +1,140 @@
+# Linux Kernel {#sec-kernel-config}
+
+You can override the Linux kernel and associated packages using the
+option `boot.kernelPackages`. For instance, this selects the Linux 3.10
+kernel:
+
+```nix
+boot.kernelPackages = pkgs.linuxKernel.packages.linux_3_10;
+```
+
+Note that this not only replaces the kernel, but also packages that are
+specific to the kernel version, such as the NVIDIA video drivers. This
+ensures that driver packages are consistent with the kernel.
+
+While `pkgs.linuxKernel.packages` contains all available kernel packages,
+you may want to use one of the unversioned `pkgs.linuxPackages_*` aliases
+such as `pkgs.linuxPackages_latest`, that are kept up to date with new
+versions.
+
+The default Linux kernel configuration should be fine for most users.
+You can see the configuration of your current kernel with the following
+command:
+
+```ShellSession
+zcat /proc/config.gz
+```
+
+If you want to change the kernel configuration, you can use the
+`packageOverrides` feature (see [](#sec-customising-packages)). For
+instance, to enable support for the kernel debugger KGDB:
+
+```nix
+nixpkgs.config.packageOverrides = pkgs: pkgs.lib.recursiveUpdate pkgs {
+ linuxKernel.kernels.linux_5_10 = pkgs.linuxKernel.kernels.linux_5_10.override {
+ extraConfig = ''
+ KGDB y
+ '';
+ };
+};
+```
+
+`extraConfig` takes a list of Linux kernel configuration options, one
+per line. The name of the option should not include the prefix
+`CONFIG_`. The option value is typically `y`, `n` or `m` (to build
+something as a kernel module).
+
+Kernel modules for hardware devices are generally loaded automatically
+by `udev`. You can force a module to be loaded via
+[](#opt-boot.kernelModules), e.g.
+
+```nix
+boot.kernelModules = [ "fuse" "kvm-intel" "coretemp" ];
+```
+
+If the module is required early during the boot (e.g. to mount the root
+file system), you can use [](#opt-boot.initrd.kernelModules):
+
+```nix
+boot.initrd.kernelModules = [ "cifs" ];
+```
+
+This causes the specified modules and their dependencies to be added to
+the initial ramdisk.
+
+Kernel runtime parameters can be set through
+[](#opt-boot.kernel.sysctl), e.g.
+
+```nix
+boot.kernel.sysctl."net.ipv4.tcp_keepalive_time" = 120;
+```
+
+sets the kernel's TCP keepalive time to 120 seconds. To see the
+available parameters, run `sysctl -a`.
+
+## Customize your kernel {#sec-linux-config-customizing}
+
+The first step before compiling the kernel is to generate an appropriate
+`.config` configuration. Either you pass your own config via the
+`configfile` setting of `linuxKernel.manualConfig`:
+
+```nix
+custom-kernel = let base_kernel = linuxKernel.kernels.linux_4_9;
+ in super.linuxKernel.manualConfig {
+ inherit (super) stdenv hostPlatform;
+ inherit (base_kernel) src;
+ version = "${base_kernel.version}-custom";
+
+ configfile = /home/me/my_kernel_config;
+ allowImportFromDerivation = true;
+};
+```
+
+You can edit the config with this snippet (by default `make
+ menuconfig` won\'t work out of the box on nixos):
+
+```ShellSession
+nix-shell -E 'with import {}; kernelToOverride.overrideAttrs (o: {nativeBuildInputs=o.nativeBuildInputs ++ [ pkg-config ncurses ];})'
+```
+
+or you can let nixpkgs generate the configuration. Nixpkgs generates it
+via answering the interactive kernel utility `make config`. The answers
+depend on parameters passed to
+`pkgs/os-specific/linux/kernel/generic.nix` (which you can influence by
+overriding `extraConfig, autoModules,
+ modDirVersion, preferBuiltin, extraConfig`).
+
+```nix
+mptcp93.override ({
+ name="mptcp-local";
+
+ ignoreConfigErrors = true;
+ autoModules = false;
+ kernelPreferBuiltin = true;
+
+ enableParallelBuilding = true;
+
+ extraConfig = ''
+ DEBUG_KERNEL y
+ FRAME_POINTER y
+ KGDB y
+ KGDB_SERIAL_CONSOLE y
+ DEBUG_INFO y
+ '';
+});
+```
+
+## Developing kernel modules {#sec-linux-config-developing-modules}
+
+When developing kernel modules it\'s often convenient to run
+edit-compile-run loop as quickly as possible. See below snippet as an
+example of developing `mellanox` drivers.
+
+```ShellSession
+$ nix-build '' -A linuxPackages.kernel.dev
+$ nix-shell '' -A linuxPackages.kernel
+$ unpackPhase
+$ cd linux-*
+$ make -C $dev/lib/modules/*/build M=$(pwd)/drivers/net/ethernet/mellanox modules
+# insmod ./drivers/net/ethernet/mellanox/mlx5/core/mlx5_core.ko
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/linux-kernel.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/linux-kernel.xml
deleted file mode 100644
index 529ac1b1cd4..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/linux-kernel.xml
+++ /dev/null
@@ -1,138 +0,0 @@
-
- Linux Kernel
-
- You can override the Linux kernel and associated packages using the option
- boot.kernelPackages . For instance, this selects the Linux
- 3.10 kernel:
-
- = pkgs.linuxPackages_3_10;
-
- Note that this not only replaces the kernel, but also packages that are
- specific to the kernel version, such as the NVIDIA video drivers. This
- ensures that driver packages are consistent with the kernel.
-
-
- The default Linux kernel configuration should be fine for most users. You can
- see the configuration of your current kernel with the following command:
-
-zcat /proc/config.gz
-
- If you want to change the kernel configuration, you can use the
- packageOverrides feature (see
- ). For instance, to enable support
- for the kernel debugger KGDB:
-
-nixpkgs.config.packageOverrides = pkgs:
- { linux_3_4 = pkgs.linux_3_4.override {
- extraConfig =
- ''
- KGDB y
- '';
- };
- };
-
- extraConfig takes a list of Linux kernel configuration
- options, one per line. The name of the option should not include the prefix
- CONFIG_ . The option value is typically
- y , n or m (to build
- something as a kernel module).
-
-
- Kernel modules for hardware devices are generally loaded automatically by
- udev . You can force a module to be loaded via
- , e.g.
-
- = [ "fuse" "kvm-intel" "coretemp" ];
-
- If the module is required early during the boot (e.g. to mount the root file
- system), you can use :
-
- = [ "cifs" ];
-
- This causes the specified modules and their dependencies to be added to the
- initial ramdisk.
-
-
- Kernel runtime parameters can be set through
- , e.g.
-
- ."net.ipv4.tcp_keepalive_time" = 120;
-
- sets the kernel’s TCP keepalive time to 120 seconds. To see the available
- parameters, run sysctl -a .
-
-
- Customize your kernel
-
-
- The first step before compiling the kernel is to generate an appropriate
- .config configuration. Either you pass your own config
- via the configfile setting of
- linuxManualConfig :
-
- You can edit the config with this snippet (by default make
- menuconfig won't work out of the box on nixos):
- {}; kernelToOverride.overrideAttrs (o: {nativeBuildInputs=o.nativeBuildInputs ++ [ pkg-config ncurses ];})'
- ]]>
- or you can let nixpkgs generate the configuration. Nixpkgs generates it via
- answering the interactive kernel utility make config . The
- answers depend on parameters passed to
- pkgs/os-specific/linux/kernel/generic.nix (which you
- can influence by overriding extraConfig, autoModules,
- modDirVersion, preferBuiltin, extraConfig ).
-
-
-
-
- Developing kernel modules
-
-
- When developing kernel modules it's often convenient to run edit-compile-run
- loop as quickly as possible. See below snippet as an example of developing
- mellanox drivers.
-
-
-
-$ nix-build '<nixpkgs>' -A linuxPackages.kernel.dev
-$ nix-shell '<nixpkgs>' -A linuxPackages.kernel
-$ unpackPhase
-$ cd linux-*
-$ make -C $dev/lib/modules/*/build M=$(pwd)/drivers/net/ethernet/mellanox modules
-# insmod ./drivers/net/ethernet/mellanox/mlx5/core/mlx5_core.ko
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/luks-file-systems.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/luks-file-systems.section.md
new file mode 100644
index 00000000000..b5d0407d165
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/luks-file-systems.section.md
@@ -0,0 +1,77 @@
+# LUKS-Encrypted File Systems {#sec-luks-file-systems}
+
+NixOS supports file systems that are encrypted using *LUKS* (Linux
+Unified Key Setup). For example, here is how you create an encrypted
+Ext4 file system on the device
+`/dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d`:
+
+```ShellSession
+# cryptsetup luksFormat /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d
+
+WARNING!
+========
+This will overwrite data on /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d irrevocably.
+
+Are you sure? (Type uppercase yes): YES
+Enter LUKS passphrase: ***
+Verify passphrase: ***
+
+# cryptsetup luksOpen /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d crypted
+Enter passphrase for /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d: ***
+
+# mkfs.ext4 /dev/mapper/crypted
+```
+
+The LUKS volume should be automatically picked up by
+`nixos-generate-config`, but you might want to verify that your
+`hardware-configuration.nix` looks correct. To manually ensure that the
+system is automatically mounted at boot time as `/`, add the following
+to `configuration.nix`:
+
+```nix
+boot.initrd.luks.devices.crypted.device = "/dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d";
+fileSystems."/".device = "/dev/mapper/crypted";
+```
+
+Should grub be used as bootloader, and `/boot` is located on an
+encrypted partition, it is necessary to add the following grub option:
+
+```nix
+boot.loader.grub.enableCryptodisk = true;
+```
+
+## FIDO2 {#sec-luks-file-systems-fido2}
+
+NixOS also supports unlocking your LUKS-Encrypted file system using a
+FIDO2 compatible token. In the following example, we will create a new
+FIDO2 credential and add it as a new key to our existing device
+`/dev/sda2`:
+
+```ShellSession
+# export FIDO2_LABEL="/dev/sda2 @ $HOSTNAME"
+# fido2luks credential "$FIDO2_LABEL"
+f1d00200108b9d6e849a8b388da457688e3dd653b4e53770012d8f28e5d3b269865038c346802f36f3da7278b13ad6a3bb6a1452e24ebeeaa24ba40eef559b1b287d2a2f80b7
+
+# fido2luks -i add-key /dev/sda2 f1d00200108b9d6e849a8b388da457688e3dd653b4e53770012d8f28e5d3b269865038c346802f36f3da7278b13ad6a3bb6a1452e24ebeeaa24ba40eef559b1b287d2a2f80b7
+Password:
+Password (again):
+Old password:
+Old password (again):
+Added to key to device /dev/sda2, slot: 2
+```
+
+To ensure that this file system is decrypted using the FIDO2 compatible
+key, add the following to `configuration.nix`:
+
+```nix
+boot.initrd.luks.fido2Support = true;
+boot.initrd.luks.devices."/dev/sda2".fido2.credential = "f1d00200108b9d6e849a8b388da457688e3dd653b4e53770012d8f28e5d3b269865038c346802f36f3da7278b13ad6a3bb6a1452e24ebeeaa24ba40eef559b1b287d2a2f80b7";
+```
+
+You can also use the FIDO2 passwordless setup, but for security reasons,
+you might want to enable it only when your device is PIN protected, such
+as [Trezor](https://trezor.io/).
+
+```nix
+boot.initrd.luks.devices."/dev/sda2".fido2.passwordLess = true;
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/luks-file-systems.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/luks-file-systems.xml
deleted file mode 100644
index d8654d71ac0..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/luks-file-systems.xml
+++ /dev/null
@@ -1,78 +0,0 @@
-
- LUKS-Encrypted File Systems
-
-
- NixOS supports file systems that are encrypted using
- LUKS (Linux Unified Key Setup). For example, here is how
- you create an encrypted Ext4 file system on the device
- /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d :
-
-# cryptsetup luksFormat /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d
-
-WARNING!
-========
-This will overwrite data on /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d irrevocably.
-
-Are you sure? (Type uppercase yes): YES
-Enter LUKS passphrase: ***
-Verify passphrase: ***
-
-# cryptsetup luksOpen /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d crypted
-Enter passphrase for /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d: ***
-
-# mkfs.ext4 /dev/mapper/crypted
-
- The LUKS volume should be automatically picked up by
- nixos-generate-config , but you might want to verify that your
- hardware-configuration.nix looks correct.
-
- To manually ensure that the system is automatically mounted at boot time as
- / , add the following to
- configuration.nix :
-
- boot.initrd.luks.devices.crypted.device = "/dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d ";
- ."/".device = "/dev/mapper/crypted ";
-
- Should grub be used as bootloader, and /boot is located
- on an encrypted partition, it is necessary to add the following grub option:
- = true;
-
-
- FIDO2
-
-
- NixOS also supports unlocking your LUKS-Encrypted file system using a FIDO2 compatible token. In the following example, we will create a new FIDO2 credential
- and add it as a new key to our existing device /dev/sda2 :
-
-
-# export FIDO2_LABEL="/dev/sda2 @ $HOSTNAME"
-# fido2luks credential "$FIDO2_LABEL"
-f1d00200108b9d6e849a8b388da457688e3dd653b4e53770012d8f28e5d3b269865038c346802f36f3da7278b13ad6a3bb6a1452e24ebeeaa24ba40eef559b1b287d2a2f80b7
-
-# fido2luks -i add-key /dev/sda2 f1d00200108b9d6e849a8b388da457688e3dd653b4e53770012d8f28e5d3b269865038c346802f36f3da7278b13ad6a3bb6a1452e24ebeeaa24ba40eef559b1b287d2a2f80b7
-Password:
-Password (again):
-Old password:
-Old password (again):
-Added to key to device /dev/sda2, slot: 2
-
-
- To ensure that this file system is decrypted using the FIDO2 compatible key, add the following to configuration.nix :
-
- boot.initrd.luks.fido2Support = true;
- boot.initrd.luks.devices."/dev/sda2 ".fido2.credential = "f1d00200108b9d6e849a8b388da457688e3dd653b4e53770012d8f28e5d3b269865038c346802f36f3da7278b13ad6a3bb6a1452e24ebeeaa24ba40eef559b1b287d2a2f80b7 ";
-
-
- You can also use the FIDO2 passwordless setup, but for security reasons, you might want to enable it only when your device is PIN protected, such as Trezor.
-
-
- boot.initrd.luks.devices."/dev/sda2 ".fido2.passwordLess = true;
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/modularity.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/modularity.section.md
new file mode 100644
index 00000000000..3395ace20c4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/modularity.section.md
@@ -0,0 +1,133 @@
+# Modularity {#sec-modularity}
+
+The NixOS configuration mechanism is modular. If your
+`configuration.nix` becomes too big, you can split it into multiple
+files. Likewise, if you have multiple NixOS configurations (e.g. for
+different computers) with some commonality, you can move the common
+configuration into a shared file.
+
+Modules have exactly the same syntax as `configuration.nix`. In fact,
+`configuration.nix` is itself a module. You can use other modules by
+including them from `configuration.nix`, e.g.:
+
+```nix
+{ config, pkgs, ... }:
+
+{ imports = [ ./vpn.nix ./kde.nix ];
+ services.httpd.enable = true;
+ environment.systemPackages = [ pkgs.emacs ];
+ ...
+}
+```
+
+Here, we include two modules from the same directory, `vpn.nix` and
+`kde.nix`. The latter might look like this:
+
+```nix
+{ config, pkgs, ... }:
+
+{ services.xserver.enable = true;
+ services.xserver.displayManager.sddm.enable = true;
+ services.xserver.desktopManager.plasma5.enable = true;
+ environment.systemPackages = [ pkgs.vim ];
+}
+```
+
+Note that both `configuration.nix` and `kde.nix` define the option
+[](#opt-environment.systemPackages). When multiple modules define an
+option, NixOS will try to *merge* the definitions. In the case of
+[](#opt-environment.systemPackages), that's easy: the lists of
+packages can simply be concatenated. The value in `configuration.nix` is
+merged last, so for list-type options, it will appear at the end of the
+merged list. If you want it to appear first, you can use `mkBefore`:
+
+```nix
+boot.kernelModules = mkBefore [ "kvm-intel" ];
+```
+
+This causes the `kvm-intel` kernel module to be loaded before any other
+kernel modules.
+
+For other types of options, a merge may not be possible. For instance,
+if two modules define [](#opt-services.httpd.adminAddr),
+`nixos-rebuild` will give an error:
+
+```plain
+The unique option `services.httpd.adminAddr' is defined multiple times, in `/etc/nixos/httpd.nix' and `/etc/nixos/configuration.nix'.
+```
+
+When that happens, it's possible to force one definition take precedence
+over the others:
+
+```nix
+services.httpd.adminAddr = pkgs.lib.mkForce "bob@example.org";
+```
+
+When using multiple modules, you may need to access configuration values
+defined in other modules. This is what the `config` function argument is
+for: it contains the complete, merged system configuration. That is,
+`config` is the result of combining the configurations returned by every
+module [^1] . For example, here is a module that adds some packages to
+[](#opt-environment.systemPackages) only if
+[](#opt-services.xserver.enable) is set to `true` somewhere else:
+
+```nix
+{ config, pkgs, ... }:
+
+{ environment.systemPackages =
+ if config.services.xserver.enable then
+ [ pkgs.firefox
+ pkgs.thunderbird
+ ]
+ else
+ [ ];
+}
+```
+
+With multiple modules, it may not be obvious what the final value of a
+configuration option is. The command `nixos-option` allows you to find
+out:
+
+```ShellSession
+$ nixos-option services.xserver.enable
+true
+
+$ nixos-option boot.kernelModules
+[ "tun" "ipv6" "loop" ... ]
+```
+
+Interactive exploration of the configuration is possible using `nix
+ repl`, a read-eval-print loop for Nix expressions. A typical use:
+
+```ShellSession
+$ nix repl ''
+
+nix-repl> config.networking.hostName
+"mandark"
+
+nix-repl> map (x: x.hostName) config.services.httpd.virtualHosts
+[ "example.org" "example.gov" ]
+```
+
+While abstracting your configuration, you may find it useful to generate
+modules using code, instead of writing files. The example below would
+have the same effect as importing a file which sets those options.
+
+```nix
+{ config, pkgs, ... }:
+
+let netConfig = hostName: {
+ networking.hostName = hostName;
+ networking.useDHCP = false;
+};
+
+in
+
+{ imports = [ (netConfig "nixos.localdomain") ]; }
+```
+
+[^1]: If you're wondering how it's possible that the (indirect) *result*
+ of a function is passed as an *input* to that same function: that's
+ because Nix is a "lazy" language --- it only computes values when
+ they are needed. This works as long as no individual configuration
+ value depends on itself.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/modularity.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/modularity.xml
deleted file mode 100644
index d6eee4e9d76..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/modularity.xml
+++ /dev/null
@@ -1,146 +0,0 @@
-
- Modularity
-
-
- The NixOS configuration mechanism is modular. If your
- configuration.nix becomes too big, you can split it into
- multiple files. Likewise, if you have multiple NixOS configurations (e.g. for
- different computers) with some commonality, you can move the common
- configuration into a shared file.
-
-
-
- Modules have exactly the same syntax as
- configuration.nix . In fact,
- configuration.nix is itself a module. You can use other
- modules by including them from configuration.nix , e.g.:
-
-{ config, pkgs, ... }:
-
-{ imports = [ ./vpn.nix ./kde.nix ];
- = true;
- = [ pkgs.emacs ];
- ...
-}
-
- Here, we include two modules from the same directory,
- vpn.nix and kde.nix . The latter
- might look like this:
-
-{ config, pkgs, ... }:
-
-{ = true;
- = true;
- = true;
- = [ pkgs.vim ];
-}
-
- Note that both configuration.nix and
- kde.nix define the option
- . When multiple modules
- define an option, NixOS will try to merge the
- definitions. In the case of ,
- that’s easy: the lists of packages can simply be concatenated. The value in
- configuration.nix is merged last, so for list-type
- options, it will appear at the end of the merged list. If you want it to
- appear first, you can use mkBefore :
-
- = mkBefore [ "kvm-intel" ];
-
- This causes the kvm-intel kernel module to be loaded
- before any other kernel modules.
-
-
-
- For other types of options, a merge may not be possible. For instance, if two
- modules define ,
- nixos-rebuild will give an error:
-
-The unique option `services.httpd.adminAddr' is defined multiple times, in `/etc/nixos/httpd.nix' and `/etc/nixos/configuration.nix'.
-
- When that happens, it’s possible to force one definition take precedence
- over the others:
-
- = pkgs.lib.mkForce "bob@example.org";
-
-
-
-
- When using multiple modules, you may need to access configuration values
- defined in other modules. This is what the config function
- argument is for: it contains the complete, merged system configuration. That
- is, config is the result of combining the configurations
- returned by every module
-
-
- If you’re wondering how it’s possible that the (indirect)
- result of a function is passed as an
- input to that same function: that’s because Nix is a
- “lazy” language — it only computes values when they are needed. This
- works as long as no individual configuration value depends on itself.
-
-
- . For example, here is a module that adds some packages to
- only if
- is set to
- true somewhere else:
-
-{ config, pkgs, ... }:
-
-{ =
- if config. then
- [ pkgs.firefox
- pkgs.thunderbird
- ]
- else
- [ ];
-}
-
-
-
-
- With multiple modules, it may not be obvious what the final value of a
- configuration option is. The command nixos-option allows you
- to find out:
-
-$ nixos-option
-true
-
-$ nixos-option
-[ "tun" "ipv6" "loop" ... ]
-
- Interactive exploration of the configuration is possible using nix
- repl , a read-eval-print loop for Nix expressions. A typical use:
-
-$ nix repl '<nixpkgs/nixos>'
-
-nix-repl> config.
-"mandark"
-
-nix-repl> map (x: x.hostName) config.
-[ "example.org" "example.gov" ]
-
-
-
-
- While abstracting your configuration, you may find it useful to generate
- modules using code, instead of writing files. The example below would have
- the same effect as importing a file which sets those options.
-
-{ config, pkgs, ... }:
-
-let netConfig = hostName: {
- networking.hostName = hostName;
- networking.useDHCP = false;
-};
-
-in
-
-{ imports = [ (netConfig "nixos.localdomain") ]; }
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/network-manager.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/network-manager.section.md
new file mode 100644
index 00000000000..4bda21d34a1
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/network-manager.section.md
@@ -0,0 +1,42 @@
+# NetworkManager {#sec-networkmanager}
+
+To facilitate network configuration, some desktop environments use
+NetworkManager. You can enable NetworkManager by setting:
+
+```nix
+networking.networkmanager.enable = true;
+```
+
+some desktop managers (e.g., GNOME) enable NetworkManager automatically
+for you.
+
+All users that should have permission to change network settings must
+belong to the `networkmanager` group:
+
+```nix
+users.users.alice.extraGroups = [ "networkmanager" ];
+```
+
+NetworkManager is controlled using either `nmcli` or `nmtui`
+(curses-based terminal user interface). See their manual pages for
+details on their usage. Some desktop environments (GNOME, KDE) have
+their own configuration tools for NetworkManager. On XFCE, there is no
+configuration tool for NetworkManager by default: by enabling
+[](#opt-programs.nm-applet.enable), the graphical applet will be
+installed and will launch automatically when the graphical session is
+started.
+
+::: {.note}
+`networking.networkmanager` and `networking.wireless` (WPA Supplicant)
+can be used together if desired. To do this you need to instruct
+NetworkManager to ignore those interfaces like:
+
+```nix
+networking.networkmanager.unmanaged = [
+ "*" "except:type:wwan" "except:type:gsm"
+];
+```
+
+Refer to the option description for the exact syntax and references to
+external documentation.
+:::
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/network-manager.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/network-manager.xml
deleted file mode 100644
index 94d229fd803..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/network-manager.xml
+++ /dev/null
@@ -1,48 +0,0 @@
-
- NetworkManager
-
-
- To facilitate network configuration, some desktop environments use
- NetworkManager. You can enable NetworkManager by setting:
-
- = true;
-
- some desktop managers (e.g., GNOME) enable NetworkManager automatically for
- you.
-
-
-
- All users that should have permission to change network settings must belong
- to the networkmanager
group:
-
- users.users.alice.extraGroups = [ "networkmanager" ];
-
-
-
-
- NetworkManager is controlled using either nmcli or
- nmtui (curses-based terminal user interface). See their
- manual pages for details on their usage. Some desktop environments (GNOME,
- KDE) have their own configuration tools for NetworkManager. On XFCE, there is
- no configuration tool for NetworkManager by default: by enabling , the
- graphical applet will be installed and will launch automatically when the graphical session is started.
-
-
-
-
- networking.networkmanager
and networking.wireless
- (WPA Supplicant) can be used together if desired. To do this you need to instruct
- NetworkManager to ignore those interfaces like:
-
- = [
- "*" "except:type:wwan" "except:type:gsm"
-];
-
- Refer to the option description for the exact syntax and references to external documentation.
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/networking.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/networking.chapter.md
new file mode 100644
index 00000000000..529dc0610bd
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/networking.chapter.md
@@ -0,0 +1,16 @@
+# Networking {#sec-networking}
+
+This section describes how to configure networking components
+on your NixOS machine.
+
+```{=docbook}
+
+
+
+
+
+
+
+
+```
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/networking.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/networking.xml
deleted file mode 100644
index 8369e9c9c85..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/networking.xml
+++ /dev/null
@@ -1,20 +0,0 @@
-
- Networking
-
- This section describes how to configure networking components on your NixOS
- machine.
-
-
-
-
-
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/package-mgmt.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/package-mgmt.chapter.md
new file mode 100644
index 00000000000..a6c414be59a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/package-mgmt.chapter.md
@@ -0,0 +1,18 @@
+# Package Management {#sec-package-management}
+
+This section describes how to add additional packages to your system.
+NixOS has two distinct styles of package management:
+
+- *Declarative*, where you declare what packages you want in your
+ `configuration.nix`. Every time you run `nixos-rebuild`, NixOS will
+ ensure that you get a consistent set of binaries corresponding to
+ your specification.
+
+- *Ad hoc*, where you install, upgrade and uninstall packages via the
+ `nix-env` command. This style allows mixing packages from different
+ Nixpkgs versions. It's the only choice for non-root users.
+
+```{=docbook}
+
+
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/package-mgmt.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/package-mgmt.xml
deleted file mode 100644
index e8ac5d0681a..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/package-mgmt.xml
+++ /dev/null
@@ -1,31 +0,0 @@
-
- Package Management
-
- This section describes how to add additional packages to your system. NixOS
- has two distinct styles of package management:
-
-
-
- Declarative , where you declare what packages you want
- in your configuration.nix . Every time you run
- nixos-rebuild , NixOS will ensure that you get a
- consistent set of binaries corresponding to your specification.
-
-
-
-
- Ad hoc , where you install, upgrade and uninstall
- packages via the nix-env command. This style allows
- mixing packages from different Nixpkgs versions. It’s the only choice
- for non-root users.
-
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles.chapter.md
new file mode 100644
index 00000000000..b4ae1b7d3fa
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles.chapter.md
@@ -0,0 +1,34 @@
+# Profiles {#ch-profiles}
+
+In some cases, it may be desirable to take advantage of commonly-used,
+predefined configurations provided by nixpkgs, but different from those
+that come as default. This is a role fulfilled by NixOS\'s Profiles,
+which come as files living in ``. That
+is to say, expected usage is to add them to the imports list of your
+`/etc/configuration.nix` as such:
+
+```nix
+imports = [
+
+];
+```
+
+Even if some of these profiles seem only useful in the context of
+install media, many are actually intended to be used in real installs.
+
+What follows is a brief explanation on the purpose and use-case for each
+profile. Detailing each option configured by each one is out of scope.
+
+```{=docbook}
+
+
+
+
+
+
+
+
+
+
+
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles.xml
deleted file mode 100644
index 9d08f7f7bed..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles.xml
+++ /dev/null
@@ -1,39 +0,0 @@
-
- Profiles
-
- In some cases, it may be desirable to take advantage of commonly-used,
- predefined configurations provided by nixpkgs, but different from those that
- come as default. This is a role fulfilled by NixOS's Profiles, which come as
- files living in <nixpkgs/nixos/modules/profiles> .
- That is to say, expected usage is to add them to the imports list of your
- /etc/configuration.nix as such:
-
-
- imports = [
- <nixpkgs/nixos/modules/profiles/profile-name.nix>
- ];
-
-
- Even if some of these profiles seem only useful in the context of install
- media, many are actually intended to be used in real installs.
-
-
- What follows is a brief explanation on the purpose and use-case for each
- profile. Detailing each option configured by each one is out of scope.
-
-
-
-
-
-
-
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/all-hardware.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/all-hardware.section.md
new file mode 100644
index 00000000000..e2dd7c76089
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/all-hardware.section.md
@@ -0,0 +1,11 @@
+# All Hardware {#sec-profile-all-hardware}
+
+Enables all hardware supported by NixOS: i.e., all firmware is included, and
+all devices from which one may boot are enabled in the initrd. Its primary
+use is in the NixOS installation CDs.
+
+The enabled kernel modules include support for SATA and PATA, SCSI
+(partially), USB, Firewire (untested), Virtio (QEMU, KVM, etc.), VMware, and
+Hyper-V. Additionally, [](#opt-hardware.enableAllFirmware) is
+enabled, and the firmware for the ZyDAS ZD1211 chipset is specifically
+installed.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/all-hardware.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/all-hardware.xml
deleted file mode 100644
index 2936f71069d..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/all-hardware.xml
+++ /dev/null
@@ -1,21 +0,0 @@
-
- All Hardware
-
-
- Enables all hardware supported by NixOS: i.e., all firmware is included, and
- all devices from which one may boot are enabled in the initrd. Its primary
- use is in the NixOS installation CDs.
-
-
-
- The enabled kernel modules include support for SATA and PATA, SCSI
- (partially), USB, Firewire (untested), Virtio (QEMU, KVM, etc.), VMware, and
- Hyper-V. Additionally, is
- enabled, and the firmware for the ZyDAS ZD1211 chipset is specifically
- installed.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/base.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/base.section.md
new file mode 100644
index 00000000000..59b3068fda3
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/base.section.md
@@ -0,0 +1,7 @@
+# Base {#sec-profile-base}
+
+Defines the software packages included in the "minimal" installation CD. It
+installs several utilities useful in a simple recovery or install media, such
+as a text-mode web browser, and tools for manipulating block devices,
+networking, hardware diagnostics, and filesystems (with their respective
+kernel modules).
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/base.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/base.xml
deleted file mode 100644
index b75f6ba25b4..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/base.xml
+++ /dev/null
@@ -1,15 +0,0 @@
-
- Base
-
-
- Defines the software packages included in the "minimal" installation CD. It
- installs several utilities useful in a simple recovery or install media, such
- as a text-mode web browser, and tools for manipulating block devices,
- networking, hardware diagnostics, and filesystems (with their respective
- kernel modules).
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/clone-config.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/clone-config.section.md
new file mode 100644
index 00000000000..e2583715e51
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/clone-config.section.md
@@ -0,0 +1,11 @@
+# Clone Config {#sec-profile-clone-config}
+
+This profile is used in installer images. It provides an editable
+configuration.nix that imports all the modules that were also used when
+creating the image in the first place. As a result it allows users to edit
+and rebuild the live-system.
+
+On images where the installation media also becomes an installation target,
+copying over `configuration.nix` should be disabled by
+setting `installer.cloneConfig` to `false`.
+For example, this is done in `sd-image-aarch64-installer.nix`.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/clone-config.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/clone-config.xml
deleted file mode 100644
index 9c70cf35204..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/clone-config.xml
+++ /dev/null
@@ -1,21 +0,0 @@
-
- Clone Config
-
-
- This profile is used in installer images. It provides an editable
- configuration.nix that imports all the modules that were also used when
- creating the image in the first place. As a result it allows users to edit
- and rebuild the live-system.
-
-
-
- On images where the installation media also becomes an installation target,
- copying over configuration.nix should be disabled by
- setting installer.cloneConfig to false .
- For example, this is done in sd-image-aarch64-installer.nix .
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/demo.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/demo.section.md
new file mode 100644
index 00000000000..0a0df483c12
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/demo.section.md
@@ -0,0 +1,4 @@
+# Demo {#sec-profile-demo}
+
+This profile just enables a `demo` user, with password `demo`, uid `1000`, `wheel` group and
+[autologin in the SDDM display manager](#opt-services.xserver.displayManager.autoLogin).
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/demo.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/demo.xml
deleted file mode 100644
index bc801bb3dc5..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/demo.xml
+++ /dev/null
@@ -1,14 +0,0 @@
-
- Demo
-
-
- This profile just enables a demo
- user, with password demo , uid 1000 ,
- wheel group and
- autologin in the SDDM display manager.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/docker-container.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/docker-container.section.md
new file mode 100644
index 00000000000..f3e29b92f5e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/docker-container.section.md
@@ -0,0 +1,7 @@
+# Docker Container {#sec-profile-docker-container}
+
+This is the profile from which the Docker images are generated. It prepares a
+working system by importing the [Minimal](#sec-profile-minimal) and
+[Clone Config](#sec-profile-clone-config) profiles, and
+setting appropriate configuration options that are useful inside a container
+context, like [](#opt-boot.isContainer).
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/docker-container.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/docker-container.xml
deleted file mode 100644
index efa7b8f24c4..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/docker-container.xml
+++ /dev/null
@@ -1,16 +0,0 @@
-
- Docker Container
-
-
- This is the profile from which the Docker images are generated. It prepares a
- working system by importing the
- Minimal and
- Clone Config profiles, and
- setting appropriate configuration options that are useful inside a container
- context, like .
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/graphical.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/graphical.section.md
new file mode 100644
index 00000000000..aaea5c8c028
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/graphical.section.md
@@ -0,0 +1,10 @@
+# Graphical {#sec-profile-graphical}
+
+Defines a NixOS configuration with the Plasma 5 desktop. It's used by the
+graphical installation CD.
+
+It sets [](#opt-services.xserver.enable),
+[](#opt-services.xserver.displayManager.sddm.enable),
+[](#opt-services.xserver.desktopManager.plasma5.enable),
+and [](#opt-services.xserver.libinput.enable) to true. It also
+includes glxinfo and firefox in the system packages list.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/graphical.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/graphical.xml
deleted file mode 100644
index cc6d0825d24..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/graphical.xml
+++ /dev/null
@@ -1,20 +0,0 @@
-
- Graphical
-
-
- Defines a NixOS configuration with the Plasma 5 desktop. It's used by the
- graphical installation CD.
-
-
-
- It sets ,
- ,
- , and
- to true. It also
- includes glxinfo and firefox in the system packages list.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/hardened.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/hardened.section.md
new file mode 100644
index 00000000000..9fb5e18c384
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/hardened.section.md
@@ -0,0 +1,20 @@
+# Hardened {#sec-profile-hardened}
+
+A profile with most (vanilla) hardening options enabled by default,
+potentially at the cost of stability, features and performance.
+
+This includes a hardened kernel, and limiting the system information
+available to processes through the `/sys` and
+`/proc` filesystems. It also disables the User Namespaces
+feature of the kernel, which stops Nix from being able to build anything
+(this particular setting can be overriden via
+[](#opt-security.allowUserNamespaces)). See the
+[profile source](https://github.com/nixos/nixpkgs/tree/master/nixos/modules/profiles/hardened.nix)
+for further detail on which settings are altered.
+
+::: {.warning}
+This profile enables options that are known to affect system
+stability. If you experience any stability issues when using the
+profile, try disabling it. If you report an issue and use this
+profile, always mention that you do.
+:::
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/hardened.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/hardened.xml
deleted file mode 100644
index 4a51754cc7a..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/hardened.xml
+++ /dev/null
@@ -1,32 +0,0 @@
-
- Hardened
-
-
- A profile with most (vanilla) hardening options enabled by default,
- potentially at the cost of stability, features and performance.
-
-
-
- This includes a hardened kernel, and limiting the system information
- available to processes through the /sys and
- /proc filesystems. It also disables the User Namespaces
- feature of the kernel, which stops Nix from being able to build anything
- (this particular setting can be overriden via
- ). See the
-
- profile source for further detail on which settings are altered.
-
-
-
- This profile enables options that are known to affect system
- stability. If you experience any stability issues when using the
- profile, try disabling it. If you report an issue and use this
- profile, always mention that you do.
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/headless.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/headless.section.md
new file mode 100644
index 00000000000..d185a9a774b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/headless.section.md
@@ -0,0 +1,9 @@
+# Headless {#sec-profile-headless}
+
+Common configuration for headless machines (e.g., Amazon EC2 instances).
+
+Disables [sound](#opt-sound.enable),
+[vesa](#opt-boot.vesa), serial consoles,
+[emergency mode](#opt-systemd.enableEmergencyMode),
+[grub splash images](#opt-boot.loader.grub.splashImage)
+and configures the kernel to reboot automatically on panic.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/headless.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/headless.xml
deleted file mode 100644
index 1b64497ebf7..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/headless.xml
+++ /dev/null
@@ -1,19 +0,0 @@
-
- Headless
-
-
- Common configuration for headless machines (e.g., Amazon EC2 instances).
-
-
-
- Disables sound,
- vesa, serial consoles,
- emergency mode,
- grub splash images
- and configures the kernel to reboot automatically on panic.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/installation-device.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/installation-device.section.md
new file mode 100644
index 00000000000..ae9f8fa7757
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/installation-device.section.md
@@ -0,0 +1,24 @@
+# Installation Device {#sec-profile-installation-device}
+
+Provides a basic configuration for installation devices like CDs.
+This enables redistributable firmware, includes the
+[Clone Config profile](#sec-profile-clone-config)
+and a copy of the Nixpkgs channel, so `nixos-install`
+works out of the box.
+
+Documentation for [Nixpkgs](#opt-documentation.enable)
+and [NixOS](#opt-documentation.nixos.enable) are
+forcefully enabled (to override the
+[Minimal profile](#sec-profile-minimal) preference); the
+NixOS manual is shown automatically on TTY 8, udisks is disabled.
+Autologin is enabled as `nixos` user, while passwordless
+login as both `root` and `nixos` is possible.
+Passwordless `sudo` is enabled too.
+[wpa_supplicant](#opt-networking.wireless.enable) is
+enabled, but configured to not autostart.
+
+It is explained how to login, start the ssh server, and if available,
+how to start the display manager.
+
+Several settings are tweaked so that the installer has a better chance of
+succeeding under low-memory environments.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/installation-device.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/installation-device.xml
deleted file mode 100644
index 192ae955b68..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/installation-device.xml
+++ /dev/null
@@ -1,36 +0,0 @@
-
- Installation Device
-
-
- Provides a basic configuration for installation devices like CDs.
- This enables redistributable firmware, includes the
- Clone Config profile
- and a copy of the Nixpkgs channel, so nixos-install
- works out of the box.
-
-
- Documentation for Nixpkgs
- and NixOS are
- forcefully enabled (to override the
- Minimal profile preference); the
- NixOS manual is shown automatically on TTY 8, udisks is disabled.
- Autologin is enabled as nixos user, while passwordless
- login as both root and nixos is possible.
- Passwordless sudo is enabled too.
- wpa_supplicant is
- enabled, but configured to not autostart.
-
-
- It is explained how to login, start the ssh server, and if available,
- how to start the display manager.
-
-
-
- Several settings are tweaked so that the installer has a better chance of
- succeeding under low-memory environments.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/minimal.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/minimal.section.md
new file mode 100644
index 00000000000..02a3b65ae42
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/minimal.section.md
@@ -0,0 +1,9 @@
+# Minimal {#sec-profile-minimal}
+
+This profile defines a small NixOS configuration. It does not contain any
+graphical stuff. It's a very short file that enables
+[noXlibs](#opt-environment.noXlibs), sets
+[](#opt-i18n.supportedLocales) to
+only support the user-selected locale,
+[disables packages' documentation](#opt-documentation.enable),
+and [disables sound](#opt-sound.enable).
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/minimal.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/minimal.xml
deleted file mode 100644
index 179f2d0be64..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/minimal.xml
+++ /dev/null
@@ -1,17 +0,0 @@
-
- Minimal
-
-
- This profile defines a small NixOS configuration. It does not contain any
- graphical stuff. It's a very short file that enables
- noXlibs, sets
- i18n.supportedLocales to
- only support the user-selected locale,
- disables packages' documentation
- , and disables sound.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/qemu-guest.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/qemu-guest.section.md
new file mode 100644
index 00000000000..d7e3cae9cb0
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/qemu-guest.section.md
@@ -0,0 +1,7 @@
+# QEMU Guest {#sec-profile-qemu-guest}
+
+This profile contains common configuration for virtual machines running under
+QEMU (using virtio).
+
+It makes virtio modules available on the initrd and sets the system time from
+the hardware clock to work around a bug in qemu-kvm.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/qemu-guest.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/qemu-guest.xml
deleted file mode 100644
index 3ed97b94b51..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/profiles/qemu-guest.xml
+++ /dev/null
@@ -1,17 +0,0 @@
-
- QEMU Guest
-
-
- This profile contains common configuration for virtual machines running under
- QEMU (using virtio).
-
-
-
- It makes virtio modules available on the initrd and sets the system time from
- the hardware clock to work around a bug in qemu-kvm.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/renaming-interfaces.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/renaming-interfaces.section.md
new file mode 100644
index 00000000000..b124e8303fe
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/renaming-interfaces.section.md
@@ -0,0 +1,51 @@
+# Renaming network interfaces {#sec-rename-ifs}
+
+NixOS uses the udev [predictable naming
+scheme](https://systemd.io/PREDICTABLE_INTERFACE_NAMES/) to assign names
+to network interfaces. This means that by default cards are not given
+the traditional names like `eth0` or `eth1`, whose order can change
+unpredictably across reboots. Instead, relying on physical locations and
+firmware information, the scheme produces names like `ens1`, `enp2s0`,
+etc.
+
+These names are predictable but less memorable and not necessarily
+stable: for example installing new hardware or changing firmware
+settings can result in a [name
+change](https://github.com/systemd/systemd/issues/3715#issue-165347602).
+If this is undesirable, for example if you have a single ethernet card,
+you can revert to the traditional scheme by setting
+[](#opt-networking.usePredictableInterfaceNames)
+to `false`.
+
+## Assigning custom names {#sec-custom-ifnames}
+
+In case there are multiple interfaces of the same type, it's better to
+assign custom names based on the device hardware address. For example,
+we assign the name `wan` to the interface with MAC address
+`52:54:00:12:01:01` using a netword link unit:
+
+```nix
+systemd.network.links."10-wan" = {
+ matchConfig.MACAddress = "52:54:00:12:01:01";
+ linkConfig.Name = "wan";
+};
+```
+
+Note that links are directly read by udev, *not networkd*, and will work
+even if networkd is disabled.
+
+Alternatively, we can use a plain old udev rule:
+
+```nix
+services.udev.initrdRules = ''
+ SUBSYSTEM=="net", ACTION=="add", DRIVERS=="?*", \
+ ATTR{address}=="52:54:00:12:01:01", KERNEL=="eth*", NAME="wan"
+'';
+```
+
+::: {.warning}
+The rule must be installed in the initrd using
+`services.udev.initrdRules`, not the usual `services.udev.extraRules`
+option. This is to avoid race conditions with other programs controlling
+the interface.
+:::
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/renaming-interfaces.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/renaming-interfaces.xml
deleted file mode 100644
index d760bb3a4da..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/renaming-interfaces.xml
+++ /dev/null
@@ -1,67 +0,0 @@
-
- Renaming network interfaces
-
-
- NixOS uses the udev
- predictable naming scheme
- to assign names to network interfaces. This means that by default
- cards are not given the traditional names like
- eth0 or eth1 , whose order can
- change unpredictably across reboots. Instead, relying on physical
- locations and firmware information, the scheme produces names like
- ens1 , enp2s0 , etc.
-
-
-
- These names are predictable but less memorable and not necessarily
- stable: for example installing new hardware or changing firmware
- settings can result in a
- name change.
- If this is undesirable, for example if you have a single ethernet
- card, you can revert to the traditional scheme by setting
- to
- false .
-
-
-
- Assigning custom names
-
- In case there are multiple interfaces of the same type, it’s better to
- assign custom names based on the device hardware address. For
- example, we assign the name wan to the interface
- with MAC address 52:54:00:12:01:01 using a
- netword link unit:
-
-
- systemd.network.links."10-wan" = {
- matchConfig.MACAddress = "52:54:00:12:01:01";
- linkConfig.Name = "wan";
- };
-
-
- Note that links are directly read by udev, not networkd ,
- and will work even if networkd is disabled.
-
-
- Alternatively, we can use a plain old udev rule:
-
-
- services.udev.initrdRules = ''
- SUBSYSTEM=="net", ACTION=="add", DRIVERS=="?*", \
- ATTR{address}=="52:54:00:12:01:01", KERNEL=="eth*", NAME="wan"
- '';
-
-
-
- The rule must be installed in the initrd using
- services.udev.initrdRules , not the usual
- services.udev.extraRules option. This is to avoid race
- conditions with other programs controlling the interface.
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ssh.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ssh.section.md
new file mode 100644
index 00000000000..cba81eb43f4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ssh.section.md
@@ -0,0 +1,19 @@
+# Secure Shell Access {#sec-ssh}
+
+Secure shell (SSH) access to your machine can be enabled by setting:
+
+```nix
+services.openssh.enable = true;
+```
+
+By default, root logins using a password are disallowed. They can be
+disabled entirely by setting
+[](#opt-services.openssh.permitRootLogin) to `"no"`.
+
+You can declaratively specify authorised RSA/DSA public keys for a user
+as follows:
+
+```nix
+users.users.alice.openssh.authorizedKeys.keys =
+ [ "ssh-dss AAAAB3NzaC1kc3MAAACBAPIkGWVEt4..." ];
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ssh.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ssh.xml
deleted file mode 100644
index 95ad3edff93..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/ssh.xml
+++ /dev/null
@@ -1,27 +0,0 @@
-
- Secure Shell Access
-
-
- Secure shell (SSH) access to your machine can be enabled by setting:
-
- = true;
-
- By default, root logins using a password are disallowed. They can be disabled
- entirely by setting to
- "no" .
-
-
-
- You can declaratively specify authorised RSA/DSA public keys for a user as
- follows:
-
-
- users.users.alice.openssh.authorizedKeys.keys =
- [ "ssh-dss AAAAB3NzaC1kc3MAAACBAPIkGWVEt4..." ];
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/sshfs-file-systems.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/sshfs-file-systems.section.md
index 4625fce03d5..4dd1b203a24 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/sshfs-file-systems.section.md
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/sshfs-file-systems.section.md
@@ -34,7 +34,7 @@ SHA256:yjxl3UbTn31fLWeyLYTAKYJPRmzknjQZoyG8gSNEoIE my-user@workstation
To keep the key safe, change the ownership to `root:root` and make sure the permissions are `600`:
OpenSSH normally refuses to use the key if it's not well-protected.
-The file system can be configured in NixOS via the usual [fileSystems](options.html#opt-fileSystems) option.
+The file system can be configured in NixOS via the usual [fileSystems](#opt-fileSystems) option.
Here's a typical setup:
```nix
{
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/subversion.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/subversion.chapter.md
new file mode 100644
index 00000000000..84f9c270337
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/subversion.chapter.md
@@ -0,0 +1,102 @@
+# Subversion {#module-services-subversion}
+
+[Subversion](https://subversion.apache.org/) is a centralized
+version-control system. It can use a [variety of
+protocols](http://svnbook.red-bean.com/en/1.7/svn-book.html#svn.serverconfig.choosing)
+for communication between client and server.
+
+## Subversion inside Apache HTTP {#module-services-subversion-apache-httpd}
+
+This section focuses on configuring a web-based server on top of the
+Apache HTTP server, which uses
+[WebDAV](http://www.webdav.org/)/[DeltaV](http://www.webdav.org/deltav/WWW10/deltav-intro.htm)
+for communication.
+
+For more information on the general setup, please refer to the [the
+appropriate section of the Subversion
+book](http://svnbook.red-bean.com/en/1.7/svn-book.html#svn.serverconfig.httpd).
+
+To configure, include in `/etc/nixos/configuration.nix` code to activate
+Apache HTTP, setting [](#opt-services.httpd.adminAddr)
+appropriately:
+
+```nix
+services.httpd.enable = true;
+services.httpd.adminAddr = ...;
+networking.firewall.allowedTCPPorts = [ 80 443 ];
+```
+
+For a simple Subversion server with basic authentication, configure the
+Subversion module for Apache as follows, setting `hostName` and
+`documentRoot` appropriately, and `SVNParentPath` to the parent
+directory of the repositories, `AuthzSVNAccessFile` to the location of
+the `.authz` file describing access permission, and `AuthUserFile` to
+the password file.
+
+```nix
+services.httpd.extraModules = [
+ # note that order is *super* important here
+ { name = "dav_svn"; path = "${pkgs.apacheHttpdPackages.subversion}/modules/mod_dav_svn.so"; }
+ { name = "authz_svn"; path = "${pkgs.apacheHttpdPackages.subversion}/modules/mod_authz_svn.so"; }
+ ];
+ services.httpd.virtualHosts = {
+ "svn" = {
+ hostName = HOSTNAME;
+ documentRoot = DOCUMENTROOT;
+ locations."/svn".extraConfig = ''
+ DAV svn
+ SVNParentPath REPO_PARENT
+ AuthzSVNAccessFile ACCESS_FILE
+ AuthName "SVN Repositories"
+ AuthType Basic
+ AuthUserFile PASSWORD_FILE
+ Require valid-user
+ '';
+ }
+```
+
+The key `"svn"` is just a symbolic name identifying the virtual host.
+The `"/svn"` in `locations."/svn".extraConfig` is the path underneath
+which the repositories will be served.
+
+[This page](https://wiki.archlinux.org/index.php/Subversion) explains
+how to set up the Subversion configuration itself. This boils down to
+the following:
+
+Underneath `REPO_PARENT` repositories can be set up as follows:
+
+```ShellSession
+$ svn create REPO_NAME
+```
+
+Repository files need to be accessible by `wwwrun`:
+
+```ShellSession
+$ chown -R wwwrun:wwwrun REPO_PARENT
+```
+
+The password file `PASSWORD_FILE` can be created as follows:
+
+```ShellSession
+$ htpasswd -cs PASSWORD_FILE USER_NAME
+```
+
+Additional users can be set up similarly, omitting the `c` flag:
+
+```ShellSession
+$ htpasswd -s PASSWORD_FILE USER_NAME
+```
+
+The file describing access permissions `ACCESS_FILE` will look something
+like the following:
+
+```nix
+[/]
+* = r
+
+[REPO_NAME:/]
+USER_NAME = rw
+```
+
+The Subversion repositories will be accessible as
+`http://HOSTNAME/svn/REPO_NAME`.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/subversion.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/subversion.xml
deleted file mode 100644
index 940d63cc4e6..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/subversion.xml
+++ /dev/null
@@ -1,140 +0,0 @@
-
- Subversion
-
-
- Subversion
- is a centralized version-control system. It can use a variety
- of protocols for communication between client and server.
-
-
- Subversion inside Apache HTTP
-
-
- This section focuses on configuring a web-based server on top of
- the Apache HTTP server, which uses
- WebDAV/ DeltaV
- for communication.
-
-
- For more information on the general setup, please refer to
- the the
- appropriate section of the Subversion book.
-
-
- To configure, include in
- /etc/nixos/configuration.nix code to activate
- Apache HTTP, setting
- appropriately:
-
-
-
-
- services.httpd.enable = true;
- services.httpd.adminAddr = ...;
- networking.firewall.allowedTCPPorts = [ 80 443 ];
-
-
-
- For a simple Subversion server with basic authentication,
- configure the Subversion module for Apache as follows, setting
- hostName and documentRoot
- appropriately, and SVNParentPath to the parent
- directory of the repositories,
- AuthzSVNAccessFile to the location of the
- .authz
file describing access permission, and
- AuthUserFile to the password file.
-
-
-
-services.httpd.extraModules = [
- # note that order is *super* important here
- { name = "dav_svn"; path = "${pkgs.apacheHttpdPackages.subversion}/modules/mod_dav_svn.so"; }
- { name = "authz_svn"; path = "${pkgs.apacheHttpdPackages.subversion}/modules/mod_authz_svn.so"; }
- ];
- services.httpd.virtualHosts = {
- "svn" = {
- hostName = HOSTNAME;
- documentRoot = DOCUMENTROOT;
- locations."/svn".extraConfig = ''
- DAV svn
- SVNParentPath REPO_PARENT
- AuthzSVNAccessFile ACCESS_FILE
- AuthName "SVN Repositories"
- AuthType Basic
- AuthUserFile PASSWORD_FILE
- Require valid-user
- '';
- }
-
-
-
-
- The key "svn"
is just a symbolic name identifying the
- virtual host. The "/svn"
in
- locations."/svn".extraConfig
is the path underneath
- which the repositories will be served.
-
-
- This
- page explains how to set up the Subversion configuration
- itself. This boils down to the following:
-
-
- Underneath REPO_PARENT repositories can be set up
- as follows:
-
-
-
-$ svn create REPO_NAME
-
-
- Repository files need to be accessible by
- wwwrun :
-
-
-
-$ chown -R wwwrun:wwwrun REPO_PARENT
-
-
-
- The password file PASSWORD_FILE can be created as follows:
-
-
-
-$ htpasswd -cs PASSWORD_FILE USER_NAME
-
-
-
- Additional users can be set up similarly, omitting the
- c
flag:
-
-
-
-$ htpasswd -s PASSWORD_FILE USER_NAME
-
-
-
- The file describing access permissions
- ACCESS_FILE will look something like
- the following:
-
-
-
-[/]
-* = r
-
-[REPO_NAME:/]
-USER_NAME = rw
-
-
- The Subversion repositories will be accessible as http://HOSTNAME/svn/REPO_NAME
.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/summary.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/summary.section.md
new file mode 100644
index 00000000000..8abbbe257fd
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/summary.section.md
@@ -0,0 +1,46 @@
+# Syntax Summary {#sec-nix-syntax-summary}
+
+Below is a summary of the most important syntactic constructs in the Nix
+expression language. It's not complete. In particular, there are many
+other built-in functions. See the [Nix
+manual](https://nixos.org/nix/manual/#chap-writing-nix-expressions) for
+the rest.
+
+| Example | Description |
+|-----------------------------------------------|--------------------------------------------------------------------------------------------------------------------|
+| *Basic values* | |
+| `"Hello world"` | A string |
+| `"${pkgs.bash}/bin/sh"` | A string containing an expression (expands to `"/nix/store/hash-bash-version/bin/sh"`) |
+| `true`, `false` | Booleans |
+| `123` | An integer |
+| `./foo.png` | A path (relative to the containing Nix expression) |
+| *Compound values* | |
+| `{ x = 1; y = 2; }` | A set with attributes named `x` and `y` |
+| `{ foo.bar = 1; }` | A nested set, equivalent to `{ foo = { bar = 1; }; }` |
+| `rec { x = "foo"; y = x + "bar"; }` | A recursive set, equivalent to `{ x = "foo"; y = "foobar"; }` |
+| `[ "foo" "bar" ]` | A list with two elements |
+| *Operators* | |
+| `"foo" + "bar"` | String concatenation |
+| `1 + 2` | Integer addition |
+| `"foo" == "f" + "oo"` | Equality test (evaluates to `true`) |
+| `"foo" != "bar"` | Inequality test (evaluates to `true`) |
+| `!true` | Boolean negation |
+| `{ x = 1; y = 2; }.x` | Attribute selection (evaluates to `1`) |
+| `{ x = 1; y = 2; }.z or 3` | Attribute selection with default (evaluates to `3`) |
+| `{ x = 1; y = 2; } // { z = 3; }` | Merge two sets (attributes in the right-hand set taking precedence) |
+| *Control structures* | |
+| `if 1 + 1 == 2 then "yes!" else "no!"` | Conditional expression |
+| `assert 1 + 1 == 2; "yes!"` | Assertion check (evaluates to `"yes!"`). See [](#sec-assertions) for using assertions in modules |
+| `let x = "foo"; y = "bar"; in x + y` | Variable definition |
+| `with pkgs.lib; head [ 1 2 3 ]` | Add all attributes from the given set to the scope (evaluates to `1`) |
+| *Functions (lambdas)* | |
+| `x: x + 1` | A function that expects an integer and returns it increased by 1 |
+| `(x: x + 1) 100` | A function call (evaluates to 101) |
+| `let inc = x: x + 1; in inc (inc (inc 100))` | A function bound to a variable and subsequently called by name (evaluates to 103) |
+| `{ x, y }: x + y` | A function that expects a set with required attributes `x` and `y` and concatenates them |
+| `{ x, y ? "bar" }: x + y` | A function that expects a set with required attribute `x` and optional `y`, using `"bar"` as default value for `y` |
+| `{ x, y, ... }: x + y` | A function that expects a set with required attributes `x` and `y` and ignores any other attributes |
+| `{ x, y } @ args: x + y` | A function that expects a set with required attributes `x` and `y`, and binds the whole set to `args` |
+| *Built-in functions* | |
+| `import ./foo.nix` | Load and return Nix expression in given file |
+| `map (x: x + x) [ 1 2 3 ]` | Apply a function to every element of a list (evaluates to `[ 2 4 6 ]`) |
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/summary.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/summary.xml
deleted file mode 100644
index 289face16de..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/summary.xml
+++ /dev/null
@@ -1,227 +0,0 @@
-
- Syntax Summary
-
-
- Below is a summary of the most important syntactic constructs in the Nix
- expression language. It’s not complete. In particular, there are many other
- built-in functions. See the
- Nix
- manual for the rest.
-
-
-
-
-
-
-
-
- Example
- Description
-
-
-
-
- Basic values
-
-
-
- "Hello world"
-
- A string
-
-
- "${pkgs.bash}/bin/sh"
-
- A string containing an expression (expands to "/nix/store/hash -bash-version /bin/sh" )
-
-
- true , false
-
- Booleans
-
-
- 123
-
- An integer
-
-
- ./foo.png
-
- A path (relative to the containing Nix expression)
-
-
- Compound values
-
-
-
- { x = 1; y = 2; }
-
- A set with attributes named x and y
-
-
-
- { foo.bar = 1; }
-
- A nested set, equivalent to { foo = { bar = 1; }; }
-
-
-
- rec { x = "foo"; y = x + "bar"; }
-
- A recursive set, equivalent to { x = "foo"; y = "foobar"; }
-
-
-
- [ "foo" "bar" ]
-
- A list with two elements
-
-
- Operators
-
-
-
- "foo" + "bar"
-
- String concatenation
-
-
- 1 + 2
-
- Integer addition
-
-
- "foo" == "f" + "oo"
-
- Equality test (evaluates to true )
-
-
- "foo" != "bar"
-
- Inequality test (evaluates to true )
-
-
- !true
-
- Boolean negation
-
-
- { x = 1; y = 2; }.x
-
- Attribute selection (evaluates to 1 )
-
-
- { x = 1; y = 2; }.z or 3
-
- Attribute selection with default (evaluates to 3 )
-
-
- { x = 1; y = 2; } // { z = 3; }
-
- Merge two sets (attributes in the right-hand set taking precedence)
-
-
- Control structures
-
-
-
- if 1 + 1 == 2 then "yes!" else "no!"
-
- Conditional expression
-
-
- assert 1 + 1 == 2; "yes!"
-
- Assertion check (evaluates to "yes!" ). See for using assertions in modules
-
-
- let x = "foo"; y = "bar"; in x + y
-
- Variable definition
-
-
- with pkgs.lib; head [ 1 2 3 ]
-
- Add all attributes from the given set to the scope
- (evaluates to 1 )
-
-
- Functions (lambdas)
-
-
-
- x: x + 1
-
- A function that expects an integer and returns it increased by 1
-
-
- (x: x + 1) 100
-
- A function call (evaluates to 101)
-
-
- let inc = x: x + 1; in inc (inc (inc 100))
-
- A function bound to a variable and subsequently called by name (evaluates to 103)
-
-
- { x, y }: x + y
-
- A function that expects a set with required attributes
- x and y and concatenates
- them
-
-
- { x, y ? "bar" }: x + y
-
- A function that expects a set with required attribute
- x and optional y , using
- "bar" as default value for
- y
-
-
-
- { x, y, ... }: x + y
-
- A function that expects a set with required attributes
- x and y and ignores any
- other attributes
-
-
- { x, y } @ args: x + y
-
- A function that expects a set with required attributes
- x and y , and binds the
- whole set to args
-
-
-
- Built-in functions
-
-
-
- import ./foo.nix
-
- Load and return Nix expression in given file
-
-
- map (x: x + x) [ 1 2 3 ]
-
- Apply a function to every element of a list (evaluates to [ 2 4 6 ] )
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/user-mgmt.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/user-mgmt.chapter.md
new file mode 100644
index 00000000000..37990664a8f
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/user-mgmt.chapter.md
@@ -0,0 +1,92 @@
+# User Management {#sec-user-management}
+
+NixOS supports both declarative and imperative styles of user
+management. In the declarative style, users are specified in
+`configuration.nix`. For instance, the following states that a user
+account named `alice` shall exist:
+
+```nix
+users.users.alice = {
+ isNormalUser = true;
+ home = "/home/alice";
+ description = "Alice Foobar";
+ extraGroups = [ "wheel" "networkmanager" ];
+ openssh.authorizedKeys.keys = [ "ssh-dss AAAAB3Nza... alice@foobar" ];
+};
+```
+
+Note that `alice` is a member of the `wheel` and `networkmanager`
+groups, which allows her to use `sudo` to execute commands as `root` and
+to configure the network, respectively. Also note the SSH public key
+that allows remote logins with the corresponding private key. Users
+created in this way do not have a password by default, so they cannot
+log in via mechanisms that require a password. However, you can use the
+`passwd` program to set a password, which is retained across invocations
+of `nixos-rebuild`.
+
+If you set [](#opt-users.mutableUsers) to
+false, then the contents of `/etc/passwd` and `/etc/group` will be congruent
+to your NixOS configuration. For instance, if you remove a user from
+[](#opt-users.users) and run nixos-rebuild, the user
+account will cease to exist. Also, imperative commands for managing users and
+groups, such as useradd, are no longer available. Passwords may still be
+assigned by setting the user\'s
+[hashedPassword](#opt-users.users._name_.hashedPassword) option. A
+hashed password can be generated using `mkpasswd -m
+ sha-512`.
+
+A user ID (uid) is assigned automatically. You can also specify a uid
+manually by adding
+
+```nix
+uid = 1000;
+```
+
+to the user specification.
+
+Groups can be specified similarly. The following states that a group
+named `students` shall exist:
+
+```nix
+users.groups.students.gid = 1000;
+```
+
+As with users, the group ID (gid) is optional and will be assigned
+automatically if it's missing.
+
+In the imperative style, users and groups are managed by commands such
+as `useradd`, `groupmod` and so on. For instance, to create a user
+account named `alice`:
+
+```ShellSession
+# useradd -m alice
+```
+
+To make all nix tools available to this new user use \`su - USER\` which
+opens a login shell (==shell that loads the profile) for given user.
+This will create the \~/.nix-defexpr symlink. So run:
+
+```ShellSession
+# su - alice -c "true"
+```
+
+The flag `-m` causes the creation of a home directory for the new user,
+which is generally what you want. The user does not have an initial
+password and therefore cannot log in. A password can be set using the
+`passwd` utility:
+
+```ShellSession
+# passwd alice
+Enter new UNIX password: ***
+Retype new UNIX password: ***
+```
+
+A user can be deleted using `userdel`:
+
+```ShellSession
+# userdel -r alice
+```
+
+The flag `-r` deletes the user's home directory. Accounts can be
+modified using `usermod`. Unix groups can be managed using `groupadd`,
+`groupmod` and `groupdel`.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/user-mgmt.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/user-mgmt.xml
deleted file mode 100644
index e83e7b75ef5..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/user-mgmt.xml
+++ /dev/null
@@ -1,88 +0,0 @@
-
- User Management
-
- NixOS supports both declarative and imperative styles of user management. In
- the declarative style, users are specified in
- configuration.nix . For instance, the following states
- that a user account named alice shall exist:
-
- .alice = {
- isNormalUser = true;
- home = "/home/alice";
- description = "Alice Foobar";
- extraGroups = [ "wheel" "networkmanager" ];
- openssh.authorizedKeys.keys = [ "ssh-dss AAAAB3Nza... alice@foobar" ];
-};
-
- Note that alice is a member of the
- wheel and networkmanager groups, which
- allows her to use sudo to execute commands as
- root and to configure the network, respectively. Also note
- the SSH public key that allows remote logins with the corresponding private
- key. Users created in this way do not have a password by default, so they
- cannot log in via mechanisms that require a password. However, you can use
- the passwd program to set a password, which is retained
- across invocations of nixos-rebuild .
-
-
- If you set to false, then the
- contents of /etc/passwd and /etc/group
- will be congruent to your NixOS configuration. For instance, if you remove a
- user from and run nixos-rebuild, the user
- account will cease to exist. Also, imperative commands for managing users and
- groups, such as useradd, are no longer available. Passwords may still be
- assigned by setting the user's
- hashedPassword
- option. A hashed password can be generated using mkpasswd -m
- sha-512 .
-
-
- A user ID (uid) is assigned automatically. You can also specify a uid
- manually by adding
-
-uid = 1000;
-
- to the user specification.
-
-
- Groups can be specified similarly. The following states that a group named
- students shall exist:
-
- .students.gid = 1000;
-
- As with users, the group ID (gid) is optional and will be assigned
- automatically if it’s missing.
-
-
- In the imperative style, users and groups are managed by commands such as
- useradd , groupmod and so on. For
- instance, to create a user account named alice :
-
-# useradd -m alice
- To make all nix tools available to this new user use `su - USER` which opens
- a login shell (==shell that loads the profile) for given user. This will
- create the ~/.nix-defexpr symlink. So run:
-
-# su - alice -c "true"
- The flag -m causes the creation of a home directory for the
- new user, which is generally what you want. The user does not have an initial
- password and therefore cannot log in. A password can be set using the
- passwd utility:
-
-# passwd alice
-Enter new UNIX password: ***
-Retype new UNIX password: ***
-
- A user can be deleted using userdel :
-
-# userdel -r alice
- The flag -r deletes the user’s home directory. Accounts
- can be modified using usermod . Unix groups can be managed
- using groupadd , groupmod and
- groupdel .
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/wayland.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/wayland.chapter.md
new file mode 100644
index 00000000000..a3a46aa3da6
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/wayland.chapter.md
@@ -0,0 +1,27 @@
+# Wayland {#sec-wayland}
+
+While X11 (see [](#sec-x11)) is still the primary display technology
+on NixOS, Wayland support is steadily improving. Where X11 separates the
+X Server and the window manager, on Wayland those are combined: a
+Wayland Compositor is like an X11 window manager, but also embeds the
+Wayland \'Server\' functionality. This means it is sufficient to install
+a Wayland Compositor such as sway without separately enabling a Wayland
+server:
+
+```nix
+programs.sway.enable = true;
+```
+
+This installs the sway compositor along with some essential utilities.
+Now you can start sway from the TTY console.
+
+If you are using a wlroots-based compositor, like sway, and want to be
+able to share your screen, you might want to activate this option:
+
+```nix
+xdg.portal.wlr.enable = true;
+```
+
+and configure Pipewire using
+[](#opt-services.pipewire.enable)
+and related options.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/wayland.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/wayland.xml
deleted file mode 100644
index 2aefda3e22c..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/wayland.xml
+++ /dev/null
@@ -1,33 +0,0 @@
-
- Wayland
-
-
- While X11 (see ) is still the primary display
- technology on NixOS, Wayland support is steadily improving.
- Where X11 separates the X Server and the window manager, on Wayland those
- are combined: a Wayland Compositor is like an X11 window manager, but also
- embeds the Wayland 'Server' functionality. This means it is sufficient to
- install a Wayland Compositor such as sway without
- separately enabling a Wayland server:
-
- = true;
-
- This installs the sway compositor along with some
- essential utilities. Now you can start sway from the TTY
- console.
-
-
-
- If you are using a wlroots-based compositor, like sway, and want to be able to
- share your screen, you might want to activate this option:
-
- = true;
-
- and configure Pipewire using
- and related options.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/wireless.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/wireless.section.md
new file mode 100644
index 00000000000..6b223d843ac
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/wireless.section.md
@@ -0,0 +1,67 @@
+# Wireless Networks {#sec-wireless}
+
+For a desktop installation using NetworkManager (e.g., GNOME), you just
+have to make sure the user is in the `networkmanager` group and you can
+skip the rest of this section on wireless networks.
+
+NixOS will start wpa_supplicant for you if you enable this setting:
+
+```nix
+networking.wireless.enable = true;
+```
+
+NixOS lets you specify networks for wpa_supplicant declaratively:
+
+```nix
+networking.wireless.networks = {
+ echelon = { # SSID with no spaces or special characters
+ psk = "abcdefgh";
+ };
+ "echelon's AP" = { # SSID with spaces and/or special characters
+ psk = "ijklmnop";
+ };
+ echelon = { # Hidden SSID
+ hidden = true;
+ psk = "qrstuvwx";
+ };
+ free.wifi = {}; # Public wireless network
+};
+```
+
+Be aware that keys will be written to the nix store in plaintext! When
+no networks are set, it will default to using a configuration file at
+`/etc/wpa_supplicant.conf`. You should edit this file yourself to define
+wireless networks, WPA keys and so on (see wpa_supplicant.conf(5)).
+
+If you are using WPA2 you can generate pskRaw key using
+`wpa_passphrase`:
+
+```ShellSession
+$ wpa_passphrase ESSID PSK
+network={
+ ssid="echelon"
+ #psk="abcdefgh"
+ psk=dca6d6ed41f4ab5a984c9f55f6f66d4efdc720ebf66959810f4329bb391c5435
+}
+```
+
+```nix
+networking.wireless.networks = {
+ echelon = {
+ pskRaw = "dca6d6ed41f4ab5a984c9f55f6f66d4efdc720ebf66959810f4329bb391c5435";
+ };
+}
+```
+
+or you can use it to directly generate the `wpa_supplicant.conf`:
+
+```ShellSession
+# wpa_passphrase ESSID PSK > /etc/wpa_supplicant.conf
+```
+
+After you have edited the `wpa_supplicant.conf`, you need to restart the
+wpa_supplicant service.
+
+```ShellSession
+# systemctl restart wpa_supplicant.service
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/wireless.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/wireless.xml
deleted file mode 100644
index 247d29d5831..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/wireless.xml
+++ /dev/null
@@ -1,70 +0,0 @@
-
- Wireless Networks
-
-
- For a desktop installation using NetworkManager (e.g., GNOME), you just have
- to make sure the user is in the networkmanager
group and you can
- skip the rest of this section on wireless networks.
-
-
-
- NixOS will start wpa_supplicant for you if you enable this setting:
-
- = true;
-
- NixOS lets you specify networks for wpa_supplicant declaratively:
-
- = {
- echelon = { # SSID with no spaces or special characters
- psk = "abcdefgh";
- };
- "echelon's AP" = { # SSID with spaces and/or special characters
- psk = "ijklmnop";
- };
- echelon = { # Hidden SSID
- hidden = true;
- psk = "qrstuvwx";
- };
- free.wifi = {}; # Public wireless network
-};
-
- Be aware that keys will be written to the nix store in plaintext! When no
- networks are set, it will default to using a configuration file at
- /etc/wpa_supplicant.conf . You should edit this file
- yourself to define wireless networks, WPA keys and so on (see
- wpa_supplicant.conf
- 5 ).
-
-
-
- If you are using WPA2 you can generate pskRaw key using
- wpa_passphrase :
-
-$ wpa_passphrase ESSID PSK
-network={
- ssid="echelon"
- #psk="abcdefgh"
- psk=dca6d6ed41f4ab5a984c9f55f6f66d4efdc720ebf66959810f4329bb391c5435
-}
-
-
- = {
- echelon = {
- pskRaw = "dca6d6ed41f4ab5a984c9f55f6f66d4efdc720ebf66959810f4329bb391c5435";
- };
-}
-
- or you can use it to directly generate the
- wpa_supplicant.conf :
-
-# wpa_passphrase ESSID PSK > /etc/wpa_supplicant.conf
- After you have edited the wpa_supplicant.conf , you need to
- restart the wpa_supplicant service.
-
-# systemctl restart wpa_supplicant.service
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/x-windows.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/x-windows.chapter.md
new file mode 100644
index 00000000000..2c80b786b26
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/x-windows.chapter.md
@@ -0,0 +1,337 @@
+# X Window System {#sec-x11}
+
+The X Window System (X11) provides the basis of NixOS' graphical user
+interface. It can be enabled as follows:
+
+```nix
+services.xserver.enable = true;
+```
+
+The X server will automatically detect and use the appropriate video
+driver from a set of X.org drivers (such as `vesa` and `intel`). You can
+also specify a driver manually, e.g.
+
+```nix
+services.xserver.videoDrivers = [ "r128" ];
+```
+
+to enable X.org's `xf86-video-r128` driver.
+
+You also need to enable at least one desktop or window manager.
+Otherwise, you can only log into a plain undecorated `xterm` window.
+Thus you should pick one or more of the following lines:
+
+```nix
+services.xserver.desktopManager.plasma5.enable = true;
+services.xserver.desktopManager.xfce.enable = true;
+services.xserver.desktopManager.gnome.enable = true;
+services.xserver.desktopManager.mate.enable = true;
+services.xserver.windowManager.xmonad.enable = true;
+services.xserver.windowManager.twm.enable = true;
+services.xserver.windowManager.icewm.enable = true;
+services.xserver.windowManager.i3.enable = true;
+services.xserver.windowManager.herbstluftwm.enable = true;
+```
+
+NixOS's default *display manager* (the program that provides a graphical
+login prompt and manages the X server) is LightDM. You can select an
+alternative one by picking one of the following lines:
+
+```nix
+services.xserver.displayManager.sddm.enable = true;
+services.xserver.displayManager.gdm.enable = true;
+```
+
+You can set the keyboard layout (and optionally the layout variant):
+
+```nix
+services.xserver.layout = "de";
+services.xserver.xkbVariant = "neo";
+```
+
+The X server is started automatically at boot time. If you don't want
+this to happen, you can set:
+
+```nix
+services.xserver.autorun = false;
+```
+
+The X server can then be started manually:
+
+```ShellSession
+# systemctl start display-manager.service
+```
+
+On 64-bit systems, if you want OpenGL for 32-bit programs such as in
+Wine, you should also set the following:
+
+```nix
+hardware.opengl.driSupport32Bit = true;
+```
+
+## Auto-login {#sec-x11-auto-login .unnumbered}
+
+The x11 login screen can be skipped entirely, automatically logging you
+into your window manager and desktop environment when you boot your
+computer.
+
+This is especially helpful if you have disk encryption enabled. Since
+you already have to provide a password to decrypt your disk, entering a
+second password to login can be redundant.
+
+To enable auto-login, you need to define your default window manager and
+desktop environment. If you wanted no desktop environment and i3 as your
+your window manager, you\'d define:
+
+```nix
+services.xserver.displayManager.defaultSession = "none+i3";
+```
+
+Every display manager in NixOS supports auto-login, here is an example
+using lightdm for a user `alice`:
+
+```nix
+services.xserver.displayManager.lightdm.enable = true;
+services.xserver.displayManager.autoLogin.enable = true;
+services.xserver.displayManager.autoLogin.user = "alice";
+```
+
+## Intel Graphics drivers {#sec-x11--graphics-cards-intel .unnumbered}
+
+There are two choices for Intel Graphics drivers in X.org: `modesetting`
+(included in the xorg-server itself) and `intel` (provided by the
+package xf86-video-intel).
+
+The default and recommended is `modesetting`. It is a generic driver
+which uses the kernel [mode
+setting](https://en.wikipedia.org/wiki/Mode_setting) (KMS) mechanism. It
+supports Glamor (2D graphics acceleration via OpenGL) and is actively
+maintained but may perform worse in some cases (like in old chipsets).
+
+The second driver, `intel`, is specific to Intel GPUs, but not
+recommended by most distributions: it lacks several modern features (for
+example, it doesn\'t support Glamor) and the package hasn\'t been
+officially updated since 2015.
+
+The results vary depending on the hardware, so you may have to try both
+drivers. Use the option
+[](#opt-services.xserver.videoDrivers)
+to set one. The recommended configuration for modern systems is:
+
+```nix
+services.xserver.videoDrivers = [ "modesetting" ];
+services.xserver.useGlamor = true;
+```
+
+If you experience screen tearing no matter what, this configuration was
+reported to resolve the issue:
+
+```nix
+services.xserver.videoDrivers = [ "intel" ];
+services.xserver.deviceSection = ''
+ Option "DRI" "2"
+ Option "TearFree" "true"
+'';
+```
+
+Note that this will likely downgrade the performance compared to
+`modesetting` or `intel` with DRI 3 (default).
+
+## Proprietary NVIDIA drivers {#sec-x11-graphics-cards-nvidia .unnumbered}
+
+NVIDIA provides a proprietary driver for its graphics cards that has
+better 3D performance than the X.org drivers. It is not enabled by
+default because it's not free software. You can enable it as follows:
+
+```nix
+services.xserver.videoDrivers = [ "nvidia" ];
+```
+
+Or if you have an older card, you may have to use one of the legacy
+drivers:
+
+```nix
+services.xserver.videoDrivers = [ "nvidiaLegacy390" ];
+services.xserver.videoDrivers = [ "nvidiaLegacy340" ];
+services.xserver.videoDrivers = [ "nvidiaLegacy304" ];
+```
+
+You may need to reboot after enabling this driver to prevent a clash
+with other kernel modules.
+
+## Proprietary AMD drivers {#sec-x11--graphics-cards-amd .unnumbered}
+
+AMD provides a proprietary driver for its graphics cards that is not
+enabled by default because it's not Free Software, is often broken in
+nixpkgs and as of this writing doesn\'t offer more features or
+performance. If you still want to use it anyway, you need to explicitly
+set:
+
+```nix
+services.xserver.videoDrivers = [ "amdgpu-pro" ];
+```
+
+You will need to reboot after enabling this driver to prevent a clash
+with other kernel modules.
+
+## Touchpads {#sec-x11-touchpads .unnumbered}
+
+Support for Synaptics touchpads (found in many laptops such as the Dell
+Latitude series) can be enabled as follows:
+
+```nix
+services.xserver.libinput.enable = true;
+```
+
+The driver has many options (see [](#ch-options)).
+For instance, the following disables tap-to-click behavior:
+
+```nix
+services.xserver.libinput.touchpad.tapping = false;
+```
+
+Note: the use of `services.xserver.synaptics` is deprecated since NixOS
+17.09.
+
+## GTK/Qt themes {#sec-x11-gtk-and-qt-themes .unnumbered}
+
+GTK themes can be installed either to user profile or system-wide (via
+`environment.systemPackages`). To make Qt 5 applications look similar to
+GTK ones, you can use the following configuration:
+
+```nix
+qt5.enable = true;
+qt5.platformTheme = "gtk2";
+qt5.style = "gtk2";
+```
+
+## Custom XKB layouts {#custom-xkb-layouts .unnumbered}
+
+It is possible to install custom [ XKB
+](https://en.wikipedia.org/wiki/X_keyboard_extension) keyboard layouts
+using the option `services.xserver.extraLayouts`.
+
+As a first example, we are going to create a layout based on the basic
+US layout, with an additional layer to type some greek symbols by
+pressing the right-alt key.
+
+Create a file called `us-greek` with the following content (under a
+directory called `symbols`; it\'s an XKB peculiarity that will help with
+testing):
+
+```nix
+xkb_symbols "us-greek"
+{
+ include "us(basic)" // includes the base US keys
+ include "level3(ralt_switch)" // configures right alt as a third level switch
+
+ key { [ a, A, Greek_alpha ] };
+ key { [ b, B, Greek_beta ] };
+ key { [ g, G, Greek_gamma ] };
+ key { [ d, D, Greek_delta ] };
+ key { [ z, Z, Greek_zeta ] };
+};
+```
+
+A minimal layout specification must include the following:
+
+```nix
+services.xserver.extraLayouts.us-greek = {
+ description = "US layout with alt-gr greek";
+ languages = [ "eng" ];
+ symbolsFile = /yourpath/symbols/us-greek;
+};
+```
+
+::: {.note}
+The name (after `extraLayouts.`) should match the one given to the
+`xkb_symbols` block.
+:::
+
+Applying this customization requires rebuilding several packages, and a
+broken XKB file can lead to the X session crashing at login. Therefore,
+you\'re strongly advised to **test your layout before applying it**:
+
+```ShellSession
+$ nix-shell -p xorg.xkbcomp
+$ setxkbmap -I/yourpath us-greek -print | xkbcomp -I/yourpath - $DISPLAY
+```
+
+You can inspect the predefined XKB files for examples:
+
+```ShellSession
+$ echo "$(nix-build --no-out-link '' -A xorg.xkeyboardconfig)/etc/X11/xkb/"
+```
+
+Once the configuration is applied, and you did a logout/login cycle, the
+layout should be ready to use. You can try it by e.g. running
+`setxkbmap us-greek` and then type `+a` (it may not get applied in
+your terminal straight away). To change the default, the usual
+`services.xserver.layout` option can still be used.
+
+A layout can have several other components besides `xkb_symbols`, for
+example we will define new keycodes for some multimedia key and bind
+these to some symbol.
+
+Use the *xev* utility from `pkgs.xorg.xev` to find the codes of the keys
+of interest, then create a `media-key` file to hold the keycodes
+definitions
+
+```nix
+xkb_keycodes "media"
+{
+ = 123;
+ = 456;
+}
+```
+
+Now use the newly define keycodes in `media-sym`:
+
+```nix
+xkb_symbols "media"
+{
+ key.type = "ONE_LEVEL";
+ key { [ XF86AudioLowerVolume ] };
+ key { [ XF86AudioRaiseVolume ] };
+}
+```
+
+As before, to install the layout do
+
+```nix
+services.xserver.extraLayouts.media = {
+ description = "Multimedia keys remapping";
+ languages = [ "eng" ];
+ symbolsFile = /path/to/media-key;
+ keycodesFile = /path/to/media-sym;
+};
+```
+
+::: {.note}
+The function `pkgs.writeText ` can be useful if you
+prefer to keep the layout definitions inside the NixOS configuration.
+:::
+
+Unfortunately, the Xorg server does not (currently) support setting a
+keymap directly but relies instead on XKB rules to select the matching
+components (keycodes, types, \...) of a layout. This means that
+components other than symbols won\'t be loaded by default. As a
+workaround, you can set the keymap using `setxkbmap` at the start of the
+session with:
+
+```nix
+services.xserver.displayManager.sessionCommands = "setxkbmap -keycodes media";
+```
+
+If you are manually starting the X server, you should set the argument
+`-xkbdir /etc/X11/xkb`, otherwise X won\'t find your layout files. For
+example with `xinit` run
+
+```ShellSession
+$ xinit -- -xkbdir /etc/X11/xkb
+```
+
+To learn how to write layouts take a look at the XKB [documentation
+](https://www.x.org/releases/current/doc/xorg-docs/input/XKB-Enhancing.html#Defining_New_Layouts).
+More example layouts can also be found [here
+](https://wiki.archlinux.org/index.php/X_KeyBoard_extension#Basic_examples).
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/x-windows.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/x-windows.xml
deleted file mode 100644
index f9121508d7d..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/x-windows.xml
+++ /dev/null
@@ -1,355 +0,0 @@
-
- X Window System
-
- The X Window System (X11) provides the basis of NixOS’ graphical user
- interface. It can be enabled as follows:
-
- = true;
-
- The X server will automatically detect and use the appropriate video driver
- from a set of X.org drivers (such as vesa and
- intel ). You can also specify a driver manually, e.g.
-
- = [ "r128" ];
-
- to enable X.org’s xf86-video-r128 driver.
-
-
- You also need to enable at least one desktop or window manager. Otherwise,
- you can only log into a plain undecorated xterm window.
- Thus you should pick one or more of the following lines:
-
- = true;
- = true;
- = true;
- = true;
- = true;
- = true;
- = true;
- = true;
- = true;
-
-
-
- NixOS’s default display manager (the program that
- provides a graphical login prompt and manages the X server) is LightDM. You
- can select an alternative one by picking one of the following lines:
-
- = true;
- = true;
-
-
-
- You can set the keyboard layout (and optionally the layout variant):
-
- = "de";
- = "neo";
-
-
-
- The X server is started automatically at boot time. If you don’t want this
- to happen, you can set:
-
- = false;
-
- The X server can then be started manually:
-
-# systemctl start display-manager.service
-
-
-
- On 64-bit systems, if you want OpenGL for 32-bit programs such as in Wine,
- you should also set the following:
-
- = true;
-
-
-
- Auto-login
-
- The x11 login screen can be skipped entirely, automatically logging you into
- your window manager and desktop environment when you boot your computer.
-
-
- This is especially helpful if you have disk encryption enabled. Since you
- already have to provide a password to decrypt your disk, entering a second
- password to login can be redundant.
-
-
- To enable auto-login, you need to define your default window manager and
- desktop environment. If you wanted no desktop environment and i3 as your your
- window manager, you'd define:
-
- = "none+i3";
-
- Every display manager in NixOS supports auto-login, here is an example
- using lightdm for a user alice :
-
- = true;
- = true;
- = "alice";
-
-
-
-
- Intel Graphics drivers
-
- There are two choices for Intel Graphics drivers in X.org:
- modesetting (included in the xorg-server itself)
- and intel (provided by the package xf86-video-intel ).
-
-
- The default and recommended is modesetting .
- It is a generic driver which uses the kernel
- mode setting
- (KMS) mechanism. It supports Glamor (2D graphics acceleration via OpenGL)
- and is actively maintained but may perform worse in some cases (like in old chipsets).
-
-
- The second driver, intel , is specific to Intel GPUs,
- but not recommended by most distributions: it lacks several modern features
- (for example, it doesn't support Glamor) and the package hasn't been officially
- updated since 2015.
-
-
- The results vary depending on the hardware, so you may have to try both drivers.
- Use the option to set one.
- The recommended configuration for modern systems is:
-
- = [ "modesetting" ];
- = true;
-
- If you experience screen tearing no matter what, this configuration was
- reported to resolve the issue:
-
- = [ "intel" ];
- = ''
- Option "DRI" "2"
- Option "TearFree" "true"
- '';
-
- Note that this will likely downgrade the performance compared to
- modesetting or intel with DRI 3 (default).
-
-
-
- Proprietary NVIDIA drivers
-
- NVIDIA provides a proprietary driver for its graphics cards that has better
- 3D performance than the X.org drivers. It is not enabled by default because
- it’s not free software. You can enable it as follows:
-
- = [ "nvidia" ];
-
- Or if you have an older card, you may have to use one of the legacy drivers:
-
- = [ "nvidiaLegacy390" ];
- = [ "nvidiaLegacy340" ];
- = [ "nvidiaLegacy304" ];
-
- You may need to reboot after enabling this driver to prevent a clash with
- other kernel modules.
-
-
-
- Proprietary AMD drivers
-
- AMD provides a proprietary driver for its graphics cards that is not
- enabled by default because it’s not Free Software, is often broken
- in nixpkgs and as of this writing doesn't offer more features or
- performance. If you still want to use it anyway, you need to explicitly set:
-
- = [ "amdgpu-pro" ];
-
- You will need to reboot after enabling this driver to prevent a clash with
- other kernel modules.
-
-
-
- Touchpads
-
- Support for Synaptics touchpads (found in many laptops such as the Dell
- Latitude series) can be enabled as follows:
-
- = true;
-
- The driver has many options (see ). For
- instance, the following disables tap-to-click behavior:
-
- = false;
-
- Note: the use of services.xserver.synaptics is deprecated
- since NixOS 17.09.
-
-
-
- GTK/Qt themes
-
- GTK themes can be installed either to user profile or system-wide (via
- environment.systemPackages ). To make Qt 5 applications
- look similar to GTK ones, you can use the following configuration:
-
- = true;
- = "gtk2";
- = "gtk2";
-
-
-
-
- Custom XKB layouts
-
- It is possible to install custom
-
- XKB
-
- keyboard layouts using the option
-
- services.xserver.extraLayouts .
-
-
- As a first example, we are going to create a layout based on the basic US
- layout, with an additional layer to type some greek symbols by pressing the
- right-alt key.
-
-
- Create a file called us-greek with the following
- content (under a directory called symbols ; it's
- an XKB peculiarity that will help with testing):
-
-
-xkb_symbols "us-greek"
-{
- include "us(basic)" // includes the base US keys
- include "level3(ralt_switch)" // configures right alt as a third level switch
-
- key <LatA> { [ a, A, Greek_alpha ] };
- key <LatB> { [ b, B, Greek_beta ] };
- key <LatG> { [ g, G, Greek_gamma ] };
- key <LatD> { [ d, D, Greek_delta ] };
- key <LatZ> { [ z, Z, Greek_zeta ] };
-};
-
-
- A minimal layout specification must include the following:
-
-
- .us-greek = {
- description = "US layout with alt-gr greek";
- languages = [ "eng" ];
- symbolsFile = /yourpath/symbols/us-greek;
-};
-
-
-
- The name (after extraLayouts. ) should match the one given to the
- xkb_symbols block.
-
-
-
- Applying this customization requires rebuilding several packages,
- and a broken XKB file can lead to the X session crashing at login.
- Therefore, you're strongly advised to test
- your layout before applying it :
-
-$ nix-shell -p xorg.xkbcomp
-$ setxkbmap -I/yourpath us-greek -print | xkbcomp -I/yourpath - $DISPLAY
-
-
-
- You can inspect the predefined XKB files for examples:
-
-$ echo "$(nix-build --no-out-link '<nixpkgs>' -A xorg.xkeyboardconfig)/etc/X11/xkb/"
-
-
-
- Once the configuration is applied, and you did a logout/login
- cycle, the layout should be ready to use. You can try it by e.g.
- running setxkbmap us-greek and then type
- <alt>+a (it may not get applied in your
- terminal straight away). To change the default, the usual
-
-
- services.xserver.layout
-
-
- option can still be used.
-
-
- A layout can have several other components besides
- xkb_symbols , for example we will define new
- keycodes for some multimedia key and bind these to some symbol.
-
-
- Use the xev utility from
- pkgs.xorg.xev to find the codes of the keys of
- interest, then create a media-key file to hold
- the keycodes definitions
-
-
-xkb_keycodes "media"
-{
- <volUp> = 123;
- <volDown> = 456;
-}
-
-
- Now use the newly define keycodes in media-sym :
-
-
-xkb_symbols "media"
-{
- key.type = "ONE_LEVEL";
- key <volUp> { [ XF86AudioLowerVolume ] };
- key <volDown> { [ XF86AudioRaiseVolume ] };
-}
-
-
- As before, to install the layout do
-
-
- .media = {
- description = "Multimedia keys remapping";
- languages = [ "eng" ];
- symbolsFile = /path/to/media-key;
- keycodesFile = /path/to/media-sym;
-};
-
-
-
- The function pkgs.writeText <filename> <content>
- can be useful if you prefer to keep the layout definitions
- inside the NixOS configuration.
-
-
-
- Unfortunately, the Xorg server does not (currently) support setting a
- keymap directly but relies instead on XKB rules to select the matching
- components (keycodes, types, ...) of a layout. This means that components
- other than symbols won't be loaded by default. As a workaround, you
- can set the keymap using setxkbmap at the start of the
- session with:
-
-
- = "setxkbmap -keycodes media";
-
-
- If you are manually starting the X server, you should set the argument
- -xkbdir /etc/X11/xkb , otherwise X won't find your layout files.
- For example with xinit run
- $ xinit -- -xkbdir /etc/X11/xkb
-
-
- To learn how to write layouts take a look at the XKB
-
- documentation
- . More example layouts can also be found
-
- here
- .
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/xfce.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/xfce.chapter.md
new file mode 100644
index 00000000000..b0ef6682aae
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/xfce.chapter.md
@@ -0,0 +1,52 @@
+# Xfce Desktop Environment {#sec-xfce}
+
+To enable the Xfce Desktop Environment, set
+
+```nix
+services.xserver.desktopManager.xfce.enable = true;
+services.xserver.displayManager.defaultSession = "xfce";
+```
+
+Optionally, *picom* can be enabled for nice graphical effects, some
+example settings:
+
+```nix
+services.picom = {
+ enable = true;
+ fade = true;
+ inactiveOpacity = 0.9;
+ shadow = true;
+ fadeDelta = 4;
+};
+```
+
+Some Xfce programs are not installed automatically. To install them
+manually (system wide), put them into your
+[](#opt-environment.systemPackages) from `pkgs.xfce`.
+
+## Thunar Plugins {#sec-xfce-thunar-plugins .unnumbered}
+
+If you\'d like to add extra plugins to Thunar, add them to
+[](#opt-services.xserver.desktopManager.xfce.thunarPlugins).
+You shouldn\'t just add them to [](#opt-environment.systemPackages).
+
+## Troubleshooting {#sec-xfce-troubleshooting .unnumbered}
+
+Even after enabling udisks2, volume management might not work. Thunar
+and/or the desktop takes time to show up. Thunar will spit out this kind
+of message on start (look at `journalctl --user -b`).
+
+```plain
+Thunar:2410): GVFS-RemoteVolumeMonitor-WARNING **: remote volume monitor with dbus name org.gtk.Private.UDisks2VolumeMonitor is not supported
+```
+
+This is caused by some needed GNOME services not running. This is all
+fixed by enabling \"Launch GNOME services on startup\" in the Advanced
+tab of the Session and Startup settings panel. Alternatively, you can
+run this command to do the same thing.
+
+```ShellSession
+$ xfconf-query -c xfce4-session -p /compat/LaunchGNOME -s true
+```
+
+A log-out and re-log will be needed for this to take effect.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/xfce.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/xfce.xml
deleted file mode 100644
index abcf5f648a4..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/configuration/xfce.xml
+++ /dev/null
@@ -1,59 +0,0 @@
-
- Xfce Desktop Environment
-
- To enable the Xfce Desktop Environment, set
-
- = true;
- = "xfce";
-
-
-
- Optionally, picom can be enabled for nice graphical
- effects, some example settings:
-
- services.picom = {
- enable = true;
- fade = true;
- inactiveOpacity = 0.9;
- shadow = true;
- fadeDelta = 4;
-};
-
-
-
- Some Xfce programs are not installed automatically. To install them manually
- (system wide), put them into your
- from pkgs.xfce .
-
-
- Thunar Plugins
-
- If you'd like to add extra plugins to Thunar, add them to
- .
- You shouldn't just add them to .
-
-
-
- Troubleshooting
-
- Even after enabling udisks2, volume management might not work. Thunar and/or
- the desktop takes time to show up. Thunar will spit out this kind of message
- on start (look at journalctl --user -b ).
-
-Thunar:2410): GVFS-RemoteVolumeMonitor-WARNING **: remote volume monitor with dbus name org.gtk.Private.UDisks2VolumeMonitor is not supported
-
- This is caused by some needed GNOME services not running. This is all fixed
- by enabling "Launch GNOME services on startup" in the Advanced tab of the
- Session and Startup settings panel. Alternatively, you can run this command
- to do the same thing.
-
-$ xfconf-query -c xfce4-session -p /compat/LaunchGNOME -s true
-
- A log-out and re-log will be needed for this to take effect.
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/building-parts.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/building-parts.chapter.md
new file mode 100644
index 00000000000..79ddaa37140
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/building-parts.chapter.md
@@ -0,0 +1,74 @@
+# Building Specific Parts of NixOS {#sec-building-parts}
+
+With the command `nix-build`, you can build specific parts of your NixOS
+configuration. This is done as follows:
+
+```ShellSession
+$ cd /path/to/nixpkgs/nixos
+$ nix-build -A config.option
+```
+
+where `option` is a NixOS option with type "derivation" (i.e. something
+that can be built). Attributes of interest include:
+
+`system.build.toplevel`
+
+: The top-level option that builds the entire NixOS system. Everything
+ else in your configuration is indirectly pulled in by this option.
+ This is what `nixos-rebuild` builds and what `/run/current-system`
+ points to afterwards.
+
+ A shortcut to build this is:
+
+ ```ShellSession
+ $ nix-build -A system
+ ```
+
+`system.build.manual.manualHTML`
+
+: The NixOS manual.
+
+`system.build.etc`
+
+: A tree of symlinks that form the static parts of `/etc`.
+
+`system.build.initialRamdisk` , `system.build.kernel`
+
+: The initial ramdisk and kernel of the system. This allows a quick
+ way to test whether the kernel and the initial ramdisk boot
+ correctly, by using QEMU's `-kernel` and `-initrd` options:
+
+ ```ShellSession
+ $ nix-build -A config.system.build.initialRamdisk -o initrd
+ $ nix-build -A config.system.build.kernel -o kernel
+ $ qemu-system-x86_64 -kernel ./kernel/bzImage -initrd ./initrd/initrd -hda /dev/null
+ ```
+
+`system.build.nixos-rebuild` , `system.build.nixos-install` , `system.build.nixos-generate-config`
+
+: These build the corresponding NixOS commands.
+
+`systemd.units.unit-name.unit`
+
+: This builds the unit with the specified name. Note that since unit
+ names contain dots (e.g. `httpd.service`), you need to put them
+ between quotes, like this:
+
+ ```ShellSession
+ $ nix-build -A 'config.systemd.units."httpd.service".unit'
+ ```
+
+ You can also test individual units, without rebuilding the whole
+ system, by putting them in `/run/systemd/system`:
+
+ ```ShellSession
+ $ cp $(nix-build -A 'config.systemd.units."httpd.service".unit')/httpd.service \
+ /run/systemd/system/tmp-httpd.service
+ # systemctl daemon-reload
+ # systemctl start tmp-httpd.service
+ ```
+
+ Note that the unit must not have the same name as any unit in
+ `/etc/systemd/system` since those take precedence over
+ `/run/systemd/system`. That's why the unit is installed as
+ `tmp-httpd.service` here.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/building-parts.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/building-parts.xml
deleted file mode 100644
index 88369fb891b..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/building-parts.xml
+++ /dev/null
@@ -1,121 +0,0 @@
-
- Building Specific Parts of NixOS
-
- With the command nix-build , you can build specific parts
- of your NixOS configuration. This is done as follows:
-
-$ cd /path/to/nixpkgs/nixos
-$ nix-build -A config.option
- where option is a NixOS option with type
- “derivation” (i.e. something that can be built). Attributes of interest
- include:
-
-
-
- system.build.toplevel
-
-
-
- The top-level option that builds the entire NixOS system. Everything else
- in your configuration is indirectly pulled in by this option. This is
- what nixos-rebuild builds and what
- /run/current-system points to afterwards.
-
-
- A shortcut to build this is:
-
-$ nix-build -A system
-
-
-
-
-
- system.build.manual.manualHTML
-
-
-
- The NixOS manual.
-
-
-
-
-
- system.build.etc
-
-
-
- A tree of symlinks that form the static parts of
- /etc .
-
-
-
-
-
- system.build.initialRamdisk
-
-
- system.build.kernel
-
-
-
- The initial ramdisk and kernel of the system. This allows a quick way to
- test whether the kernel and the initial ramdisk boot correctly, by using
- QEMU’s -kernel and -initrd options:
-
-$ nix-build -A config.system.build.initialRamdisk -o initrd
-$ nix-build -A config.system.build.kernel -o kernel
-$ qemu-system-x86_64 -kernel ./kernel/bzImage -initrd ./initrd/initrd -hda /dev/null
-
-
-
-
-
-
- system.build.nixos-rebuild
-
-
- system.build.nixos-install
-
-
- system.build.nixos-generate-config
-
-
-
- These build the corresponding NixOS commands.
-
-
-
-
-
- systemd.units.unit-name .unit
-
-
-
- This builds the unit with the specified name. Note that since unit names
- contain dots (e.g. httpd.service ), you need to put
- them between quotes, like this:
-
-$ nix-build -A 'config.systemd.units."httpd.service".unit'
-
- You can also test individual units, without rebuilding the whole system,
- by putting them in /run/systemd/system :
-
-$ cp $(nix-build -A 'config.systemd.units."httpd.service".unit')/httpd.service \
- /run/systemd/system/tmp-httpd.service
-# systemctl daemon-reload
-# systemctl start tmp-httpd.service
-
- Note that the unit must not have the same name as any unit in
- /etc/systemd/system since those take precedence over
- /run/systemd/system . That’s why the unit is
- installed as tmp-httpd.service here.
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/development.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/development.xml
index eb505567962..0b2ad60a878 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/development.xml
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/development.xml
@@ -9,11 +9,11 @@
This chapter describes how you can modify and extend NixOS.
-
-
-
-
+
+
+
+
-
-
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/freeform-modules.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/freeform-modules.section.md
new file mode 100644
index 00000000000..10e876b96d5
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/freeform-modules.section.md
@@ -0,0 +1,79 @@
+# Freeform modules {#sec-freeform-modules}
+
+Freeform modules allow you to define values for option paths that have
+not been declared explicitly. This can be used to add attribute-specific
+types to what would otherwise have to be `attrsOf` options in order to
+accept all attribute names.
+
+This feature can be enabled by using the attribute `freeformType` to
+define a freeform type. By doing this, all assignments without an
+associated option will be merged using the freeform type and combined
+into the resulting `config` set. Since this feature nullifies name
+checking for entire option trees, it is only recommended for use in
+submodules.
+
+::: {#ex-freeform-module .example}
+::: {.title}
+**Example: Freeform submodule**
+:::
+The following shows a submodule assigning a freeform type that allows
+arbitrary attributes with `str` values below `settings`, but also
+declares an option for the `settings.port` attribute to have it
+type-checked and assign a default value. See
+[Example: Declaring a type-checked `settings` attribute](#ex-settings-typed-attrs)
+for a more complete example.
+
+```nix
+{ lib, config, ... }: {
+
+ options.settings = lib.mkOption {
+ type = lib.types.submodule {
+
+ freeformType = with lib.types; attrsOf str;
+
+ # We want this attribute to be checked for the correct type
+ options.port = lib.mkOption {
+ type = lib.types.port;
+ # Declaring the option also allows defining a default value
+ default = 8080;
+ };
+
+ };
+ };
+}
+```
+
+And the following shows what such a module then allows
+
+```nix
+{
+ # Not a declared option, but the freeform type allows this
+ settings.logLevel = "debug";
+
+ # Not allowed because the the freeform type only allows strings
+ # settings.enable = true;
+
+ # Allowed because there is a port option declared
+ settings.port = 80;
+
+ # Not allowed because the port option doesn't allow strings
+ # settings.port = "443";
+}
+```
+:::
+
+::: {.note}
+Freeform attributes cannot depend on other attributes of the same set
+without infinite recursion:
+
+```nix
+{
+ # This throws infinite recursion encountered
+ settings.logLevel = lib.mkIf (config.settings.port == 80) "debug";
+}
+```
+
+To prevent this, declare options for all attributes that need to depend
+on others. For above example this means to declare `logLevel` to be an
+option.
+:::
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/freeform-modules.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/freeform-modules.xml
deleted file mode 100644
index 257e6b11bf0..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/freeform-modules.xml
+++ /dev/null
@@ -1,68 +0,0 @@
-
- Freeform modules
-
- Freeform modules allow you to define values for option paths that have not been declared explicitly. This can be used to add attribute-specific types to what would otherwise have to be attrsOf options in order to accept all attribute names.
-
-
- This feature can be enabled by using the attribute freeformType to define a freeform type. By doing this, all assignments without an associated option will be merged using the freeform type and combined into the resulting config set. Since this feature nullifies name checking for entire option trees, it is only recommended for use in submodules.
-
-
- Freeform submodule
-
- The following shows a submodule assigning a freeform type that allows arbitrary attributes with str values below settings , but also declares an option for the settings.port attribute to have it type-checked and assign a default value. See for a more complete example.
-
-
-{ lib, config, ... }: {
-
- options.settings = lib.mkOption {
- type = lib.types.submodule {
-
- freeformType = with lib.types; attrsOf str;
-
- # We want this attribute to be checked for the correct type
- options.port = lib.mkOption {
- type = lib.types.port;
- # Declaring the option also allows defining a default value
- default = 8080;
- };
-
- };
- };
-}
-
-
- And the following shows what such a module then allows
-
-
-{
- # Not a declared option, but the freeform type allows this
- settings.logLevel = "debug";
-
- # Not allowed because the the freeform type only allows strings
- # settings.enable = true;
-
- # Allowed because there is a port option declared
- settings.port = 80;
-
- # Not allowed because the port option doesn't allow strings
- # settings.port = "443";
-}
-
-
-
-
- Freeform attributes cannot depend on other attributes of the same set without infinite recursion:
-
-{
- # This throws infinite recursion encountered
- settings.logLevel = lib.mkIf (config.settings.port == 80) "debug";
-}
-
- To prevent this, declare options for all attributes that need to depend on others. For above example this means to declare logLevel to be an option.
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/importing-modules.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/importing-modules.section.md
new file mode 100644
index 00000000000..65d78959b8e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/importing-modules.section.md
@@ -0,0 +1,46 @@
+# Importing Modules {#sec-importing-modules}
+
+Sometimes NixOS modules need to be used in configuration but exist
+outside of Nixpkgs. These modules can be imported:
+
+```nix
+{ config, lib, pkgs, ... }:
+
+{
+ imports =
+ [ # Use a locally-available module definition in
+ # ./example-module/default.nix
+ ./example-module
+ ];
+
+ services.exampleModule.enable = true;
+}
+```
+
+The environment variable `NIXOS_EXTRA_MODULE_PATH` is an absolute path
+to a NixOS module that is included alongside the Nixpkgs NixOS modules.
+Like any NixOS module, this module can import additional modules:
+
+```nix
+# ./module-list/default.nix
+[
+ ./example-module1
+ ./example-module2
+]
+```
+
+```nix
+# ./extra-module/default.nix
+{ imports = import ./module-list.nix; }
+```
+
+```nix
+# NIXOS_EXTRA_MODULE_PATH=/absolute/path/to/extra-module
+{ config, lib, pkgs, ... }:
+
+{
+ # No `imports` needed
+
+ services.exampleModule1.enable = true;
+}
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/importing-modules.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/importing-modules.xml
deleted file mode 100644
index 1c6a5671eda..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/importing-modules.xml
+++ /dev/null
@@ -1,56 +0,0 @@
-
- Importing Modules
-
-
- Sometimes NixOS modules need to be used in configuration but exist outside of
- Nixpkgs. These modules can be imported:
-
-
-
-{ config, lib, pkgs, ... }:
-
-{
- imports =
- [ # Use a locally-available module definition in
- # ./example-module/default.nix
- ./example-module
- ];
-
- services.exampleModule.enable = true;
-}
-
-
-
- The environment variable NIXOS_EXTRA_MODULE_PATH is an
- absolute path to a NixOS module that is included alongside the Nixpkgs NixOS
- modules. Like any NixOS module, this module can import additional modules:
-
-
-
-# ./module-list/default.nix
-[
- ./example-module1
- ./example-module2
-]
-
-
-
-# ./extra-module/default.nix
-{ imports = import ./module-list.nix; }
-
-
-
-# NIXOS_EXTRA_MODULE_PATH=/absolute/path/to/extra-module
-{ config, lib, pkgs, ... }:
-
-{
- # No `imports` needed
-
- services.exampleModule1.enable = true;
-}
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/meta-attributes.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/meta-attributes.section.md
new file mode 100644
index 00000000000..ca4ba007f7d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/meta-attributes.section.md
@@ -0,0 +1,40 @@
+# Meta Attributes {#sec-meta-attributes}
+
+Like Nix packages, NixOS modules can declare meta-attributes to provide
+extra information. Module meta attributes are defined in the `meta.nix`
+special module.
+
+`meta` is a top level attribute like `options` and `config`. Available
+meta-attributes are `maintainers` and `doc`.
+
+Each of the meta-attributes must be defined at most once per module
+file.
+
+```nix
+{ config, lib, pkgs, ... }:
+{
+ options = {
+ ...
+ };
+
+ config = {
+ ...
+ };
+
+ meta = {
+ maintainers = with lib.maintainers; [ ericsagnes ];
+ doc = ./default.xml;
+ };
+}
+```
+
+- `maintainers` contains a list of the module maintainers.
+
+- `doc` points to a valid DocBook file containing the module
+ documentation. Its contents is automatically added to
+ [](#ch-configuration). Changes to a module documentation have to
+ be checked to not break building the NixOS manual:
+
+ ```ShellSession
+ $ nix-build nixos/release.nix -A manual.x86_64-linux
+ ```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/meta-attributes.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/meta-attributes.xml
deleted file mode 100644
index c40be0a50c3..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/meta-attributes.xml
+++ /dev/null
@@ -1,63 +0,0 @@
-
- Meta Attributes
-
-
- Like Nix packages, NixOS modules can declare meta-attributes to provide extra
- information. Module meta attributes are defined in the
- meta.nix
- special module.
-
-
-
- meta is a top level attribute like
- options and config . Available
- meta-attributes are maintainers and
- doc .
-
-
-
- Each of the meta-attributes must be defined at most once per module file.
-
-
-
-{ config, lib, pkgs, ... }:
-{
- options = {
- ...
- };
-
- config = {
- ...
- };
-
- meta = {
- maintainers = with lib.maintainers; [ ericsagnes ];
- doc = ./default.xml;
- };
-}
-
-
-
-
-
- maintainers contains a list of the module maintainers.
-
-
-
-
- doc points to a valid DocBook file containing the module
- documentation. Its contents is automatically added to
- . Changes to a module documentation
- have to be checked to not break building the NixOS manual:
-
-$ nix-build nixos/release.nix -A manual.x86_64-linux
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/nixos-tests.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/nixos-tests.chapter.md
new file mode 100644
index 00000000000..2a4fdddeaa6
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/nixos-tests.chapter.md
@@ -0,0 +1,13 @@
+# NixOS Tests {#sec-nixos-tests}
+
+When you add some feature to NixOS, you should write a test for it.
+NixOS tests are kept in the directory `nixos/tests`, and are executed
+(using Nix) by a testing framework that automatically starts one or more
+virtual machines containing the NixOS system(s) required for the test.
+
+```{=docbook}
+
+
+
+
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/nixos-tests.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/nixos-tests.xml
deleted file mode 100644
index 67dc09fc715..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/nixos-tests.xml
+++ /dev/null
@@ -1,20 +0,0 @@
-
- NixOS Tests
-
- When you add some feature to NixOS, you should write a test for it. NixOS
- tests are kept in the directory
- nixos/tests ,
- and are executed (using Nix) by a testing framework that automatically starts
- one or more virtual machines containing the NixOS system(s) required for the
- test.
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-declarations.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-declarations.section.md
new file mode 100644
index 00000000000..be56529992a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-declarations.section.md
@@ -0,0 +1,146 @@
+# Option Declarations {#sec-option-declarations}
+
+An option declaration specifies the name, type and description of a
+NixOS configuration option. It is invalid to define an option that
+hasn't been declared in any module. An option declaration generally
+looks like this:
+
+```nix
+options = {
+ name = mkOption {
+ type = type specification;
+ default = default value;
+ example = example value;
+ description = "Description for use in the NixOS manual.";
+ };
+};
+```
+
+The attribute names within the `name` attribute path must be camel
+cased in general but should, as an exception, match the [ package
+attribute name](https://nixos.org/nixpkgs/manual/#sec-package-naming)
+when referencing a Nixpkgs package. For example, the option
+`services.nix-serve.bindAddress` references the `nix-serve` Nixpkgs
+package.
+
+The function `mkOption` accepts the following arguments.
+
+`type`
+
+: The type of the option (see [](#sec-option-types)). It may be
+ omitted, but that's not advisable since it may lead to errors that
+ are hard to diagnose.
+
+`default`
+
+: The default value used if no value is defined by any module. A
+ default is not required; but if a default is not given, then users
+ of the module will have to define the value of the option, otherwise
+ an error will be thrown.
+
+`defaultText`
+
+: A textual representation of the default value to be rendered verbatim in
+ the manual. Useful if the default value is a complex expression or depends
+ on other values or packages.
+ Use `lib.literalExpression` for a Nix expression, `lib.literalDocBook` for
+ a plain English description in DocBook format.
+
+`example`
+
+: An example value that will be shown in the NixOS manual.
+ You can use `lib.literalExpression` and `lib.literalDocBook` in the same way
+ as in `defaultText`.
+
+`description`
+
+: A textual description of the option, in DocBook format, that will be
+ included in the NixOS manual.
+
+## Extensible Option Types {#sec-option-declarations-eot}
+
+Extensible option types is a feature that allow to extend certain types
+declaration through multiple module files. This feature only work with a
+restricted set of types, namely `enum` and `submodules` and any composed
+forms of them.
+
+Extensible option types can be used for `enum` options that affects
+multiple modules, or as an alternative to related `enable` options.
+
+As an example, we will take the case of display managers. There is a
+central display manager module for generic display manager options and a
+module file per display manager backend (sddm, gdm \...).
+
+There are two approach to this module structure:
+
+- Managing the display managers independently by adding an enable
+ option to every display manager module backend. (NixOS)
+
+- Managing the display managers in the central module by adding an
+ option to select which display manager backend to use.
+
+Both approaches have problems.
+
+Making backends independent can quickly become hard to manage. For
+display managers, there can be only one enabled at a time, but the type
+system can not enforce this restriction as there is no relation between
+each backend `enable` option. As a result, this restriction has to be
+done explicitely by adding assertions in each display manager backend
+module.
+
+On the other hand, managing the display managers backends in the central
+module will require to change the central module option every time a new
+backend is added or removed.
+
+By using extensible option types, it is possible to create a placeholder
+option in the central module
+([Example: Extensible type placeholder in the service module](#ex-option-declaration-eot-service)),
+and to extend it in each backend module
+([Example: Extending `services.xserver.displayManager.enable` in the `gdm` module](#ex-option-declaration-eot-backend-gdm),
+[Example: Extending `services.xserver.displayManager.enable` in the `sddm` module](#ex-option-declaration-eot-backend-sddm)).
+
+As a result, `displayManager.enable` option values can be added without
+changing the main service module file and the type system automatically
+enforce that there can only be a single display manager enabled.
+
+::: {#ex-option-declaration-eot-service .example}
+::: {.title}
+**Example: Extensible type placeholder in the service module**
+:::
+```nix
+services.xserver.displayManager.enable = mkOption {
+ description = "Display manager to use";
+ type = with types; nullOr (enum [ ]);
+};
+```
+:::
+
+::: {#ex-option-declaration-eot-backend-gdm .example}
+::: {.title}
+**Example: Extending `services.xserver.displayManager.enable` in the `gdm` module**
+:::
+```nix
+services.xserver.displayManager.enable = mkOption {
+ type = with types; nullOr (enum [ "gdm" ]);
+};
+```
+:::
+
+::: {#ex-option-declaration-eot-backend-sddm .example}
+::: {.title}
+**Example: Extending `services.xserver.displayManager.enable` in the `sddm` module**
+:::
+```nix
+services.xserver.displayManager.enable = mkOption {
+ type = with types; nullOr (enum [ "sddm" ]);
+};
+```
+:::
+
+The placeholder declaration is a standard `mkOption` declaration, but it
+is important that extensible option declarations only use the `type`
+argument.
+
+Extensible option types work with any of the composed variants of `enum`
+such as `with types; nullOr (enum [ "foo" "bar" ])` or `with types;
+listOf (enum [ "foo" "bar" ])`.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-declarations.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-declarations.xml
deleted file mode 100644
index 56ebf481630..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-declarations.xml
+++ /dev/null
@@ -1,199 +0,0 @@
-
- Option Declarations
-
-
- An option declaration specifies the name, type and description of a NixOS
- configuration option. It is invalid to define an option that hasn’t been
- declared in any module. An option declaration generally looks like this:
-
-options = {
- name = mkOption {
- type = type specification ;
- default = default value ;
- example = example value ;
- description = "Description for use in the NixOS manual. ";
- };
-};
-
- The attribute names within the name attribute path
- must be camel cased in general but should, as an exception, match the
-
- package attribute name when referencing a Nixpkgs package. For
- example, the option services.nix-serve.bindAddress
- references the nix-serve Nixpkgs package.
-
-
-
- The function mkOption accepts the following arguments.
-
-
-
- type
-
-
-
- The type of the option (see ). It may
- be omitted, but that’s not advisable since it may lead to errors that
- are hard to diagnose.
-
-
-
-
-
- default
-
-
-
- The default value used if no value is defined by any module. A default is
- not required; but if a default is not given, then users of the module
- will have to define the value of the option, otherwise an error will be
- thrown.
-
-
-
-
-
- example
-
-
-
- An example value that will be shown in the NixOS manual.
-
-
-
-
-
- description
-
-
-
- A textual description of the option, in DocBook format, that will be
- included in the NixOS manual.
-
-
-
-
-
-
-
- Extensible Option Types
-
-
- Extensible option types is a feature that allow to extend certain types
- declaration through multiple module files. This feature only work with a
- restricted set of types, namely enum and
- submodules and any composed forms of them.
-
-
-
- Extensible option types can be used for enum options that
- affects multiple modules, or as an alternative to related
- enable options.
-
-
-
- As an example, we will take the case of display managers. There is a central
- display manager module for generic display manager options and a module file
- per display manager backend (sddm, gdm ...).
-
-
-
- There are two approach to this module structure:
-
-
-
- Managing the display managers independently by adding an enable option to
- every display manager module backend. (NixOS)
-
-
-
-
- Managing the display managers in the central module by adding an option
- to select which display manager backend to use.
-
-
-
-
-
-
- Both approaches have problems.
-
-
-
- Making backends independent can quickly become hard to manage. For display
- managers, there can be only one enabled at a time, but the type system can
- not enforce this restriction as there is no relation between each backend
- enable option. As a result, this restriction has to be
- done explicitely by adding assertions in each display manager backend
- module.
-
-
-
- On the other hand, managing the display managers backends in the central
- module will require to change the central module option every time a new
- backend is added or removed.
-
-
-
- By using extensible option types, it is possible to create a placeholder
- option in the central module
- ( ), and to extend
- it in each backend module
- ( ,
- ).
-
-
-
- As a result, displayManager.enable option values can be
- added without changing the main service module file and the type system
- automatically enforce that there can only be a single display manager
- enabled.
-
-
-
- Extensible type placeholder in the service module
-
-services.xserver.displayManager.enable = mkOption {
- description = "Display manager to use";
- type = with types; nullOr (enum [ ]);
-};
-
-
-
- Extending services.xserver.displayManager.enable in the gdm module
-
-services.xserver.displayManager.enable = mkOption {
- type = with types; nullOr (enum [ "gdm" ]);
-};
-
-
-
- Extending services.xserver.displayManager.enable in the sddm module
-
-services.xserver.displayManager.enable = mkOption {
- type = with types; nullOr (enum [ "sddm" ]);
-};
-
-
-
- The placeholder declaration is a standard mkOption
- declaration, but it is important that extensible option declarations only
- use the type argument.
-
-
-
- Extensible option types work with any of the composed variants of
- enum such as with types; nullOr (enum [ "foo"
- "bar" ]) or with types; listOf (enum [ "foo" "bar"
- ]) .
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-def.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-def.section.md
new file mode 100644
index 00000000000..91b24cd4a3a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-def.section.md
@@ -0,0 +1,91 @@
+# Option Definitions {#sec-option-definitions}
+
+Option definitions are generally straight-forward bindings of values to
+option names, like
+
+```nix
+config = {
+ services.httpd.enable = true;
+};
+```
+
+However, sometimes you need to wrap an option definition or set of
+option definitions in a *property* to achieve certain effects:
+
+## Delaying Conditionals {#sec-option-definitions-delaying-conditionals .unnumbered}
+
+If a set of option definitions is conditional on the value of another
+option, you may need to use `mkIf`. Consider, for instance:
+
+```nix
+config = if config.services.httpd.enable then {
+ environment.systemPackages = [ ... ];
+ ...
+} else {};
+```
+
+This definition will cause Nix to fail with an "infinite recursion"
+error. Why? Because the value of `config.services.httpd.enable` depends
+on the value being constructed here. After all, you could also write the
+clearly circular and contradictory:
+
+```nix
+config = if config.services.httpd.enable then {
+ services.httpd.enable = false;
+} else {
+ services.httpd.enable = true;
+};
+```
+
+The solution is to write:
+
+```nix
+config = mkIf config.services.httpd.enable {
+ environment.systemPackages = [ ... ];
+ ...
+};
+```
+
+The special function `mkIf` causes the evaluation of the conditional to
+be "pushed down" into the individual definitions, as if you had written:
+
+```nix
+config = {
+ environment.systemPackages = if config.services.httpd.enable then [ ... ] else [];
+ ...
+};
+```
+
+## Setting Priorities {#sec-option-definitions-setting-priorities .unnumbered}
+
+A module can override the definitions of an option in other modules by
+setting a *priority*. All option definitions that do not have the lowest
+priority value are discarded. By default, option definitions have
+priority 1000. You can specify an explicit priority by using
+`mkOverride`, e.g.
+
+```nix
+services.openssh.enable = mkOverride 10 false;
+```
+
+This definition causes all other definitions with priorities above 10 to
+be discarded. The function `mkForce` is equal to `mkOverride 50`.
+
+## Merging Configurations {#sec-option-definitions-merging .unnumbered}
+
+In conjunction with `mkIf`, it is sometimes useful for a module to
+return multiple sets of option definitions, to be merged together as if
+they were declared in separate modules. This can be done using
+`mkMerge`:
+
+```nix
+config = mkMerge
+ [ # Unconditional stuff.
+ { environment.systemPackages = [ ... ];
+ }
+ # Conditional stuff.
+ (mkIf config.services.bla.enable {
+ environment.systemPackages = [ ... ];
+ })
+ ];
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-def.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-def.xml
deleted file mode 100644
index 50a705d0cb8..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-def.xml
+++ /dev/null
@@ -1,99 +0,0 @@
-
- Option Definitions
-
-
- Option definitions are generally straight-forward bindings of values to
- option names, like
-
-config = {
- services.httpd.enable = true;
-};
-
- However, sometimes you need to wrap an option definition or set of option
- definitions in a property to achieve certain effects:
-
-
-
- Delaying Conditionals
-
- If a set of option definitions is conditional on the value of another
- option, you may need to use mkIf . Consider, for instance:
-
-config = if config.services.httpd.enable then {
- environment.systemPackages = [ ... ];
- ...
-} else {};
-
- This definition will cause Nix to fail with an “infinite recursion”
- error. Why? Because the value of
- config.services.httpd.enable depends on the value being
- constructed here. After all, you could also write the clearly circular and
- contradictory:
-
-config = if config.services.httpd.enable then {
- services.httpd.enable = false;
-} else {
- services.httpd.enable = true;
-};
-
- The solution is to write:
-
-config = mkIf config.services.httpd.enable {
- environment.systemPackages = [ ... ];
- ...
-};
-
- The special function mkIf causes the evaluation of the
- conditional to be “pushed down” into the individual definitions, as if
- you had written:
-
-config = {
- environment.systemPackages = if config.services.httpd.enable then [ ... ] else [];
- ...
-};
-
-
-
-
-
- Setting Priorities
-
- A module can override the definitions of an option in other modules by
- setting a priority . All option definitions that do not
- have the lowest priority value are discarded. By default, option definitions
- have priority 1000. You can specify an explicit priority by using
- mkOverride , e.g.
-
-services.openssh.enable = mkOverride 10 false;
-
- This definition causes all other definitions with priorities above 10 to be
- discarded. The function mkForce is equal to
- mkOverride 50 .
-
-
-
-
- Merging Configurations
-
- In conjunction with mkIf , it is sometimes useful for a
- module to return multiple sets of option definitions, to be merged together
- as if they were declared in separate modules. This can be done using
- mkMerge :
-
-config = mkMerge
- [ # Unconditional stuff.
- { environment.systemPackages = [ ... ];
- }
- # Conditional stuff.
- (mkIf config.services.bla.enable {
- environment.systemPackages = [ ... ];
- })
- ];
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-types.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-types.section.md
new file mode 100644
index 00000000000..ed557206659
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-types.section.md
@@ -0,0 +1,558 @@
+# Options Types {#sec-option-types}
+
+Option types are a way to put constraints on the values a module option
+can take. Types are also responsible of how values are merged in case of
+multiple value definitions.
+
+## Basic Types {#sec-option-types-basic}
+
+Basic types are the simplest available types in the module system. Basic
+types include multiple string types that mainly differ in how definition
+merging is handled.
+
+`types.bool`
+
+: A boolean, its values can be `true` or `false`.
+
+`types.path`
+
+: A filesystem path, defined as anything that when coerced to a string
+ starts with a slash. Even if derivations can be considered as path,
+ the more specific `types.package` should be preferred.
+
+`types.package`
+
+: A derivation or a store path.
+
+`types.anything`
+
+: A type that accepts any value and recursively merges attribute sets
+ together. This type is recommended when the option type is unknown.
+
+ ::: {#ex-types-anything .example}
+ ::: {.title}
+ **Example: `types.anything` Example**
+ :::
+ Two definitions of this type like
+
+ ```nix
+ {
+ str = lib.mkDefault "foo";
+ pkg.hello = pkgs.hello;
+ fun.fun = x: x + 1;
+ }
+ ```
+
+ ```nix
+ {
+ str = lib.mkIf true "bar";
+ pkg.gcc = pkgs.gcc;
+ fun.fun = lib.mkForce (x: x + 2);
+ }
+ ```
+
+ will get merged to
+
+ ```nix
+ {
+ str = "bar";
+ pkg.gcc = pkgs.gcc;
+ pkg.hello = pkgs.hello;
+ fun.fun = x: x + 2;
+ }
+ ```
+ :::
+
+`types.attrs`
+
+: A free-form attribute set.
+
+ ::: {.warning}
+ This type will be deprecated in the future because it doesn\'t
+ recurse into attribute sets, silently drops earlier attribute
+ definitions, and doesn\'t discharge `lib.mkDefault`, `lib.mkIf`
+ and co. For allowing arbitrary attribute sets, prefer
+ `types.attrsOf types.anything` instead which doesn\'t have these
+ problems.
+ :::
+
+Integer-related types:
+
+`types.int`
+
+: A signed integer.
+
+`types.ints.{s8, s16, s32}`
+
+: Signed integers with a fixed length (8, 16 or 32 bits). They go from
+ −2^n/2 to
+ 2^n/2−1 respectively (e.g. `−128` to
+ `127` for 8 bits).
+
+`types.ints.unsigned`
+
+: An unsigned integer (that is >= 0).
+
+`types.ints.{u8, u16, u32}`
+
+: Unsigned integers with a fixed length (8, 16 or 32 bits). They go
+ from 0 to 2^n−1 respectively (e.g. `0`
+ to `255` for 8 bits).
+
+`types.ints.positive`
+
+: A positive integer (that is > 0).
+
+`types.port`
+
+: A port number. This type is an alias to
+ `types.ints.u16`.
+
+String-related types:
+
+`types.str`
+
+: A string. Multiple definitions cannot be merged.
+
+`types.lines`
+
+: A string. Multiple definitions are concatenated with a new line
+ `"\n"`.
+
+`types.commas`
+
+: A string. Multiple definitions are concatenated with a comma `","`.
+
+`types.envVar`
+
+: A string. Multiple definitions are concatenated with a collon `":"`.
+
+`types.strMatching`
+
+: A string matching a specific regular expression. Multiple
+ definitions cannot be merged. The regular expression is processed
+ using `builtins.match`.
+
+## Value Types {#sec-option-types-value}
+
+Value types are types that take a value parameter.
+
+`types.enum` *`l`*
+
+: One element of the list *`l`*, e.g. `types.enum [ "left" "right" ]`.
+ Multiple definitions cannot be merged.
+
+`types.separatedString` *`sep`*
+
+: A string with a custom separator *`sep`*, e.g.
+ `types.separatedString "|"`.
+
+`types.ints.between` *`lowest highest`*
+
+: An integer between *`lowest`* and *`highest`* (both inclusive). Useful
+ for creating types like `types.port`.
+
+`types.submodule` *`o`*
+
+: A set of sub options *`o`*. *`o`* can be an attribute set, a function
+ returning an attribute set, or a path to a file containing such a
+ value. Submodules are used in composed types to create modular
+ options. This is equivalent to
+ `types.submoduleWith { modules = toList o; shorthandOnlyDefinesConfig = true; }`.
+ Submodules are detailed in [Submodule](#section-option-types-submodule).
+
+`types.submoduleWith` { *`modules`*, *`specialArgs`* ? {}, *`shorthandOnlyDefinesConfig`* ? false }
+
+: Like `types.submodule`, but more flexible and with better defaults.
+ It has parameters
+
+ - *`modules`* A list of modules to use by default for this
+ submodule type. This gets combined with all option definitions
+ to build the final list of modules that will be included.
+
+ ::: {.note}
+ Only options defined with this argument are included in rendered
+ documentation.
+ :::
+
+ - *`specialArgs`* An attribute set of extra arguments to be passed
+ to the module functions. The option `_module.args` should be
+ used instead for most arguments since it allows overriding.
+ *`specialArgs`* should only be used for arguments that can\'t go
+ through the module fixed-point, because of infinite recursion or
+ other problems. An example is overriding the `lib` argument,
+ because `lib` itself is used to define `_module.args`, which
+ makes using `_module.args` to define it impossible.
+
+ - *`shorthandOnlyDefinesConfig`* Whether definitions of this type
+ should default to the `config` section of a module (see
+ [Example: Structure of NixOS Modules](#ex-module-syntax))
+ if it is an attribute set. Enabling this only has a benefit
+ when the submodule defines an option named `config` or `options`.
+ In such a case it would allow the option to be set with
+ `the-submodule.config = "value"` instead of requiring
+ `the-submodule.config.config = "value"`. This is because
+ only when modules *don\'t* set the `config` or `options`
+ keys, all keys are interpreted as option definitions in the
+ `config` section. Enabling this option implicitly puts all
+ attributes in the `config` section.
+
+ With this option enabled, defining a non-`config` section
+ requires using a function:
+ `the-submodule = { ... }: { options = { ... }; }`.
+
+## Composed Types {#sec-option-types-composed}
+
+Composed types are types that take a type as parameter. `listOf
+ int` and `either int str` are examples of composed types.
+
+`types.listOf` *`t`*
+
+: A list of *`t`* type, e.g. `types.listOf
+ int`. Multiple definitions are merged with list concatenation.
+
+`types.attrsOf` *`t`*
+
+: An attribute set of where all the values are of *`t`* type. Multiple
+ definitions result in the joined attribute set.
+
+ ::: {.note}
+ This type is *strict* in its values, which in turn means attributes
+ cannot depend on other attributes. See `
+ types.lazyAttrsOf` for a lazy version.
+ :::
+
+`types.lazyAttrsOf` *`t`*
+
+: An attribute set of where all the values are of *`t`* type. Multiple
+ definitions result in the joined attribute set. This is the lazy
+ version of `types.attrsOf
+ `, allowing attributes to depend on each other.
+
+ ::: {.warning}
+ This version does not fully support conditional definitions! With an
+ option `foo` of this type and a definition
+ `foo.attr = lib.mkIf false 10`, evaluating `foo ? attr` will return
+ `true` even though it should be false. Accessing the value will then
+ throw an error. For types *`t`* that have an `emptyValue` defined,
+ that value will be returned instead of throwing an error. So if the
+ type of `foo.attr` was `lazyAttrsOf (nullOr int)`, `null` would be
+ returned instead for the same `mkIf false` definition.
+ :::
+
+`types.nullOr` *`t`*
+
+: `null` or type *`t`*. Multiple definitions are merged according to
+ type *`t`*.
+
+`types.uniq` *`t`*
+
+: Ensures that type *`t`* cannot be merged. It is used to ensure option
+ definitions are declared only once.
+
+`types.either` *`t1 t2`*
+
+: Type *`t1`* or type *`t2`*, e.g. `with types; either int str`.
+ Multiple definitions cannot be merged.
+
+`types.oneOf` \[ *`t1 t2`* \... \]
+
+: Type *`t1`* or type *`t2`* and so forth, e.g.
+ `with types; oneOf [ int str bool ]`. Multiple definitions cannot be
+ merged.
+
+`types.coercedTo` *`from f to`*
+
+: Type *`to`* or type *`from`* which will be coerced to type *`to`* using
+ function *`f`* which takes an argument of type *`from`* and return a
+ value of type *`to`*. Can be used to preserve backwards compatibility
+ of an option if its type was changed.
+
+## Submodule {#section-option-types-submodule}
+
+`submodule` is a very powerful type that defines a set of sub-options
+that are handled like a separate module.
+
+It takes a parameter *`o`*, that should be a set, or a function returning
+a set with an `options` key defining the sub-options. Submodule option
+definitions are type-checked accordingly to the `options` declarations.
+Of course, you can nest submodule option definitons for even higher
+modularity.
+
+The option set can be defined directly
+([Example: Directly defined submodule](#ex-submodule-direct)) or as reference
+([Example: Submodule defined as a reference](#ex-submodule-reference)).
+
+::: {#ex-submodule-direct .example}
+::: {.title}
+**Example: Directly defined submodule**
+:::
+```nix
+options.mod = mkOption {
+ description = "submodule example";
+ type = with types; submodule {
+ options = {
+ foo = mkOption {
+ type = int;
+ };
+ bar = mkOption {
+ type = str;
+ };
+ };
+ };
+};
+```
+:::
+
+::: {#ex-submodule-reference .example}
+::: {.title}
+**Example: Submodule defined as a reference**
+:::
+```nix
+let
+ modOptions = {
+ options = {
+ foo = mkOption {
+ type = int;
+ };
+ bar = mkOption {
+ type = int;
+ };
+ };
+ };
+in
+options.mod = mkOption {
+ description = "submodule example";
+ type = with types; submodule modOptions;
+};
+```
+:::
+
+The `submodule` type is especially interesting when used with composed
+types like `attrsOf` or `listOf`. When composed with `listOf`
+([Example: Declaration of a list of submodules](#ex-submodule-listof-declaration)), `submodule` allows
+multiple definitions of the submodule option set
+([Example: Definition of a list of submodules](#ex-submodule-listof-definition)).
+
+::: {#ex-submodule-listof-declaration .example}
+::: {.title}
+**Example: Declaration of a list of submodules**
+:::
+```nix
+options.mod = mkOption {
+ description = "submodule example";
+ type = with types; listOf (submodule {
+ options = {
+ foo = mkOption {
+ type = int;
+ };
+ bar = mkOption {
+ type = str;
+ };
+ };
+ });
+};
+```
+:::
+
+::: {#ex-submodule-listof-definition .example}
+::: {.title}
+**Example: Definition of a list of submodules**
+:::
+```nix
+config.mod = [
+ { foo = 1; bar = "one"; }
+ { foo = 2; bar = "two"; }
+];
+```
+:::
+
+When composed with `attrsOf`
+([Example: Declaration of attribute sets of submodules](#ex-submodule-attrsof-declaration)), `submodule` allows
+multiple named definitions of the submodule option set
+([Example: Definition of attribute sets of submodules](#ex-submodule-attrsof-definition)).
+
+::: {#ex-submodule-attrsof-declaration .example}
+::: {.title}
+**Example: Declaration of attribute sets of submodules**
+:::
+```nix
+options.mod = mkOption {
+ description = "submodule example";
+ type = with types; attrsOf (submodule {
+ options = {
+ foo = mkOption {
+ type = int;
+ };
+ bar = mkOption {
+ type = str;
+ };
+ };
+ });
+};
+```
+:::
+
+::: {#ex-submodule-attrsof-definition .example}
+::: {.title}
+**Example: Definition of attribute sets of submodules**
+:::
+```nix
+config.mod.one = { foo = 1; bar = "one"; };
+config.mod.two = { foo = 2; bar = "two"; };
+```
+:::
+
+## Extending types {#sec-option-types-extending}
+
+Types are mainly characterized by their `check` and `merge` functions.
+
+`check`
+
+: The function to type check the value. Takes a value as parameter and
+ return a boolean. It is possible to extend a type check with the
+ `addCheck` function ([Example: Adding a type check](#ex-extending-type-check-1)),
+ or to fully override the check function
+ ([Example: Overriding a type check](#ex-extending-type-check-2)).
+
+ ::: {#ex-extending-type-check-1 .example}
+ ::: {.title}
+ **Example: Adding a type check**
+ :::
+ ```nix
+ byte = mkOption {
+ description = "An integer between 0 and 255.";
+ type = types.addCheck types.int (x: x >= 0 && x <= 255);
+ };
+ ```
+ :::
+
+ ::: {#ex-extending-type-check-2 .example}
+ ::: {.title}
+ **Example: Overriding a type check**
+ :::
+ ```nix
+ nixThings = mkOption {
+ description = "words that start with 'nix'";
+ type = types.str // {
+ check = (x: lib.hasPrefix "nix" x)
+ };
+ };
+ ```
+ :::
+
+`merge`
+
+: Function to merge the options values when multiple values are set.
+ The function takes two parameters, `loc` the option path as a list
+ of strings, and `defs` the list of defined values as a list. It is
+ possible to override a type merge function for custom needs.
+
+## Custom Types {#sec-option-types-custom}
+
+Custom types can be created with the `mkOptionType` function. As type
+creation includes some more complex topics such as submodule handling,
+it is recommended to get familiar with `types.nix` code before creating
+a new type.
+
+The only required parameter is `name`.
+
+`name`
+
+: A string representation of the type function name.
+
+`definition`
+
+: Description of the type used in documentation. Give information of
+ the type and any of its arguments.
+
+`check`
+
+: A function to type check the definition value. Takes the definition
+ value as a parameter and returns a boolean indicating the type check
+ result, `true` for success and `false` for failure.
+
+`merge`
+
+: A function to merge multiple definitions values. Takes two
+ parameters:
+
+ *`loc`*
+
+ : The option path as a list of strings, e.g. `["boot" "loader
+ "grub" "enable"]`.
+
+ *`defs`*
+
+ : The list of sets of defined `value` and `file` where the value
+ was defined, e.g. `[ {
+ file = "/foo.nix"; value = 1; } { file = "/bar.nix"; value = 2 }
+ ]`. The `merge` function should return the merged value
+ or throw an error in case the values are impossible or not meant
+ to be merged.
+
+`getSubOptions`
+
+: For composed types that can take a submodule as type parameter, this
+ function generate sub-options documentation. It takes the current
+ option prefix as a list and return the set of sub-options. Usually
+ defined in a recursive manner by adding a term to the prefix, e.g.
+ `prefix:
+ elemType.getSubOptions (prefix ++
+ ["prefix"])` where *`"prefix"`* is the newly added prefix.
+
+`getSubModules`
+
+: For composed types that can take a submodule as type parameter, this
+ function should return the type parameters submodules. If the type
+ parameter is called `elemType`, the function should just recursively
+ look into submodules by returning `elemType.getSubModules;`.
+
+`substSubModules`
+
+: For composed types that can take a submodule as type parameter, this
+ function can be used to substitute the parameter of a submodule
+ type. It takes a module as parameter and return the type with the
+ submodule options substituted. It is usually defined as a type
+ function call with a recursive call to `substSubModules`, e.g for a
+ type `composedType` that take an `elemtype` type parameter, this
+ function should be defined as `m:
+ composedType (elemType.substSubModules m)`.
+
+`typeMerge`
+
+: A function to merge multiple type declarations. Takes the type to
+ merge `functor` as parameter. A `null` return value means that type
+ cannot be merged.
+
+ *`f`*
+
+ : The type to merge `functor`.
+
+ Note: There is a generic `defaultTypeMerge` that work with most of
+ value and composed types.
+
+`functor`
+
+: An attribute set representing the type. It is used for type
+ operations and has the following keys:
+
+ `type`
+
+ : The type function.
+
+ `wrapped`
+
+ : Holds the type parameter for composed types.
+
+ `payload`
+
+ : Holds the value parameter for value types. The types that have a
+ `payload` are the `enum`, `separatedString` and `submodule`
+ types.
+
+ `binOp`
+
+ : A binary operation that can merge the payloads of two same
+ types. Defined as a function that take two payloads as
+ parameters and return the payloads merged.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-types.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-types.xml
deleted file mode 100644
index 3d2191e2f3f..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/option-types.xml
+++ /dev/null
@@ -1,914 +0,0 @@
-
- Options Types
-
-
- Option types are a way to put constraints on the values a module option can
- take. Types are also responsible of how values are merged in case of multiple
- value definitions.
-
-
-
- Basic Types
-
-
- Basic types are the simplest available types in the module system. Basic
- types include multiple string types that mainly differ in how definition
- merging is handled.
-
-
-
-
-
- types.bool
-
-
-
- A boolean, its values can be true or
- false .
-
-
-
-
-
- types.path
-
-
-
- A filesystem path, defined as anything that when coerced to a string
- starts with a slash. Even if derivations can be considered as path, the
- more specific types.package should be preferred.
-
-
-
-
-
- types.package
-
-
-
- A derivation or a store path.
-
-
-
-
-
- types.anything
-
-
-
- A type that accepts any value and recursively merges attribute sets together.
- This type is recommended when the option type is unknown.
-
- types.anything Example
-
- Two definitions of this type like
-
-{
- str = lib.mkDefault "foo";
- pkg.hello = pkgs.hello;
- fun.fun = x: x + 1;
-}
-
-
-{
- str = lib.mkIf true "bar";
- pkg.gcc = pkgs.gcc;
- fun.fun = lib.mkForce (x: x + 2);
-}
-
- will get merged to
-
-{
- str = "bar";
- pkg.gcc = pkgs.gcc;
- pkg.hello = pkgs.hello;
- fun.fun = x: x + 2;
-}
-
-
-
-
-
-
-
-
- types.attrs
-
-
-
- A free-form attribute set.
-
- This type will be deprecated in the future because it doesn't recurse
- into attribute sets, silently drops earlier attribute definitions, and
- doesn't discharge lib.mkDefault , lib.mkIf
- and co. For allowing arbitrary attribute sets, prefer
- types.attrsOf types.anything instead which doesn't
- have these problems.
-
-
-
-
-
-
-
- Integer-related types:
-
-
-
-
-
- types.int
-
-
-
- A signed integer.
-
-
-
-
-
- types.ints.{s8, s16, s32}
-
-
-
- Signed integers with a fixed length (8, 16 or 32 bits). They go from
- −2n /2
- to
- 2n /2−1
- respectively (e.g. −128 to
- 127 for 8 bits).
-
-
-
-
-
- types.ints.unsigned
-
-
-
- An unsigned integer (that is >= 0).
-
-
-
-
-
- types.ints.{u8, u16, u32}
-
-
-
- Unsigned integers with a fixed length (8, 16 or 32 bits). They go from
- 0 to
-
- 2n −1
- respectively (e.g. 0 to
- 255 for 8 bits).
-
-
-
-
-
- types.ints.positive
-
-
-
- A positive integer (that is > 0).
-
-
-
-
-
- types.port
-
-
-
- A port number. This type is an alias to
- types.ints.u16 .
-
-
-
-
-
-
- String-related types:
-
-
-
-
-
- types.str
-
-
-
- A string. Multiple definitions cannot be merged.
-
-
-
-
-
- types.lines
-
-
-
- A string. Multiple definitions are concatenated with a new line
- "\n" .
-
-
-
-
-
- types.commas
-
-
-
- A string. Multiple definitions are concatenated with a comma
- "," .
-
-
-
-
-
- types.envVar
-
-
-
- A string. Multiple definitions are concatenated with a collon
- ":" .
-
-
-
-
-
- types.strMatching
-
-
-
- A string matching a specific regular expression. Multiple definitions
- cannot be merged. The regular expression is processed using
- builtins.match .
-
-
-
-
-
-
-
- Value Types
-
-
- Value types are types that take a value parameter.
-
-
-
-
-
- types.enum l
-
-
-
- One element of the list l , e.g.
- types.enum [ "left" "right" ] . Multiple definitions
- cannot be merged.
-
-
-
-
-
- types.separatedString sep
-
-
-
- A string with a custom separator sep , e.g.
- types.separatedString "|" .
-
-
-
-
-
- types.ints.between lowest highest
-
-
-
- An integer between lowest and
- highest (both inclusive). Useful for creating
- types like types.port .
-
-
-
-
-
- types.submodule o
-
-
-
- A set of sub options o .
- o can be an attribute set, a function
- returning an attribute set, or a path to a file containing such a value. Submodules are used in
- composed types to create modular options. This is equivalent to
- types.submoduleWith { modules = toList o; shorthandOnlyDefinesConfig = true; } .
- Submodules are detailed in
- .
-
-
-
-
-
- types.submoduleWith {
- modules ,
- specialArgs ? {},
- shorthandOnlyDefinesConfig ? false }
-
-
-
- Like types.submodule , but more flexible and with better defaults.
- It has parameters
-
-
- modules
- A list of modules to use by default for this submodule type. This gets combined
- with all option definitions to build the final list of modules that will be included.
-
- Only options defined with this argument are included in rendered documentation.
-
-
-
- specialArgs
- An attribute set of extra arguments to be passed to the module functions.
- The option _module.args should be used instead
- for most arguments since it allows overriding. specialArgs should only be
- used for arguments that can't go through the module fixed-point, because of
- infinite recursion or other problems. An example is overriding the
- lib argument, because lib itself is used
- to define _module.args , which makes using
- _module.args to define it impossible.
-
-
- shorthandOnlyDefinesConfig
- Whether definitions of this type should default to the config
- section of a module (see ) if it is an attribute
- set. Enabling this only has a benefit when the submodule defines an option named
- config or options . In such a case it would
- allow the option to be set with the-submodule.config = "value"
- instead of requiring the-submodule.config.config = "value" .
- This is because only when modules don't set the
- config or options keys, all keys are interpreted
- as option definitions in the config section. Enabling this option
- implicitly puts all attributes in the config section.
-
-
- With this option enabled, defining a non-config section requires
- using a function: the-submodule = { ... }: { options = { ... }; } .
-
-
-
-
-
-
-
-
-
- Composed Types
-
-
- Composed types are types that take a type as parameter. listOf
- int and either int str are examples of composed
- types.
-
-
-
-
-
- types.listOf t
-
-
-
- A list of t type, e.g. types.listOf
- int . Multiple definitions are merged with list concatenation.
-
-
-
-
-
- types.attrsOf t
-
-
-
- An attribute set of where all the values are of
- t type. Multiple definitions result in the
- joined attribute set.
-
- This type is strict in its values, which in turn
- means attributes cannot depend on other attributes. See
- types.lazyAttrsOf for a lazy version.
-
-
-
-
-
-
- types.lazyAttrsOf t
-
-
-
- An attribute set of where all the values are of
- t type. Multiple definitions result in the
- joined attribute set. This is the lazy version of types.attrsOf
- , allowing attributes to depend on each other.
-
- This version does not fully support conditional definitions! With an
- option foo of this type and a definition
- foo.attr = lib.mkIf false 10 , evaluating
- foo ? attr will return true
- even though it should be false. Accessing the value will then throw
- an error. For types t that have an
- emptyValue defined, that value will be returned
- instead of throwing an error. So if the type of foo.attr
- was lazyAttrsOf (nullOr int) , null
- would be returned instead for the same mkIf false definition.
-
-
-
-
-
-
- types.nullOr t
-
-
-
- null or type t . Multiple
- definitions are merged according to type t .
-
-
-
-
-
- types.uniq t
-
-
-
- Ensures that type t cannot be merged. It is
- used to ensure option definitions are declared only once.
-
-
-
-
-
- types.either t1 t2
-
-
-
- Type t1 or type t2 ,
- e.g. with types; either int str . Multiple definitions
- cannot be merged.
-
-
-
-
-
- types.oneOf [ t1 t2 ... ]
-
-
-
- Type t1 or type t2 and so forth,
- e.g. with types; oneOf [ int str bool ] . Multiple definitions
- cannot be merged.
-
-
-
-
-
- types.coercedTo from f to
-
-
-
- Type to or type
- from which will be coerced to type
- to using function f
- which takes an argument of type from and
- return a value of type to . Can be used to
- preserve backwards compatibility of an option if its type was changed.
-
-
-
-
-
-
-
- Submodule
-
-
- submodule is a very powerful type that defines a set of
- sub-options that are handled like a separate module.
-
-
-
- It takes a parameter o , that should be a set, or
- a function returning a set with an options key defining
- the sub-options. Submodule option definitions are type-checked accordingly
- to the options declarations. Of course, you can nest
- submodule option definitons for even higher modularity.
-
-
-
- The option set can be defined directly
- ( ) or as reference
- ( ).
-
-
-
- Directly defined submodule
-
-options.mod = mkOption {
- description = "submodule example";
- type = with types; submodule {
- options = {
- foo = mkOption {
- type = int;
- };
- bar = mkOption {
- type = str;
- };
- };
- };
-};
-
-
-
- Submodule defined as a reference
-
-let
- modOptions = {
- options = {
- foo = mkOption {
- type = int;
- };
- bar = mkOption {
- type = int;
- };
- };
- };
-in
-options.mod = mkOption {
- description = "submodule example";
- type = with types; submodule modOptions;
-};
-
-
-
- The submodule type is especially interesting when used
- with composed types like attrsOf or
- listOf . When composed with listOf
- ( ),
- submodule allows multiple definitions of the submodule
- option set ( ).
-
-
-
- Declaration of a list of submodules
-
-options.mod = mkOption {
- description = "submodule example";
- type = with types; listOf (submodule {
- options = {
- foo = mkOption {
- type = int;
- };
- bar = mkOption {
- type = str;
- };
- };
- });
-};
-
-
-
- Definition of a list of submodules
-
-config.mod = [
- { foo = 1; bar = "one"; }
- { foo = 2; bar = "two"; }
-];
-
-
-
- When composed with attrsOf
- ( ),
- submodule allows multiple named definitions of the
- submodule option set ( ).
-
-
-
- Declaration of attribute sets of submodules
-
-options.mod = mkOption {
- description = "submodule example";
- type = with types; attrsOf (submodule {
- options = {
- foo = mkOption {
- type = int;
- };
- bar = mkOption {
- type = str;
- };
- };
- });
-};
-
-
-
- Declaration of attribute sets of submodules
-
-config.mod.one = { foo = 1; bar = "one"; };
-config.mod.two = { foo = 2; bar = "two"; };
-
-
-
-
- Extending types
-
-
- Types are mainly characterized by their check and
- merge functions.
-
-
-
-
-
- check
-
-
-
- The function to type check the value. Takes a value as parameter and
- return a boolean. It is possible to extend a type check with the
- addCheck function
- ( ), or to fully
- override the check function
- ( ).
-
-
- Adding a type check
-
-byte = mkOption {
- description = "An integer between 0 and 255.";
- type = types.addCheck types.int (x: x >= 0 && x <= 255);
-};
-
-
- Overriding a type check
-
-nixThings = mkOption {
- description = "words that start with 'nix'";
- type = types.str // {
- check = (x: lib.hasPrefix "nix" x)
- };
-};
-
-
-
-
-
- merge
-
-
-
- Function to merge the options values when multiple values are set. The
- function takes two parameters, loc the option path as
- a list of strings, and defs the list of defined values
- as a list. It is possible to override a type merge function for custom
- needs.
-
-
-
-
-
-
-
- Custom Types
-
-
- Custom types can be created with the mkOptionType
- function. As type creation includes some more complex topics such as
- submodule handling, it is recommended to get familiar with
- types.nix
- code before creating a new type.
-
-
-
- The only required parameter is name .
-
-
-
-
-
- name
-
-
-
- A string representation of the type function name.
-
-
-
-
-
- definition
-
-
-
- Description of the type used in documentation. Give information of the
- type and any of its arguments.
-
-
-
-
-
- check
-
-
-
- A function to type check the definition value. Takes the definition value
- as a parameter and returns a boolean indicating the type check result,
- true for success and false for
- failure.
-
-
-
-
-
- merge
-
-
-
- A function to merge multiple definitions values. Takes two parameters:
-
-
-
-
- loc
-
-
-
- The option path as a list of strings, e.g. ["boot" "loader
- "grub" "enable"] .
-
-
-
-
-
- defs
-
-
-
- The list of sets of defined value and
- file where the value was defined, e.g. [ {
- file = "/foo.nix"; value = 1; } { file = "/bar.nix"; value = 2 }
- ] . The merge function should return the
- merged value or throw an error in case the values are impossible or
- not meant to be merged.
-
-
-
-
-
-
-
-
- getSubOptions
-
-
-
- For composed types that can take a submodule as type parameter, this
- function generate sub-options documentation. It takes the current option
- prefix as a list and return the set of sub-options. Usually defined in a
- recursive manner by adding a term to the prefix, e.g. prefix:
- elemType.getSubOptions (prefix ++
- ["prefix" ]) where
- "prefix" is the newly added prefix.
-
-
-
-
-
- getSubModules
-
-
-
- For composed types that can take a submodule as type parameter, this
- function should return the type parameters submodules. If the type
- parameter is called elemType , the function should just
- recursively look into submodules by returning
- elemType.getSubModules; .
-
-
-
-
-
- substSubModules
-
-
-
- For composed types that can take a submodule as type parameter, this
- function can be used to substitute the parameter of a submodule type. It
- takes a module as parameter and return the type with the submodule
- options substituted. It is usually defined as a type function call with a
- recursive call to substSubModules , e.g for a type
- composedType that take an elemtype
- type parameter, this function should be defined as m:
- composedType (elemType.substSubModules m) .
-
-
-
-
-
- typeMerge
-
-
-
- A function to merge multiple type declarations. Takes the type to merge
- functor as parameter. A null return
- value means that type cannot be merged.
-
-
-
-
- f
-
-
-
- The type to merge functor .
-
-
-
-
-
- Note: There is a generic defaultTypeMerge that work
- with most of value and composed types.
-
-
-
-
-
- functor
-
-
-
- An attribute set representing the type. It is used for type operations
- and has the following keys:
-
-
-
-
- type
-
-
-
- The type function.
-
-
-
-
-
- wrapped
-
-
-
- Holds the type parameter for composed types.
-
-
-
-
-
- payload
-
-
-
- Holds the value parameter for value types. The types that have a
- payload are the enum ,
- separatedString and submodule
- types.
-
-
-
-
-
- binOp
-
-
-
- A binary operation that can merge the payloads of two same types.
- Defined as a function that take two payloads as parameters and return
- the payloads merged.
-
-
-
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/replace-modules.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/replace-modules.section.md
new file mode 100644
index 00000000000..0700a82004c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/replace-modules.section.md
@@ -0,0 +1,64 @@
+# Replace Modules {#sec-replace-modules}
+
+Modules that are imported can also be disabled. The option declarations,
+config implementation and the imports of a disabled module will be
+ignored, allowing another to take it\'s place. This can be used to
+import a set of modules from another channel while keeping the rest of
+the system on a stable release.
+
+`disabledModules` is a top level attribute like `imports`, `options` and
+`config`. It contains a list of modules that will be disabled. This can
+either be the full path to the module or a string with the filename
+relative to the modules path (eg. \ for nixos).
+
+This example will replace the existing postgresql module with the
+version defined in the nixos-unstable channel while keeping the rest of
+the modules and packages from the original nixos channel. This only
+overrides the module definition, this won\'t use postgresql from
+nixos-unstable unless explicitly configured to do so.
+
+```nix
+{ config, lib, pkgs, ... }:
+
+{
+ disabledModules = [ "services/databases/postgresql.nix" ];
+
+ imports =
+ [ # Use postgresql service from nixos-unstable channel.
+ # sudo nix-channel --add https://nixos.org/channels/nixos-unstable nixos-unstable
+
+ ];
+
+ services.postgresql.enable = true;
+}
+```
+
+This example shows how to define a custom module as a replacement for an
+existing module. Importing this module will disable the original module
+without having to know it\'s implementation details.
+
+```nix
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let
+ cfg = config.programs.man;
+in
+
+{
+ disabledModules = [ "services/programs/man.nix" ];
+
+ options = {
+ programs.man.enable = mkOption {
+ type = types.bool;
+ default = true;
+ description = "Whether to enable manual pages.";
+ };
+ };
+
+ config = mkIf cfg.enabled {
+ warnings = [ "disabled manpages for production deployments." ];
+ };
+}
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/replace-modules.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/replace-modules.xml
deleted file mode 100644
index 9fc5678ca1b..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/replace-modules.xml
+++ /dev/null
@@ -1,79 +0,0 @@
-
- Replace Modules
-
-
- Modules that are imported can also be disabled. The option declarations,
- config implementation and the imports of a disabled module will be ignored, allowing another
- to take it's place. This can be used to import a set of modules from another
- channel while keeping the rest of the system on a stable release.
-
-
-
- disabledModules is a top level attribute like
- imports , options and
- config . It contains a list of modules that will be
- disabled. This can either be the full path to the module or a string with the
- filename relative to the modules path (eg. <nixpkgs/nixos/modules> for
- nixos).
-
-
-
- This example will replace the existing postgresql module with the version
- defined in the nixos-unstable channel while keeping the rest of the modules
- and packages from the original nixos channel. This only overrides the module
- definition, this won't use postgresql from nixos-unstable unless explicitly
- configured to do so.
-
-
-
-{ config, lib, pkgs, ... }:
-
-{
- disabledModules = [ "services/databases/postgresql.nix" ];
-
- imports =
- [ # Use postgresql service from nixos-unstable channel.
- # sudo nix-channel --add https://nixos.org/channels/nixos-unstable nixos-unstable
- <nixos-unstable/nixos/modules/services/databases/postgresql.nix>
- ];
-
- services.postgresql.enable = true;
-}
-
-
-
- This example shows how to define a custom module as a replacement for an
- existing module. Importing this module will disable the original module
- without having to know it's implementation details.
-
-
-
-{ config, lib, pkgs, ... }:
-
-with lib;
-
-let
- cfg = config.programs.man;
-in
-
-{
- disabledModules = [ "services/programs/man.nix" ];
-
- options = {
- programs.man.enable = mkOption {
- type = types.bool;
- default = true;
- description = "Whether to enable manual pages.";
- };
- };
-
- config = mkIf cfg.enabled {
- warnings = [ "disabled manpages for production deployments." ];
- };
-}
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/settings-options.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/settings-options.section.md
new file mode 100644
index 00000000000..58a3d8448af
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/settings-options.section.md
@@ -0,0 +1,192 @@
+# Options for Program Settings {#sec-settings-options}
+
+Many programs have configuration files where program-specific settings
+can be declared. File formats can be separated into two categories:
+
+- Nix-representable ones: These can trivially be mapped to a subset of
+ Nix syntax. E.g. JSON is an example, since its values like
+ `{"foo":{"bar":10}}` can be mapped directly to Nix:
+ `{ foo = { bar = 10; }; }`. Other examples are INI, YAML and TOML.
+ The following section explains the convention for these settings.
+
+- Non-nix-representable ones: These can\'t be trivially mapped to a
+ subset of Nix syntax. Most generic programming languages are in this
+ group, e.g. bash, since the statement `if true; then echo hi; fi`
+ doesn\'t have a trivial representation in Nix.
+
+ Currently there are no fixed conventions for these, but it is common
+ to have a `configFile` option for setting the configuration file
+ path directly. The default value of `configFile` can be an
+ auto-generated file, with convenient options for controlling the
+ contents. For example an option of type `attrsOf str` can be used
+ for representing environment variables which generates a section
+ like `export FOO="foo"`. Often it can also be useful to also include
+ an `extraConfig` option of type `lines` to allow arbitrary text
+ after the autogenerated part of the file.
+
+## Nix-representable Formats (JSON, YAML, TOML, INI, \...) {#sec-settings-nix-representable}
+
+By convention, formats like this are handled with a generic `settings`
+option, representing the full program configuration as a Nix value. The
+type of this option should represent the format. The most common formats
+have a predefined type and string generator already declared under
+`pkgs.formats`:
+
+`pkgs.formats.json` { }
+
+: A function taking an empty attribute set (for future extensibility)
+ and returning a set with JSON-specific attributes `type` and
+ `generate` as specified [below](#pkgs-formats-result).
+
+`pkgs.formats.yaml` { }
+
+: A function taking an empty attribute set (for future extensibility)
+ and returning a set with YAML-specific attributes `type` and
+ `generate` as specified [below](#pkgs-formats-result).
+
+`pkgs.formats.ini` { *`listsAsDuplicateKeys`* ? false, *`listToValue`* ? null, \... }
+
+: A function taking an attribute set with values
+
+ `listsAsDuplicateKeys`
+
+ : A boolean for controlling whether list values can be used to
+ represent duplicate INI keys
+
+ `listToValue`
+
+ : A function for turning a list of values into a single value.
+
+ It returns a set with INI-specific attributes `type` and `generate`
+ as specified [below](#pkgs-formats-result).
+
+`pkgs.formats.toml` { }
+
+: A function taking an empty attribute set (for future extensibility)
+ and returning a set with TOML-specific attributes `type` and
+ `generate` as specified [below](#pkgs-formats-result).
+
+::: {#pkgs-formats-result}
+These functions all return an attribute set with these values:
+:::
+
+`type`
+
+: A module system type representing a value of the format
+
+`generate` *`filename jsonValue`*
+
+: A function that can render a value of the format to a file. Returns
+ a file path.
+
+ ::: {.note}
+ This function puts the value contents in the Nix store. So this
+ should be avoided for secrets.
+ :::
+
+::: {#ex-settings-nix-representable .example}
+::: {.title}
+**Example: Module with conventional `settings` option**
+:::
+The following shows a module for an example program that uses a JSON
+configuration file. It demonstrates how above values can be used, along
+with some other related best practices. See the comments for
+explanations.
+
+```nix
+{ options, config, lib, pkgs, ... }:
+let
+ cfg = config.services.foo;
+ # Define the settings format used for this program
+ settingsFormat = pkgs.formats.json {};
+in {
+
+ options.services.foo = {
+ enable = lib.mkEnableOption "foo service";
+
+ settings = lib.mkOption {
+ # Setting this type allows for correct merging behavior
+ type = settingsFormat.type;
+ default = {};
+ description = ''
+ Configuration for foo, see
+
+ for supported settings.
+ '';
+ };
+ };
+
+ config = lib.mkIf cfg.enable {
+ # We can assign some default settings here to make the service work by just
+ # enabling it. We use `mkDefault` for values that can be changed without
+ # problems
+ services.foo.settings = {
+ # Fails at runtime without any value set
+ log_level = lib.mkDefault "WARN";
+
+ # We assume systemd's `StateDirectory` is used, so we require this value,
+ # therefore no mkDefault
+ data_path = "/var/lib/foo";
+
+ # Since we use this to create a user we need to know the default value at
+ # eval time
+ user = lib.mkDefault "foo";
+ };
+
+ environment.etc."foo.json".source =
+ # The formats generator function takes a filename and the Nix value
+ # representing the format value and produces a filepath with that value
+ # rendered in the format
+ settingsFormat.generate "foo-config.json" cfg.settings;
+
+ # We know that the `user` attribute exists because we set a default value
+ # for it above, allowing us to use it without worries here
+ users.users.${cfg.settings.user} = { isSystemUser = true; };
+
+ # ...
+ };
+}
+```
+:::
+
+### Option declarations for attributes {#sec-settings-attrs-options}
+
+Some `settings` attributes may deserve some extra care. They may need a
+different type, default or merging behavior, or they are essential
+options that should show their documentation in the manual. This can be
+done using [](#sec-freeform-modules).
+
+We extend above example using freeform modules to declare an option for
+the port, which will enforce it to be a valid integer and make it show
+up in the manual.
+
+::: {#ex-settings-typed-attrs .example}
+::: {.title}
+**Example: Declaring a type-checked `settings` attribute**
+:::
+```nix
+settings = lib.mkOption {
+ type = lib.types.submodule {
+
+ freeformType = settingsFormat.type;
+
+ # Declare an option for the port such that the type is checked and this option
+ # is shown in the manual.
+ options.port = lib.mkOption {
+ type = lib.types.port;
+ default = 8080;
+ description = ''
+ Which port this service should listen on.
+ '';
+ };
+
+ };
+ default = {};
+ description = ''
+ Configuration for Foo, see
+
+ for supported values.
+ '';
+};
+```
+:::
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/settings-options.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/settings-options.xml
deleted file mode 100644
index 7292cac62b7..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/settings-options.xml
+++ /dev/null
@@ -1,226 +0,0 @@
-
- Options for Program Settings
-
-
- Many programs have configuration files where program-specific settings can be declared. File formats can be separated into two categories:
-
-
-
- Nix-representable ones: These can trivially be mapped to a subset of Nix syntax. E.g. JSON is an example, since its values like {"foo":{"bar":10}} can be mapped directly to Nix: { foo = { bar = 10; }; } . Other examples are INI, YAML and TOML. The following section explains the convention for these settings.
-
-
-
-
- Non-nix-representable ones: These can't be trivially mapped to a subset of Nix syntax. Most generic programming languages are in this group, e.g. bash, since the statement if true; then echo hi; fi doesn't have a trivial representation in Nix.
-
-
- Currently there are no fixed conventions for these, but it is common to have a configFile option for setting the configuration file path directly. The default value of configFile can be an auto-generated file, with convenient options for controlling the contents. For example an option of type attrsOf str can be used for representing environment variables which generates a section like export FOO="foo" . Often it can also be useful to also include an extraConfig option of type lines to allow arbitrary text after the autogenerated part of the file.
-
-
-
-
-
- Nix-representable Formats (JSON, YAML, TOML, INI, ...)
-
- By convention, formats like this are handled with a generic settings option, representing the full program configuration as a Nix value. The type of this option should represent the format. The most common formats have a predefined type and string generator already declared under pkgs.formats :
-
-
-
- pkgs.formats.json { }
-
-
-
- A function taking an empty attribute set (for future extensibility) and returning a set with JSON-specific attributes type and generate as specified below.
-
-
-
-
-
- pkgs.formats.yaml { }
-
-
-
- A function taking an empty attribute set (for future extensibility) and returning a set with YAML-specific attributes type and generate as specified below.
-
-
-
-
-
- pkgs.formats.ini { listsAsDuplicateKeys ? false, listToValue ? null, ... }
-
-
-
- A function taking an attribute set with values
-
-
-
- listsAsDuplicateKeys
-
-
-
- A boolean for controlling whether list values can be used to represent duplicate INI keys
-
-
-
-
-
- listToValue
-
-
-
- A function for turning a list of values into a single value.
-
-
-
-
- It returns a set with INI-specific attributes type and generate as specified below.
-
-
-
-
-
- pkgs.formats.toml { }
-
-
-
- A function taking an empty attribute set (for future extensibility) and returning a set with TOML-specific attributes type and generate as specified below.
-
-
-
-
-
-
-
- These functions all return an attribute set with these values:
-
-
-
- type
-
-
-
- A module system type representing a value of the format
-
-
-
-
-
- generate filename jsonValue
-
-
-
- A function that can render a value of the format to a file. Returns a file path.
-
-
- This function puts the value contents in the Nix store. So this should be avoided for secrets.
-
-
-
-
-
-
-
-
- Module with conventional settings option
-
- The following shows a module for an example program that uses a JSON configuration file. It demonstrates how above values can be used, along with some other related best practices. See the comments for explanations.
-
-
-{ options, config, lib, pkgs, ... }:
-let
- cfg = config.services.foo;
- # Define the settings format used for this program
- settingsFormat = pkgs.formats.json {};
-in {
-
- options.services.foo = {
- enable = lib.mkEnableOption "foo service";
-
- settings = lib.mkOption {
- # Setting this type allows for correct merging behavior
- type = settingsFormat.type;
- default = {};
- description = ''
- Configuration for foo, see
- <link xlink:href="https://example.com/docs/foo"/>
- for supported settings.
- '';
- };
- };
-
- config = lib.mkIf cfg.enable {
- # We can assign some default settings here to make the service work by just
- # enabling it. We use `mkDefault` for values that can be changed without
- # problems
- services.foo.settings = {
- # Fails at runtime without any value set
- log_level = lib.mkDefault "WARN";
-
- # We assume systemd's `StateDirectory` is used, so we require this value,
- # therefore no mkDefault
- data_path = "/var/lib/foo";
-
- # Since we use this to create a user we need to know the default value at
- # eval time
- user = lib.mkDefault "foo";
- };
-
- environment.etc."foo.json".source =
- # The formats generator function takes a filename and the Nix value
- # representing the format value and produces a filepath with that value
- # rendered in the format
- settingsFormat.generate "foo-config.json" cfg.settings;
-
- # We know that the `user` attribute exists because we set a default value
- # for it above, allowing us to use it without worries here
- users.users.${cfg.settings.user} = { isSystemUser = true; };
-
- # ...
- };
-}
-
-
-
- Option declarations for attributes
-
- Some settings attributes may deserve some extra care. They may need a different type, default or merging behavior, or they are essential options that should show their documentation in the manual. This can be done using .
-
- Declaring a type-checked settings attribute
-
- We extend above example using freeform modules to declare an option for the port, which will enforce it to be a valid integer and make it show up in the manual.
-
-
-settings = lib.mkOption {
- type = lib.types.submodule {
-
- freeformType = settingsFormat.type;
-
- # Declare an option for the port such that the type is checked and this option
- # is shown in the manual.
- options.port = lib.mkOption {
- type = lib.types.port;
- default = 8080;
- description = ''
- Which port this service should listen on.
- '';
- };
-
- };
- default = {};
- description = ''
- Configuration for Foo, see
- <link xlink:href="https://example.com/docs/foo"/>
- for supported values.
- '';
-};
-
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/sources.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/sources.chapter.md
new file mode 100644
index 00000000000..88173f7135b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/sources.chapter.md
@@ -0,0 +1,77 @@
+# Getting the Sources {#sec-getting-sources}
+
+By default, NixOS's `nixos-rebuild` command uses the NixOS and Nixpkgs
+sources provided by the `nixos` channel (kept in
+`/nix/var/nix/profiles/per-user/root/channels/nixos`). To modify NixOS,
+however, you should check out the latest sources from Git. This is as
+follows:
+
+```ShellSession
+$ git clone https://github.com/NixOS/nixpkgs
+$ cd nixpkgs
+$ git remote update origin
+```
+
+This will check out the latest Nixpkgs sources to `./nixpkgs` the NixOS
+sources to `./nixpkgs/nixos`. (The NixOS source tree lives in a
+subdirectory of the Nixpkgs repository.) The `nixpkgs` repository has
+branches that correspond to each Nixpkgs/NixOS channel (see
+[](#sec-upgrading) for more information about channels). Thus, the
+Git branch `origin/nixos-17.03` will contain the latest built and tested
+version available in the `nixos-17.03` channel.
+
+It's often inconvenient to develop directly on the master branch, since
+if somebody has just committed (say) a change to GCC, then the binary
+cache may not have caught up yet and you'll have to rebuild everything
+from source. So you may want to create a local branch based on your
+current NixOS version:
+
+```ShellSession
+$ nixos-version
+17.09pre104379.6e0b727 (Hummingbird)
+
+$ git checkout -b local 6e0b727
+```
+
+Or, to base your local branch on the latest version available in a NixOS
+channel:
+
+```ShellSession
+$ git remote update origin
+$ git checkout -b local origin/nixos-17.03
+```
+
+(Replace `nixos-17.03` with the name of the channel you want to use.)
+You can use `git merge` or `git
+ rebase` to keep your local branch in sync with the channel, e.g.
+
+```ShellSession
+$ git remote update origin
+$ git merge origin/nixos-17.03
+```
+
+You can use `git cherry-pick` to copy commits from your local branch to
+the upstream branch.
+
+If you want to rebuild your system using your (modified) sources, you
+need to tell `nixos-rebuild` about them using the `-I` flag:
+
+```ShellSession
+# nixos-rebuild switch -I nixpkgs=/my/sources/nixpkgs
+```
+
+If you want `nix-env` to use the expressions in `/my/sources`, use
+`nix-env -f
+ /my/sources/nixpkgs`, or change the default by adding a symlink in
+`~/.nix-defexpr`:
+
+```ShellSession
+$ ln -s /my/sources/nixpkgs ~/.nix-defexpr/nixpkgs
+```
+
+You may want to delete the symlink `~/.nix-defexpr/channels_root` to
+prevent root's NixOS channel from clashing with your own tree (this may
+break the command-not-found utility though). If you want to go back to
+the default state, you may just remove the `~/.nix-defexpr` directory
+completely, log out and log in again and it should have been recreated
+with a link to the root channels.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/sources.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/sources.xml
deleted file mode 100644
index b333ccabb42..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/sources.xml
+++ /dev/null
@@ -1,85 +0,0 @@
-
- Getting the Sources
-
- By default, NixOS’s nixos-rebuild command uses the NixOS
- and Nixpkgs sources provided by the nixos channel (kept in
- /nix/var/nix/profiles/per-user/root/channels/nixos ). To
- modify NixOS, however, you should check out the latest sources from Git. This
- is as follows:
-
-$ git clone https://github.com/NixOS/nixpkgs
-$ cd nixpkgs
-$ git remote update origin
-
- This will check out the latest Nixpkgs sources to
- ./nixpkgs the NixOS sources to
- ./nixpkgs/nixos . (The NixOS source tree lives in a
- subdirectory of the Nixpkgs repository.) The
- nixpkgs repository has branches that correspond
- to each Nixpkgs/NixOS channel (see for more
- information about channels). Thus, the Git branch
- origin/nixos-17.03 will contain the latest built and
- tested version available in the nixos-17.03 channel.
-
-
- It’s often inconvenient to develop directly on the master branch, since if
- somebody has just committed (say) a change to GCC, then the binary cache may
- not have caught up yet and you’ll have to rebuild everything from source.
- So you may want to create a local branch based on your current NixOS version:
-
-$ nixos-version
-17.09pre104379.6e0b727 (Hummingbird)
-
-$ git checkout -b local 6e0b727
-
- Or, to base your local branch on the latest version available in a NixOS
- channel:
-
-$ git remote update origin
-$ git checkout -b local origin/nixos-17.03
-
- (Replace nixos-17.03 with the name of the channel you want
- to use.) You can use git merge or git
- rebase to keep your local branch in sync with the channel, e.g.
-
-$ git remote update origin
-$ git merge origin/nixos-17.03
-
- You can use git cherry-pick to copy commits from your
- local branch to the upstream branch.
-
-
- If you want to rebuild your system using your (modified) sources, you need to
- tell nixos-rebuild about them using the
- -I flag:
-
-# nixos-rebuild switch -I nixpkgs=/my/sources /nixpkgs
-
-
-
- If you want nix-env to use the expressions in
- /my/sources , use nix-env -f
- /my/sources /nixpkgs , or change the
- default by adding a symlink in ~/.nix-defexpr :
-
-$ ln -s /my/sources /nixpkgs ~/.nix-defexpr/nixpkgs
-
- You may want to delete the symlink
- ~/.nix-defexpr/channels_root to prevent root’s NixOS
- channel from clashing with your own tree (this may break the
- command-not-found utility though). If you want to go back to the default
- state, you may just remove the ~/.nix-defexpr directory
- completely, log out and log in again and it should have been recreated with a
- link to the root channels.
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/testing-installer.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/testing-installer.chapter.md
new file mode 100644
index 00000000000..2eaa0161492
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/testing-installer.chapter.md
@@ -0,0 +1,18 @@
+# Testing the Installer {#ch-testing-installer}
+
+Building, burning, and booting from an installation CD is rather
+tedious, so here is a quick way to see if the installer works properly:
+
+```ShellSession
+# mount -t tmpfs none /mnt
+# nixos-generate-config --root /mnt
+$ nix-build '' -A config.system.build.nixos-install
+# ./result/bin/nixos-install
+```
+
+To start a login shell in the new NixOS installation in `/mnt`:
+
+```ShellSession
+$ nix-build '' -A config.system.build.nixos-enter
+# ./result/bin/nixos-enter
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/testing-installer.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/testing-installer.xml
deleted file mode 100644
index 902f995fbc1..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/testing-installer.xml
+++ /dev/null
@@ -1,22 +0,0 @@
-
- Testing the Installer
-
- Building, burning, and booting from an installation CD is rather tedious, so
- here is a quick way to see if the installer works properly:
-
-# mount -t tmpfs none /mnt
-# nixos-generate-config --root /mnt
-$ nix-build '<nixpkgs/nixos>' -A config.system.build.nixos-install
-# ./result/bin/nixos-install
- To start a login shell in the new NixOS installation in
- /mnt :
-
-$ nix-build '<nixpkgs/nixos>' -A config.system.build.nixos-enter
-# ./result/bin/nixos-enter
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/writing-documentation.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/writing-documentation.chapter.md
new file mode 100644
index 00000000000..7c29f600d70
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/writing-documentation.chapter.md
@@ -0,0 +1,93 @@
+# Writing NixOS Documentation {#sec-writing-documentation}
+
+As NixOS grows, so too does the need for a catalogue and explanation of
+its extensive functionality. Collecting pertinent information from
+disparate sources and presenting it in an accessible style would be a
+worthy contribution to the project.
+
+## Building the Manual {#sec-writing-docs-building-the-manual}
+
+The DocBook sources of the [](#book-nixos-manual) are in the
+[`nixos/doc/manual`](https://github.com/NixOS/nixpkgs/tree/master/nixos/doc/manual)
+subdirectory of the Nixpkgs repository.
+
+You can quickly validate your edits with `make`:
+
+```ShellSession
+$ cd /path/to/nixpkgs/nixos/doc/manual
+$ nix-shell
+nix-shell$ make
+```
+
+Once you are done making modifications to the manual, it\'s important to
+build it before committing. You can do that as follows:
+
+```ShellSession
+nix-build nixos/release.nix -A manual.x86_64-linux
+```
+
+When this command successfully finishes, it will tell you where the
+manual got generated. The HTML will be accessible through the `result`
+symlink at `./result/share/doc/nixos/index.html`.
+
+## Editing DocBook XML {#sec-writing-docs-editing-docbook-xml}
+
+For general information on how to write in DocBook, see [DocBook 5: The
+Definitive Guide](http://www.docbook.org/tdg5/en/html/docbook.html).
+
+Emacs nXML Mode is very helpful for editing DocBook XML because it
+validates the document as you write, and precisely locates errors. To
+use it, see [](#sec-emacs-docbook-xml).
+
+[Pandoc](http://pandoc.org) can generate DocBook XML from a multitude of
+formats, which makes a good starting point. Here is an example of Pandoc
+invocation to convert GitHub-Flavoured MarkDown to DocBook 5 XML:
+
+```ShellSession
+pandoc -f markdown_github -t docbook5 docs.md -o my-section.md
+```
+
+Pandoc can also quickly convert a single `section.xml` to HTML, which is
+helpful when drafting.
+
+Sometimes writing valid DocBook is simply too difficult. In this case,
+submit your documentation updates in a [GitHub
+Issue](https://github.com/NixOS/nixpkgs/issues/new) and someone will
+handle the conversion to XML for you.
+
+## Creating a Topic {#sec-writing-docs-creating-a-topic}
+
+You can use an existing topic as a basis for the new topic or create a
+topic from scratch.
+
+Keep the following guidelines in mind when you create and add a topic:
+
+- The NixOS [`book`](http://www.docbook.org/tdg5/en/html/book.html)
+ element is in `nixos/doc/manual/manual.xml`. It includes several
+ [`parts`](http://www.docbook.org/tdg5/en/html/book.html) which are in
+ subdirectories.
+
+- Store the topic file in the same directory as the `part` to which it
+ belongs. If your topic is about configuring a NixOS module, then the
+ XML file can be stored alongside the module definition `nix` file.
+
+- If you include multiple words in the file name, separate the words
+ with a dash. For example: `ipv6-config.xml`.
+
+- Make sure that the `xml:id` value is unique. You can use abbreviations
+ if the ID is too long. For example: `nixos-config`.
+
+- Determine whether your topic is a chapter or a section. If you are
+ unsure, open an existing topic file and check whether the main
+ element is chapter or section.
+
+## Adding a Topic to the Book {#sec-writing-docs-adding-a-topic}
+
+Open the parent XML file and add an `xi:include` element to the list of
+chapters with the file name of the topic that you created. If you
+created a `section`, you add the file to the `chapter` file. If you created
+a `chapter`, you add the file to the `part` file.
+
+If the topic is about configuring a NixOS module, it can be
+automatically included in the manual by using the `meta.doc` attribute.
+See [](#sec-meta-attributes) for an explanation.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/writing-documentation.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/writing-documentation.xml
deleted file mode 100644
index 89fab666561..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/writing-documentation.xml
+++ /dev/null
@@ -1,150 +0,0 @@
-
- Writing NixOS Documentation
-
- As NixOS grows, so too does the need for a catalogue and explanation of its
- extensive functionality. Collecting pertinent information from disparate
- sources and presenting it in an accessible style would be a worthy
- contribution to the project.
-
-
- Building the Manual
-
-
- The DocBook sources of the are in the
- nixos/doc/manual
- subdirectory of the Nixpkgs repository.
-
-
-
- You can quickly validate your edits with make :
-
-
-
-$ cd /path/to/nixpkgs/nixos/doc/manual
-$ nix-shell
-nix-shell$ make
-
-
-
- Once you are done making modifications to the manual, it's important to
- build it before committing. You can do that as follows:
-
-
-nix-build nixos/release.nix -A manual.x86_64-linux
-
-
- When this command successfully finishes, it will tell you where the manual
- got generated. The HTML will be accessible through the
- result symlink at
- ./result/share/doc/nixos/index.html .
-
-
-
- Editing DocBook XML
-
-
- For general information on how to write in DocBook, see
- DocBook
- 5: The Definitive Guide.
-
-
-
- Emacs nXML Mode is very helpful for editing DocBook XML because it validates
- the document as you write, and precisely locates errors. To use it, see
- .
-
-
-
- Pandoc can generate DocBook XML
- from a multitude of formats, which makes a good starting point.
-
- Pandoc invocation to convert GitHub-Flavoured MarkDown to DocBook 5 XML
-pandoc -f markdown_github -t docbook5 docs.md -o my-section.md
-
- Pandoc can also quickly convert a single section.xml to
- HTML, which is helpful when drafting.
-
-
-
- Sometimes writing valid DocBook is simply too difficult. In this case,
- submit your documentation updates in a
- GitHub
- Issue and someone will handle the conversion to XML for you.
-
-
-
- Creating a Topic
-
-
- You can use an existing topic as a basis for the new topic or create a topic
- from scratch.
-
-
-
- Keep the following guidelines in mind when you create and add a topic:
-
-
-
- The NixOS
- book
- element is in nixos/doc/manual/manual.xml . It
- includes several
- part s
- which are in subdirectories.
-
-
-
-
- Store the topic file in the same directory as the part to
- which it belongs. If your topic is about configuring a NixOS module, then
- the XML file can be stored alongside the module definition
- nix file.
-
-
-
-
- If you include multiple words in the file name, separate the words with a
- dash. For example: ipv6-config.xml .
-
-
-
-
- Make sure that the xml:id value is unique. You can use
- abbreviations if the ID is too long. For example:
- nixos-config .
-
-
-
-
- Determine whether your topic is a chapter or a section. If you are
- unsure, open an existing topic file and check whether the main element is
- chapter or section.
-
-
-
-
-
-
- Adding a Topic to the Book
-
-
- Open the parent XML file and add an xi:include element to
- the list of chapters with the file name of the topic that you created. If
- you created a section , you add the file to the chapter
- file. If you created a chapter , you add the file to the
- part file.
-
-
-
- If the topic is about configuring a NixOS module, it can be automatically
- included in the manual by using the meta.doc attribute.
- See for an explanation.
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/writing-modules.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/writing-modules.chapter.md
new file mode 100644
index 00000000000..2e3c6b34f1f
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/writing-modules.chapter.md
@@ -0,0 +1,166 @@
+# Writing NixOS Modules {#sec-writing-modules}
+
+NixOS has a modular system for declarative configuration. This system
+combines multiple *modules* to produce the full system configuration.
+One of the modules that constitute the configuration is
+`/etc/nixos/configuration.nix`. Most of the others live in the
+[`nixos/modules`](https://github.com/NixOS/nixpkgs/tree/master/nixos/modules)
+subdirectory of the Nixpkgs tree.
+
+Each NixOS module is a file that handles one logical aspect of the
+configuration, such as a specific kind of hardware, a service, or
+network settings. A module configuration does not have to handle
+everything from scratch; it can use the functionality provided by other
+modules for its implementation. Thus a module can *declare* options that
+can be used by other modules, and conversely can *define* options
+provided by other modules in its own implementation. For example, the
+module
+[`pam.nix`](https://github.com/NixOS/nixpkgs/blob/master/nixos/modules/security/pam.nix)
+declares the option `security.pam.services` that allows other modules (e.g.
+[`sshd.nix`](https://github.com/NixOS/nixpkgs/blob/master/nixos/modules/services/networking/ssh/sshd.nix))
+to define PAM services; and it defines the option `environment.etc` (declared by
+[`etc.nix`](https://github.com/NixOS/nixpkgs/blob/master/nixos/modules/system/etc/etc.nix))
+to cause files to be created in `/etc/pam.d`.
+
+In [](#sec-configuration-syntax), we saw the following structure of
+NixOS modules:
+
+```nix
+{ config, pkgs, ... }:
+
+{ option definitions
+}
+```
+
+This is actually an *abbreviated* form of module that only defines
+options, but does not declare any. The structure of full NixOS modules
+is shown in [Example: Structure of NixOS Modules](#ex-module-syntax).
+
+::: {#ex-module-syntax .example}
+::: {.title}
+**Example: Structure of NixOS Modules**
+:::
+```nix
+{ config, pkgs, ... }:
+
+{
+ imports =
+ [ paths of other modules
+ ];
+
+ options = {
+ option declarations
+ };
+
+ config = {
+ option definitions
+ };
+}
+```
+:::
+
+The meaning of each part is as follows.
+
+- The first line makes the current Nix expression a function. The variable
+ `pkgs` contains Nixpkgs (by default, it takes the `nixpkgs` entry of
+ `NIX_PATH`, see the [Nix manual](https://nixos.org/manual/nix/stable/#sec-common-env)
+ for further details), while `config` contains the full system
+ configuration. This line can be omitted if there is no reference to
+ `pkgs` and `config` inside the module.
+
+- This `imports` list enumerates the paths to other NixOS modules that
+ should be included in the evaluation of the system configuration. A
+ default set of modules is defined in the file `modules/module-list.nix`.
+ These don\'t need to be added in the import list.
+
+- The attribute `options` is a nested set of *option declarations*
+ (described below).
+
+- The attribute `config` is a nested set of *option definitions* (also
+ described below).
+
+[Example: NixOS Module for the "locate" Service](#locate-example)
+shows a module that handles the regular update of the "locate" database,
+an index of all files in the file system. This module declares two
+options that can be defined by other modules (typically the user's
+`configuration.nix`): `services.locate.enable` (whether the database should
+be updated) and `services.locate.interval` (when the update should be done).
+It implements its functionality by defining two options declared by other
+modules: `systemd.services` (the set of all systemd services) and
+`systemd.timers` (the list of commands to be executed periodically by
+`systemd`).
+
+::: {#locate-example .example}
+::: {.title}
+**Example: NixOS Module for the "locate" Service**
+:::
+```nix
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let
+ cfg = config.services.locate;
+in {
+ options.services.locate = {
+ enable = mkOption {
+ type = types.bool;
+ default = false;
+ description = ''
+ If enabled, NixOS will periodically update the database of
+ files used by the locate command.
+ '';
+ };
+
+ interval = mkOption {
+ type = types.str;
+ default = "02:15";
+ example = "hourly";
+ description = ''
+ Update the locate database at this interval. Updates by
+ default at 2:15 AM every day.
+
+ The format is described in
+ systemd.time(7).
+ '';
+ };
+
+ # Other options omitted for documentation
+ };
+
+ config = {
+ systemd.services.update-locatedb =
+ { description = "Update Locate Database";
+ path = [ pkgs.su ];
+ script =
+ ''
+ mkdir -m 0755 -p $(dirname ${toString cfg.output})
+ exec updatedb \
+ --localuser=${cfg.localuser} \
+ ${optionalString (!cfg.includeStore) "--prunepaths='/nix/store'"} \
+ --output=${toString cfg.output} ${concatStringsSep " " cfg.extraFlags}
+ '';
+ };
+
+ systemd.timers.update-locatedb = mkIf cfg.enable
+ { description = "Update timer for locate database";
+ partOf = [ "update-locatedb.service" ];
+ wantedBy = [ "timers.target" ];
+ timerConfig.OnCalendar = cfg.interval;
+ };
+ };
+}
+```
+:::
+
+```{=docbook}
+
+
+
+
+
+
+
+
+
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/writing-modules.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/writing-modules.xml
deleted file mode 100644
index 04497db77b8..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/development/writing-modules.xml
+++ /dev/null
@@ -1,191 +0,0 @@
-
- Writing NixOS Modules
-
- NixOS has a modular system for declarative configuration. This system
- combines multiple modules to produce the full system
- configuration. One of the modules that constitute the configuration is
- /etc/nixos/configuration.nix . Most of the others live in
- the
- nixos/modules
- subdirectory of the Nixpkgs tree.
-
-
- Each NixOS module is a file that handles one logical aspect of the
- configuration, such as a specific kind of hardware, a service, or network
- settings. A module configuration does not have to handle everything from
- scratch; it can use the functionality provided by other modules for its
- implementation. Thus a module can declare options that
- can be used by other modules, and conversely can define
- options provided by other modules in its own implementation. For example, the
- module
- pam.nix
- declares the option security.pam.services that allows other
- modules (e.g.
- sshd.nix )
- to define PAM services; and it defines the option
- environment.etc (declared by
- etc.nix )
- to cause files to be created in /etc/pam.d .
-
-
- In , we saw the following structure
- of NixOS modules:
-
-{ config, pkgs, ... }:
-
-{ option definitions
-}
-
- This is actually an abbreviated form of module that only
- defines options, but does not declare any. The structure of full NixOS
- modules is shown in .
-
-
- Structure of NixOS Modules
-
-{ config, pkgs, ... }:
-
-{
- imports =
- [ paths of other modules
- ];
-
- options = {
- option declarations
- };
-
- config = {
- option definitions
- };
-}
-
-
- The meaning of each part is as follows.
-
-
-
- This line makes the current Nix expression a function. The variable
- pkgs contains Nixpkgs (by default, it takes the
- nixpkgs entry of NIX_PATH , see the Nix
- manual for further details), while config
- contains the full system configuration. This line can be omitted if there
- is no reference to pkgs and config
- inside the module.
-
-
-
-
- This list enumerates the paths to other NixOS modules that should be
- included in the evaluation of the system configuration. A default set of
- modules is defined in the file
- modules/module-list.nix . These don't need to be added
- in the import list.
-
-
-
-
- The attribute options is a nested set of
- option declarations (described below).
-
-
-
-
- The attribute config is a nested set of
- option definitions (also described below).
-
-
-
-
-
- shows a module that handles the regular
- update of the “locate” database, an index of all files in the file
- system. This module declares two options that can be defined by other modules
- (typically the user’s configuration.nix ):
- services.locate.enable (whether the database should be
- updated) and services.locate.interval (when the update
- should be done). It implements its functionality by defining two options
- declared by other modules: systemd.services (the set of all
- systemd services) and systemd.timers (the list of commands
- to be executed periodically by systemd ).
-
-
- NixOS Module for the “locate” Service
-
-{ config, lib, pkgs, ... }:
-
-with lib;
-
-let
- cfg = config.services.locate;
-in {
- options.services.locate = {
- enable = mkOption {
- type = types.bool;
- default = false;
- description = ''
- If enabled, NixOS will periodically update the database of
- files used by the locate command.
- '';
- };
-
- interval = mkOption {
- type = types.str;
- default = "02:15";
- example = "hourly";
- description = ''
- Update the locate database at this interval. Updates by
- default at 2:15 AM every day.
-
- The format is described in
- systemd.time
- 7 .
- '';
- };
-
- # Other options omitted for documentation
- };
-
- config = {
- systemd.services.update-locatedb =
- { description = "Update Locate Database";
- path = [ pkgs.su ];
- script =
- ''
- mkdir -m 0755 -p $(dirname ${toString cfg.output})
- exec updatedb \
- --localuser=${cfg.localuser} \
- ${optionalString (!cfg.includeStore) "--prunepaths='/nix/store'"} \
- --output=${toString cfg.output} ${concatStringsSep " " cfg.extraFlags}
- '';
- };
-
- systemd.timers.update-locatedb = mkIf cfg.enable
- { description = "Update timer for locate database";
- partOf = [ "update-locatedb.service" ];
- wantedBy = [ "timers.target" ];
- timerConfig.OnCalendar = cfg.interval;
- };
- };
-}
-
-
-
-
-
-
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/boot-problems.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/boot-problems.section.xml
index 4ea01e78f32..144661c86eb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/boot-problems.section.xml
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/boot-problems.section.xml
@@ -61,7 +61,7 @@
neededForBoot).
As a motivating example, this could be useful if you’ve
forgotten to set
- neededForBoot
+ neededForBoot
on a file system.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/cleaning-store.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/cleaning-store.chapter.xml
new file mode 100644
index 00000000000..0ca98dd6e51
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/cleaning-store.chapter.xml
@@ -0,0 +1,71 @@
+
+ Cleaning the Nix Store
+
+ Nix has a purely functional model, meaning that packages are never
+ upgraded in place. Instead new versions of packages end up in a
+ different location in the Nix store (/nix/store ).
+ You should periodically run Nix’s garbage
+ collector to remove old, unreferenced packages. This is
+ easy:
+
+
+$ nix-collect-garbage
+
+
+ Alternatively, you can use a systemd unit that does the same in the
+ background:
+
+
+# systemctl start nix-gc.service
+
+
+ You can tell NixOS in configuration.nix to run
+ this unit automatically at certain points in time, for instance,
+ every night at 03:15:
+
+
+nix.gc.automatic = true;
+nix.gc.dates = "03:15";
+
+
+ The commands above do not remove garbage collector roots, such as
+ old system configurations. Thus they do not remove the ability to
+ roll back to previous configurations. The following command deletes
+ old roots, removing the ability to roll back to them:
+
+
+$ nix-collect-garbage -d
+
+
+ You can also do this for specific profiles, e.g.
+
+
+$ nix-env -p /nix/var/nix/profiles/per-user/eelco/profile --delete-generations old
+
+
+ Note that NixOS system configurations are stored in the profile
+ /nix/var/nix/profiles/system .
+
+
+ Another way to reclaim disk space (often as much as 40% of the size
+ of the Nix store) is to run Nix’s store optimiser, which seeks out
+ identical files in the store and replaces them with hard links to a
+ single copy.
+
+
+$ nix-store --optimise
+
+
+ Since this command needs to read the entire Nix store, it can take
+ quite a while to finish.
+
+
+ NixOS Boot Entries
+
+ If your /boot partition runs out of space,
+ after clearing old profiles you must rebuild your system with
+ nixos-rebuild to update the
+ /boot partition and clear space.
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/container-networking.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/container-networking.section.xml
new file mode 100644
index 00000000000..788a2b7b0ac
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/container-networking.section.xml
@@ -0,0 +1,54 @@
+
+ Container Networking
+
+ When you create a container using
+ nixos-container create , it gets it own private
+ IPv4 address in the range 10.233.0.0/16 . You can
+ get the container’s IPv4 address as follows:
+
+
+# nixos-container show-ip foo
+10.233.4.2
+
+$ ping -c1 10.233.4.2
+64 bytes from 10.233.4.2: icmp_seq=1 ttl=64 time=0.106 ms
+
+
+ Networking is implemented using a pair of virtual Ethernet devices.
+ The network interface in the container is called
+ eth0 , while the matching interface in the host is
+ called ve-container-name (e.g.,
+ ve-foo ). The container has its own network
+ namespace and the CAP_NET_ADMIN capability, so it
+ can perform arbitrary network configuration such as setting up
+ firewall rules, without affecting or having access to the host’s
+ network.
+
+
+ By default, containers cannot talk to the outside network. If you
+ want that, you should set up Network Address Translation (NAT) rules
+ on the host to rewrite container traffic to use your external IP
+ address. This can be accomplished using the following configuration
+ on the host:
+
+
+networking.nat.enable = true;
+networking.nat.internalInterfaces = ["ve-+"];
+networking.nat.externalInterface = "eth0";
+
+
+ where eth0 should be replaced with the desired
+ external interface. Note that ve-+ is a wildcard
+ that matches all container interfaces.
+
+
+ If you are using Network Manager, you need to explicitly prevent it
+ from managing container interfaces:
+
+
+networking.networkmanager.unmanaged = [ "interface-name:ve-*" ];
+
+
+ You may need to restart your system for the changes to take effect.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/containers.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/containers.chapter.xml
new file mode 100644
index 00000000000..afbd5b35aaa
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/containers.chapter.xml
@@ -0,0 +1,31 @@
+
+ Container Management
+
+ NixOS allows you to easily run other NixOS instances as
+ containers . Containers are a light-weight
+ approach to virtualisation that runs software in the container at
+ the same speed as in the host system. NixOS containers share the Nix
+ store of the host, making container creation very efficient.
+
+
+
+ Currently, NixOS containers are not perfectly isolated from the
+ host system. This means that a user with root access to the
+ container can do things that affect the host. So you should not
+ give container root access to untrusted users.
+
+
+
+ NixOS containers can be created in two ways: imperatively, using the
+ command nixos-container , and declaratively, by
+ specifying them in your configuration.nix . The
+ declarative approach implies that containers get upgraded along with
+ your host system when you run nixos-rebuild ,
+ which is often not what you want. By contrast, in the imperative
+ approach, containers are configured and updated independently from
+ the host system.
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/control-groups.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/control-groups.chapter.xml
new file mode 100644
index 00000000000..8dab2c9d44b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/control-groups.chapter.xml
@@ -0,0 +1,67 @@
+
+ Control Groups
+
+ To keep track of the processes in a running system, systemd uses
+ control groups (cgroups). A control group is a
+ set of processes used to allocate resources such as CPU, memory or
+ I/O bandwidth. There can be multiple control group hierarchies,
+ allowing each kind of resource to be managed independently.
+
+
+ The command systemd-cgls lists all control groups
+ in the systemd hierarchy, which is what systemd
+ uses to keep track of the processes belonging to each service or
+ user session:
+
+
+$ systemd-cgls
+├─user
+│ └─eelco
+│ └─c1
+│ ├─ 2567 -:0
+│ ├─ 2682 kdeinit4: kdeinit4 Running...
+│ ├─ ...
+│ └─10851 sh -c less -R
+└─system
+ ├─httpd.service
+ │ ├─2444 httpd -f /nix/store/3pyacby5cpr55a03qwbnndizpciwq161-httpd.conf -DNO_DETACH
+ │ └─...
+ ├─dhcpcd.service
+ │ └─2376 dhcpcd --config /nix/store/f8dif8dsi2yaa70n03xir8r653776ka6-dhcpcd.conf
+ └─ ...
+
+
+ Similarly, systemd-cgls cpu shows the cgroups in
+ the CPU hierarchy, which allows per-cgroup CPU scheduling
+ priorities. By default, every systemd service gets its own CPU
+ cgroup, while all user sessions are in the top-level CPU cgroup.
+ This ensures, for instance, that a thousand run-away processes in
+ the httpd.service cgroup cannot starve the CPU
+ for one process in the postgresql.service cgroup.
+ (By contrast, it they were in the same cgroup, then the PostgreSQL
+ process would get 1/1001 of the cgroup’s CPU time.) You can limit a
+ service’s CPU share in configuration.nix :
+
+
+systemd.services.httpd.serviceConfig.CPUShares = 512;
+
+
+ By default, every cgroup has 1024 CPU shares, so this will halve the
+ CPU allocation of the httpd.service cgroup.
+
+
+ There also is a memory hierarchy that controls
+ memory allocation limits; by default, all processes are in the
+ top-level cgroup, so any service or session can exhaust all
+ available memory. Per-cgroup memory limits can be specified in
+ configuration.nix ; for instance, to limit
+ httpd.service to 512 MiB of RAM (excluding swap):
+
+
+systemd.services.httpd.serviceConfig.MemoryLimit = "512M";
+
+
+ The command systemd-cgtop shows a continuously
+ updated list of all cgroups with their CPU and memory usage.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/declarative-containers.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/declarative-containers.section.xml
new file mode 100644
index 00000000000..a918314a272
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/declarative-containers.section.xml
@@ -0,0 +1,60 @@
+
+ Declarative Container Specification
+
+ You can also specify containers and their configuration in the
+ host’s configuration.nix . For example, the
+ following specifies that there shall be a container named
+ database running PostgreSQL:
+
+
+containers.database =
+ { config =
+ { config, pkgs, ... }:
+ { services.postgresql.enable = true;
+ services.postgresql.package = pkgs.postgresql_9_6;
+ };
+ };
+
+
+ If you run nixos-rebuild switch , the container
+ will be built. If the container was already running, it will be
+ updated in place, without rebooting. The container can be configured
+ to start automatically by setting
+ containers.database.autoStart = true in its
+ configuration.
+
+
+ By default, declarative containers share the network namespace of
+ the host, meaning that they can listen on (privileged) ports.
+ However, they cannot change the network configuration. You can give
+ a container its own network as follows:
+
+
+containers.database = {
+ privateNetwork = true;
+ hostAddress = "192.168.100.10";
+ localAddress = "192.168.100.11";
+};
+
+
+ This gives the container a private virtual Ethernet interface with
+ IP address 192.168.100.11 , which is hooked up to
+ a virtual Ethernet interface on the host with IP address
+ 192.168.100.10 . (See the next section for details
+ on container networking.)
+
+
+ To disable the container, just remove it from
+ configuration.nix and run
+ nixos-rebuild switch . Note that this will not
+ delete the root directory of the container in
+ /var/lib/containers . Containers can be destroyed
+ using the imperative method:
+ nixos-container destroy foo .
+
+
+ Declarative containers can be started and stopped using the
+ corresponding systemd service, e.g.
+ systemctl start container@database .
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/imperative-containers.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/imperative-containers.section.xml
new file mode 100644
index 00000000000..59ecfdee5af
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/imperative-containers.section.xml
@@ -0,0 +1,131 @@
+
+ Imperative Container Management
+
+ We’ll cover imperative container management using
+ nixos-container first. Be aware that container
+ management is currently only possible as root .
+
+
+ You create a container with identifier foo as
+ follows:
+
+
+# nixos-container create foo
+
+
+ This creates the container’s root directory in
+ /var/lib/containers/foo and a small configuration
+ file in /etc/containers/foo.conf . It also builds
+ the container’s initial system configuration and stores it in
+ /nix/var/nix/profiles/per-container/foo/system .
+ You can modify the initial configuration of the container on the
+ command line. For instance, to create a container that has
+ sshd running, with the given public key for
+ root :
+
+
+# nixos-container create foo --config '
+ services.openssh.enable = true;
+ users.users.root.openssh.authorizedKeys.keys = ["ssh-dss AAAAB3N…"];
+'
+
+
+ By default the next free address in the
+ 10.233.0.0/16 subnet will be chosen as container
+ IP. This behavior can be altered by setting
+ --host-address and
+ --local-address :
+
+
+# nixos-container create test --config-file test-container.nix \
+ --local-address 10.235.1.2 --host-address 10.235.1.1
+
+
+ Creating a container does not start it. To start the container, run:
+
+
+# nixos-container start foo
+
+
+ This command will return as soon as the container has booted and has
+ reached multi-user.target . On the host, the
+ container runs within a systemd unit called
+ container@container-name.service . Thus, if
+ something went wrong, you can get status info using
+ systemctl :
+
+
+# systemctl status container@foo
+
+
+ If the container has started successfully, you can log in as root
+ using the root-login operation:
+
+
+# nixos-container root-login foo
+[root@foo:~]#
+
+
+ Note that only root on the host can do this (since there is no
+ authentication). You can also get a regular login prompt using the
+ login operation, which is available to all users
+ on the host:
+
+
+# nixos-container login foo
+foo login: alice
+Password: ***
+
+
+ With nixos-container run , you can execute
+ arbitrary commands in the container:
+
+
+# nixos-container run foo -- uname -a
+Linux foo 3.4.82 #1-NixOS SMP Thu Mar 20 14:44:05 UTC 2014 x86_64 GNU/Linux
+
+
+ There are several ways to change the configuration of the container.
+ First, on the host, you can edit
+ /var/lib/container/name/etc/nixos/configuration.nix ,
+ and run
+
+
+# nixos-container update foo
+
+
+ This will build and activate the new configuration. You can also
+ specify a new configuration on the command line:
+
+
+# nixos-container update foo --config '
+ services.httpd.enable = true;
+ services.httpd.adminAddr = "foo@example.org";
+ networking.firewall.allowedTCPPorts = [ 80 ];
+'
+
+# curl http://$(nixos-container show-ip foo)/
+<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 3.2 Final//EN">…
+
+
+ However, note that this will overwrite the container’s
+ /etc/nixos/configuration.nix .
+
+
+ Alternatively, you can change the configuration from within the
+ container itself by running nixos-rebuild switch
+ inside the container. Note that the container by default does not
+ have a copy of the NixOS channel, so you should run
+ nix-channel --update first.
+
+
+ Containers can be stopped and started using
+ nixos-container stop and
+ nixos-container start , respectively, or by using
+ systemctl on the container’s service unit. To
+ destroy a container, including its file system, do
+
+
+# nixos-container destroy foo
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/logging.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/logging.chapter.xml
new file mode 100644
index 00000000000..4da38c065a2
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/logging.chapter.xml
@@ -0,0 +1,45 @@
+
+ Logging
+
+ System-wide logging is provided by systemd’s
+ journal , which subsumes traditional logging
+ daemons such as syslogd and klogd. Log entries are kept in binary
+ files in /var/log/journal/ . The command
+ journalctl allows you to see the contents of the
+ journal. For example,
+
+
+$ journalctl -b
+
+
+ shows all journal entries since the last reboot. (The output of
+ journalctl is piped into less
+ by default.) You can use various options and match operators to
+ restrict output to messages of interest. For instance, to get all
+ messages from PostgreSQL:
+
+
+$ journalctl -u postgresql.service
+-- Logs begin at Mon, 2013-01-07 13:28:01 CET, end at Tue, 2013-01-08 01:09:57 CET. --
+...
+Jan 07 15:44:14 hagbard postgres[2681]: [2-1] LOG: database system is shut down
+-- Reboot --
+Jan 07 15:45:10 hagbard postgres[2532]: [1-1] LOG: database system was shut down at 2013-01-07 15:44:14 CET
+Jan 07 15:45:13 hagbard postgres[2500]: [1-1] LOG: database system is ready to accept connections
+
+
+ Or to get all messages since the last reboot that have at least a
+ critical
severity level:
+
+
+$ journalctl -b -p crit
+Dec 17 21:08:06 mandark sudo[3673]: pam_unix(sudo:auth): auth could not identify password for [alice]
+Dec 29 01:30:22 mandark kernel[6131]: [1053513.909444] CPU6: Core temperature above threshold, cpu clock throttled (total events = 1)
+
+
+ The system journal is readable by root and by users in the
+ wheel and systemd-journal
+ groups. All users have a private journal that can be read using
+ journalctl .
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/maintenance-mode.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/maintenance-mode.section.xml
new file mode 100644
index 00000000000..c86b1911c11
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/maintenance-mode.section.xml
@@ -0,0 +1,14 @@
+
+ Maintenance Mode
+
+ You can enter rescue mode by running:
+
+
+# systemctl rescue
+
+
+ This will eventually give you a single-user root shell. Systemd will
+ stop (almost) all system services. To get out of maintenance mode,
+ just exit from the rescue shell.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/network-problems.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/network-problems.section.xml
new file mode 100644
index 00000000000..4c0598ca94e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/network-problems.section.xml
@@ -0,0 +1,25 @@
+
+ Network Problems
+
+ Nix uses a so-called binary cache to optimise
+ building a package from source into downloading it as a pre-built
+ binary. That is, whenever a command like
+ nixos-rebuild needs a path in the Nix store, Nix
+ will try to download that path from the Internet rather than build
+ it from source. The default binary cache is
+ https://cache.nixos.org/ . If this cache is
+ unreachable, Nix operations may take a long time due to HTTP
+ connection timeouts. You can disable the use of the binary cache by
+ adding --option use-binary-caches false , e.g.
+
+
+# nixos-rebuild switch --option use-binary-caches false
+
+
+ If you have an alternative binary cache at your disposal, you can
+ use it instead:
+
+
+# nixos-rebuild switch --option binary-caches http://my-cache.example.org/
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/rebooting.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/rebooting.chapter.xml
new file mode 100644
index 00000000000..78ee75afb64
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/rebooting.chapter.xml
@@ -0,0 +1,38 @@
+
+ Rebooting and Shutting Down
+
+ The system can be shut down (and automatically powered off) by
+ doing:
+
+
+# shutdown
+
+
+ This is equivalent to running systemctl poweroff .
+
+
+ To reboot the system, run
+
+
+# reboot
+
+
+ which is equivalent to systemctl reboot .
+ Alternatively, you can quickly reboot the system using
+ kexec , which bypasses the BIOS by directly
+ loading the new kernel into memory:
+
+
+# systemctl kexec
+
+
+ The machine can be suspended to RAM (if supported) using
+ systemctl suspend , and suspended to disk using
+ systemctl hibernate .
+
+
+ These commands can be run by any user who is logged in locally, i.e.
+ on a virtual console or in X11; otherwise, the user is asked for
+ authentication.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/rollback.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/rollback.section.xml
new file mode 100644
index 00000000000..a8df053011c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/rollback.section.xml
@@ -0,0 +1,42 @@
+
+ Rolling Back Configuration Changes
+
+ After running nixos-rebuild to switch to a new
+ configuration, you may find that the new configuration doesn’t work
+ very well. In that case, there are several ways to return to a
+ previous configuration.
+
+
+ First, the GRUB boot manager allows you to boot into any previous
+ configuration that hasn’t been garbage-collected. These
+ configurations can be found under the GRUB submenu NixOS -
+ All configurations
. This is especially useful if the new
+ configuration fails to boot. After the system has booted, you can
+ make the selected configuration the default for subsequent boots:
+
+
+# /run/current-system/bin/switch-to-configuration boot
+
+
+ Second, you can switch to the previous configuration in a running
+ system:
+
+
+# nixos-rebuild switch --rollback
+
+
+ This is equivalent to running:
+
+
+# /nix/var/nix/profiles/system-N-link/bin/switch-to-configuration switch
+
+
+ where N is the number of the NixOS system
+ configuration. To get a list of the available configurations, do:
+
+
+$ ls -l /nix/var/nix/profiles/system-*-link
+...
+lrwxrwxrwx 1 root root 78 Aug 12 13:54 /nix/var/nix/profiles/system-268-link -> /nix/store/202b...-nixos-13.07pre4932_5a676e4-4be1055
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/service-mgmt.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/service-mgmt.chapter.xml
new file mode 100644
index 00000000000..8b01b8f896a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/service-mgmt.chapter.xml
@@ -0,0 +1,141 @@
+
+ Service Management
+
+ In NixOS, all system services are started and monitored using the
+ systemd program. systemd is the init
process of the
+ system (i.e. PID 1), the parent of all other processes. It manages a
+ set of so-called units
, which can be things like
+ system services (programs), but also mount points, swap files,
+ devices, targets (groups of units) and more. Units can have complex
+ dependencies; for instance, one unit can require that another unit
+ must be successfully started before the first unit can be started.
+ When the system boots, it starts a unit named
+ default.target ; the dependencies of this unit
+ cause all system services to be started, file systems to be mounted,
+ swap files to be activated, and so on.
+
+
+ Interacting with a running systemd
+
+ The command systemctl is the main way to
+ interact with systemd . The following paragraphs
+ demonstrate ways to interact with any OS running systemd as init
+ system. NixOS is of no exception. The
+ next section
+ explains NixOS specific things worth knowing.
+
+
+ Without any arguments, systemctl the status of
+ active units:
+
+
+$ systemctl
+-.mount loaded active mounted /
+swapfile.swap loaded active active /swapfile
+sshd.service loaded active running SSH Daemon
+graphical.target loaded active active Graphical Interface
+...
+
+
+ You can ask for detailed status information about a unit, for
+ instance, the PostgreSQL database service:
+
+
+$ systemctl status postgresql.service
+postgresql.service - PostgreSQL Server
+ Loaded: loaded (/nix/store/pn3q73mvh75gsrl8w7fdlfk3fq5qm5mw-unit/postgresql.service)
+ Active: active (running) since Mon, 2013-01-07 15:55:57 CET; 9h ago
+ Main PID: 2390 (postgres)
+ CGroup: name=systemd:/system/postgresql.service
+ ├─2390 postgres
+ ├─2418 postgres: writer process
+ ├─2419 postgres: wal writer process
+ ├─2420 postgres: autovacuum launcher process
+ ├─2421 postgres: stats collector process
+ └─2498 postgres: zabbix zabbix [local] idle
+
+Jan 07 15:55:55 hagbard postgres[2394]: [1-1] LOG: database system was shut down at 2013-01-07 15:55:05 CET
+Jan 07 15:55:57 hagbard postgres[2390]: [1-1] LOG: database system is ready to accept connections
+Jan 07 15:55:57 hagbard postgres[2420]: [1-1] LOG: autovacuum launcher started
+Jan 07 15:55:57 hagbard systemd[1]: Started PostgreSQL Server.
+
+
+ Note that this shows the status of the unit (active and running),
+ all the processes belonging to the service, as well as the most
+ recent log messages from the service.
+
+
+ Units can be stopped, started or restarted:
+
+
+# systemctl stop postgresql.service
+# systemctl start postgresql.service
+# systemctl restart postgresql.service
+
+
+ These operations are synchronous: they wait until the service has
+ finished starting or stopping (or has failed). Starting a unit
+ will cause the dependencies of that unit to be started as well (if
+ necessary).
+
+
+
+ systemd in NixOS
+
+ Packages in Nixpkgs sometimes provide systemd units with them,
+ usually in e.g #pkg-out#/lib/systemd/ . Putting
+ such a package in environment.systemPackages
+ doesn't make the service available to users or the system.
+
+
+ In order to enable a systemd system service
+ with provided upstream package, use (e.g):
+
+
+systemd.packages = [ pkgs.packagekit ];
+
+
+ Usually NixOS modules written by the community do the above, plus
+ take care of other details. If a module was written for a service
+ you are interested in, you'd probably need only to use
+ services.#name#.enable = true; . These services
+ are defined in Nixpkgs'
+
+ nixos/modules/ directory . In case the
+ service is simple enough, the above method should work, and start
+ the service on boot.
+
+
+ User systemd services on the other hand,
+ should be treated differently. Given a package that has a systemd
+ unit file at #pkg-out#/lib/systemd/user/ , using
+ will make you able to
+ start the service via systemctl --user start ,
+ but it won't start automatically on login. However, You can
+ imperatively enable it by adding the package's attribute to
+ and then do this (e.g):
+
+
+$ mkdir -p ~/.config/systemd/user/default.target.wants
+$ ln -s /run/current-system/sw/lib/systemd/user/syncthing.service ~/.config/systemd/user/default.target.wants/
+$ systemctl --user daemon-reload
+$ systemctl --user enable syncthing.service
+
+
+ If you are interested in a timer file, use
+ timers.target.wants instead of
+ default.target.wants in the 1st and 2nd
+ command.
+
+
+ Using systemctl --user enable syncthing.service
+ instead of the above, will work, but it'll use the absolute path
+ of syncthing.service for the symlink, and this
+ path is in /nix/store/.../lib/systemd/user/ .
+ Hence garbage collection will
+ remove that file and you will wind up with a broken symlink in
+ your systemd configuration, which in turn will not make the
+ service / timer start on login.
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/store-corruption.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/store-corruption.section.xml
new file mode 100644
index 00000000000..9ed572d484d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/store-corruption.section.xml
@@ -0,0 +1,34 @@
+
+ Nix Store Corruption
+
+ After a system crash, it’s possible for files in the Nix store to
+ become corrupted. (For instance, the Ext4 file system has the
+ tendency to replace un-synced files with zero bytes.) NixOS tries
+ hard to prevent this from happening: it performs a
+ sync before switching to a new configuration, and
+ Nix’s database is fully transactional. If corruption still occurs,
+ you may be able to fix it automatically.
+
+
+ If the corruption is in a path in the closure of the NixOS system
+ configuration, you can fix it by doing
+
+
+# nixos-rebuild switch --repair
+
+
+ This will cause Nix to check every path in the closure, and if its
+ cryptographic hash differs from the hash recorded in Nix’s database,
+ the path is rebuilt or redownloaded.
+
+
+ You can also scan the entire Nix store for corrupt paths:
+
+
+# nix-store --verify --check-contents --repair
+
+
+ Any corrupt paths will be redownloaded if they’re available in a
+ binary cache; otherwise, they cannot be repaired.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/troubleshooting.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/troubleshooting.chapter.xml
new file mode 100644
index 00000000000..8bbb8a1fe72
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/troubleshooting.chapter.xml
@@ -0,0 +1,12 @@
+
+ Troubleshooting
+
+ This chapter describes solutions to common problems you might
+ encounter when you manage your NixOS system.
+
+
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/user-sessions.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/user-sessions.chapter.xml
new file mode 100644
index 00000000000..e8c64f153fc
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/administration/user-sessions.chapter.xml
@@ -0,0 +1,46 @@
+
+ User Sessions
+
+ Systemd keeps track of all users who are logged into the system
+ (e.g. on a virtual console or remotely via SSH). The command
+ loginctl allows querying and manipulating user
+ sessions. For instance, to list all user sessions:
+
+
+$ loginctl
+ SESSION UID USER SEAT
+ c1 500 eelco seat0
+ c3 0 root seat0
+ c4 500 alice
+
+
+ This shows that two users are logged in locally, while another is
+ logged in remotely. (Seats
are essentially the
+ combinations of displays and input devices attached to the system;
+ usually, there is only one seat.) To get information about a
+ session:
+
+
+$ loginctl session-status c3
+c3 - root (0)
+ Since: Tue, 2013-01-08 01:17:56 CET; 4min 42s ago
+ Leader: 2536 (login)
+ Seat: seat0; vc3
+ TTY: /dev/tty3
+ Service: login; type tty; class user
+ State: online
+ CGroup: name=systemd:/user/root/c3
+ ├─ 2536 /nix/store/10mn4xip9n7y9bxqwnsx7xwx2v2g34xn-shadow-4.1.5.1/bin/login --
+ ├─10339 -bash
+ └─10355 w3m nixos.org
+
+
+ This shows that the user is logged in on virtual console 3. It also
+ lists the processes belonging to this session. Since systemd keeps
+ track of this, you can terminate a session in a way that ensures
+ that all the session’s processes are gone:
+
+
+# loginctl terminate-session c3
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ad-hoc-network-config.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ad-hoc-network-config.section.xml
new file mode 100644
index 00000000000..035ee3122e1
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ad-hoc-network-config.section.xml
@@ -0,0 +1,16 @@
+
+ Ad-Hoc Configuration
+
+ You can use to
+ specify shell commands to be run at the end of
+ network-setup.service . This is useful for doing
+ network configuration not covered by the existing NixOS modules. For
+ instance, to statically configure an IPv6 address:
+
+
+networking.localCommands =
+ ''
+ ip -6 addr add 2001:610:685:1::1/64 dev eth0
+ '';
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ad-hoc-packages.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ad-hoc-packages.section.xml
new file mode 100644
index 00000000000..c9a8d4f3f10
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ad-hoc-packages.section.xml
@@ -0,0 +1,59 @@
+
+ Ad-Hoc Package Management
+
+ With the command nix-env , you can install and
+ uninstall packages from the command line. For instance, to install
+ Mozilla Thunderbird:
+
+
+$ nix-env -iA nixos.thunderbird
+
+
+ If you invoke this as root, the package is installed in the Nix
+ profile /nix/var/nix/profiles/default and visible
+ to all users of the system; otherwise, the package ends up in
+ /nix/var/nix/profiles/per-user/username/profile
+ and is not visible to other users. The -A flag
+ specifies the package by its attribute name; without it, the package
+ is installed by matching against its package name (e.g.
+ thunderbird ). The latter is slower because it
+ requires matching against all available Nix packages, and is
+ ambiguous if there are multiple matching packages.
+
+
+ Packages come from the NixOS channel. You typically upgrade a
+ package by updating to the latest version of the NixOS channel:
+
+
+$ nix-channel --update nixos
+
+
+ and then running nix-env -i again. Other packages
+ in the profile are not affected; this is the
+ crucial difference with the declarative style of package management,
+ where running nixos-rebuild switch causes all
+ packages to be updated to their current versions in the NixOS
+ channel. You can however upgrade all packages for which there is a
+ newer version by doing:
+
+
+$ nix-env -u '*'
+
+
+ A package can be uninstalled using the -e flag:
+
+
+$ nix-env -e thunderbird
+
+
+ Finally, you can roll back an undesirable nix-env
+ action:
+
+
+$ nix-env --rollback
+
+
+ nix-env has many more flags. For details, see the
+ nix-env(1) manpage or the Nix manual.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/adding-custom-packages.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/adding-custom-packages.section.xml
new file mode 100644
index 00000000000..4fa40d61966
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/adding-custom-packages.section.xml
@@ -0,0 +1,80 @@
+
+ Adding Custom Packages
+
+ It’s possible that a package you need is not available in NixOS. In
+ that case, you can do two things. First, you can clone the Nixpkgs
+ repository, add the package to your clone, and (optionally) submit a
+ patch or pull request to have it accepted into the main Nixpkgs
+ repository. This is described in detail in the
+ Nixpkgs
+ manual. In short, you clone Nixpkgs:
+
+
+$ git clone https://github.com/NixOS/nixpkgs
+$ cd nixpkgs
+
+
+ Then you write and test the package as described in the Nixpkgs
+ manual. Finally, you add it to
+ , e.g.
+
+
+environment.systemPackages = [ pkgs.my-package ];
+
+
+ and you run nixos-rebuild , specifying your own
+ Nixpkgs tree:
+
+
+# nixos-rebuild switch -I nixpkgs=/path/to/my/nixpkgs
+
+
+ The second possibility is to add the package outside of the Nixpkgs
+ tree. For instance, here is how you specify a build of the
+ GNU
+ Hello package directly in
+ configuration.nix :
+
+
+environment.systemPackages =
+ let
+ my-hello = with pkgs; stdenv.mkDerivation rec {
+ name = "hello-2.8";
+ src = fetchurl {
+ url = "mirror://gnu/hello/${name}.tar.gz";
+ sha256 = "0wqd8sjmxfskrflaxywc7gqw7sfawrfvdxd9skxawzfgyy0pzdz6";
+ };
+ };
+ in
+ [ my-hello ];
+
+
+ Of course, you can also move the definition of
+ my-hello into a separate Nix expression, e.g.
+
+
+environment.systemPackages = [ (import ./my-hello.nix) ];
+
+
+ where my-hello.nix contains:
+
+
+with import <nixpkgs> {}; # bring all of Nixpkgs into scope
+
+stdenv.mkDerivation rec {
+ name = "hello-2.8";
+ src = fetchurl {
+ url = "mirror://gnu/hello/${name}.tar.gz";
+ sha256 = "0wqd8sjmxfskrflaxywc7gqw7sfawrfvdxd9skxawzfgyy0pzdz6";
+ };
+}
+
+
+ This allows testing the package easily:
+
+
+$ nix-build my-hello.nix
+$ ./result/bin/hello
+Hello, world!
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/config-file.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/config-file.section.xml
new file mode 100644
index 00000000000..952c6e60030
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/config-file.section.xml
@@ -0,0 +1,231 @@
+
+ NixOS Configuration File
+
+ The NixOS configuration file generally looks like this:
+
+
+{ config, pkgs, ... }:
+
+{ option definitions
+}
+
+
+ The first line ({ config, pkgs, ... }: ) denotes
+ that this is actually a function that takes at least the two
+ arguments config and pkgs .
+ (These are explained later, in chapter
+ ) The function returns a
+ set of option definitions
+ ({ ... } ). These definitions have the form
+ name = value , where name is
+ the name of an option and value is its value. For
+ example,
+
+
+{ config, pkgs, ... }:
+
+{ services.httpd.enable = true;
+ services.httpd.adminAddr = "alice@example.org";
+ services.httpd.virtualHosts.localhost.documentRoot = "/webroot";
+}
+
+
+ defines a configuration with three option definitions that together
+ enable the Apache HTTP Server with /webroot as
+ the document root.
+
+
+ Sets can be nested, and in fact dots in option names are shorthand
+ for defining a set containing another set. For instance,
+ defines a set named
+ services that contains a set named
+ httpd , which in turn contains an option
+ definition named enable with value
+ true . This means that the example above can also
+ be written as:
+
+
+{ config, pkgs, ... }:
+
+{ services = {
+ httpd = {
+ enable = true;
+ adminAddr = "alice@example.org";
+ virtualHosts = {
+ localhost = {
+ documentRoot = "/webroot";
+ };
+ };
+ };
+ };
+}
+
+
+ which may be more convenient if you have lots of option definitions
+ that share the same prefix (such as
+ services.httpd ).
+
+
+ NixOS checks your option definitions for correctness. For instance,
+ if you try to define an option that doesn’t exist (that is, doesn’t
+ have a corresponding option declaration ),
+ nixos-rebuild will give an error like:
+
+
+The option `services.httpd.enable' defined in `/etc/nixos/configuration.nix' does not exist.
+
+
+ Likewise, values in option definitions must have a correct type. For
+ instance, services.httpd.enable must be a Boolean
+ (true or false ). Trying to
+ give it a value of another type, such as a string, will cause an
+ error:
+
+
+The option value `services.httpd.enable' in `/etc/nixos/configuration.nix' is not a boolean.
+
+
+ Options have various types of values. The most important are:
+
+
+
+
+ Strings
+
+
+
+ Strings are enclosed in double quotes, e.g.
+
+
+networking.hostName = "dexter";
+
+
+ Special characters can be escaped by prefixing them with a
+ backslash (e.g. \" ).
+
+
+ Multi-line strings can be enclosed in double single
+ quotes , e.g.
+
+
+networking.extraHosts =
+ ''
+ 127.0.0.2 other-localhost
+ 10.0.0.1 server
+ '';
+
+
+ The main difference is that it strips from each line a number
+ of spaces equal to the minimal indentation of the string as a
+ whole (disregarding the indentation of empty lines), and that
+ characters like " and
+ \ are not special (making it more
+ convenient for including things like shell code). See more
+ info about this in the Nix manual
+ here.
+
+
+
+
+
+ Booleans
+
+
+
+ These can be true or
+ false , e.g.
+
+
+networking.firewall.enable = true;
+networking.firewall.allowPing = false;
+
+
+
+
+
+ Integers
+
+
+
+ For example,
+
+
+boot.kernel.sysctl."net.ipv4.tcp_keepalive_time" = 60;
+
+
+ (Note that here the attribute name
+ net.ipv4.tcp_keepalive_time is enclosed in
+ quotes to prevent it from being interpreted as a set named
+ net containing a set named
+ ipv4 , and so on. This is because it’s not a
+ NixOS option but the literal name of a Linux kernel setting.)
+
+
+
+
+
+ Sets
+
+
+
+ Sets were introduced above. They are name/value pairs enclosed
+ in braces, as in the option definition
+
+
+fileSystems."/boot" =
+ { device = "/dev/sda1";
+ fsType = "ext4";
+ options = [ "rw" "data=ordered" "relatime" ];
+ };
+
+
+
+
+
+ Lists
+
+
+
+ The important thing to note about lists is that list elements
+ are separated by whitespace, like this:
+
+
+boot.kernelModules = [ "fuse" "kvm-intel" "coretemp" ];
+
+
+ List elements can be any other type, e.g. sets:
+
+
+swapDevices = [ { device = "/dev/disk/by-label/swap"; } ];
+
+
+
+
+
+ Packages
+
+
+
+ Usually, the packages you need are already part of the Nix
+ Packages collection, which is a set that can be accessed
+ through the function argument pkgs . Typical
+ uses:
+
+
+environment.systemPackages =
+ [ pkgs.thunderbird
+ pkgs.emacs
+ ];
+
+services.postgresql.package = pkgs.postgresql_10;
+
+
+ The latter option definition changes the default PostgreSQL
+ package used by NixOS’s PostgreSQL service to 10.x. For more
+ information on packages, including how to add new ones, see
+ .
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/config-syntax.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/config-syntax.chapter.xml
new file mode 100644
index 00000000000..01446e53e38
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/config-syntax.chapter.xml
@@ -0,0 +1,21 @@
+
+ Configuration Syntax
+
+ The NixOS configuration file
+ /etc/nixos/configuration.nix is actually a
+ Nix expression , which is the Nix package
+ manager’s purely functional language for describing how to build
+ packages and configurations. This means you have all the expressive
+ power of that language at your disposal, including the ability to
+ abstract over common patterns, which is very useful when managing
+ complex systems. The syntax and semantics of the Nix language are
+ fully described in the
+ Nix
+ manual, but here we give a short overview of the most
+ important constructs useful in NixOS configuration files.
+
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/customizing-packages.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/customizing-packages.section.xml
new file mode 100644
index 00000000000..f78b5dc5460
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/customizing-packages.section.xml
@@ -0,0 +1,90 @@
+
+ Customising Packages
+
+ Some packages in Nixpkgs have options to enable or disable optional
+ functionality or change other aspects of the package. For instance,
+ the Firefox wrapper package (which provides Firefox with a set of
+ plugins such as the Adobe Flash player) has an option to enable the
+ Google Talk plugin. It can be set in
+ configuration.nix as follows:
+ nixpkgs.config.firefox.enableGoogleTalkPlugin = true;
+
+
+
+ Unfortunately, Nixpkgs currently lacks a way to query available
+ configuration options.
+
+
+
+ Apart from high-level options, it’s possible to tweak a package in
+ almost arbitrary ways, such as changing or disabling dependencies of
+ a package. For instance, the Emacs package in Nixpkgs by default has
+ a dependency on GTK 2. If you want to build it against GTK 3, you
+ can specify that as follows:
+
+
+environment.systemPackages = [ (pkgs.emacs.override { gtk = pkgs.gtk3; }) ];
+
+
+ The function override performs the call to the
+ Nix function that produces Emacs, with the original arguments
+ amended by the set of arguments specified by you. So here the
+ function argument gtk gets the value
+ pkgs.gtk3 , causing Emacs to depend on GTK 3. (The
+ parentheses are necessary because in Nix, function application binds
+ more weakly than list construction, so without them,
+ would be a list
+ with two elements.)
+
+
+ Even greater customisation is possible using the function
+ overrideAttrs . While the
+ override mechanism above overrides the arguments
+ of a package function, overrideAttrs allows
+ changing the attributes passed to
+ mkDerivation . This permits changing any aspect of
+ the package, such as the source code. For instance, if you want to
+ override the source code of Emacs, you can say:
+
+
+environment.systemPackages = [
+ (pkgs.emacs.overrideAttrs (oldAttrs: {
+ name = "emacs-25.0-pre";
+ src = /path/to/my/emacs/tree;
+ }))
+];
+
+
+ Here, overrideAttrs takes the Nix derivation
+ specified by pkgs.emacs and produces a new
+ derivation in which the original’s name and
+ src attribute have been replaced by the given
+ values by re-calling stdenv.mkDerivation . The
+ original attributes are accessible via the function argument, which
+ is conventionally named oldAttrs .
+
+
+ The overrides shown above are not global. They do not affect the
+ original package; other packages in Nixpkgs continue to depend on
+ the original rather than the customised package. This means that if
+ another package in your system depends on the original package, you
+ end up with two instances of the package. If you want to have
+ everything depend on your customised instance, you can apply a
+ global override as follows:
+
+
+nixpkgs.config.packageOverrides = pkgs:
+ { emacs = pkgs.emacs.override { gtk = pkgs.gtk3; };
+ };
+
+
+ The effect of this definition is essentially equivalent to modifying
+ the emacs attribute in the Nixpkgs source tree.
+ Any package in Nixpkgs that depends on emacs will
+ be passed your customised instance. (However, the value
+ pkgs.emacs in
+ nixpkgs.config.packageOverrides refers to the
+ original rather than overridden instance, to prevent an infinite
+ recursion.)
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/declarative-packages.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/declarative-packages.section.xml
new file mode 100644
index 00000000000..da31f18d923
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/declarative-packages.section.xml
@@ -0,0 +1,53 @@
+
+ Declarative Package Management
+
+ With declarative package management, you specify which packages you
+ want on your system by setting the option
+ . For instance,
+ adding the following line to configuration.nix
+ enables the Mozilla Thunderbird email application:
+
+
+environment.systemPackages = [ pkgs.thunderbird ];
+
+
+ The effect of this specification is that the Thunderbird package
+ from Nixpkgs will be built or downloaded as part of the system when
+ you run nixos-rebuild switch .
+
+
+
+ Some packages require additional global configuration such as
+ D-Bus or systemd service registration so adding them to
+ might not be
+ sufficient. You are advised to check the
+ list of options whether a NixOS
+ module for the package does not exist.
+
+
+
+ You can get a list of the available packages as follows:
+
+
+$ nix-env -qaP '*' --description
+nixos.firefox firefox-23.0 Mozilla Firefox - the browser, reloaded
+...
+
+
+ The first column in the output is the attribute
+ name , such as nixos.thunderbird .
+
+
+ Note: the nixos prefix tells us that we want to
+ get the package from the nixos channel and works
+ only in CLI tools. In declarative configuration use
+ pkgs prefix (variable).
+
+
+ To uninstall
a package, simply remove it from
+ and run
+ nixos-rebuild switch .
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/file-systems.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/file-systems.chapter.xml
new file mode 100644
index 00000000000..71441d8b4a5
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/file-systems.chapter.xml
@@ -0,0 +1,55 @@
+
+ File Systems
+
+ You can define file systems using the fileSystems
+ configuration option. For instance, the following definition causes
+ NixOS to mount the Ext4 file system on device
+ /dev/disk/by-label/data onto the mount point
+ /data :
+
+
+fileSystems."/data" =
+ { device = "/dev/disk/by-label/data";
+ fsType = "ext4";
+ };
+
+
+ This will create an entry in /etc/fstab , which
+ will generate a corresponding
+ systemd.mount
+ unit via
+ systemd-fstab-generator.
+ The filesystem will be mounted automatically unless
+ "noauto" is present in
+ options.
+ "noauto" filesystems can be mounted
+ explicitly using systemctl e.g.
+ systemctl start data.mount . Mount points are
+ created automatically if they don’t already exist. For
+ device , it’s best to use the topology-independent
+ device aliases in /dev/disk/by-label and
+ /dev/disk/by-uuid , as these don’t change if the
+ topology changes (e.g. if a disk is moved to another IDE
+ controller).
+
+
+ You can usually omit the file system type
+ (fsType ), since mount can
+ usually detect the type and load the necessary kernel module
+ automatically. However, if the file system is needed at early boot
+ (in the initial ramdisk) and is not ext2 ,
+ ext3 or ext4 , then it’s best
+ to specify fsType to ensure that the kernel
+ module is available.
+
+
+
+ System startup will fail if any of the filesystems fails to mount,
+ dropping you to the emergency shell. You can make a mount
+ asynchronous and non-critical by adding
+ options = [ "nofail" ]; .
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/firewall.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/firewall.section.xml
new file mode 100644
index 00000000000..24c19bb1c66
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/firewall.section.xml
@@ -0,0 +1,39 @@
+
+ Firewall
+
+ NixOS has a simple stateful firewall that blocks incoming
+ connections and other unexpected packets. The firewall applies to
+ both IPv4 and IPv6 traffic. It is enabled by default. It can be
+ disabled as follows:
+
+
+networking.firewall.enable = false;
+
+
+ If the firewall is enabled, you can open specific TCP ports to the
+ outside world:
+
+
+networking.firewall.allowedTCPPorts = [ 80 443 ];
+
+
+ Note that TCP port 22 (ssh) is opened automatically if the SSH
+ daemon is enabled
+ (services.openssh.enable = true ). UDP ports can
+ be opened through
+ .
+
+
+ To open ranges of TCP ports:
+
+
+networking.firewall.allowedTCPPortRanges = [
+ { from = 4000; to = 4007; }
+ { from = 8000; to = 8010; }
+];
+
+
+ Similarly, UDP port ranges can be opened through
+ .
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/gpu-accel.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/gpu-accel.chapter.xml
new file mode 100644
index 00000000000..8e780c5dee9
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/gpu-accel.chapter.xml
@@ -0,0 +1,239 @@
+
+ GPU acceleration
+
+ NixOS provides various APIs that benefit from GPU hardware
+ acceleration, such as VA-API and VDPAU for video playback; OpenGL
+ and Vulkan for 3D graphics; and OpenCL for general-purpose
+ computing. This chapter describes how to set up GPU hardware
+ acceleration (as far as this is not done automatically) and how to
+ verify that hardware acceleration is indeed used.
+
+
+ Most of the aforementioned APIs are agnostic with regards to which
+ display server is used. Consequently, these instructions should
+ apply both to the X Window System and Wayland compositors.
+
+
+ OpenCL
+
+ OpenCL
+ is a general compute API. It is used by various applications such
+ as Blender and Darktable to accelerate certain operations.
+
+
+ OpenCL applications load drivers through the Installable
+ Client Driver (ICD) mechanism. In this mechanism, an
+ ICD file specifies the path to the OpenCL driver for a particular
+ GPU family. In NixOS, there are two ways to make ICD files visible
+ to the ICD loader. The first is through the
+ OCL_ICD_VENDORS environment variable. This
+ variable can contain a directory which is scanned by the ICL
+ loader for ICD files. For example:
+
+
+$ export \
+ OCL_ICD_VENDORS=`nix-build '<nixpkgs>' --no-out-link -A rocm-opencl-icd`/etc/OpenCL/vendors/
+
+
+ The second mechanism is to add the OpenCL driver package to
+ . This links
+ the ICD file under /run/opengl-driver , where it
+ will be visible to the ICD loader.
+
+
+ The proper installation of OpenCL drivers can be verified through
+ the clinfo command of the clinfo package. This
+ command will report the number of hardware devices that is found
+ and give detailed information for each device:
+
+
+$ clinfo | head -n3
+Number of platforms 1
+Platform Name AMD Accelerated Parallel Processing
+Platform Vendor Advanced Micro Devices, Inc.
+
+
+ AMD
+
+ Modern AMD
+ Graphics
+ Core Next (GCN) GPUs are supported through the
+ rocm-opencl-icd package. Adding this package to
+ enables
+ OpenCL support:
+
+
+hardware.opengl.extraPackages = [
+ rocm-opencl-icd
+];
+
+
+
+ Intel
+
+ Intel
+ Gen8 and later GPUs are supported by the Intel NEO OpenCL
+ runtime that is provided by the intel-compute-runtime package.
+ For Gen7 GPUs, the deprecated Beignet runtime can be used, which
+ is provided by the beignet package. The proprietary Intel OpenCL
+ runtime, in the intel-ocl package, is an alternative for Gen7
+ GPUs.
+
+
+ The intel-compute-runtime, beignet, or intel-ocl package can be
+ added to to
+ enable OpenCL support. For example, for Gen8 and later GPUs, the
+ following configuration can be used:
+
+
+hardware.opengl.extraPackages = [
+ intel-compute-runtime
+];
+
+
+
+
+ Vulkan
+
+ Vulkan
+ is a graphics and compute API for GPUs. It is used directly by
+ games or indirectly though compatibility layers like
+ DXVK.
+
+
+ By default, if
+ is enabled, mesa is installed and provides Vulkan for supported
+ hardware.
+
+
+ Similar to OpenCL, Vulkan drivers are loaded through the
+ Installable Client Driver (ICD) mechanism.
+ ICD files for Vulkan are JSON files that specify the path to the
+ driver library and the supported Vulkan version. All successfully
+ loaded drivers are exposed to the application as different GPUs.
+ In NixOS, there are two ways to make ICD files visible to Vulkan
+ applications: an environment variable and a module option.
+
+
+ The first option is through the
+ VK_ICD_FILENAMES environment variable. This
+ variable can contain multiple JSON files, separated by
+ : . For example:
+
+
+$ export \
+ VK_ICD_FILENAMES=`nix-build '<nixpkgs>' --no-out-link -A amdvlk`/share/vulkan/icd.d/amd_icd64.json
+
+
+ The second mechanism is to add the Vulkan driver package to
+ . This links
+ the ICD file under /run/opengl-driver , where it
+ will be visible to the ICD loader.
+
+
+ The proper installation of Vulkan drivers can be verified through
+ the vulkaninfo command of the vulkan-tools
+ package. This command will report the hardware devices and drivers
+ found, in this example output amdvlk and radv:
+
+
+$ vulkaninfo | grep GPU
+ GPU id : 0 (Unknown AMD GPU)
+ GPU id : 1 (AMD RADV NAVI10 (LLVM 9.0.1))
+ ...
+GPU0:
+ deviceType = PHYSICAL_DEVICE_TYPE_DISCRETE_GPU
+ deviceName = Unknown AMD GPU
+GPU1:
+ deviceType = PHYSICAL_DEVICE_TYPE_DISCRETE_GPU
+
+
+ A simple graphical application that uses Vulkan is
+ vkcube from the vulkan-tools package.
+
+
+ AMD
+
+ Modern AMD
+ Graphics
+ Core Next (GCN) GPUs are supported through either radv,
+ which is part of mesa, or the amdvlk package. Adding the amdvlk
+ package to
+ makes amdvlk the default driver and hides radv and lavapipe from
+ the device list. A specific driver can be forced as follows:
+
+
+hardware.opengl.extraPackages = [
+ pkgs.amdvlk
+];
+
+# To enable Vulkan support for 32-bit applications, also add:
+hardware.opengl.extraPackages32 = [
+ pkgs.driversi686Linux.amdvlk
+];
+
+# Force radv
+environment.variables.AMD_VULKAN_ICD = "RADV";
+# Or
+environment.variables.VK_ICD_FILENAMES =
+ "/run/opengl-driver/share/vulkan/icd.d/radeon_icd.x86_64.json";
+
+
+
+
+ Common issues
+
+ User permissions
+
+ Except where noted explicitly, it should not be necessary to
+ adjust user permissions to use these acceleration APIs. In the
+ default configuration, GPU devices have world-read/write
+ permissions (/dev/dri/renderD* ) or are tagged
+ as uaccess
+ (/dev/dri/card* ). The access control lists of
+ devices with the uaccess tag will be updated
+ automatically when a user logs in through
+ systemd-logind . For example, if the user
+ jane is logged in, the access control list
+ should look as follows:
+
+
+$ getfacl /dev/dri/card0
+# file: dev/dri/card0
+# owner: root
+# group: video
+user::rw-
+user:jane:rw-
+group::rw-
+mask::rw-
+other::---
+
+
+ If you disabled (this functionality of)
+ systemd-logind , you may need to add the user
+ to the video group and log in again.
+
+
+
+ Mixing different versions of nixpkgs
+
+ The Installable Client Driver (ICD)
+ mechanism used by OpenCL and Vulkan loads runtimes into its
+ address space using dlopen . Mixing an ICD
+ loader mechanism and runtimes from different version of nixpkgs
+ may not work. For example, if the ICD loader uses an older
+ version of glibc than the runtime, the runtime may not be
+ loadable due to missing symbols. Unfortunately, the loader will
+ generally be quiet about such issues.
+
+
+ If you suspect that you are running into library version
+ mismatches between an ICL loader and a runtime, you could run an
+ application with the LD_DEBUG variable set to
+ get more diagnostic information. For example, OpenCL can be
+ tested with LD_DEBUG=files clinfo , which
+ should report missing symbols.
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ipv4-config.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ipv4-config.section.xml
new file mode 100644
index 00000000000..047ba2165f0
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ipv4-config.section.xml
@@ -0,0 +1,43 @@
+
+ IPv4 Configuration
+
+ By default, NixOS uses DHCP (specifically,
+ dhcpcd ) to automatically configure network
+ interfaces. However, you can configure an interface manually as
+ follows:
+
+
+networking.interfaces.eth0.ipv4.addresses = [ {
+ address = "192.168.1.2";
+ prefixLength = 24;
+} ];
+
+
+ Typically you’ll also want to set a default gateway and set of name
+ servers:
+
+
+networking.defaultGateway = "192.168.1.1";
+networking.nameservers = [ "8.8.8.8" ];
+
+
+
+ Statically configured interfaces are set up by the systemd service
+ interface-name-cfg.service . The default gateway
+ and name server configuration is performed by
+ network-setup.service .
+
+
+
+ The host name is set using
+ :
+
+
+networking.hostName = "cartman";
+
+
+ The default host name is nixos . Set it to the
+ empty string ("" ) to allow the DHCP
+ server to provide the host name.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ipv6-config.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ipv6-config.section.xml
new file mode 100644
index 00000000000..137c3d772a8
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ipv6-config.section.xml
@@ -0,0 +1,47 @@
+
+ IPv6 Configuration
+
+ IPv6 is enabled by default. Stateless address autoconfiguration is
+ used to automatically assign IPv6 addresses to all interfaces, and
+ Privacy Extensions (RFC 4946) are enabled by default. You can adjust
+ the default for this by setting
+ . This option may be
+ overridden on a per-interface basis by
+ . You
+ can disable IPv6 support globally by setting:
+
+
+networking.enableIPv6 = false;
+
+
+ You can disable IPv6 on a single interface using a normal sysctl (in
+ this example, we use interface eth0 ):
+
+
+boot.kernel.sysctl."net.ipv6.conf.eth0.disable_ipv6" = true;
+
+
+ As with IPv4 networking interfaces are automatically configured via
+ DHCPv6. You can configure an interface manually:
+
+
+networking.interfaces.eth0.ipv6.addresses = [ {
+ address = "fe00:aa:bb:cc::2";
+ prefixLength = 64;
+} ];
+
+
+ For configuring a gateway, optionally with explicitly specified
+ interface:
+
+
+networking.defaultGateway6 = {
+ address = "fe00::1";
+ interface = "enp0s3";
+};
+
+
+ See for similar examples and additional
+ information.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/kubernetes.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/kubernetes.chapter.xml
new file mode 100644
index 00000000000..83a50d7c49d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/kubernetes.chapter.xml
@@ -0,0 +1,126 @@
+
+ Kubernetes
+
+ The NixOS Kubernetes module is a collective term for a handful of
+ individual submodules implementing the Kubernetes cluster
+ components.
+
+
+ There are generally two ways of enabling Kubernetes on NixOS. One
+ way is to enable and configure cluster components appropriately by
+ hand:
+
+
+services.kubernetes = {
+ apiserver.enable = true;
+ controllerManager.enable = true;
+ scheduler.enable = true;
+ addonManager.enable = true;
+ proxy.enable = true;
+ flannel.enable = true;
+};
+
+
+ Another way is to assign cluster roles ("master" and/or
+ "node") to the host. This enables apiserver,
+ controllerManager, scheduler, addonManager, kube-proxy and etcd:
+
+
+services.kubernetes.roles = [ "master" ];
+
+
+ While this will enable the kubelet and kube-proxy only:
+
+
+services.kubernetes.roles = [ "node" ];
+
+
+ Assigning both the master and node roles is usable if you want a
+ single node Kubernetes cluster for dev or testing purposes:
+
+
+services.kubernetes.roles = [ "master" "node" ];
+
+
+ Note: Assigning either role will also default both
+ and
+ to true. This
+ sets up flannel as CNI and activates automatic PKI bootstrapping.
+
+
+ As of kubernetes 1.10.X it has been deprecated to open
+ non-tls-enabled ports on kubernetes components. Thus, from NixOS
+ 19.03 all plain HTTP ports have been disabled by default. While
+ opening insecure ports is still possible, it is recommended not to
+ bind these to other interfaces than loopback. To re-enable the
+ insecure port on the apiserver, see options:
+
+ and
+
+
+
+
+ As of NixOS 19.03, it is mandatory to configure:
+ . The
+ masterAddress must be resolveable and routeable by all cluster
+ nodes. In single node clusters, this can be set to
+ localhost .
+
+
+
+ Role-based access control (RBAC) authorization mode is enabled by
+ default. This means that anonymous requests to the apiserver secure
+ port will expectedly cause a permission denied error. All cluster
+ components must therefore be configured with x509 certificates for
+ two-way tls communication. The x509 certificate subject section
+ determines the roles and permissions granted by the apiserver to
+ perform clusterwide or namespaced operations. See also:
+
+ Using RBAC Authorization.
+
+
+ The NixOS kubernetes module provides an option for automatic
+ certificate bootstrapping and configuration,
+ . The PKI
+ bootstrapping process involves setting up a certificate authority
+ (CA) daemon (cfssl) on the kubernetes master node. cfssl generates a
+ CA-cert for the cluster, and uses the CA-cert for signing
+ subordinate certs issued to each of the cluster components.
+ Subsequently, the certmgr daemon monitors active certificates and
+ renews them when needed. For single node Kubernetes clusters,
+ setting = true
+ is sufficient and no further action is required. For joining extra
+ node machines to an existing cluster on the other hand, establishing
+ initial trust is mandatory.
+
+
+ To add new nodes to the cluster: On any (non-master) cluster node
+ where is
+ enabled, the helper script
+ nixos-kubernetes-node-join is available on PATH.
+ Given a token on stdin, it will copy the token to the kubernetes
+ secrets directory and restart the certmgr service. As requested
+ certificates are issued, the script will restart kubernetes cluster
+ components as needed for them to pick up new keypairs.
+
+
+
+ Multi-master (HA) clusters are not supported by the easyCerts
+ module.
+
+
+
+ In order to interact with an RBAC-enabled cluster as an
+ administrator, one needs to have cluster-admin privileges. By
+ default, when easyCerts is enabled, a cluster-admin kubeconfig file
+ is generated and linked into
+ /etc/kubernetes/cluster-admin.kubeconfig as
+ determined by
+ .
+ export KUBECONFIG=/etc/kubernetes/cluster-admin.kubeconfig
+ will make kubectl use this kubeconfig to access and authenticate the
+ cluster. The cluster-admin kubeconfig references an auto-generated
+ keypair owned by root. Thus, only root on the kubernetes master may
+ obtain cluster-admin rights by means of this file.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/linux-kernel.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/linux-kernel.chapter.xml
new file mode 100644
index 00000000000..a1d6815af29
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/linux-kernel.chapter.xml
@@ -0,0 +1,157 @@
+
+ Linux Kernel
+
+ You can override the Linux kernel and associated packages using the
+ option boot.kernelPackages . For instance, this
+ selects the Linux 3.10 kernel:
+
+
+boot.kernelPackages = pkgs.linuxKernel.packages.linux_3_10;
+
+
+ Note that this not only replaces the kernel, but also packages that
+ are specific to the kernel version, such as the NVIDIA video
+ drivers. This ensures that driver packages are consistent with the
+ kernel.
+
+
+ While pkgs.linuxKernel.packages contains all
+ available kernel packages, you may want to use one of the
+ unversioned pkgs.linuxPackages_* aliases such as
+ pkgs.linuxPackages_latest , that are kept up to
+ date with new versions.
+
+
+ The default Linux kernel configuration should be fine for most
+ users. You can see the configuration of your current kernel with the
+ following command:
+
+
+zcat /proc/config.gz
+
+
+ If you want to change the kernel configuration, you can use the
+ packageOverrides feature (see
+ ). For instance, to
+ enable support for the kernel debugger KGDB:
+
+
+nixpkgs.config.packageOverrides = pkgs: pkgs.lib.recursiveUpdate pkgs {
+ linuxKernel.kernels.linux_5_10 = pkgs.linuxKernel.kernels.linux_5_10.override {
+ extraConfig = ''
+ KGDB y
+ '';
+ };
+};
+
+
+ extraConfig takes a list of Linux kernel
+ configuration options, one per line. The name of the option should
+ not include the prefix CONFIG_ . The option value
+ is typically y , n or
+ m (to build something as a kernel module).
+
+
+ Kernel modules for hardware devices are generally loaded
+ automatically by udev . You can force a module to
+ be loaded via , e.g.
+
+
+boot.kernelModules = [ "fuse" "kvm-intel" "coretemp" ];
+
+
+ If the module is required early during the boot (e.g. to mount the
+ root file system), you can use
+ :
+
+
+boot.initrd.kernelModules = [ "cifs" ];
+
+
+ This causes the specified modules and their dependencies to be added
+ to the initial ramdisk.
+
+
+ Kernel runtime parameters can be set through
+ , e.g.
+
+
+boot.kernel.sysctl."net.ipv4.tcp_keepalive_time" = 120;
+
+
+ sets the kernel’s TCP keepalive time to 120 seconds. To see the
+ available parameters, run sysctl -a .
+
+
+ Customize your kernel
+
+ The first step before compiling the kernel is to generate an
+ appropriate .config configuration. Either you
+ pass your own config via the configfile setting
+ of linuxKernel.manualConfig :
+
+
+custom-kernel = let base_kernel = linuxKernel.kernels.linux_4_9;
+ in super.linuxKernel.manualConfig {
+ inherit (super) stdenv hostPlatform;
+ inherit (base_kernel) src;
+ version = "${base_kernel.version}-custom";
+
+ configfile = /home/me/my_kernel_config;
+ allowImportFromDerivation = true;
+};
+
+
+ You can edit the config with this snippet (by default
+ make menuconfig won't work out of the box on
+ nixos):
+
+
+nix-shell -E 'with import <nixpkgs> {}; kernelToOverride.overrideAttrs (o: {nativeBuildInputs=o.nativeBuildInputs ++ [ pkg-config ncurses ];})'
+
+
+ or you can let nixpkgs generate the configuration. Nixpkgs
+ generates it via answering the interactive kernel utility
+ make config . The answers depend on parameters
+ passed to
+ pkgs/os-specific/linux/kernel/generic.nix
+ (which you can influence by overriding
+ extraConfig, autoModules, modDirVersion, preferBuiltin, extraConfig ).
+
+
+mptcp93.override ({
+ name="mptcp-local";
+
+ ignoreConfigErrors = true;
+ autoModules = false;
+ kernelPreferBuiltin = true;
+
+ enableParallelBuilding = true;
+
+ extraConfig = ''
+ DEBUG_KERNEL y
+ FRAME_POINTER y
+ KGDB y
+ KGDB_SERIAL_CONSOLE y
+ DEBUG_INFO y
+ '';
+});
+
+
+
+ Developing kernel modules
+
+ When developing kernel modules it's often convenient to run
+ edit-compile-run loop as quickly as possible. See below snippet as
+ an example of developing mellanox drivers.
+
+
+$ nix-build '<nixpkgs>' -A linuxPackages.kernel.dev
+$ nix-shell '<nixpkgs>' -A linuxPackages.kernel
+$ unpackPhase
+$ cd linux-*
+$ make -C $dev/lib/modules/*/build M=$(pwd)/drivers/net/ethernet/mellanox modules
+# insmod ./drivers/net/ethernet/mellanox/mlx5/core/mlx5_core.ko
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/luks-file-systems.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/luks-file-systems.section.xml
new file mode 100644
index 00000000000..42b766eba98
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/luks-file-systems.section.xml
@@ -0,0 +1,84 @@
+
+ LUKS-Encrypted File Systems
+
+ NixOS supports file systems that are encrypted using
+ LUKS (Linux Unified Key Setup). For example,
+ here is how you create an encrypted Ext4 file system on the device
+ /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d :
+
+
+# cryptsetup luksFormat /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d
+
+WARNING!
+========
+This will overwrite data on /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d irrevocably.
+
+Are you sure? (Type uppercase yes): YES
+Enter LUKS passphrase: ***
+Verify passphrase: ***
+
+# cryptsetup luksOpen /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d crypted
+Enter passphrase for /dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d: ***
+
+# mkfs.ext4 /dev/mapper/crypted
+
+
+ The LUKS volume should be automatically picked up by
+ nixos-generate-config , but you might want to
+ verify that your hardware-configuration.nix looks
+ correct. To manually ensure that the system is automatically mounted
+ at boot time as / , add the following to
+ configuration.nix :
+
+
+boot.initrd.luks.devices.crypted.device = "/dev/disk/by-uuid/3f6b0024-3a44-4fde-a43a-767b872abe5d";
+fileSystems."/".device = "/dev/mapper/crypted";
+
+
+ Should grub be used as bootloader, and /boot is
+ located on an encrypted partition, it is necessary to add the
+ following grub option:
+
+
+boot.loader.grub.enableCryptodisk = true;
+
+
+ FIDO2
+
+ NixOS also supports unlocking your LUKS-Encrypted file system
+ using a FIDO2 compatible token. In the following example, we will
+ create a new FIDO2 credential and add it as a new key to our
+ existing device /dev/sda2 :
+
+
+# export FIDO2_LABEL="/dev/sda2 @ $HOSTNAME"
+# fido2luks credential "$FIDO2_LABEL"
+f1d00200108b9d6e849a8b388da457688e3dd653b4e53770012d8f28e5d3b269865038c346802f36f3da7278b13ad6a3bb6a1452e24ebeeaa24ba40eef559b1b287d2a2f80b7
+
+# fido2luks -i add-key /dev/sda2 f1d00200108b9d6e849a8b388da457688e3dd653b4e53770012d8f28e5d3b269865038c346802f36f3da7278b13ad6a3bb6a1452e24ebeeaa24ba40eef559b1b287d2a2f80b7
+Password:
+Password (again):
+Old password:
+Old password (again):
+Added to key to device /dev/sda2, slot: 2
+
+
+ To ensure that this file system is decrypted using the FIDO2
+ compatible key, add the following to
+ configuration.nix :
+
+
+boot.initrd.luks.fido2Support = true;
+boot.initrd.luks.devices."/dev/sda2".fido2.credential = "f1d00200108b9d6e849a8b388da457688e3dd653b4e53770012d8f28e5d3b269865038c346802f36f3da7278b13ad6a3bb6a1452e24ebeeaa24ba40eef559b1b287d2a2f80b7";
+
+
+ You can also use the FIDO2 passwordless setup, but for security
+ reasons, you might want to enable it only when your device is PIN
+ protected, such as
+ Trezor.
+
+
+boot.initrd.luks.devices."/dev/sda2".fido2.passwordLess = true;
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/modularity.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/modularity.section.xml
new file mode 100644
index 00000000000..a7688090fcc
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/modularity.section.xml
@@ -0,0 +1,152 @@
+
+ Modularity
+
+ The NixOS configuration mechanism is modular. If your
+ configuration.nix becomes too big, you can split
+ it into multiple files. Likewise, if you have multiple NixOS
+ configurations (e.g. for different computers) with some commonality,
+ you can move the common configuration into a shared file.
+
+
+ Modules have exactly the same syntax as
+ configuration.nix . In fact,
+ configuration.nix is itself a module. You can use
+ other modules by including them from
+ configuration.nix , e.g.:
+
+
+{ config, pkgs, ... }:
+
+{ imports = [ ./vpn.nix ./kde.nix ];
+ services.httpd.enable = true;
+ environment.systemPackages = [ pkgs.emacs ];
+ ...
+}
+
+
+ Here, we include two modules from the same directory,
+ vpn.nix and kde.nix . The
+ latter might look like this:
+
+
+{ config, pkgs, ... }:
+
+{ services.xserver.enable = true;
+ services.xserver.displayManager.sddm.enable = true;
+ services.xserver.desktopManager.plasma5.enable = true;
+ environment.systemPackages = [ pkgs.vim ];
+}
+
+
+ Note that both configuration.nix and
+ kde.nix define the option
+ . When multiple
+ modules define an option, NixOS will try to
+ merge the definitions. In the case of
+ , that’s easy: the
+ lists of packages can simply be concatenated. The value in
+ configuration.nix is merged last, so for
+ list-type options, it will appear at the end of the merged list. If
+ you want it to appear first, you can use
+ mkBefore :
+
+
+boot.kernelModules = mkBefore [ "kvm-intel" ];
+
+
+ This causes the kvm-intel kernel module to be
+ loaded before any other kernel modules.
+
+
+ For other types of options, a merge may not be possible. For
+ instance, if two modules define
+ ,
+ nixos-rebuild will give an error:
+
+
+The unique option `services.httpd.adminAddr' is defined multiple times, in `/etc/nixos/httpd.nix' and `/etc/nixos/configuration.nix'.
+
+
+ When that happens, it’s possible to force one definition take
+ precedence over the others:
+
+
+services.httpd.adminAddr = pkgs.lib.mkForce "bob@example.org";
+
+
+ When using multiple modules, you may need to access configuration
+ values defined in other modules. This is what the
+ config function argument is for: it contains the
+ complete, merged system configuration. That is,
+ config is the result of combining the
+ configurations returned by every module
+
+ If you’re wondering how it’s possible that the (indirect)
+ result of a function is passed as an
+ input to that same function: that’s because
+ Nix is a lazy
language — it only computes values
+ when they are needed. This works as long as no individual
+ configuration value depends on itself.
+
+ . For example, here is a module that adds some packages
+ to only if
+ is set to
+ true somewhere else:
+
+
+{ config, pkgs, ... }:
+
+{ environment.systemPackages =
+ if config.services.xserver.enable then
+ [ pkgs.firefox
+ pkgs.thunderbird
+ ]
+ else
+ [ ];
+}
+
+
+ With multiple modules, it may not be obvious what the final value of
+ a configuration option is. The command
+ nixos-option allows you to find out:
+
+
+$ nixos-option services.xserver.enable
+true
+
+$ nixos-option boot.kernelModules
+[ "tun" "ipv6" "loop" ... ]
+
+
+ Interactive exploration of the configuration is possible using
+ nix repl , a read-eval-print loop for Nix
+ expressions. A typical use:
+
+
+$ nix repl '<nixpkgs/nixos>'
+
+nix-repl> config.networking.hostName
+"mandark"
+
+nix-repl> map (x: x.hostName) config.services.httpd.virtualHosts
+[ "example.org" "example.gov" ]
+
+
+ While abstracting your configuration, you may find it useful to
+ generate modules using code, instead of writing files. The example
+ below would have the same effect as importing a file which sets
+ those options.
+
+
+{ config, pkgs, ... }:
+
+let netConfig = hostName: {
+ networking.hostName = hostName;
+ networking.useDHCP = false;
+};
+
+in
+
+{ imports = [ (netConfig "nixos.localdomain") ]; }
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/network-manager.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/network-manager.section.xml
new file mode 100644
index 00000000000..8f0d6d680ae
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/network-manager.section.xml
@@ -0,0 +1,49 @@
+
+ NetworkManager
+
+ To facilitate network configuration, some desktop environments use
+ NetworkManager. You can enable NetworkManager by setting:
+
+
+networking.networkmanager.enable = true;
+
+
+ some desktop managers (e.g., GNOME) enable NetworkManager
+ automatically for you.
+
+
+ All users that should have permission to change network settings
+ must belong to the networkmanager group:
+
+
+users.users.alice.extraGroups = [ "networkmanager" ];
+
+
+ NetworkManager is controlled using either nmcli
+ or nmtui (curses-based terminal user interface).
+ See their manual pages for details on their usage. Some desktop
+ environments (GNOME, KDE) have their own configuration tools for
+ NetworkManager. On XFCE, there is no configuration tool for
+ NetworkManager by default: by enabling
+ , the graphical
+ applet will be installed and will launch automatically when the
+ graphical session is started.
+
+
+
+ networking.networkmanager and
+ networking.wireless (WPA Supplicant) can be
+ used together if desired. To do this you need to instruct
+ NetworkManager to ignore those interfaces like:
+
+
+networking.networkmanager.unmanaged = [
+ "*" "except:type:wwan" "except:type:gsm"
+];
+
+
+ Refer to the option description for the exact syntax and
+ references to external documentation.
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/networking.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/networking.chapter.xml
new file mode 100644
index 00000000000..2ed86ea3b58
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/networking.chapter.xml
@@ -0,0 +1,15 @@
+
+ Networking
+
+ This section describes how to configure networking components on
+ your NixOS machine.
+
+
+
+
+
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/package-mgmt.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/package-mgmt.chapter.xml
new file mode 100644
index 00000000000..d3727edbe08
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/package-mgmt.chapter.xml
@@ -0,0 +1,28 @@
+
+ Package Management
+
+ This section describes how to add additional packages to your
+ system. NixOS has two distinct styles of package management:
+
+
+
+
+ Declarative , where you declare what
+ packages you want in your configuration.nix .
+ Every time you run nixos-rebuild , NixOS will
+ ensure that you get a consistent set of binaries corresponding
+ to your specification.
+
+
+
+
+ Ad hoc , where you install, upgrade and
+ uninstall packages via the nix-env command.
+ This style allows mixing packages from different Nixpkgs
+ versions. It’s the only choice for non-root users.
+
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles.chapter.xml
new file mode 100644
index 00000000000..6f5fc130c6a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles.chapter.xml
@@ -0,0 +1,38 @@
+
+ Profiles
+
+ In some cases, it may be desirable to take advantage of
+ commonly-used, predefined configurations provided by nixpkgs, but
+ different from those that come as default. This is a role fulfilled
+ by NixOS's Profiles, which come as files living in
+ <nixpkgs/nixos/modules/profiles> . That is
+ to say, expected usage is to add them to the imports list of your
+ /etc/configuration.nix as such:
+
+
+imports = [
+ <nixpkgs/nixos/modules/profiles/profile-name.nix>
+];
+
+
+ Even if some of these profiles seem only useful in the context of
+ install media, many are actually intended to be used in real
+ installs.
+
+
+ What follows is a brief explanation on the purpose and use-case for
+ each profile. Detailing each option configured by each one is out of
+ scope.
+
+
+
+
+
+
+
+
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/all-hardware.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/all-hardware.section.xml
new file mode 100644
index 00000000000..43ac5edea7f
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/all-hardware.section.xml
@@ -0,0 +1,15 @@
+
+ All Hardware
+
+ Enables all hardware supported by NixOS: i.e., all firmware is
+ included, and all devices from which one may boot are enabled in the
+ initrd. Its primary use is in the NixOS installation CDs.
+
+
+ The enabled kernel modules include support for SATA and PATA, SCSI
+ (partially), USB, Firewire (untested), Virtio (QEMU, KVM, etc.),
+ VMware, and Hyper-V. Additionally,
+ is enabled, and
+ the firmware for the ZyDAS ZD1211 chipset is specifically installed.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/base.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/base.section.xml
new file mode 100644
index 00000000000..83d35bd2867
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/base.section.xml
@@ -0,0 +1,10 @@
+
+ Base
+
+ Defines the software packages included in the minimal
+ installation CD. It installs several utilities useful in a simple
+ recovery or install media, such as a text-mode web browser, and
+ tools for manipulating block devices, networking, hardware
+ diagnostics, and filesystems (with their respective kernel modules).
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/clone-config.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/clone-config.section.xml
new file mode 100644
index 00000000000..9430b49ea33
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/clone-config.section.xml
@@ -0,0 +1,16 @@
+
+ Clone Config
+
+ This profile is used in installer images. It provides an editable
+ configuration.nix that imports all the modules that were also used
+ when creating the image in the first place. As a result it allows
+ users to edit and rebuild the live-system.
+
+
+ On images where the installation media also becomes an installation
+ target, copying over configuration.nix should be
+ disabled by setting installer.cloneConfig to
+ false . For example, this is done in
+ sd-image-aarch64-installer.nix .
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/demo.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/demo.section.xml
new file mode 100644
index 00000000000..09c2680a106
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/demo.section.xml
@@ -0,0 +1,10 @@
+
+ Demo
+
+ This profile just enables a demo user, with
+ password demo , uid 1000 ,
+ wheel group and
+ autologin
+ in the SDDM display manager.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/docker-container.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/docker-container.section.xml
new file mode 100644
index 00000000000..97c2a92dcab
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/docker-container.section.xml
@@ -0,0 +1,12 @@
+
+ Docker Container
+
+ This is the profile from which the Docker images are generated. It
+ prepares a working system by importing the
+ Minimal and
+ Clone Config
+ profiles, and setting appropriate configuration options that are
+ useful inside a container context, like
+ .
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/graphical.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/graphical.section.xml
new file mode 100644
index 00000000000..1b109519d43
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/graphical.section.xml
@@ -0,0 +1,14 @@
+
+ Graphical
+
+ Defines a NixOS configuration with the Plasma 5 desktop. It’s used
+ by the graphical installation CD.
+
+
+ It sets ,
+ ,
+ ,
+ and to true.
+ It also includes glxinfo and firefox in the system packages list.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/hardened.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/hardened.section.xml
new file mode 100644
index 00000000000..44c11786d94
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/hardened.section.xml
@@ -0,0 +1,25 @@
+
+ Hardened
+
+ A profile with most (vanilla) hardening options enabled by default,
+ potentially at the cost of stability, features and performance.
+
+
+ This includes a hardened kernel, and limiting the system information
+ available to processes through the /sys and
+ /proc filesystems. It also disables the User
+ Namespaces feature of the kernel, which stops Nix from being able to
+ build anything (this particular setting can be overriden via
+ ). See the
+ profile
+ source for further detail on which settings are altered.
+
+
+
+ This profile enables options that are known to affect system
+ stability. If you experience any stability issues when using the
+ profile, try disabling it. If you report an issue and use this
+ profile, always mention that you do.
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/headless.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/headless.section.xml
new file mode 100644
index 00000000000..0910b9ffaad
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/headless.section.xml
@@ -0,0 +1,15 @@
+
+ Headless
+
+ Common configuration for headless machines (e.g., Amazon EC2
+ instances).
+
+
+ Disables sound,
+ vesa, serial consoles,
+ emergency
+ mode, grub
+ splash images and configures the kernel to reboot
+ automatically on panic.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/installation-device.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/installation-device.section.xml
new file mode 100644
index 00000000000..837e69df06e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/installation-device.section.xml
@@ -0,0 +1,32 @@
+
+ Installation Device
+
+ Provides a basic configuration for installation devices like CDs.
+ This enables redistributable firmware, includes the
+ Clone Config profile
+ and a copy of the Nixpkgs channel, so
+ nixos-install works out of the box.
+
+
+ Documentation for
+ Nixpkgs and
+ NixOS are
+ forcefully enabled (to override the
+ Minimal profile
+ preference); the NixOS manual is shown automatically on TTY 8,
+ udisks is disabled. Autologin is enabled as nixos
+ user, while passwordless login as both root and
+ nixos is possible. Passwordless
+ sudo is enabled too.
+ wpa_supplicant
+ is enabled, but configured to not autostart.
+
+
+ It is explained how to login, start the ssh server, and if
+ available, how to start the display manager.
+
+
+ Several settings are tweaked so that the installer has a better
+ chance of succeeding under low-memory environments.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/minimal.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/minimal.section.xml
new file mode 100644
index 00000000000..a3fe30357df
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/minimal.section.xml
@@ -0,0 +1,13 @@
+
+ Minimal
+
+ This profile defines a small NixOS configuration. It does not
+ contain any graphical stuff. It’s a very short file that enables
+ noXlibs, sets
+ to only support the
+ user-selected locale,
+ disables packages’
+ documentation, and disables
+ sound.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/qemu-guest.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/qemu-guest.section.xml
new file mode 100644
index 00000000000..f33464f9db4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/profiles/qemu-guest.section.xml
@@ -0,0 +1,11 @@
+
+ QEMU Guest
+
+ This profile contains common configuration for virtual machines
+ running under QEMU (using virtio).
+
+
+ It makes virtio modules available on the initrd and sets the system
+ time from the hardware clock to work around a bug in qemu-kvm.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/renaming-interfaces.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/renaming-interfaces.section.xml
new file mode 100644
index 00000000000..1c32e30b3f8
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/renaming-interfaces.section.xml
@@ -0,0 +1,62 @@
+
+ Renaming network interfaces
+
+ NixOS uses the udev
+ predictable
+ naming scheme to assign names to network interfaces. This
+ means that by default cards are not given the traditional names like
+ eth0 or eth1 , whose order can
+ change unpredictably across reboots. Instead, relying on physical
+ locations and firmware information, the scheme produces names like
+ ens1 , enp2s0 , etc.
+
+
+ These names are predictable but less memorable and not necessarily
+ stable: for example installing new hardware or changing firmware
+ settings can result in a
+ name
+ change. If this is undesirable, for example if you have a
+ single ethernet card, you can revert to the traditional scheme by
+ setting
+ to
+ false .
+
+
+ Assigning custom names
+
+ In case there are multiple interfaces of the same type, it’s
+ better to assign custom names based on the device hardware
+ address. For example, we assign the name wan to
+ the interface with MAC address
+ 52:54:00:12:01:01 using a netword link unit:
+
+
+systemd.network.links."10-wan" = {
+ matchConfig.MACAddress = "52:54:00:12:01:01";
+ linkConfig.Name = "wan";
+};
+
+
+ Note that links are directly read by udev, not
+ networkd , and will work even if networkd is disabled.
+
+
+ Alternatively, we can use a plain old udev rule:
+
+
+services.udev.initrdRules = ''
+ SUBSYSTEM=="net", ACTION=="add", DRIVERS=="?*", \
+ ATTR{address}=="52:54:00:12:01:01", KERNEL=="eth*", NAME="wan"
+'';
+
+
+
+ The rule must be installed in the initrd using
+ services.udev.initrdRules , not the usual
+ services.udev.extraRules option. This is to
+ avoid race conditions with other programs controlling the
+ interface.
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ssh.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ssh.section.xml
new file mode 100644
index 00000000000..037418d8ea4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/ssh.section.xml
@@ -0,0 +1,23 @@
+
+ Secure Shell Access
+
+ Secure shell (SSH) access to your machine can be enabled by setting:
+
+
+services.openssh.enable = true;
+
+
+ By default, root logins using a password are disallowed. They can be
+ disabled entirely by setting
+ to
+ "no" .
+
+
+ You can declaratively specify authorised RSA/DSA public keys for a
+ user as follows:
+
+
+users.users.alice.openssh.authorizedKeys.keys =
+ [ "ssh-dss AAAAB3NzaC1kc3MAAACBAPIkGWVEt4..." ];
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/sshfs-file-systems.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/sshfs-file-systems.section.xml
index 6b317aa63e9..5d74712f35d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/sshfs-file-systems.section.xml
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/sshfs-file-systems.section.xml
@@ -51,8 +51,8 @@ SHA256:yjxl3UbTn31fLWeyLYTAKYJPRmzknjQZoyG8gSNEoIE my-user@workstation
The file system can be configured in NixOS via the usual
- fileSystems
- option. Here’s a typical setup:
+ fileSystems option. Here’s
+ a typical setup:
{
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/subversion.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/subversion.chapter.xml
new file mode 100644
index 00000000000..794c2c34e39
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/subversion.chapter.xml
@@ -0,0 +1,121 @@
+
+ Subversion
+
+ Subversion
+ is a centralized version-control system. It can use a
+ variety
+ of protocols for communication between client and server.
+
+
+ Subversion inside Apache HTTP
+
+ This section focuses on configuring a web-based server on top of
+ the Apache HTTP server, which uses
+ WebDAV/ DeltaV
+ for communication.
+
+
+ For more information on the general setup, please refer to the
+ the
+ appropriate section of the Subversion book.
+
+
+ To configure, include in
+ /etc/nixos/configuration.nix code to activate
+ Apache HTTP, setting
+ appropriately:
+
+
+services.httpd.enable = true;
+services.httpd.adminAddr = ...;
+networking.firewall.allowedTCPPorts = [ 80 443 ];
+
+
+ For a simple Subversion server with basic authentication,
+ configure the Subversion module for Apache as follows, setting
+ hostName and documentRoot
+ appropriately, and SVNParentPath to the parent
+ directory of the repositories,
+ AuthzSVNAccessFile to the location of the
+ .authz file describing access permission, and
+ AuthUserFile to the password file.
+
+
+services.httpd.extraModules = [
+ # note that order is *super* important here
+ { name = "dav_svn"; path = "${pkgs.apacheHttpdPackages.subversion}/modules/mod_dav_svn.so"; }
+ { name = "authz_svn"; path = "${pkgs.apacheHttpdPackages.subversion}/modules/mod_authz_svn.so"; }
+ ];
+ services.httpd.virtualHosts = {
+ "svn" = {
+ hostName = HOSTNAME;
+ documentRoot = DOCUMENTROOT;
+ locations."/svn".extraConfig = ''
+ DAV svn
+ SVNParentPath REPO_PARENT
+ AuthzSVNAccessFile ACCESS_FILE
+ AuthName "SVN Repositories"
+ AuthType Basic
+ AuthUserFile PASSWORD_FILE
+ Require valid-user
+ '';
+ }
+
+
+ The key "svn" is just a symbolic name
+ identifying the virtual host. The
+ "/svn" in
+ locations."/svn".extraConfig is the
+ path underneath which the repositories will be served.
+
+
+ This
+ page explains how to set up the Subversion configuration
+ itself. This boils down to the following:
+
+
+ Underneath REPO_PARENT repositories can be set
+ up as follows:
+
+
+$ svn create REPO_NAME
+
+
+ Repository files need to be accessible by
+ wwwrun :
+
+
+$ chown -R wwwrun:wwwrun REPO_PARENT
+
+
+ The password file PASSWORD_FILE can be created
+ as follows:
+
+
+$ htpasswd -cs PASSWORD_FILE USER_NAME
+
+
+ Additional users can be set up similarly, omitting the
+ c flag:
+
+
+$ htpasswd -s PASSWORD_FILE USER_NAME
+
+
+ The file describing access permissions
+ ACCESS_FILE will look something like the
+ following:
+
+
+[/]
+* = r
+
+[REPO_NAME:/]
+USER_NAME = rw
+
+
+ The Subversion repositories will be accessible as
+ http://HOSTNAME/svn/REPO_NAME .
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/summary.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/summary.section.xml
new file mode 100644
index 00000000000..96a178c4930
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/summary.section.xml
@@ -0,0 +1,332 @@
+
+ Syntax Summary
+
+ Below is a summary of the most important syntactic constructs in the
+ Nix expression language. It’s not complete. In particular, there are
+ many other built-in functions. See the
+ Nix
+ manual for the rest.
+
+
+
+
+
+
+
+
+ Example
+
+
+ Description
+
+
+
+
+
+
+ Basic values
+
+
+
+
+
+
+ "Hello world"
+
+
+ A string
+
+
+
+
+ "${pkgs.bash}/bin/sh"
+
+
+ A string containing an expression (expands to
+ "/nix/store/hash-bash-version/bin/sh" )
+
+
+
+
+ true , false
+
+
+ Booleans
+
+
+
+
+ 123
+
+
+ An integer
+
+
+
+
+ ./foo.png
+
+
+ A path (relative to the containing Nix expression)
+
+
+
+
+ Compound values
+
+
+
+
+
+
+ { x = 1; y = 2; }
+
+
+ A set with attributes named x and
+ y
+
+
+
+
+ { foo.bar = 1; }
+
+
+ A nested set, equivalent to
+ { foo = { bar = 1; }; }
+
+
+
+
+ rec { x = "foo"; y = x + "bar"; }
+
+
+ A recursive set, equivalent to
+ { x = "foo"; y = "foobar"; }
+
+
+
+
+ [ "foo" "bar" ]
+
+
+ A list with two elements
+
+
+
+
+ Operators
+
+
+
+
+
+
+ "foo" + "bar"
+
+
+ String concatenation
+
+
+
+
+ 1 + 2
+
+
+ Integer addition
+
+
+
+
+ "foo" == "f" + "oo"
+
+
+ Equality test (evaluates to true )
+
+
+
+
+ "foo" != "bar"
+
+
+ Inequality test (evaluates to true )
+
+
+
+
+ !true
+
+
+ Boolean negation
+
+
+
+
+ { x = 1; y = 2; }.x
+
+
+ Attribute selection (evaluates to 1 )
+
+
+
+
+ { x = 1; y = 2; }.z or 3
+
+
+ Attribute selection with default (evaluates to
+ 3 )
+
+
+
+
+ { x = 1; y = 2; } // { z = 3; }
+
+
+ Merge two sets (attributes in the right-hand set taking
+ precedence)
+
+
+
+
+ Control structures
+
+
+
+
+
+
+ if 1 + 1 == 2 then "yes!" else "no!"
+
+
+ Conditional expression
+
+
+
+
+ assert 1 + 1 == 2; "yes!"
+
+
+ Assertion check (evaluates to
+ "yes!" ). See
+ for using assertions in
+ modules
+
+
+
+
+ let x = "foo"; y = "bar"; in x + y
+
+
+ Variable definition
+
+
+
+
+ with pkgs.lib; head [ 1 2 3 ]
+
+
+ Add all attributes from the given set to the scope
+ (evaluates to 1 )
+
+
+
+
+ Functions (lambdas)
+
+
+
+
+
+
+ x: x + 1
+
+
+ A function that expects an integer and returns it increased
+ by 1
+
+
+
+
+ (x: x + 1) 100
+
+
+ A function call (evaluates to 101)
+
+
+
+
+ let inc = x: x + 1; in inc (inc (inc 100))
+
+
+ A function bound to a variable and subsequently called by
+ name (evaluates to 103)
+
+
+
+
+ { x, y }: x + y
+
+
+ A function that expects a set with required attributes
+ x and y and
+ concatenates them
+
+
+
+
+ { x, y ? "bar" }: x + y
+
+
+ A function that expects a set with required attribute
+ x and optional y ,
+ using "bar" as default value
+ for y
+
+
+
+
+ { x, y, ... }: x + y
+
+
+ A function that expects a set with required attributes
+ x and y and ignores
+ any other attributes
+
+
+
+
+ { x, y } @ args: x + y
+
+
+ A function that expects a set with required attributes
+ x and y , and binds the
+ whole set to args
+
+
+
+
+ Built-in functions
+
+
+
+
+
+
+ import ./foo.nix
+
+
+ Load and return Nix expression in given file
+
+
+
+
+ map (x: x + x) [ 1 2 3 ]
+
+
+ Apply a function to every element of a list (evaluates to
+ [ 2 4 6 ] )
+
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/user-mgmt.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/user-mgmt.chapter.xml
new file mode 100644
index 00000000000..06492d5c251
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/user-mgmt.chapter.xml
@@ -0,0 +1,105 @@
+
+ User Management
+
+ NixOS supports both declarative and imperative styles of user
+ management. In the declarative style, users are specified in
+ configuration.nix . For instance, the following
+ states that a user account named alice shall
+ exist:
+
+
+users.users.alice = {
+ isNormalUser = true;
+ home = "/home/alice";
+ description = "Alice Foobar";
+ extraGroups = [ "wheel" "networkmanager" ];
+ openssh.authorizedKeys.keys = [ "ssh-dss AAAAB3Nza... alice@foobar" ];
+};
+
+
+ Note that alice is a member of the
+ wheel and networkmanager
+ groups, which allows her to use sudo to execute
+ commands as root and to configure the network,
+ respectively. Also note the SSH public key that allows remote logins
+ with the corresponding private key. Users created in this way do not
+ have a password by default, so they cannot log in via mechanisms
+ that require a password. However, you can use the
+ passwd program to set a password, which is
+ retained across invocations of nixos-rebuild .
+
+
+ If you set to false, then
+ the contents of /etc/passwd and
+ /etc/group will be congruent to your NixOS
+ configuration. For instance, if you remove a user from
+ and run nixos-rebuild, the user
+ account will cease to exist. Also, imperative commands for managing
+ users and groups, such as useradd, are no longer available.
+ Passwords may still be assigned by setting the user's
+ hashedPassword
+ option. A hashed password can be generated using
+ mkpasswd -m sha-512 .
+
+
+ A user ID (uid) is assigned automatically. You can also specify a
+ uid manually by adding
+
+
+uid = 1000;
+
+
+ to the user specification.
+
+
+ Groups can be specified similarly. The following states that a group
+ named students shall exist:
+
+
+users.groups.students.gid = 1000;
+
+
+ As with users, the group ID (gid) is optional and will be assigned
+ automatically if it’s missing.
+
+
+ In the imperative style, users and groups are managed by commands
+ such as useradd , groupmod and
+ so on. For instance, to create a user account named
+ alice :
+
+
+# useradd -m alice
+
+
+ To make all nix tools available to this new user use `su - USER`
+ which opens a login shell (==shell that loads the profile) for given
+ user. This will create the ~/.nix-defexpr symlink. So run:
+
+
+# su - alice -c "true"
+
+
+ The flag -m causes the creation of a home
+ directory for the new user, which is generally what you want. The
+ user does not have an initial password and therefore cannot log in.
+ A password can be set using the passwd utility:
+
+
+# passwd alice
+Enter new UNIX password: ***
+Retype new UNIX password: ***
+
+
+ A user can be deleted using userdel :
+
+
+# userdel -r alice
+
+
+ The flag -r deletes the user’s home directory.
+ Accounts can be modified using usermod . Unix
+ groups can be managed using groupadd ,
+ groupmod and groupdel .
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/wayland.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/wayland.chapter.xml
new file mode 100644
index 00000000000..1e90d4f3117
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/wayland.chapter.xml
@@ -0,0 +1,31 @@
+
+ Wayland
+
+ While X11 (see ) is still the primary
+ display technology on NixOS, Wayland support is steadily improving.
+ Where X11 separates the X Server and the window manager, on Wayland
+ those are combined: a Wayland Compositor is like an X11 window
+ manager, but also embeds the Wayland 'Server' functionality. This
+ means it is sufficient to install a Wayland Compositor such as sway
+ without separately enabling a Wayland server:
+
+
+programs.sway.enable = true;
+
+
+ This installs the sway compositor along with some essential
+ utilities. Now you can start sway from the TTY console.
+
+
+ If you are using a wlroots-based compositor, like sway, and want to
+ be able to share your screen, you might want to activate this
+ option:
+
+
+xdg.portal.wlr.enable = true;
+
+
+ and configure Pipewire using
+ and related options.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/wireless.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/wireless.section.xml
new file mode 100644
index 00000000000..82bc2013515
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/wireless.section.xml
@@ -0,0 +1,73 @@
+
+ Wireless Networks
+
+ For a desktop installation using NetworkManager (e.g., GNOME), you
+ just have to make sure the user is in the
+ networkmanager group and you can skip the rest of
+ this section on wireless networks.
+
+
+ NixOS will start wpa_supplicant for you if you enable this setting:
+
+
+networking.wireless.enable = true;
+
+
+ NixOS lets you specify networks for wpa_supplicant declaratively:
+
+
+networking.wireless.networks = {
+ echelon = { # SSID with no spaces or special characters
+ psk = "abcdefgh";
+ };
+ "echelon's AP" = { # SSID with spaces and/or special characters
+ psk = "ijklmnop";
+ };
+ echelon = { # Hidden SSID
+ hidden = true;
+ psk = "qrstuvwx";
+ };
+ free.wifi = {}; # Public wireless network
+};
+
+
+ Be aware that keys will be written to the nix store in plaintext!
+ When no networks are set, it will default to using a configuration
+ file at /etc/wpa_supplicant.conf . You should edit
+ this file yourself to define wireless networks, WPA keys and so on
+ (see wpa_supplicant.conf(5)).
+
+
+ If you are using WPA2 you can generate pskRaw key using
+ wpa_passphrase :
+
+
+$ wpa_passphrase ESSID PSK
+network={
+ ssid="echelon"
+ #psk="abcdefgh"
+ psk=dca6d6ed41f4ab5a984c9f55f6f66d4efdc720ebf66959810f4329bb391c5435
+}
+
+
+networking.wireless.networks = {
+ echelon = {
+ pskRaw = "dca6d6ed41f4ab5a984c9f55f6f66d4efdc720ebf66959810f4329bb391c5435";
+ };
+}
+
+
+ or you can use it to directly generate the
+ wpa_supplicant.conf :
+
+
+# wpa_passphrase ESSID PSK > /etc/wpa_supplicant.conf
+
+
+ After you have edited the wpa_supplicant.conf ,
+ you need to restart the wpa_supplicant service.
+
+
+# systemctl restart wpa_supplicant.service
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/x-windows.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/x-windows.chapter.xml
new file mode 100644
index 00000000000..274d0d817bc
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/x-windows.chapter.xml
@@ -0,0 +1,381 @@
+
+ X Window System
+
+ The X Window System (X11) provides the basis of NixOS’ graphical
+ user interface. It can be enabled as follows:
+
+
+services.xserver.enable = true;
+
+
+ The X server will automatically detect and use the appropriate video
+ driver from a set of X.org drivers (such as vesa
+ and intel ). You can also specify a driver
+ manually, e.g.
+
+
+services.xserver.videoDrivers = [ "r128" ];
+
+
+ to enable X.org’s xf86-video-r128 driver.
+
+
+ You also need to enable at least one desktop or window manager.
+ Otherwise, you can only log into a plain undecorated
+ xterm window. Thus you should pick one or more of
+ the following lines:
+
+
+services.xserver.desktopManager.plasma5.enable = true;
+services.xserver.desktopManager.xfce.enable = true;
+services.xserver.desktopManager.gnome.enable = true;
+services.xserver.desktopManager.mate.enable = true;
+services.xserver.windowManager.xmonad.enable = true;
+services.xserver.windowManager.twm.enable = true;
+services.xserver.windowManager.icewm.enable = true;
+services.xserver.windowManager.i3.enable = true;
+services.xserver.windowManager.herbstluftwm.enable = true;
+
+
+ NixOS’s default display manager (the program
+ that provides a graphical login prompt and manages the X server) is
+ LightDM. You can select an alternative one by picking one of the
+ following lines:
+
+
+services.xserver.displayManager.sddm.enable = true;
+services.xserver.displayManager.gdm.enable = true;
+
+
+ You can set the keyboard layout (and optionally the layout variant):
+
+
+services.xserver.layout = "de";
+services.xserver.xkbVariant = "neo";
+
+
+ The X server is started automatically at boot time. If you don’t
+ want this to happen, you can set:
+
+
+services.xserver.autorun = false;
+
+
+ The X server can then be started manually:
+
+
+# systemctl start display-manager.service
+
+
+ On 64-bit systems, if you want OpenGL for 32-bit programs such as in
+ Wine, you should also set the following:
+
+
+hardware.opengl.driSupport32Bit = true;
+
+
+ Auto-login
+
+ The x11 login screen can be skipped entirely, automatically
+ logging you into your window manager and desktop environment when
+ you boot your computer.
+
+
+ This is especially helpful if you have disk encryption enabled.
+ Since you already have to provide a password to decrypt your disk,
+ entering a second password to login can be redundant.
+
+
+ To enable auto-login, you need to define your default window
+ manager and desktop environment. If you wanted no desktop
+ environment and i3 as your your window manager, you'd define:
+
+
+services.xserver.displayManager.defaultSession = "none+i3";
+
+
+ Every display manager in NixOS supports auto-login, here is an
+ example using lightdm for a user alice :
+
+
+services.xserver.displayManager.lightdm.enable = true;
+services.xserver.displayManager.autoLogin.enable = true;
+services.xserver.displayManager.autoLogin.user = "alice";
+
+
+
+ Intel Graphics drivers
+
+ There are two choices for Intel Graphics drivers in X.org:
+ modesetting (included in the xorg-server
+ itself) and intel (provided by the package
+ xf86-video-intel).
+
+
+ The default and recommended is modesetting . It
+ is a generic driver which uses the kernel
+ mode
+ setting (KMS) mechanism. It supports Glamor (2D graphics
+ acceleration via OpenGL) and is actively maintained but may
+ perform worse in some cases (like in old chipsets).
+
+
+ The second driver, intel , is specific to Intel
+ GPUs, but not recommended by most distributions: it lacks several
+ modern features (for example, it doesn't support Glamor) and the
+ package hasn't been officially updated since 2015.
+
+
+ The results vary depending on the hardware, so you may have to try
+ both drivers. Use the option
+ to set one.
+ The recommended configuration for modern systems is:
+
+
+services.xserver.videoDrivers = [ "modesetting" ];
+services.xserver.useGlamor = true;
+
+
+ If you experience screen tearing no matter what, this
+ configuration was reported to resolve the issue:
+
+
+services.xserver.videoDrivers = [ "intel" ];
+services.xserver.deviceSection = ''
+ Option "DRI" "2"
+ Option "TearFree" "true"
+'';
+
+
+ Note that this will likely downgrade the performance compared to
+ modesetting or intel with
+ DRI 3 (default).
+
+
+
+ Proprietary NVIDIA drivers
+
+ NVIDIA provides a proprietary driver for its graphics cards that
+ has better 3D performance than the X.org drivers. It is not
+ enabled by default because it’s not free software. You can enable
+ it as follows:
+
+
+services.xserver.videoDrivers = [ "nvidia" ];
+
+
+ Or if you have an older card, you may have to use one of the
+ legacy drivers:
+
+
+services.xserver.videoDrivers = [ "nvidiaLegacy390" ];
+services.xserver.videoDrivers = [ "nvidiaLegacy340" ];
+services.xserver.videoDrivers = [ "nvidiaLegacy304" ];
+
+
+ You may need to reboot after enabling this driver to prevent a
+ clash with other kernel modules.
+
+
+
+ Proprietary AMD drivers
+
+ AMD provides a proprietary driver for its graphics cards that is
+ not enabled by default because it’s not Free Software, is often
+ broken in nixpkgs and as of this writing doesn't offer more
+ features or performance. If you still want to use it anyway, you
+ need to explicitly set:
+
+
+services.xserver.videoDrivers = [ "amdgpu-pro" ];
+
+
+ You will need to reboot after enabling this driver to prevent a
+ clash with other kernel modules.
+
+
+
+ Touchpads
+
+ Support for Synaptics touchpads (found in many laptops such as the
+ Dell Latitude series) can be enabled as follows:
+
+
+services.xserver.libinput.enable = true;
+
+
+ The driver has many options (see ).
+ For instance, the following disables tap-to-click behavior:
+
+
+services.xserver.libinput.touchpad.tapping = false;
+
+
+ Note: the use of services.xserver.synaptics is
+ deprecated since NixOS 17.09.
+
+
+
+ GTK/Qt themes
+
+ GTK themes can be installed either to user profile or system-wide
+ (via environment.systemPackages ). To make Qt 5
+ applications look similar to GTK ones, you can use the following
+ configuration:
+
+
+qt5.enable = true;
+qt5.platformTheme = "gtk2";
+qt5.style = "gtk2";
+
+
+
+ Custom XKB layouts
+
+ It is possible to install custom
+
+ XKB keyboard layouts using the option
+ services.xserver.extraLayouts .
+
+
+ As a first example, we are going to create a layout based on the
+ basic US layout, with an additional layer to type some greek
+ symbols by pressing the right-alt key.
+
+
+ Create a file called us-greek with the
+ following content (under a directory called
+ symbols ; it's an XKB peculiarity that will help
+ with testing):
+
+
+xkb_symbols "us-greek"
+{
+ include "us(basic)" // includes the base US keys
+ include "level3(ralt_switch)" // configures right alt as a third level switch
+
+ key <LatA> { [ a, A, Greek_alpha ] };
+ key <LatB> { [ b, B, Greek_beta ] };
+ key <LatG> { [ g, G, Greek_gamma ] };
+ key <LatD> { [ d, D, Greek_delta ] };
+ key <LatZ> { [ z, Z, Greek_zeta ] };
+};
+
+
+ A minimal layout specification must include the following:
+
+
+services.xserver.extraLayouts.us-greek = {
+ description = "US layout with alt-gr greek";
+ languages = [ "eng" ];
+ symbolsFile = /yourpath/symbols/us-greek;
+};
+
+
+
+ The name (after extraLayouts. ) should match
+ the one given to the xkb_symbols block.
+
+
+
+ Applying this customization requires rebuilding several packages,
+ and a broken XKB file can lead to the X session crashing at login.
+ Therefore, you're strongly advised to test
+ your layout before applying it :
+
+
+$ nix-shell -p xorg.xkbcomp
+$ setxkbmap -I/yourpath us-greek -print | xkbcomp -I/yourpath - $DISPLAY
+
+
+ You can inspect the predefined XKB files for examples:
+
+
+$ echo "$(nix-build --no-out-link '<nixpkgs>' -A xorg.xkeyboardconfig)/etc/X11/xkb/"
+
+
+ Once the configuration is applied, and you did a logout/login
+ cycle, the layout should be ready to use. You can try it by e.g.
+ running setxkbmap us-greek and then type
+ <alt>+a (it may not get applied in your
+ terminal straight away). To change the default, the usual
+ services.xserver.layout option can still be
+ used.
+
+
+ A layout can have several other components besides
+ xkb_symbols , for example we will define new
+ keycodes for some multimedia key and bind these to some symbol.
+
+
+ Use the xev utility from
+ pkgs.xorg.xev to find the codes of the keys of
+ interest, then create a media-key file to hold
+ the keycodes definitions
+
+
+xkb_keycodes "media"
+{
+ <volUp> = 123;
+ <volDown> = 456;
+}
+
+
+ Now use the newly define keycodes in media-sym :
+
+
+xkb_symbols "media"
+{
+ key.type = "ONE_LEVEL";
+ key <volUp> { [ XF86AudioLowerVolume ] };
+ key <volDown> { [ XF86AudioRaiseVolume ] };
+}
+
+
+ As before, to install the layout do
+
+
+services.xserver.extraLayouts.media = {
+ description = "Multimedia keys remapping";
+ languages = [ "eng" ];
+ symbolsFile = /path/to/media-key;
+ keycodesFile = /path/to/media-sym;
+};
+
+
+
+ The function
+ pkgs.writeText <filename> <content>
+ can be useful if you prefer to keep the layout definitions
+ inside the NixOS configuration.
+
+
+
+ Unfortunately, the Xorg server does not (currently) support
+ setting a keymap directly but relies instead on XKB rules to
+ select the matching components (keycodes, types, ...) of a layout.
+ This means that components other than symbols won't be loaded by
+ default. As a workaround, you can set the keymap using
+ setxkbmap at the start of the session with:
+
+
+services.xserver.displayManager.sessionCommands = "setxkbmap -keycodes media";
+
+
+ If you are manually starting the X server, you should set the
+ argument -xkbdir /etc/X11/xkb , otherwise X
+ won't find your layout files. For example with
+ xinit run
+
+
+$ xinit -- -xkbdir /etc/X11/xkb
+
+
+ To learn how to write layouts take a look at the XKB
+ documentation
+ . More example layouts can also be found
+ here
+ .
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/xfce.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/xfce.chapter.xml
new file mode 100644
index 00000000000..f96ef2e8c48
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/configuration/xfce.chapter.xml
@@ -0,0 +1,62 @@
+
+ Xfce Desktop Environment
+
+ To enable the Xfce Desktop Environment, set
+
+
+services.xserver.desktopManager.xfce.enable = true;
+services.xserver.displayManager.defaultSession = "xfce";
+
+
+ Optionally, picom can be enabled for nice
+ graphical effects, some example settings:
+
+
+services.picom = {
+ enable = true;
+ fade = true;
+ inactiveOpacity = 0.9;
+ shadow = true;
+ fadeDelta = 4;
+};
+
+
+ Some Xfce programs are not installed automatically. To install them
+ manually (system wide), put them into your
+ from
+ pkgs.xfce .
+
+
+ Thunar Plugins
+
+ If you'd like to add extra plugins to Thunar, add them to
+ .
+ You shouldn't just add them to
+ .
+
+
+
+ Troubleshooting
+
+ Even after enabling udisks2, volume management might not work.
+ Thunar and/or the desktop takes time to show up. Thunar will spit
+ out this kind of message on start (look at
+ journalctl --user -b ).
+
+
+Thunar:2410): GVFS-RemoteVolumeMonitor-WARNING **: remote volume monitor with dbus name org.gtk.Private.UDisks2VolumeMonitor is not supported
+
+
+ This is caused by some needed GNOME services not running. This is
+ all fixed by enabling "Launch GNOME services on startup"
+ in the Advanced tab of the Session and Startup settings panel.
+ Alternatively, you can run this command to do the same thing.
+
+
+$ xfconf-query -c xfce4-session -p /compat/LaunchGNOME -s true
+
+
+ A log-out and re-log will be needed for this to take effect.
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/building-parts.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/building-parts.chapter.xml
new file mode 100644
index 00000000000..4df24cc9565
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/building-parts.chapter.xml
@@ -0,0 +1,124 @@
+
+ Building Specific Parts of NixOS
+
+ With the command nix-build , you can build
+ specific parts of your NixOS configuration. This is done as follows:
+
+
+$ cd /path/to/nixpkgs/nixos
+$ nix-build -A config.option
+
+
+ where option is a NixOS option with type
+ derivation
(i.e. something that can be built).
+ Attributes of interest include:
+
+
+
+
+ system.build.toplevel
+
+
+
+ The top-level option that builds the entire NixOS system.
+ Everything else in your configuration is indirectly pulled in
+ by this option. This is what nixos-rebuild
+ builds and what /run/current-system points
+ to afterwards.
+
+
+ A shortcut to build this is:
+
+
+$ nix-build -A system
+
+
+
+
+
+ system.build.manual.manualHTML
+
+
+
+ The NixOS manual.
+
+
+
+
+
+ system.build.etc
+
+
+
+ A tree of symlinks that form the static parts of
+ /etc .
+
+
+
+
+
+ system.build.initialRamdisk ,
+ system.build.kernel
+
+
+
+ The initial ramdisk and kernel of the system. This allows a
+ quick way to test whether the kernel and the initial ramdisk
+ boot correctly, by using QEMU’s -kernel and
+ -initrd options:
+
+
+$ nix-build -A config.system.build.initialRamdisk -o initrd
+$ nix-build -A config.system.build.kernel -o kernel
+$ qemu-system-x86_64 -kernel ./kernel/bzImage -initrd ./initrd/initrd -hda /dev/null
+
+
+
+
+
+ system.build.nixos-rebuild ,
+ system.build.nixos-install ,
+ system.build.nixos-generate-config
+
+
+
+ These build the corresponding NixOS commands.
+
+
+
+
+
+ systemd.units.unit-name.unit
+
+
+
+ This builds the unit with the specified name. Note that since
+ unit names contain dots (e.g.
+ httpd.service ), you need to put them
+ between quotes, like this:
+
+
+$ nix-build -A 'config.systemd.units."httpd.service".unit'
+
+
+ You can also test individual units, without rebuilding the
+ whole system, by putting them in
+ /run/systemd/system :
+
+
+$ cp $(nix-build -A 'config.systemd.units."httpd.service".unit')/httpd.service \
+ /run/systemd/system/tmp-httpd.service
+# systemctl daemon-reload
+# systemctl start tmp-httpd.service
+
+
+ Note that the unit must not have the same name as any unit in
+ /etc/systemd/system since those take
+ precedence over /run/systemd/system . That’s
+ why the unit is installed as
+ tmp-httpd.service here.
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/freeform-modules.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/freeform-modules.section.xml
new file mode 100644
index 00000000000..86a9cf3140d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/freeform-modules.section.xml
@@ -0,0 +1,87 @@
+
+ Freeform modules
+
+ Freeform modules allow you to define values for option paths that
+ have not been declared explicitly. This can be used to add
+ attribute-specific types to what would otherwise have to be
+ attrsOf options in order to accept all attribute
+ names.
+
+
+ This feature can be enabled by using the attribute
+ freeformType to define a freeform type. By doing
+ this, all assignments without an associated option will be merged
+ using the freeform type and combined into the resulting
+ config set. Since this feature nullifies name
+ checking for entire option trees, it is only recommended for use in
+ submodules.
+
+
+
+ Example: Freeform submodule
+
+
+ The following shows a submodule assigning a freeform type that
+ allows arbitrary attributes with str values below
+ settings , but also declares an option for the
+ settings.port attribute to have it type-checked
+ and assign a default value. See
+ Example: Declaring a
+ type-checked settings attribute for a more
+ complete example.
+
+
+{ lib, config, ... }: {
+
+ options.settings = lib.mkOption {
+ type = lib.types.submodule {
+
+ freeformType = with lib.types; attrsOf str;
+
+ # We want this attribute to be checked for the correct type
+ options.port = lib.mkOption {
+ type = lib.types.port;
+ # Declaring the option also allows defining a default value
+ default = 8080;
+ };
+
+ };
+ };
+}
+
+
+ And the following shows what such a module then allows
+
+
+{
+ # Not a declared option, but the freeform type allows this
+ settings.logLevel = "debug";
+
+ # Not allowed because the the freeform type only allows strings
+ # settings.enable = true;
+
+ # Allowed because there is a port option declared
+ settings.port = 80;
+
+ # Not allowed because the port option doesn't allow strings
+ # settings.port = "443";
+}
+
+
+
+ Freeform attributes cannot depend on other attributes of the same
+ set without infinite recursion:
+
+
+{
+ # This throws infinite recursion encountered
+ settings.logLevel = lib.mkIf (config.settings.port == 80) "debug";
+}
+
+
+ To prevent this, declare options for all attributes that need to
+ depend on others. For above example this means to declare
+ logLevel to be an option.
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/importing-modules.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/importing-modules.section.xml
new file mode 100644
index 00000000000..cb04dde67c8
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/importing-modules.section.xml
@@ -0,0 +1,47 @@
+
+ Importing Modules
+
+ Sometimes NixOS modules need to be used in configuration but exist
+ outside of Nixpkgs. These modules can be imported:
+
+
+{ config, lib, pkgs, ... }:
+
+{
+ imports =
+ [ # Use a locally-available module definition in
+ # ./example-module/default.nix
+ ./example-module
+ ];
+
+ services.exampleModule.enable = true;
+}
+
+
+ The environment variable NIXOS_EXTRA_MODULE_PATH
+ is an absolute path to a NixOS module that is included alongside the
+ Nixpkgs NixOS modules. Like any NixOS module, this module can import
+ additional modules:
+
+
+# ./module-list/default.nix
+[
+ ./example-module1
+ ./example-module2
+]
+
+
+# ./extra-module/default.nix
+{ imports = import ./module-list.nix; }
+
+
+# NIXOS_EXTRA_MODULE_PATH=/absolute/path/to/extra-module
+{ config, lib, pkgs, ... }:
+
+{
+ # No `imports` needed
+
+ services.exampleModule1.enable = true;
+}
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/meta-attributes.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/meta-attributes.section.xml
new file mode 100644
index 00000000000..f535d94602b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/meta-attributes.section.xml
@@ -0,0 +1,55 @@
+
+ Meta Attributes
+
+ Like Nix packages, NixOS modules can declare meta-attributes to
+ provide extra information. Module meta attributes are defined in the
+ meta.nix special module.
+
+
+ meta is a top level attribute like
+ options and config . Available
+ meta-attributes are maintainers and
+ doc .
+
+
+ Each of the meta-attributes must be defined at most once per module
+ file.
+
+
+{ config, lib, pkgs, ... }:
+{
+ options = {
+ ...
+ };
+
+ config = {
+ ...
+ };
+
+ meta = {
+ maintainers = with lib.maintainers; [ ericsagnes ];
+ doc = ./default.xml;
+ };
+}
+
+
+
+
+ maintainers contains a list of the module
+ maintainers.
+
+
+
+
+ doc points to a valid DocBook file containing
+ the module documentation. Its contents is automatically added to
+ . Changes to a module
+ documentation have to be checked to not break building the NixOS
+ manual:
+
+
+$ nix-build nixos/release.nix -A manual.x86_64-linux
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/nixos-tests.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/nixos-tests.chapter.xml
new file mode 100644
index 00000000000..b9ff2269676
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/nixos-tests.chapter.xml
@@ -0,0 +1,14 @@
+
+ NixOS Tests
+
+ When you add some feature to NixOS, you should write a test for it.
+ NixOS tests are kept in the directory
+ nixos/tests , and are executed (using Nix) by a
+ testing framework that automatically starts one or more virtual
+ machines containing the NixOS system(s) required for the test.
+
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/option-declarations.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/option-declarations.section.xml
new file mode 100644
index 00000000000..2845e37659b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/option-declarations.section.xml
@@ -0,0 +1,221 @@
+
+ Option Declarations
+
+ An option declaration specifies the name, type and description of a
+ NixOS configuration option. It is invalid to define an option that
+ hasn’t been declared in any module. An option declaration generally
+ looks like this:
+
+
+options = {
+ name = mkOption {
+ type = type specification;
+ default = default value;
+ example = example value;
+ description = "Description for use in the NixOS manual.";
+ };
+};
+
+
+ The attribute names within the name attribute
+ path must be camel cased in general but should, as an exception,
+ match the
+
+ package attribute name when referencing a Nixpkgs package.
+ For example, the option
+ services.nix-serve.bindAddress references the
+ nix-serve Nixpkgs package.
+
+
+ The function mkOption accepts the following
+ arguments.
+
+
+
+
+ type
+
+
+
+ The type of the option (see
+ ). It may be omitted, but
+ that’s not advisable since it may lead to errors that are hard
+ to diagnose.
+
+
+
+
+
+ default
+
+
+
+ The default value used if no value is defined by any module. A
+ default is not required; but if a default is not given, then
+ users of the module will have to define the value of the
+ option, otherwise an error will be thrown.
+
+
+
+
+
+ defaultText
+
+
+
+ A textual representation of the default value to be rendered
+ verbatim in the manual. Useful if the default value is a
+ complex expression or depends on other values or packages. Use
+ lib.literalExpression for a Nix expression,
+ lib.literalDocBook for a plain English
+ description in DocBook format.
+
+
+
+
+
+ example
+
+
+
+ An example value that will be shown in the NixOS manual. You
+ can use lib.literalExpression and
+ lib.literalDocBook in the same way as in
+ defaultText .
+
+
+
+
+
+ description
+
+
+
+ A textual description of the option, in DocBook format, that
+ will be included in the NixOS manual.
+
+
+
+
+
+ Extensible Option Types
+
+ Extensible option types is a feature that allow to extend certain
+ types declaration through multiple module files. This feature only
+ work with a restricted set of types, namely
+ enum and submodules and any
+ composed forms of them.
+
+
+ Extensible option types can be used for enum
+ options that affects multiple modules, or as an alternative to
+ related enable options.
+
+
+ As an example, we will take the case of display managers. There is
+ a central display manager module for generic display manager
+ options and a module file per display manager backend (sddm, gdm
+ ...).
+
+
+ There are two approach to this module structure:
+
+
+
+
+ Managing the display managers independently by adding an
+ enable option to every display manager module backend. (NixOS)
+
+
+
+
+ Managing the display managers in the central module by adding
+ an option to select which display manager backend to use.
+
+
+
+
+ Both approaches have problems.
+
+
+ Making backends independent can quickly become hard to manage. For
+ display managers, there can be only one enabled at a time, but the
+ type system can not enforce this restriction as there is no
+ relation between each backend enable option. As
+ a result, this restriction has to be done explicitely by adding
+ assertions in each display manager backend module.
+
+
+ On the other hand, managing the display managers backends in the
+ central module will require to change the central module option
+ every time a new backend is added or removed.
+
+
+ By using extensible option types, it is possible to create a
+ placeholder option in the central module
+ ( Example:
+ Extensible type placeholder in the service module), and to
+ extend it in each backend module
+ ( Example:
+ Extending
+ services.xserver.displayManager.enable in the
+ gdm module,
+ Example:
+ Extending
+ services.xserver.displayManager.enable in the
+ sddm module).
+
+
+ As a result, displayManager.enable option
+ values can be added without changing the main service module file
+ and the type system automatically enforce that there can only be a
+ single display manager enabled.
+
+
+
+ Example: Extensible type placeholder in
+ the service module
+
+
+services.xserver.displayManager.enable = mkOption {
+ description = "Display manager to use";
+ type = with types; nullOr (enum [ ]);
+};
+
+
+
+ Example: Extending
+ services.xserver.displayManager.enable in the
+ gdm module
+
+
+services.xserver.displayManager.enable = mkOption {
+ type = with types; nullOr (enum [ "gdm" ]);
+};
+
+
+
+ Example: Extending
+ services.xserver.displayManager.enable in the
+ sddm module
+
+
+services.xserver.displayManager.enable = mkOption {
+ type = with types; nullOr (enum [ "sddm" ]);
+};
+
+
+ The placeholder declaration is a standard
+ mkOption declaration, but it is important that
+ extensible option declarations only use the
+ type argument.
+
+
+ Extensible option types work with any of the composed variants of
+ enum such as
+ with types; nullOr (enum [ "foo" "bar" ])
+ or
+ with types; listOf (enum [ "foo" "bar" ]) .
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/option-def.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/option-def.section.xml
new file mode 100644
index 00000000000..8c9ef181aff
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/option-def.section.xml
@@ -0,0 +1,104 @@
+
+ Option Definitions
+
+ Option definitions are generally straight-forward bindings of values
+ to option names, like
+
+
+config = {
+ services.httpd.enable = true;
+};
+
+
+ However, sometimes you need to wrap an option definition or set of
+ option definitions in a property to achieve
+ certain effects:
+
+
+ Delaying Conditionals
+
+ If a set of option definitions is conditional on the value of
+ another option, you may need to use mkIf .
+ Consider, for instance:
+
+
+config = if config.services.httpd.enable then {
+ environment.systemPackages = [ ... ];
+ ...
+} else {};
+
+
+ This definition will cause Nix to fail with an infinite
+ recursion
error. Why? Because the value of
+ config.services.httpd.enable depends on the
+ value being constructed here. After all, you could also write the
+ clearly circular and contradictory:
+
+
+config = if config.services.httpd.enable then {
+ services.httpd.enable = false;
+} else {
+ services.httpd.enable = true;
+};
+
+
+ The solution is to write:
+
+
+config = mkIf config.services.httpd.enable {
+ environment.systemPackages = [ ... ];
+ ...
+};
+
+
+ The special function mkIf causes the evaluation
+ of the conditional to be pushed down
into the
+ individual definitions, as if you had written:
+
+
+config = {
+ environment.systemPackages = if config.services.httpd.enable then [ ... ] else [];
+ ...
+};
+
+
+
+ Setting Priorities
+
+ A module can override the definitions of an option in other
+ modules by setting a priority . All option
+ definitions that do not have the lowest priority value are
+ discarded. By default, option definitions have priority 1000. You
+ can specify an explicit priority by using
+ mkOverride , e.g.
+
+
+services.openssh.enable = mkOverride 10 false;
+
+
+ This definition causes all other definitions with priorities above
+ 10 to be discarded. The function mkForce is
+ equal to mkOverride 50 .
+
+
+
+ Merging Configurations
+
+ In conjunction with mkIf , it is sometimes
+ useful for a module to return multiple sets of option definitions,
+ to be merged together as if they were declared in separate
+ modules. This can be done using mkMerge :
+
+
+config = mkMerge
+ [ # Unconditional stuff.
+ { environment.systemPackages = [ ... ];
+ }
+ # Conditional stuff.
+ (mkIf config.services.bla.enable {
+ environment.systemPackages = [ ... ];
+ })
+ ];
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/option-types.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/option-types.section.xml
new file mode 100644
index 00000000000..c83ffa2add5
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/option-types.section.xml
@@ -0,0 +1,987 @@
+
+ Options Types
+
+ Option types are a way to put constraints on the values a module
+ option can take. Types are also responsible of how values are merged
+ in case of multiple value definitions.
+
+
+ Basic Types
+
+ Basic types are the simplest available types in the module system.
+ Basic types include multiple string types that mainly differ in
+ how definition merging is handled.
+
+
+
+
+ types.bool
+
+
+
+ A boolean, its values can be true or
+ false .
+
+
+
+
+
+ types.path
+
+
+
+ A filesystem path, defined as anything that when coerced to
+ a string starts with a slash. Even if derivations can be
+ considered as path, the more specific
+ types.package should be preferred.
+
+
+
+
+
+ types.package
+
+
+
+ A derivation or a store path.
+
+
+
+
+
+ types.anything
+
+
+
+ A type that accepts any value and recursively merges
+ attribute sets together. This type is recommended when the
+ option type is unknown.
+
+
+
+ Example:
+ types.anything Example
+
+
+ Two definitions of this type like
+
+
+{
+ str = lib.mkDefault "foo";
+ pkg.hello = pkgs.hello;
+ fun.fun = x: x + 1;
+}
+
+
+{
+ str = lib.mkIf true "bar";
+ pkg.gcc = pkgs.gcc;
+ fun.fun = lib.mkForce (x: x + 2);
+}
+
+
+ will get merged to
+
+
+{
+ str = "bar";
+ pkg.gcc = pkgs.gcc;
+ pkg.hello = pkgs.hello;
+ fun.fun = x: x + 2;
+}
+
+
+
+
+
+ types.attrs
+
+
+
+ A free-form attribute set.
+
+
+
+ This type will be deprecated in the future because it
+ doesn't recurse into attribute sets, silently drops
+ earlier attribute definitions, and doesn't discharge
+ lib.mkDefault ,
+ lib.mkIf and co. For allowing arbitrary
+ attribute sets, prefer
+ types.attrsOf types.anything instead
+ which doesn't have these problems.
+
+
+
+
+
+
+ Integer-related types:
+
+
+
+
+ types.int
+
+
+
+ A signed integer.
+
+
+
+
+
+ types.ints.{s8, s16, s32}
+
+
+
+ Signed integers with a fixed length (8, 16 or 32 bits). They
+ go from −2^n/2 to 2^n/2−1 respectively (e.g.
+ −128 to 127 for 8
+ bits).
+
+
+
+
+
+ types.ints.unsigned
+
+
+
+ An unsigned integer (that is >= 0).
+
+
+
+
+
+ types.ints.{u8, u16, u32}
+
+
+
+ Unsigned integers with a fixed length (8, 16 or 32 bits).
+ They go from 0 to 2^n−1 respectively (e.g.
+ 0 to 255 for 8 bits).
+
+
+
+
+
+ types.ints.positive
+
+
+
+ A positive integer (that is > 0).
+
+
+
+
+
+ types.port
+
+
+
+ A port number. This type is an alias to
+ types.ints.u16 .
+
+
+
+
+
+ String-related types:
+
+
+
+
+ types.str
+
+
+
+ A string. Multiple definitions cannot be merged.
+
+
+
+
+
+ types.lines
+
+
+
+ A string. Multiple definitions are concatenated with a new
+ line "\n" .
+
+
+
+
+
+ types.commas
+
+
+
+ A string. Multiple definitions are concatenated with a comma
+ "," .
+
+
+
+
+
+ types.envVar
+
+
+
+ A string. Multiple definitions are concatenated with a
+ collon ":" .
+
+
+
+
+
+ types.strMatching
+
+
+
+ A string matching a specific regular expression. Multiple
+ definitions cannot be merged. The regular expression is
+ processed using builtins.match .
+
+
+
+
+
+
+ Value Types
+
+ Value types are types that take a value parameter.
+
+
+
+
+ types.enum
+ l
+
+
+
+ One element of the list
+ l , e.g.
+ types.enum [ "left" "right" ] .
+ Multiple definitions cannot be merged.
+
+
+
+
+
+ types.separatedString
+ sep
+
+
+
+ A string with a custom separator
+ sep , e.g.
+ types.separatedString "|" .
+
+
+
+
+
+ types.ints.between
+ lowest highest
+
+
+
+ An integer between
+ lowest and
+ highest (both
+ inclusive). Useful for creating types like
+ types.port .
+
+
+
+
+
+ types.submodule
+ o
+
+
+
+ A set of sub options
+ o .
+ o can be an
+ attribute set, a function returning an attribute set, or a
+ path to a file containing such a value. Submodules are used
+ in composed types to create modular options. This is
+ equivalent to
+ types.submoduleWith { modules = toList o; shorthandOnlyDefinesConfig = true; } .
+ Submodules are detailed in
+ Submodule.
+
+
+
+
+
+ types.submoduleWith {
+ modules ,
+ specialArgs ? {},
+ shorthandOnlyDefinesConfig
+ ? false }
+
+
+
+ Like types.submodule , but more flexible
+ and with better defaults. It has parameters
+
+
+
+
+ modules A list
+ of modules to use by default for this submodule type.
+ This gets combined with all option definitions to build
+ the final list of modules that will be included.
+
+
+
+ Only options defined with this argument are included
+ in rendered documentation.
+
+
+
+
+
+ specialArgs An
+ attribute set of extra arguments to be passed to the
+ module functions. The option
+ _module.args should be used instead
+ for most arguments since it allows overriding.
+ specialArgs
+ should only be used for arguments that can't go through
+ the module fixed-point, because of infinite recursion or
+ other problems. An example is overriding the
+ lib argument, because
+ lib itself is used to define
+ _module.args , which makes using
+ _module.args to define it impossible.
+
+
+
+
+ shorthandOnlyDefinesConfig
+ Whether definitions of this type should default to the
+ config section of a module (see
+ Example: Structure of
+ NixOS Modules) if it is an attribute set.
+ Enabling this only has a benefit when the submodule
+ defines an option named config or
+ options . In such a case it would
+ allow the option to be set with
+ the-submodule.config = "value"
+ instead of requiring
+ the-submodule.config.config = "value" .
+ This is because only when modules
+ don't set the
+ config or options
+ keys, all keys are interpreted as option definitions in
+ the config section. Enabling this
+ option implicitly puts all attributes in the
+ config section.
+
+
+ With this option enabled, defining a
+ non-config section requires using a
+ function:
+ the-submodule = { ... }: { options = { ... }; } .
+
+
+
+
+
+
+
+
+ Composed Types
+
+ Composed types are types that take a type as parameter.
+ listOf int and
+ either int str are examples of composed types.
+
+
+
+
+ types.listOf
+ t
+
+
+
+ A list of t type,
+ e.g. types.listOf int . Multiple
+ definitions are merged with list concatenation.
+
+
+
+
+
+ types.attrsOf
+ t
+
+
+
+ An attribute set of where all the values are of
+ t type. Multiple
+ definitions result in the joined attribute set.
+
+
+
+ This type is strict in its values,
+ which in turn means attributes cannot depend on other
+ attributes. See types.lazyAttrsOf for
+ a lazy version.
+
+
+
+
+
+
+ types.lazyAttrsOf
+ t
+
+
+
+ An attribute set of where all the values are of
+ t type. Multiple
+ definitions result in the joined attribute set. This is the
+ lazy version of types.attrsOf , allowing
+ attributes to depend on each other.
+
+
+
+ This version does not fully support conditional
+ definitions! With an option foo of this
+ type and a definition
+ foo.attr = lib.mkIf false 10 ,
+ evaluating foo ? attr will return
+ true even though it should be false.
+ Accessing the value will then throw an error. For types
+ t that have an
+ emptyValue defined, that value will be
+ returned instead of throwing an error. So if the type of
+ foo.attr was
+ lazyAttrsOf (nullOr int) ,
+ null would be returned instead for the
+ same mkIf false definition.
+
+
+
+
+
+
+ types.nullOr
+ t
+
+
+
+ null or type
+ t . Multiple
+ definitions are merged according to type
+ t .
+
+
+
+
+
+ types.uniq
+ t
+
+
+
+ Ensures that type t
+ cannot be merged. It is used to ensure option definitions
+ are declared only once.
+
+
+
+
+
+ types.either
+ t1 t2
+
+
+
+ Type t1 or type
+ t2 , e.g.
+ with types; either int str . Multiple
+ definitions cannot be merged.
+
+
+
+
+
+ types.oneOf [
+ t1 t2 ... ]
+
+
+
+ Type t1 or type
+ t2 and so forth,
+ e.g. with types; oneOf [ int str bool ] .
+ Multiple definitions cannot be merged.
+
+
+
+
+
+ types.coercedTo
+ from f to
+
+
+
+ Type to or type
+ from which will be
+ coerced to type to
+ using function f
+ which takes an argument of type
+ from and return a
+ value of type to .
+ Can be used to preserve backwards compatibility of an option
+ if its type was changed.
+
+
+
+
+
+
+ Submodule
+
+ submodule is a very powerful type that defines
+ a set of sub-options that are handled like a separate module.
+
+
+ It takes a parameter o ,
+ that should be a set, or a function returning a set with an
+ options key defining the sub-options. Submodule
+ option definitions are type-checked accordingly to the
+ options declarations. Of course, you can nest
+ submodule option definitons for even higher modularity.
+
+
+ The option set can be defined directly
+ ( Example: Directly defined
+ submodule) or as reference
+ ( Example: Submodule defined
+ as a reference).
+
+
+
+ Example: Directly defined
+ submodule
+
+
+options.mod = mkOption {
+ description = "submodule example";
+ type = with types; submodule {
+ options = {
+ foo = mkOption {
+ type = int;
+ };
+ bar = mkOption {
+ type = str;
+ };
+ };
+ };
+};
+
+
+
+ Example: Submodule defined as a
+ reference
+
+
+let
+ modOptions = {
+ options = {
+ foo = mkOption {
+ type = int;
+ };
+ bar = mkOption {
+ type = int;
+ };
+ };
+ };
+in
+options.mod = mkOption {
+ description = "submodule example";
+ type = with types; submodule modOptions;
+};
+
+
+ The submodule type is especially interesting
+ when used with composed types like attrsOf or
+ listOf . When composed with
+ listOf
+ ( Example:
+ Declaration of a list of submodules),
+ submodule allows multiple definitions of the
+ submodule option set
+ ( Example:
+ Definition of a list of submodules).
+
+
+
+ Example: Declaration of a list of
+ submodules
+
+
+options.mod = mkOption {
+ description = "submodule example";
+ type = with types; listOf (submodule {
+ options = {
+ foo = mkOption {
+ type = int;
+ };
+ bar = mkOption {
+ type = str;
+ };
+ };
+ });
+};
+
+
+
+ Example: Definition of a list of
+ submodules
+
+
+config.mod = [
+ { foo = 1; bar = "one"; }
+ { foo = 2; bar = "two"; }
+];
+
+
+ When composed with attrsOf
+ ( Example:
+ Declaration of attribute sets of submodules),
+ submodule allows multiple named definitions of
+ the submodule option set
+ ( Example:
+ Definition of attribute sets of submodules).
+
+
+
+ Example: Declaration of attribute sets of
+ submodules
+
+
+options.mod = mkOption {
+ description = "submodule example";
+ type = with types; attrsOf (submodule {
+ options = {
+ foo = mkOption {
+ type = int;
+ };
+ bar = mkOption {
+ type = str;
+ };
+ };
+ });
+};
+
+
+
+ Example: Definition of attribute sets of
+ submodules
+
+
+config.mod.one = { foo = 1; bar = "one"; };
+config.mod.two = { foo = 2; bar = "two"; };
+
+
+
+ Extending types
+
+ Types are mainly characterized by their check
+ and merge functions.
+
+
+
+
+ check
+
+
+
+ The function to type check the value. Takes a value as
+ parameter and return a boolean. It is possible to extend a
+ type check with the addCheck function
+ ( Example: Adding a
+ type check), or to fully override the check function
+ ( Example:
+ Overriding a type check).
+
+
+
+ Example: Adding a type
+ check
+
+
+byte = mkOption {
+ description = "An integer between 0 and 255.";
+ type = types.addCheck types.int (x: x >= 0 && x <= 255);
+};
+
+
+
+ Example: Overriding a type
+ check
+
+
+nixThings = mkOption {
+ description = "words that start with 'nix'";
+ type = types.str // {
+ check = (x: lib.hasPrefix "nix" x)
+ };
+};
+
+
+
+
+
+ merge
+
+
+
+ Function to merge the options values when multiple values
+ are set. The function takes two parameters,
+ loc the option path as a list of strings,
+ and defs the list of defined values as a
+ list. It is possible to override a type merge function for
+ custom needs.
+
+
+
+
+
+
+ Custom Types
+
+ Custom types can be created with the
+ mkOptionType function. As type creation
+ includes some more complex topics such as submodule handling, it
+ is recommended to get familiar with types.nix
+ code before creating a new type.
+
+
+ The only required parameter is name .
+
+
+
+
+ name
+
+
+
+ A string representation of the type function name.
+
+
+
+
+
+ definition
+
+
+
+ Description of the type used in documentation. Give
+ information of the type and any of its arguments.
+
+
+
+
+
+ check
+
+
+
+ A function to type check the definition value. Takes the
+ definition value as a parameter and returns a boolean
+ indicating the type check result, true
+ for success and false for failure.
+
+
+
+
+
+ merge
+
+
+
+ A function to merge multiple definitions values. Takes two
+ parameters:
+
+
+
+
+ loc
+
+
+
+ The option path as a list of strings, e.g.
+ ["boot" "loader "grub" "enable"] .
+
+
+
+
+
+ defs
+
+
+
+ The list of sets of defined value
+ and file where the value was
+ defined, e.g.
+ [ { file = "/foo.nix"; value = 1; } { file = "/bar.nix"; value = 2 } ] .
+ The merge function should return
+ the merged value or throw an error in case the values
+ are impossible or not meant to be merged.
+
+
+
+
+
+
+
+
+ getSubOptions
+
+
+
+ For composed types that can take a submodule as type
+ parameter, this function generate sub-options documentation.
+ It takes the current option prefix as a list and return the
+ set of sub-options. Usually defined in a recursive manner by
+ adding a term to the prefix, e.g.
+ prefix: elemType.getSubOptions (prefix ++ ["prefix"])
+ where
+ "prefix"
+ is the newly added prefix.
+
+
+
+
+
+ getSubModules
+
+
+
+ For composed types that can take a submodule as type
+ parameter, this function should return the type parameters
+ submodules. If the type parameter is called
+ elemType , the function should just
+ recursively look into submodules by returning
+ elemType.getSubModules; .
+
+
+
+
+
+ substSubModules
+
+
+
+ For composed types that can take a submodule as type
+ parameter, this function can be used to substitute the
+ parameter of a submodule type. It takes a module as
+ parameter and return the type with the submodule options
+ substituted. It is usually defined as a type function call
+ with a recursive call to substSubModules ,
+ e.g for a type composedType that take an
+ elemtype type parameter, this function
+ should be defined as
+ m: composedType (elemType.substSubModules m) .
+
+
+
+
+
+ typeMerge
+
+
+
+ A function to merge multiple type declarations. Takes the
+ type to merge functor as parameter. A
+ null return value means that type cannot
+ be merged.
+
+
+
+
+ f
+
+
+
+ The type to merge functor .
+
+
+
+
+
+ Note: There is a generic defaultTypeMerge
+ that work with most of value and composed types.
+
+
+
+
+
+ functor
+
+
+
+ An attribute set representing the type. It is used for type
+ operations and has the following keys:
+
+
+
+
+ type
+
+
+
+ The type function.
+
+
+
+
+
+ wrapped
+
+
+
+ Holds the type parameter for composed types.
+
+
+
+
+
+ payload
+
+
+
+ Holds the value parameter for value types. The types
+ that have a payload are the
+ enum ,
+ separatedString and
+ submodule types.
+
+
+
+
+
+ binOp
+
+
+
+ A binary operation that can merge the payloads of two
+ same types. Defined as a function that take two
+ payloads as parameters and return the payloads merged.
+
+
+
+
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/replace-modules.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/replace-modules.section.xml
new file mode 100644
index 00000000000..cf8a39ba844
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/replace-modules.section.xml
@@ -0,0 +1,70 @@
+
+ Replace Modules
+
+ Modules that are imported can also be disabled. The option
+ declarations, config implementation and the imports of a disabled
+ module will be ignored, allowing another to take it's place. This
+ can be used to import a set of modules from another channel while
+ keeping the rest of the system on a stable release.
+
+
+ disabledModules is a top level attribute like
+ imports , options and
+ config . It contains a list of modules that will
+ be disabled. This can either be the full path to the module or a
+ string with the filename relative to the modules path (eg.
+ <nixpkgs/nixos/modules> for nixos).
+
+
+ This example will replace the existing postgresql module with the
+ version defined in the nixos-unstable channel while keeping the rest
+ of the modules and packages from the original nixos channel. This
+ only overrides the module definition, this won't use postgresql from
+ nixos-unstable unless explicitly configured to do so.
+
+
+{ config, lib, pkgs, ... }:
+
+{
+ disabledModules = [ "services/databases/postgresql.nix" ];
+
+ imports =
+ [ # Use postgresql service from nixos-unstable channel.
+ # sudo nix-channel --add https://nixos.org/channels/nixos-unstable nixos-unstable
+ <nixos-unstable/nixos/modules/services/databases/postgresql.nix>
+ ];
+
+ services.postgresql.enable = true;
+}
+
+
+ This example shows how to define a custom module as a replacement
+ for an existing module. Importing this module will disable the
+ original module without having to know it's implementation details.
+
+
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let
+ cfg = config.programs.man;
+in
+
+{
+ disabledModules = [ "services/programs/man.nix" ];
+
+ options = {
+ programs.man.enable = mkOption {
+ type = types.bool;
+ default = true;
+ description = "Whether to enable manual pages.";
+ };
+ };
+
+ config = mkIf cfg.enabled {
+ warnings = [ "disabled manpages for production deployments." ];
+ };
+}
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/settings-options.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/settings-options.section.xml
new file mode 100644
index 00000000000..c9430b77579
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/settings-options.section.xml
@@ -0,0 +1,285 @@
+
+ Options for Program Settings
+
+ Many programs have configuration files where program-specific
+ settings can be declared. File formats can be separated into two
+ categories:
+
+
+
+
+ Nix-representable ones: These can trivially be mapped to a
+ subset of Nix syntax. E.g. JSON is an example, since its values
+ like {"foo":{"bar":10}}
+ can be mapped directly to Nix:
+ { foo = { bar = 10; }; } . Other examples are
+ INI, YAML and TOML. The following section explains the
+ convention for these settings.
+
+
+
+
+ Non-nix-representable ones: These can't be trivially mapped to a
+ subset of Nix syntax. Most generic programming languages are in
+ this group, e.g. bash, since the statement
+ if true; then echo hi; fi doesn't have a
+ trivial representation in Nix.
+
+
+ Currently there are no fixed conventions for these, but it is
+ common to have a configFile option for
+ setting the configuration file path directly. The default value
+ of configFile can be an auto-generated file,
+ with convenient options for controlling the contents. For
+ example an option of type attrsOf str can be
+ used for representing environment variables which generates a
+ section like export FOO="foo" .
+ Often it can also be useful to also include an
+ extraConfig option of type
+ lines to allow arbitrary text after the
+ autogenerated part of the file.
+
+
+
+
+ Nix-representable Formats (JSON, YAML, TOML, INI,
+ ...)
+
+ By convention, formats like this are handled with a generic
+ settings option, representing the full program
+ configuration as a Nix value. The type of this option should
+ represent the format. The most common formats have a predefined
+ type and string generator already declared under
+ pkgs.formats :
+
+
+
+
+ pkgs.formats.json { }
+
+
+
+ A function taking an empty attribute set (for future
+ extensibility) and returning a set with JSON-specific
+ attributes type and
+ generate as specified
+ below.
+
+
+
+
+
+ pkgs.formats.yaml { }
+
+
+
+ A function taking an empty attribute set (for future
+ extensibility) and returning a set with YAML-specific
+ attributes type and
+ generate as specified
+ below.
+
+
+
+
+
+ pkgs.formats.ini {
+ listsAsDuplicateKeys ?
+ false, listToValue ?
+ null, ... }
+
+
+
+ A function taking an attribute set with values
+
+
+
+
+ listsAsDuplicateKeys
+
+
+
+ A boolean for controlling whether list values can be
+ used to represent duplicate INI keys
+
+
+
+
+
+ listToValue
+
+
+
+ A function for turning a list of values into a single
+ value.
+
+
+
+
+
+ It returns a set with INI-specific attributes
+ type and generate as
+ specified below.
+
+
+
+
+
+ pkgs.formats.toml { }
+
+
+
+ A function taking an empty attribute set (for future
+ extensibility) and returning a set with TOML-specific
+ attributes type and
+ generate as specified
+ below.
+
+
+
+
+
+ These functions all return an attribute set with these values:
+
+
+
+
+ type
+
+
+
+ A module system type representing a value of the format
+
+
+
+
+
+ generate
+ filename jsonValue
+
+
+
+ A function that can render a value of the format to a file.
+ Returns a file path.
+
+
+
+ This function puts the value contents in the Nix store. So
+ this should be avoided for secrets.
+
+
+
+
+
+
+
+ Example: Module with conventional
+ settings option
+
+
+ The following shows a module for an example program that uses a
+ JSON configuration file. It demonstrates how above values can be
+ used, along with some other related best practices. See the
+ comments for explanations.
+
+
+{ options, config, lib, pkgs, ... }:
+let
+ cfg = config.services.foo;
+ # Define the settings format used for this program
+ settingsFormat = pkgs.formats.json {};
+in {
+
+ options.services.foo = {
+ enable = lib.mkEnableOption "foo service";
+
+ settings = lib.mkOption {
+ # Setting this type allows for correct merging behavior
+ type = settingsFormat.type;
+ default = {};
+ description = ''
+ Configuration for foo, see
+ <link xlink:href="https://example.com/docs/foo"/>
+ for supported settings.
+ '';
+ };
+ };
+
+ config = lib.mkIf cfg.enable {
+ # We can assign some default settings here to make the service work by just
+ # enabling it. We use `mkDefault` for values that can be changed without
+ # problems
+ services.foo.settings = {
+ # Fails at runtime without any value set
+ log_level = lib.mkDefault "WARN";
+
+ # We assume systemd's `StateDirectory` is used, so we require this value,
+ # therefore no mkDefault
+ data_path = "/var/lib/foo";
+
+ # Since we use this to create a user we need to know the default value at
+ # eval time
+ user = lib.mkDefault "foo";
+ };
+
+ environment.etc."foo.json".source =
+ # The formats generator function takes a filename and the Nix value
+ # representing the format value and produces a filepath with that value
+ # rendered in the format
+ settingsFormat.generate "foo-config.json" cfg.settings;
+
+ # We know that the `user` attribute exists because we set a default value
+ # for it above, allowing us to use it without worries here
+ users.users.${cfg.settings.user} = { isSystemUser = true; };
+
+ # ...
+ };
+}
+
+
+ Option declarations for attributes
+
+ Some settings attributes may deserve some
+ extra care. They may need a different type, default or merging
+ behavior, or they are essential options that should show their
+ documentation in the manual. This can be done using
+ .
+
+
+ We extend above example using freeform modules to declare an
+ option for the port, which will enforce it to be a valid integer
+ and make it show up in the manual.
+
+
+
+ Example: Declaring a type-checked
+ settings attribute
+
+
+settings = lib.mkOption {
+ type = lib.types.submodule {
+
+ freeformType = settingsFormat.type;
+
+ # Declare an option for the port such that the type is checked and this option
+ # is shown in the manual.
+ options.port = lib.mkOption {
+ type = lib.types.port;
+ default = 8080;
+ description = ''
+ Which port this service should listen on.
+ '';
+ };
+
+ };
+ default = {};
+ description = ''
+ Configuration for Foo, see
+ <link xlink:href="https://example.com/docs/foo"/>
+ for supported values.
+ '';
+};
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/sources.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/sources.chapter.xml
new file mode 100644
index 00000000000..aac18c9d06c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/sources.chapter.xml
@@ -0,0 +1,90 @@
+
+ Getting the Sources
+
+ By default, NixOS’s nixos-rebuild command uses
+ the NixOS and Nixpkgs sources provided by the
+ nixos channel (kept in
+ /nix/var/nix/profiles/per-user/root/channels/nixos ).
+ To modify NixOS, however, you should check out the latest sources
+ from Git. This is as follows:
+
+
+$ git clone https://github.com/NixOS/nixpkgs
+$ cd nixpkgs
+$ git remote update origin
+
+
+ This will check out the latest Nixpkgs sources to
+ ./nixpkgs the NixOS sources to
+ ./nixpkgs/nixos . (The NixOS source tree lives in
+ a subdirectory of the Nixpkgs repository.) The
+ nixpkgs repository has branches that correspond
+ to each Nixpkgs/NixOS channel (see
+ for more information about channels). Thus, the Git branch
+ origin/nixos-17.03 will contain the latest built
+ and tested version available in the nixos-17.03
+ channel.
+
+
+ It’s often inconvenient to develop directly on the master branch,
+ since if somebody has just committed (say) a change to GCC, then the
+ binary cache may not have caught up yet and you’ll have to rebuild
+ everything from source. So you may want to create a local branch
+ based on your current NixOS version:
+
+
+$ nixos-version
+17.09pre104379.6e0b727 (Hummingbird)
+
+$ git checkout -b local 6e0b727
+
+
+ Or, to base your local branch on the latest version available in a
+ NixOS channel:
+
+
+$ git remote update origin
+$ git checkout -b local origin/nixos-17.03
+
+
+ (Replace nixos-17.03 with the name of the channel
+ you want to use.) You can use git merge or
+ git rebase to keep your local branch in sync with
+ the channel, e.g.
+
+
+$ git remote update origin
+$ git merge origin/nixos-17.03
+
+
+ You can use git cherry-pick to copy commits from
+ your local branch to the upstream branch.
+
+
+ If you want to rebuild your system using your (modified) sources,
+ you need to tell nixos-rebuild about them using
+ the -I flag:
+
+
+# nixos-rebuild switch -I nixpkgs=/my/sources/nixpkgs
+
+
+ If you want nix-env to use the expressions in
+ /my/sources , use
+ nix-env -f /my/sources/nixpkgs , or change the
+ default by adding a symlink in ~/.nix-defexpr :
+
+
+$ ln -s /my/sources/nixpkgs ~/.nix-defexpr/nixpkgs
+
+
+ You may want to delete the symlink
+ ~/.nix-defexpr/channels_root to prevent root’s
+ NixOS channel from clashing with your own tree (this may break the
+ command-not-found utility though). If you want to go back to the
+ default state, you may just remove the
+ ~/.nix-defexpr directory completely, log out and
+ log in again and it should have been recreated with a link to the
+ root channels.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/testing-installer.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/testing-installer.chapter.xml
new file mode 100644
index 00000000000..286d49f3c29
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/testing-installer.chapter.xml
@@ -0,0 +1,22 @@
+
+ Testing the Installer
+
+ Building, burning, and booting from an installation CD is rather
+ tedious, so here is a quick way to see if the installer works
+ properly:
+
+
+# mount -t tmpfs none /mnt
+# nixos-generate-config --root /mnt
+$ nix-build '<nixpkgs/nixos>' -A config.system.build.nixos-install
+# ./result/bin/nixos-install
+
+
+ To start a login shell in the new NixOS installation in
+ /mnt :
+
+
+$ nix-build '<nixpkgs/nixos>' -A config.system.build.nixos-enter
+# ./result/bin/nixos-enter
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/writing-documentation.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/writing-documentation.chapter.xml
new file mode 100644
index 00000000000..079c8006057
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/writing-documentation.chapter.xml
@@ -0,0 +1,144 @@
+
+ Writing NixOS Documentation
+
+ As NixOS grows, so too does the need for a catalogue and explanation
+ of its extensive functionality. Collecting pertinent information
+ from disparate sources and presenting it in an accessible style
+ would be a worthy contribution to the project.
+
+
+ Building the Manual
+
+ The DocBook sources of the
+ are in the
+ nixos/doc/manual
+ subdirectory of the Nixpkgs repository.
+
+
+ You can quickly validate your edits with make :
+
+
+$ cd /path/to/nixpkgs/nixos/doc/manual
+$ nix-shell
+nix-shell$ make
+
+
+ Once you are done making modifications to the manual, it's
+ important to build it before committing. You can do that as
+ follows:
+
+
+nix-build nixos/release.nix -A manual.x86_64-linux
+
+
+ When this command successfully finishes, it will tell you where
+ the manual got generated. The HTML will be accessible through the
+ result symlink at
+ ./result/share/doc/nixos/index.html .
+
+
+
+ Editing DocBook XML
+
+ For general information on how to write in DocBook, see
+ DocBook
+ 5: The Definitive Guide.
+
+
+ Emacs nXML Mode is very helpful for editing DocBook XML because it
+ validates the document as you write, and precisely locates errors.
+ To use it, see .
+
+
+ Pandoc can generate
+ DocBook XML from a multitude of formats, which makes a good
+ starting point. Here is an example of Pandoc invocation to convert
+ GitHub-Flavoured MarkDown to DocBook 5 XML:
+
+
+pandoc -f markdown_github -t docbook5 docs.md -o my-section.md
+
+
+ Pandoc can also quickly convert a single
+ section.xml to HTML, which is helpful when
+ drafting.
+
+
+ Sometimes writing valid DocBook is simply too difficult. In this
+ case, submit your documentation updates in a
+ GitHub
+ Issue and someone will handle the conversion to XML for
+ you.
+
+
+
+ Creating a Topic
+
+ You can use an existing topic as a basis for the new topic or
+ create a topic from scratch.
+
+
+ Keep the following guidelines in mind when you create and add a
+ topic:
+
+
+
+
+ The NixOS
+ book
+ element is in nixos/doc/manual/manual.xml .
+ It includes several
+ parts
+ which are in subdirectories.
+
+
+
+
+ Store the topic file in the same directory as the
+ part to which it belongs. If your topic is
+ about configuring a NixOS module, then the XML file can be
+ stored alongside the module definition nix
+ file.
+
+
+
+
+ If you include multiple words in the file name, separate the
+ words with a dash. For example:
+ ipv6-config.xml .
+
+
+
+
+ Make sure that the xml:id value is unique.
+ You can use abbreviations if the ID is too long. For example:
+ nixos-config .
+
+
+
+
+ Determine whether your topic is a chapter or a section. If you
+ are unsure, open an existing topic file and check whether the
+ main element is chapter or section.
+
+
+
+
+
+ Adding a Topic to the Book
+
+ Open the parent XML file and add an xi:include
+ element to the list of chapters with the file name of the topic
+ that you created. If you created a section , you
+ add the file to the chapter file. If you
+ created a chapter , you add the file to the
+ part file.
+
+
+ If the topic is about configuring a NixOS module, it can be
+ automatically included in the manual by using the
+ meta.doc attribute. See
+ for an explanation.
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/writing-modules.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/writing-modules.chapter.xml
new file mode 100644
index 00000000000..e33c24f4f12
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/development/writing-modules.chapter.xml
@@ -0,0 +1,196 @@
+
+ Writing NixOS Modules
+
+ NixOS has a modular system for declarative configuration. This
+ system combines multiple modules to produce the
+ full system configuration. One of the modules that constitute the
+ configuration is /etc/nixos/configuration.nix .
+ Most of the others live in the
+ nixos/modules
+ subdirectory of the Nixpkgs tree.
+
+
+ Each NixOS module is a file that handles one logical aspect of the
+ configuration, such as a specific kind of hardware, a service, or
+ network settings. A module configuration does not have to handle
+ everything from scratch; it can use the functionality provided by
+ other modules for its implementation. Thus a module can
+ declare options that can be used by other
+ modules, and conversely can define options
+ provided by other modules in its own implementation. For example,
+ the module
+ pam.nix
+ declares the option security.pam.services that
+ allows other modules (e.g.
+ sshd.nix )
+ to define PAM services; and it defines the option
+ environment.etc (declared by
+ etc.nix )
+ to cause files to be created in /etc/pam.d .
+
+
+ In , we saw the following
+ structure of NixOS modules:
+
+
+{ config, pkgs, ... }:
+
+{ option definitions
+}
+
+
+ This is actually an abbreviated form of module
+ that only defines options, but does not declare any. The structure
+ of full NixOS modules is shown in
+ Example: Structure of NixOS
+ Modules.
+
+
+
+ Example: Structure of NixOS
+ Modules
+
+
+{ config, pkgs, ... }:
+
+{
+ imports =
+ [ paths of other modules
+ ];
+
+ options = {
+ option declarations
+ };
+
+ config = {
+ option definitions
+ };
+}
+
+
+ The meaning of each part is as follows.
+
+
+
+
+ The first line makes the current Nix expression a function. The
+ variable pkgs contains Nixpkgs (by default,
+ it takes the nixpkgs entry of
+ NIX_PATH , see the
+ Nix
+ manual for further details), while
+ config contains the full system
+ configuration. This line can be omitted if there is no reference
+ to pkgs and config inside
+ the module.
+
+
+
+
+ This imports list enumerates the paths to
+ other NixOS modules that should be included in the evaluation of
+ the system configuration. A default set of modules is defined in
+ the file modules/module-list.nix . These don't
+ need to be added in the import list.
+
+
+
+
+ The attribute options is a nested set of
+ option declarations (described below).
+
+
+
+
+ The attribute config is a nested set of
+ option definitions (also described below).
+
+
+
+
+ Example: NixOS Module for the
+ locate
Service shows a module that handles the
+ regular update of the locate
database, an index of
+ all files in the file system. This module declares two options that
+ can be defined by other modules (typically the user’s
+ configuration.nix ):
+ services.locate.enable (whether the database
+ should be updated) and services.locate.interval
+ (when the update should be done). It implements its functionality by
+ defining two options declared by other modules:
+ systemd.services (the set of all systemd
+ services) and systemd.timers (the list of
+ commands to be executed periodically by systemd ).
+
+
+
+ Example: NixOS Module for the
+ locate
Service
+
+
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let
+ cfg = config.services.locate;
+in {
+ options.services.locate = {
+ enable = mkOption {
+ type = types.bool;
+ default = false;
+ description = ''
+ If enabled, NixOS will periodically update the database of
+ files used by the locate command.
+ '';
+ };
+
+ interval = mkOption {
+ type = types.str;
+ default = "02:15";
+ example = "hourly";
+ description = ''
+ Update the locate database at this interval. Updates by
+ default at 2:15 AM every day.
+
+ The format is described in
+ systemd.time(7).
+ '';
+ };
+
+ # Other options omitted for documentation
+ };
+
+ config = {
+ systemd.services.update-locatedb =
+ { description = "Update Locate Database";
+ path = [ pkgs.su ];
+ script =
+ ''
+ mkdir -m 0755 -p $(dirname ${toString cfg.output})
+ exec updatedb \
+ --localuser=${cfg.localuser} \
+ ${optionalString (!cfg.includeStore) "--prunepaths='/nix/store'"} \
+ --output=${toString cfg.output} ${concatStringsSep " " cfg.extraFlags}
+ '';
+ };
+
+ systemd.timers.update-locatedb = mkIf cfg.enable
+ { description = "Update timer for locate database";
+ partOf = [ "update-locatedb.service" ];
+ wantedBy = [ "timers.target" ];
+ timerConfig.OnCalendar = cfg.interval;
+ };
+ };
+}
+
+
+
+
+
+
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/changing-config.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/changing-config.chapter.xml
new file mode 100644
index 00000000000..86f0b15b41c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/changing-config.chapter.xml
@@ -0,0 +1,117 @@
+
+ Changing the Configuration
+
+ The file /etc/nixos/configuration.nix contains
+ the current configuration of your machine. Whenever you’ve
+ changed something in that
+ file, you should do
+
+
+# nixos-rebuild switch
+
+
+ to build the new configuration, make it the default configuration
+ for booting, and try to realise the configuration in the running
+ system (e.g., by restarting system services).
+
+
+
+ This command doesn't start/stop
+ user services
+ automatically. nixos-rebuild only runs a
+ daemon-reload for each user with running user
+ services.
+
+
+
+
+ These commands must be executed as root, so you should either run
+ them from a root shell or by prefixing them with
+ sudo -i .
+
+
+
+ You can also do
+
+
+# nixos-rebuild test
+
+
+ to build the configuration and switch the running system to it, but
+ without making it the boot default. So if (say) the configuration
+ locks up your machine, you can just reboot to get back to a working
+ configuration.
+
+
+ There is also
+
+
+# nixos-rebuild boot
+
+
+ to build the configuration and make it the boot default, but not
+ switch to it now (so it will only take effect after the next
+ reboot).
+
+
+ You can make your configuration show up in a different submenu of
+ the GRUB 2 boot screen by giving it a different profile
+ name , e.g.
+
+
+# nixos-rebuild switch -p test
+
+
+ which causes the new configuration (and previous ones created using
+ -p test ) to show up in the GRUB submenu
+ NixOS - Profile 'test'
. This can be useful to
+ separate test configurations from stable
+ configurations.
+
+
+ Finally, you can do
+
+
+$ nixos-rebuild build
+
+
+ to build the configuration but nothing more. This is useful to see
+ whether everything compiles cleanly.
+
+
+ If you have a machine that supports hardware virtualisation, you can
+ also test the new configuration in a sandbox by building and running
+ a QEMU virtual machine that contains the
+ desired configuration. Just do
+
+
+$ nixos-rebuild build-vm
+$ ./result/bin/run-*-vm
+
+
+ The VM does not have any data from your host system, so your
+ existing user accounts and home directories will not be available
+ unless you have set mutableUsers = false . Another
+ way is to temporarily add the following to your configuration:
+
+
+users.users.your-user.initialHashedPassword = "test";
+
+
+ Important: delete the $hostname.qcow2 file if
+ you have started the virtual machine at least once without the right
+ users, otherwise the changes will not get picked up. You can forward
+ ports on the host to the guest. For instance, the following will
+ forward host port 2222 to guest port 22 (SSH):
+
+
+$ QEMU_NET_OPTS="hostfwd=tcp::2222-:22" ./result/bin/run-*-vm
+
+
+ allowing you to log in via SSH (assuming you have set the
+ appropriate passwords or SSH authorized keys):
+
+
+$ ssh -p 2222 localhost
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-behind-a-proxy.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-behind-a-proxy.section.xml
new file mode 100644
index 00000000000..a551807cd47
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-behind-a-proxy.section.xml
@@ -0,0 +1,41 @@
+
+ Installing behind a proxy
+
+ To install NixOS behind a proxy, do the following before running
+ nixos-install .
+
+
+
+
+ Update proxy configuration in
+ /mnt/etc/nixos/configuration.nix to keep the
+ internet accessible after reboot.
+
+
+networking.proxy.default = "http://user:password@proxy:port/";
+networking.proxy.noProxy = "127.0.0.1,localhost,internal.domain";
+
+
+
+
+ Setup the proxy environment variables in the shell where you are
+ running nixos-install .
+
+
+# proxy_url="http://user:password@proxy:port/"
+# export http_proxy="$proxy_url"
+# export HTTP_PROXY="$proxy_url"
+# export https_proxy="$proxy_url"
+# export HTTPS_PROXY="$proxy_url"
+
+
+
+
+
+ If you are switching networks with different proxy configurations,
+ use the specialisation option in
+ configuration.nix to switch proxies at runtime.
+ Refer to for more information.
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-from-other-distro.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-from-other-distro.section.xml
new file mode 100644
index 00000000000..525531a4781
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-from-other-distro.section.xml
@@ -0,0 +1,388 @@
+
+ Installing from another Linux distribution
+
+ Because Nix (the package manager) & Nixpkgs (the Nix packages
+ collection) can both be installed on any (most?) Linux
+ distributions, they can be used to install NixOS in various creative
+ ways. You can, for instance:
+
+
+
+
+ Install NixOS on another partition, from your existing Linux
+ distribution (without the use of a USB or optical device!)
+
+
+
+
+ Install NixOS on the same partition (in place!), from your
+ existing non-NixOS Linux distribution using
+ NIXOS_LUSTRATE .
+
+
+
+
+ Install NixOS on your hard drive from the Live CD of any Linux
+ distribution.
+
+
+
+
+ The first steps to all these are the same:
+
+
+
+
+ Install the Nix package manager:
+
+
+ Short version:
+
+
+$ curl -L https://nixos.org/nix/install | sh
+$ . $HOME/.nix-profile/etc/profile.d/nix.sh # …or open a fresh shell
+
+
+ More details in the
+
+ Nix manual
+
+
+
+
+ Switch to the NixOS channel:
+
+
+ If you've just installed Nix on a non-NixOS distribution, you
+ will be on the nixpkgs channel by default.
+
+
+$ nix-channel --list
+nixpkgs https://nixos.org/channels/nixpkgs-unstable
+
+
+ As that channel gets released without running the NixOS tests,
+ it will be safer to use the nixos-* channels
+ instead:
+
+
+$ nix-channel --add https://nixos.org/channels/nixos-version nixpkgs
+
+
+ You may want to throw in a
+ nix-channel --update for good measure.
+
+
+
+
+ Install the NixOS installation tools:
+
+
+ You'll need nixos-generate-config and
+ nixos-install , but this also makes some man
+ pages and nixos-enter available, just in case
+ you want to chroot into your NixOS partition. NixOS installs
+ these by default, but you don't have NixOS yet..
+
+
+$ nix-env -f '<nixpkgs>' -iA nixos-install-tools
+
+
+
+
+
+ The following 5 steps are only for installing NixOS to another
+ partition. For installing NixOS in place using
+ NIXOS_LUSTRATE , skip ahead.
+
+
+
+ Prepare your target partition:
+
+
+ At this point it is time to prepare your target partition.
+ Please refer to the partitioning, file-system creation, and
+ mounting steps of
+
+
+ If you're about to install NixOS in place using
+ NIXOS_LUSTRATE there is nothing to do for
+ this step.
+
+
+
+
+ Generate your NixOS configuration:
+
+
+$ sudo `which nixos-generate-config` --root /mnt
+
+
+ You'll probably want to edit the configuration files. Refer to
+ the nixos-generate-config step in
+ for more information.
+
+
+ Consider setting up the NixOS bootloader to give you the ability
+ to boot on your existing Linux partition. For instance, if
+ you're using GRUB and your existing distribution is running
+ Ubuntu, you may want to add something like this to your
+ configuration.nix :
+
+
+boot.loader.grub.extraEntries = ''
+ menuentry "Ubuntu" {
+ search --set=ubuntu --fs-uuid 3cc3e652-0c1f-4800-8451-033754f68e6e
+ configfile "($ubuntu)/boot/grub/grub.cfg"
+ }
+'';
+
+
+ (You can find the appropriate UUID for your partition in
+ /dev/disk/by-uuid )
+
+
+
+
+ Create the nixbld group and user on your
+ original distribution:
+
+
+$ sudo groupadd -g 30000 nixbld
+$ sudo useradd -u 30000 -g nixbld -G nixbld nixbld
+
+
+
+
+ Download/build/install NixOS:
+
+
+
+ Once you complete this step, you might no longer be able to
+ boot on existing systems without the help of a rescue USB
+ drive or similar.
+
+
+
+
+ On some distributions there are separate PATHS for programs
+ intended only for root. In order for the installation to
+ succeed, you might have to use
+ PATH="$PATH:/usr/sbin:/sbin" in
+ the following command.
+
+
+
+$ sudo PATH="$PATH" NIX_PATH="$NIX_PATH" `which nixos-install` --root /mnt
+
+
+ Again, please refer to the nixos-install step
+ in for more information.
+
+
+ That should be it for installation to another partition!
+
+
+
+
+ Optionally, you may want to clean up your non-NixOS
+ distribution:
+
+
+$ sudo userdel nixbld
+$ sudo groupdel nixbld
+
+
+ If you do not wish to keep the Nix package manager installed
+ either, run something like
+ sudo rm -rv ~/.nix-* /nix and remove the line
+ that the Nix installer added to your
+ ~/.profile .
+
+
+
+
+
+ The following steps are only for installing NixOS in place
+ using NIXOS_LUSTRATE :
+
+
+
+ Generate your NixOS configuration:
+
+
+$ sudo `which nixos-generate-config` --root /
+
+
+ Note that this will place the generated configuration files in
+ /etc/nixos . You'll probably want to edit the
+ configuration files. Refer to the
+ nixos-generate-config step in
+ for more information.
+
+
+ You'll likely want to set a root password for your first boot
+ using the configuration files because you won't have a chance to
+ enter a password until after you reboot. You can initalize the
+ root password to an empty one with this line: (and of course
+ don't forget to set one once you've rebooted or to lock the
+ account with sudo passwd -l root if you use
+ sudo )
+
+
+users.users.root.initialHashedPassword = "";
+
+
+
+
+ Build the NixOS closure and install it in the
+ system profile:
+
+
+$ nix-env -p /nix/var/nix/profiles/system -f '<nixpkgs/nixos>' -I nixos-config=/etc/nixos/configuration.nix -iA system
+
+
+
+
+ Change ownership of the /nix tree to root
+ (since your Nix install was probably single user):
+
+
+$ sudo chown -R 0.0 /nix
+
+
+
+
+ Set up the /etc/NIXOS and
+ /etc/NIXOS_LUSTRATE files:
+
+
+ /etc/NIXOS officializes that this is now a
+ NixOS partition (the bootup scripts require its presence).
+
+
+ /etc/NIXOS_LUSTRATE tells the NixOS bootup
+ scripts to move everything that's in the
+ root partition to /old-root . This will move
+ your existing distribution out of the way in the very early
+ stages of the NixOS bootup. There are exceptions (we do need to
+ keep NixOS there after all), so the NixOS lustrate process will
+ not touch:
+
+
+
+
+ The /nix directory
+
+
+
+
+ The /boot directory
+
+
+
+
+ Any file or directory listed in
+ /etc/NIXOS_LUSTRATE (one per line)
+
+
+
+
+
+ Support for NIXOS_LUSTRATE was added in
+ NixOS 16.09. The act of "lustrating" refers to the
+ wiping of the existing distribution. Creating
+ /etc/NIXOS_LUSTRATE can also be used on
+ NixOS to remove all mutable files from your root partition
+ (anything that's not in /nix or
+ /boot gets "lustrated" on the
+ next boot.
+
+
+ lustrate /ˈlʌstreɪt/ verb.
+
+
+ purify by expiatory sacrifice, ceremonial washing, or some
+ other ritual action.
+
+
+
+ Let's create the files:
+
+
+$ sudo touch /etc/NIXOS
+$ sudo touch /etc/NIXOS_LUSTRATE
+
+
+ Let's also make sure the NixOS configuration files are kept once
+ we reboot on NixOS:
+
+
+$ echo etc/nixos | sudo tee -a /etc/NIXOS_LUSTRATE
+
+
+
+
+ Finally, move the /boot directory of your
+ current distribution out of the way (the lustrate process will
+ take care of the rest once you reboot, but this one must be
+ moved out now because NixOS needs to install its own boot files:
+
+
+
+ Once you complete this step, your current distribution will no
+ longer be bootable! If you didn't get all the NixOS
+ configuration right, especially those settings pertaining to
+ boot loading and root partition, NixOS may not be bootable
+ either. Have a USB rescue device ready in case this happens.
+
+
+
+$ sudo mv -v /boot /boot.bak &&
+sudo /nix/var/nix/profiles/system/bin/switch-to-configuration boot
+
+
+ Cross your fingers, reboot, hopefully you should get a NixOS
+ prompt!
+
+
+
+
+ If for some reason you want to revert to the old distribution,
+ you'll need to boot on a USB rescue disk and do something along
+ these lines:
+
+
+# mkdir root
+# mount /dev/sdaX root
+# mkdir root/nixos-root
+# mv -v root/* root/nixos-root/
+# mv -v root/nixos-root/old-root/* root/
+# mv -v root/boot.bak root/boot # We had renamed this by hand earlier
+# umount root
+# reboot
+
+
+ This may work as is or you might also need to reinstall the boot
+ loader.
+
+
+ And of course, if you're happy with NixOS and no longer need the
+ old distribution:
+
+
+sudo rm -rf /old-root
+
+
+
+
+ It's also worth noting that this whole process can be automated.
+ This is especially useful for Cloud VMs, where provider do not
+ provide NixOS. For instance,
+ nixos-infect
+ uses the lustrate process to convert Digital Ocean droplets to
+ NixOS from other distributions automatically.
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-pxe.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-pxe.section.xml
new file mode 100644
index 00000000000..1dd15ddacba
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-pxe.section.xml
@@ -0,0 +1,42 @@
+
+ Booting from the netboot
media (PXE)
+
+ Advanced users may wish to install NixOS using an existing PXE or
+ iPXE setup.
+
+
+ These instructions assume that you have an existing PXE or iPXE
+ infrastructure and simply want to add the NixOS installer as another
+ option. To build the necessary files from a recent version of
+ nixpkgs, you can run:
+
+
+nix-build -A netboot.x86_64-linux nixos/release.nix
+
+
+ This will create a result directory containing: *
+ bzImage – the Linux kernel *
+ initrd – the initrd file *
+ netboot.ipxe – an example ipxe script
+ demonstrating the appropriate kernel command line arguments for this
+ image
+
+
+ If you’re using plain PXE, configure your boot loader to use the
+ bzImage and initrd files and
+ have it provide the same kernel command line arguments found in
+ netboot.ipxe .
+
+
+ If you’re using iPXE, depending on how your HTTP/FTP/etc. server is
+ configured you may be able to use netboot.ipxe
+ unmodified, or you may need to update the paths to the files to
+ match your server’s directory layout.
+
+
+ In the future we may begin making these files available as build
+ products from hydra at which point we will update this documentation
+ with instructions on how to obtain them either for placing on a
+ dedicated TFTP server or to boot them directly over the internet.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-usb.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-usb.section.xml
new file mode 100644
index 00000000000..b46a1d56555
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-usb.section.xml
@@ -0,0 +1,35 @@
+
+ Booting from a USB Drive
+
+ For systems without CD drive, the NixOS live CD can be booted from a
+ USB stick. You can use the dd utility to write
+ the image: dd if=path-to-image of=/dev/sdX . Be
+ careful about specifying the correct drive; you can use the
+ lsblk command to get a list of block devices.
+
+
+ On macOS
+
+$ diskutil list
+[..]
+/dev/diskN (external, physical):
+ #: TYPE NAME SIZE IDENTIFIER
+[..]
+$ diskutil unmountDisk diskN
+Unmount of all volumes on diskN was successful
+$ sudo dd if=nix.iso of=/dev/rdiskN
+
+
+ Using the 'raw' rdiskN device instead of
+ diskN completes in minutes instead of hours.
+ After dd completes, a GUI dialog "The disk
+ you inserted was not readable by this computer" will pop up,
+ which can be ignored.
+
+
+
+ The dd utility will write the image verbatim to
+ the drive, making it the recommended option for both UEFI and
+ non-UEFI installations.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-virtualbox-guest.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-virtualbox-guest.section.xml
new file mode 100644
index 00000000000..c8bb286c8f3
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing-virtualbox-guest.section.xml
@@ -0,0 +1,92 @@
+
+ Installing in a VirtualBox guest
+
+ Installing NixOS into a VirtualBox guest is convenient for users who
+ want to try NixOS without installing it on bare metal. If you want
+ to use a pre-made VirtualBox appliance, it is available at
+ the
+ downloads page. If you want to set up a VirtualBox guest
+ manually, follow these instructions:
+
+
+
+
+ Add a New Machine in VirtualBox with OS Type "Linux / Other
+ Linux"
+
+
+
+
+ Base Memory Size: 768 MB or higher.
+
+
+
+
+ New Hard Disk of 8 GB or higher.
+
+
+
+
+ Mount the CD-ROM with the NixOS ISO (by clicking on CD/DVD-ROM)
+
+
+
+
+ Click on Settings / System / Processor and enable PAE/NX
+
+
+
+
+ Click on Settings / System / Acceleration and enable
+ "VT-x/AMD-V" acceleration
+
+
+
+
+ Click on Settings / Display / Screen and select VMSVGA as
+ Graphics Controller
+
+
+
+
+ Save the settings, start the virtual machine, and continue
+ installation like normal
+
+
+
+
+ There are a few modifications you should make in configuration.nix.
+ Enable booting:
+
+
+boot.loader.grub.device = "/dev/sda";
+
+
+ Also remove the fsck that runs at startup. It will always fail to
+ run, stopping your boot until you press * .
+
+
+boot.initrd.checkJournalingFS = false;
+
+
+ Shared folders can be given a name and a path in the host system in
+ the VirtualBox settings (Machine / Settings / Shared Folders, then
+ click on the "Add" icon). Add the following to the
+ /etc/nixos/configuration.nix to auto-mount them.
+ If you do not add "nofail" , the system
+ will not boot properly.
+
+
+{ config, pkgs, ...} :
+{
+ fileSystems."/virtualboxshare" = {
+ fsType = "vboxsf";
+ device = "nameofthesharedfolder";
+ options = [ "rw" "nofail" ];
+ };
+}
+
+
+ The folder will be available directly under the root directory.
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing.chapter.xml
new file mode 100644
index 00000000000..91ab7168297
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/installing.chapter.xml
@@ -0,0 +1,642 @@
+
+ Installing NixOS
+
+ Booting the system
+
+ NixOS can be installed on BIOS or UEFI systems. The procedure for
+ a UEFI installation is by and large the same as a BIOS
+ installation. The differences are mentioned in the steps that
+ follow.
+
+
+ The installation media can be burned to a CD, or now more
+ commonly, burned
to a USB drive (see
+ ).
+
+
+ The installation media contains a basic NixOS installation. When
+ it’s finished booting, it should have detected most of your
+ hardware.
+
+
+ The NixOS manual is available by running
+ nixos-help .
+
+
+ You are logged-in automatically as nixos . The
+ nixos user account has an empty password so you
+ can use sudo without a password.
+
+
+ If you downloaded the graphical ISO image, you can run
+ systemctl start display-manager to start the
+ desktop environment. If you want to continue on the terminal, you
+ can use loadkeys to switch to your preferred
+ keyboard layout. (We even provide neo2 via
+ loadkeys de neo !)
+
+
+ If the text is too small to be legible, try
+ setfont ter-v32n to increase the font size.
+
+
+ To install over a serial port connect with
+ 115200n8 (e.g.
+ picocom -b 115200 /dev/ttyUSB0 ). When the
+ bootloader lists boot entries, select the serial console boot
+ entry.
+
+
+ Networking in the installer
+
+ The boot process should have brought up networking (check
+ ip a ). Networking is necessary for the
+ installer, since it will download lots of stuff (such as source
+ tarballs or Nixpkgs channel binaries). It’s best if you have a
+ DHCP server on your network. Otherwise configure networking
+ manually using ifconfig .
+
+
+ On the graphical installer, you can configure the network, wifi
+ included, through NetworkManager. Using the
+ nmtui program, you can do so even in a
+ non-graphical session. If you prefer to configure the network
+ manually, disable NetworkManager with
+ systemctl stop NetworkManager .
+
+
+ On the minimal installer, NetworkManager is not available, so
+ configuration must be perfomed manually. To configure the wifi,
+ first start wpa_supplicant with
+ sudo systemctl start wpa_supplicant , then run
+ wpa_cli . For most home networks, you need to
+ type in the following commands:
+
+
+> add_network
+0
+> set_network 0 ssid "myhomenetwork"
+OK
+> set_network 0 psk "mypassword"
+OK
+> set_network 0 key_mgmt WPA-PSK
+OK
+> enable_network 0
+OK
+
+
+ For enterprise networks, for example
+ eduroam , instead do:
+
+
+> add_network
+0
+> set_network 0 ssid "eduroam"
+OK
+> set_network 0 identity "myname@example.com"
+OK
+> set_network 0 password "mypassword"
+OK
+> set_network 0 key_mgmt WPA-EAP
+OK
+> enable_network 0
+OK
+
+
+ When successfully connected, you should see a line such as this
+ one
+
+
+<3>CTRL-EVENT-CONNECTED - Connection to 32:85:ab:ef:24:5c completed [id=0 id_str=]
+
+
+ you can now leave wpa_cli by typing
+ quit .
+
+
+ If you would like to continue the installation from a different
+ machine you can use activated SSH daemon. You need to copy your
+ ssh key to either
+ /home/nixos/.ssh/authorized_keys or
+ /root/.ssh/authorized_keys (Tip: For
+ installers with a modifiable filesystem such as the sd-card
+ installer image a key can be manually placed by mounting the
+ image on a different machine). Alternatively you must set a
+ password for either root or
+ nixos with passwd to be
+ able to login.
+
+
+
+
+ Partitioning and formatting
+
+ The NixOS installer doesn’t do any partitioning or formatting, so
+ you need to do that yourself.
+
+
+ The NixOS installer ships with multiple partitioning tools. The
+ examples below use parted , but also provides
+ fdisk , gdisk ,
+ cfdisk , and cgdisk .
+
+
+ The recommended partition scheme differs depending if the computer
+ uses Legacy Boot or
+ UEFI .
+
+
+ UEFI (GPT)
+
+ Here's an example partition scheme for UEFI, using
+ /dev/sda as the device.
+
+
+
+ You can safely ignore parted 's
+ informational message about needing to update /etc/fstab.
+
+
+
+
+
+ Create a GPT partition table.
+
+
+# parted /dev/sda -- mklabel gpt
+
+
+
+
+ Add the root partition. This will fill
+ the disk except for the end part, where the swap will live,
+ and the space left in front (512MiB) which will be used by
+ the boot partition.
+
+
+# parted /dev/sda -- mkpart primary 512MiB -8GiB
+
+
+
+
+ Next, add a swap partition. The size
+ required will vary according to needs, here a 8GiB one is
+ created.
+
+
+# parted /dev/sda -- mkpart primary linux-swap -8GiB 100%
+
+
+
+ The swap partition size rules are no different than for
+ other Linux distributions.
+
+
+
+
+
+ Finally, the boot partition. NixOS by
+ default uses the ESP (EFI system partition) as its
+ /boot partition. It uses the initially
+ reserved 512MiB at the start of the disk.
+
+
+# parted /dev/sda -- mkpart ESP fat32 1MiB 512MiB
+# parted /dev/sda -- set 3 esp on
+
+
+
+
+ Once complete, you can follow with
+ .
+
+
+
+ Legacy Boot (MBR)
+
+ Here's an example partition scheme for Legacy Boot, using
+ /dev/sda as the device.
+
+
+
+ You can safely ignore parted 's
+ informational message about needing to update /etc/fstab.
+
+
+
+
+
+ Create a MBR partition table.
+
+
+# parted /dev/sda -- mklabel msdos
+
+
+
+
+ Add the root partition. This will fill
+ the the disk except for the end part, where the swap will
+ live.
+
+
+# parted /dev/sda -- mkpart primary 1MiB -8GiB
+
+
+
+
+ Finally, add a swap partition. The size
+ required will vary according to needs, here a 8GiB one is
+ created.
+
+
+# parted /dev/sda -- mkpart primary linux-swap -8GiB 100%
+
+
+
+ The swap partition size rules are no different than for
+ other Linux distributions.
+
+
+
+
+
+ Once complete, you can follow with
+ .
+
+
+
+ Formatting
+
+ Use the following commands:
+
+
+
+
+ For initialising Ext4 partitions:
+ mkfs.ext4 . It is recommended that you
+ assign a unique symbolic label to the file system using the
+ option -L label , since this makes the
+ file system configuration independent from device changes.
+ For example:
+
+
+# mkfs.ext4 -L nixos /dev/sda1
+
+
+
+
+ For creating swap partitions: mkswap .
+ Again it’s recommended to assign a label to the swap
+ partition: -L label . For example:
+
+
+# mkswap -L swap /dev/sda2
+
+
+
+
+ UEFI systems
+
+
+ For creating boot partitions: mkfs.fat .
+ Again it’s recommended to assign a label to the boot
+ partition: -n label . For example:
+
+
+# mkfs.fat -F 32 -n boot /dev/sda3
+
+
+
+
+ For creating LVM volumes, the LVM commands, e.g.,
+ pvcreate , vgcreate ,
+ and lvcreate .
+
+
+
+
+ For creating software RAID devices, use
+ mdadm .
+
+
+
+
+
+
+ Installing
+
+
+
+ Mount the target file system on which NixOS should be
+ installed on /mnt , e.g.
+
+
+# mount /dev/disk/by-label/nixos /mnt
+
+
+
+
+ UEFI systems
+
+
+ Mount the boot file system on /mnt/boot ,
+ e.g.
+
+
+# mkdir -p /mnt/boot
+# mount /dev/disk/by-label/boot /mnt/boot
+
+
+
+
+ If your machine has a limited amount of memory, you may want
+ to activate swap devices now
+ (swapon device ). The installer (or rather,
+ the build actions that it may spawn) may need quite a bit of
+ RAM, depending on your configuration.
+
+
+# swapon /dev/sda2
+
+
+
+
+ You now need to create a file
+ /mnt/etc/nixos/configuration.nix that
+ specifies the intended configuration of the system. This is
+ because NixOS has a declarative
+ configuration model: you create or edit a description of the
+ desired configuration of your system, and then NixOS takes
+ care of making it happen. The syntax of the NixOS
+ configuration file is described in
+ , while a list of
+ available configuration options appears in
+ . A minimal example is shown in
+ Example: NixOS Configuration.
+
+
+ The command nixos-generate-config can
+ generate an initial configuration file for you:
+
+
+# nixos-generate-config --root /mnt
+
+
+ You should then edit
+ /mnt/etc/nixos/configuration.nix to suit
+ your needs:
+
+
+# nano /mnt/etc/nixos/configuration.nix
+
+
+ If you’re using the graphical ISO image, other editors may be
+ available (such as vim ). If you have
+ network access, you can also install other editors – for
+ instance, you can install Emacs by running
+ nix-env -f '<nixpkgs>' -iA emacs .
+
+
+
+
+ BIOS systems
+
+
+
+ You must set the option
+ to
+ specify on which disk the GRUB boot loader is to be
+ installed. Without it, NixOS cannot boot.
+
+
+
+
+
+ UEFI systems
+
+
+
+ You must set the option
+
+ to true .
+ nixos-generate-config should do this
+ automatically for new configurations when booted in UEFI
+ mode.
+
+
+ You may want to look at the options starting with
+ boot.loader.efi
+ and
+ boot.loader.systemd-boot
+ as well.
+
+
+
+
+
+ If there are other operating systems running on the machine
+ before installing NixOS, the
+ option can
+ be set to true to automatically add them to
+ the grub menu.
+
+
+ If you need to configure networking for your machine the
+ configuration options are described in
+ . In particular, while wifi
+ is supported on the installation image, it is not enabled by
+ default in the configuration generated by
+ nixos-generate-config .
+
+
+ Another critical option is fileSystems ,
+ specifying the file systems that need to be mounted by NixOS.
+ However, you typically don’t need to set it yourself, because
+ nixos-generate-config sets it automatically
+ in
+ /mnt/etc/nixos/hardware-configuration.nix
+ from your currently mounted file systems. (The configuration
+ file hardware-configuration.nix is included
+ from configuration.nix and will be
+ overwritten by future invocations of
+ nixos-generate-config ; thus, you generally
+ should not modify it.) Additionally, you may want to look at
+ Hardware
+ configuration for known-hardware at this point or after
+ installation.
+
+
+
+ Depending on your hardware configuration or type of file
+ system, you may need to set the option
+ boot.initrd.kernelModules to include the
+ kernel modules that are necessary for mounting the root file
+ system, otherwise the installed system will not be able to
+ boot. (If this happens, boot from the installation media
+ again, mount the target file system on
+ /mnt , fix
+ /mnt/etc/nixos/configuration.nix and
+ rerun nixos-install .) In most cases,
+ nixos-generate-config will figure out the
+ required modules.
+
+
+
+
+
+ Do the installation:
+
+
+# nixos-install
+
+
+ This will install your system based on the configuration you
+ provided. If anything fails due to a configuration problem or
+ any other issue (such as a network outage while downloading
+ binaries from the NixOS binary cache), you can re-run
+ nixos-install after fixing your
+ configuration.nix .
+
+
+ As the last step, nixos-install will ask
+ you to set the password for the root user,
+ e.g.
+
+
+setting root password...
+New password: ***
+Retype new password: ***
+
+
+
+ For unattended installations, it is possible to use
+ nixos-install --no-root-passwd in order
+ to disable the password prompt entirely.
+
+
+
+
+
+ If everything went well:
+
+
+# reboot
+
+
+
+
+ You should now be able to boot into the installed NixOS. The
+ GRUB boot menu shows a list of available
+ configurations (initially just one). Every time you
+ change the NixOS configuration (see
+ Changing
+ Configuration), a new item is added to the menu. This
+ allows you to easily roll back to a previous configuration if
+ something goes wrong.
+
+
+ You should log in and change the root
+ password with passwd .
+
+
+ You’ll probably want to create some user accounts as well,
+ which can be done with useradd :
+
+
+$ useradd -c 'Eelco Dolstra' -m eelco
+$ passwd eelco
+
+
+ You may also want to install some software. This will be
+ covered in .
+
+
+
+
+
+ Installation summary
+
+ To summarise, Example:
+ Commands for Installing NixOS on
+ /dev/sda shows a typical sequence of
+ commands for installing NixOS on an empty hard drive (here
+ /dev/sda ). Example:
+ NixOS Configuration shows a corresponding configuration Nix
+ expression.
+
+
+
+ Example: Example partition schemes for
+ NixOS on /dev/sda (MBR)
+
+
+# parted /dev/sda -- mklabel msdos
+# parted /dev/sda -- mkpart primary 1MiB -8GiB
+# parted /dev/sda -- mkpart primary linux-swap -8GiB 100%
+
+
+
+ Example: Example partition schemes for
+ NixOS on /dev/sda (UEFI)
+
+
+# parted /dev/sda -- mklabel gpt
+# parted /dev/sda -- mkpart primary 512MiB -8GiB
+# parted /dev/sda -- mkpart primary linux-swap -8GiB 100%
+# parted /dev/sda -- mkpart ESP fat32 1MiB 512MiB
+# parted /dev/sda -- set 3 esp on
+
+
+
+ Example: Commands for Installing NixOS on
+ /dev/sda
+
+
+ With a partitioned disk.
+
+
+# mkfs.ext4 -L nixos /dev/sda1
+# mkswap -L swap /dev/sda2
+# swapon /dev/sda2
+# mkfs.fat -F 32 -n boot /dev/sda3 # (for UEFI systems only)
+# mount /dev/disk/by-label/nixos /mnt
+# mkdir -p /mnt/boot # (for UEFI systems only)
+# mount /dev/disk/by-label/boot /mnt/boot # (for UEFI systems only)
+# nixos-generate-config --root /mnt
+# nano /mnt/etc/nixos/configuration.nix
+# nixos-install
+# reboot
+
+
+
+ Example: NixOS Configuration
+
+
+{ config, pkgs, ... }: {
+ imports = [
+ # Include the results of the hardware scan.
+ ./hardware-configuration.nix
+ ];
+
+ boot.loader.grub.device = "/dev/sda"; # (for BIOS systems only)
+ boot.loader.systemd-boot.enable = true; # (for UEFI systems only)
+
+ # Note: setting fileSystems is generally not
+ # necessary, since nixos-generate-config figures them out
+ # automatically in hardware-configuration.nix.
+ #fileSystems."/".device = "/dev/disk/by-label/nixos";
+
+ # Enable the OpenSSH server.
+ services.sshd.enable = true;
+}
+
+
+
+ Additional installation notes
+
+
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/obtaining.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/obtaining.chapter.xml
new file mode 100644
index 00000000000..a922feda253
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/obtaining.chapter.xml
@@ -0,0 +1,48 @@
+
+ Obtaining NixOS
+
+ NixOS ISO images can be downloaded from the
+ NixOS
+ download page. There are a number of installation options. If
+ you happen to have an optical drive and a spare CD, burning the
+ image to CD and booting from that is probably the easiest option.
+ Most people will need to prepare a USB stick to boot from.
+ describes the preferred
+ method to prepare a USB stick. A number of alternative methods are
+ presented in the
+ NixOS
+ Wiki.
+
+
+ As an alternative to installing NixOS yourself, you can get a
+ running NixOS system through several other means:
+
+
+
+
+ Using virtual appliances in Open Virtualization Format (OVF)
+ that can be imported into VirtualBox. These are available from
+ the
+ NixOS
+ download page.
+
+
+
+
+ Using AMIs for Amazon’s EC2. To find one for your region and
+ instance type, please refer to the
+ list
+ of most recent AMIs.
+
+
+
+
+ Using NixOps, the NixOS-based cloud deployment tool, which
+ allows you to provision VirtualBox and EC2 NixOS instances from
+ declarative specifications. Check out the
+ NixOps
+ homepage for details.
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/upgrading.chapter.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/upgrading.chapter.xml
new file mode 100644
index 00000000000..c0c5a2190fb
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/installation/upgrading.chapter.xml
@@ -0,0 +1,152 @@
+
+ Upgrading NixOS
+
+ The best way to keep your NixOS installation up to date is to use
+ one of the NixOS channels . A channel is a Nix
+ mechanism for distributing Nix expressions and associated binaries.
+ The NixOS channels are updated automatically from NixOS’s Git
+ repository after certain tests have passed and all packages have
+ been built. These channels are:
+
+
+
+
+ Stable channels , such as
+ nixos-21.05 .
+ These only get conservative bug fixes and package upgrades. For
+ instance, a channel update may cause the Linux kernel on your
+ system to be upgraded from 4.19.34 to 4.19.38 (a minor bug fix),
+ but not from 4.19.x to 4.20.x (a major change that has the
+ potential to break things). Stable channels are generally
+ maintained until the next stable branch is created.
+
+
+
+
+ The unstable channel ,
+ nixos-unstable .
+ This corresponds to NixOS’s main development branch, and may
+ thus see radical changes between channel updates. It’s not
+ recommended for production systems.
+
+
+
+
+ Small channels , such as
+ nixos-21.05-small
+ or
+ nixos-unstable-small .
+ These are identical to the stable and unstable channels
+ described above, except that they contain fewer binary packages.
+ This means they get updated faster than the regular channels
+ (for instance, when a critical security patch is committed to
+ NixOS’s source tree), but may require more packages to be built
+ from source than usual. They’re mostly intended for server
+ environments and as such contain few GUI applications.
+
+
+
+
+ To see what channels are available, go to
+ https://nixos.org/channels.
+ (Note that the URIs of the various channels redirect to a directory
+ that contains the channel’s latest version and includes ISO images
+ and VirtualBox appliances.) Please note that during the release
+ process, channels that are not yet released will be present here as
+ well. See the Getting NixOS page
+ https://nixos.org/nixos/download.html
+ to find the newest supported stable release.
+
+
+ When you first install NixOS, you’re automatically subscribed to the
+ NixOS channel that corresponds to your installation source. For
+ instance, if you installed from a 21.05 ISO, you will be subscribed
+ to the nixos-21.05 channel. To see which NixOS
+ channel you’re subscribed to, run the following as root:
+
+
+# nix-channel --list | grep nixos
+nixos https://nixos.org/channels/nixos-unstable
+
+
+ To switch to a different NixOS channel, do
+
+
+# nix-channel --add https://nixos.org/channels/channel-name nixos
+
+
+ (Be sure to include the nixos parameter at the
+ end.) For instance, to use the NixOS 21.05 stable channel:
+
+
+# nix-channel --add https://nixos.org/channels/nixos-21.05 nixos
+
+
+ If you have a server, you may want to use the small
+ channel instead:
+
+
+# nix-channel --add https://nixos.org/channels/nixos-21.05-small nixos
+
+
+ And if you want to live on the bleeding edge:
+
+
+# nix-channel --add https://nixos.org/channels/nixos-unstable nixos
+
+
+ You can then upgrade NixOS to the latest version in your chosen
+ channel by running
+
+
+# nixos-rebuild switch --upgrade
+
+
+ which is equivalent to the more verbose
+ nix-channel --update nixos; nixos-rebuild switch .
+
+
+
+ Channels are set per user. This means that running
+ nix-channel --add as a non root user (or
+ without sudo) will not affect configuration in
+ /etc/nixos/configuration.nix
+
+
+
+
+ It is generally safe to switch back and forth between channels.
+ The only exception is that a newer NixOS may also have a newer Nix
+ version, which may involve an upgrade of Nix’s database schema.
+ This cannot be undone easily, so in that case you will not be able
+ to go back to your original channel.
+
+
+
+ Automatic Upgrades
+
+ You can keep a NixOS system up-to-date automatically by adding the
+ following to configuration.nix :
+
+
+system.autoUpgrade.enable = true;
+system.autoUpgrade.allowReboot = true;
+
+
+ This enables a periodically executed systemd service named
+ nixos-upgrade.service . If the
+ allowReboot option is false ,
+ it runs nixos-rebuild switch --upgrade to
+ upgrade NixOS to the latest version in the current channel. (To
+ see when the service runs, see
+ systemctl list-timers .) If
+ allowReboot is true , then
+ the system will automatically reboot if the new generation
+ contains a different kernel, initrd or kernel modules. You can
+ also specify a channel explicitly, e.g.
+
+
+system.autoUpgrade.channel = https://nixos.org/channels/nixos-21.05;
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/release-notes/rl-2009.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/release-notes/rl-2009.section.xml
index c74d850b2c6..edebd92b327 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/release-notes/rl-2009.section.xml
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/release-notes/rl-2009.section.xml
@@ -1684,13 +1684,17 @@ CREATE ROLE postgres LOGIN SUPERUSER;
- The notmuch package move its emacs-related binaries and emacs
+ The notmuch package moves its emacs-related binaries and emacs
lisp files to a separate output. They're not part of the
default out output anymore - if you relied
on the notmuch-emacs-mua binary or the
emacs lisp files, access them via the
- notmuch.emacs output. Device tree overlay
- support was improved in
+ notmuch.emacs output.
+
+
+
+
+ Device tree overlay support was improved in
#79370
and now uses
hardware.deviceTree.kernelPackage
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/release-notes/rl-2111.section.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/release-notes/rl-2111.section.xml
index b922f9f1208..1e80f635f06 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/release-notes/rl-2111.section.xml
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/from_md/release-notes/rl-2111.section.xml
@@ -22,7 +22,7 @@
- kOps now defaults to 1.21.0, which uses containerd as the
+ kOps now defaults to 1.21.1, which uses containerd as the
default runtime.
@@ -37,6 +37,63 @@
PostgreSQL now defaults to major version 13.
+
+
+ spark now defaults to spark 3, updated from 2. A
+ migration
+ guide is available.
+
+
+
+
+ Activation scripts can now opt int to be run when running
+ nixos-rebuild dry-activate and detect the
+ dry activation by reading $NIXOS_ACTION .
+ This allows activation scripts to output what they would
+ change if the activation was really run. The users/modules
+ activation script supports this and outputs some of is
+ actions.
+
+
+
+
+ KDE Plasma now finally works on Wayland.
+
+
+
+
+ bash now defaults to major version 5.
+
+
+
+
+ Systemd was updated to version 249 (from 247).
+
+
+
+
+ Pantheon desktop has been updated to version 6. Due to changes
+ of screen locker, if locking doesn’t work for you, please try
+ gsettings set org.gnome.desktop.lockdown disable-lock-screen false .
+
+
+
+
+ kubernetes-helm now defaults to 3.7.0,
+ which introduced some breaking changes to the experimental OCI
+ manifest format. See
+ HIP
+ 6 for more details. helmfile also
+ defaults to 0.141.0, which is the minimum compatible version.
+
+
+
+
+ GNOME has been upgraded to 41. Please take a look at their
+ Release
+ Notes for details.
+
+
@@ -55,7 +112,15 @@
clipcat,
an X11 clipboard manager written in Rust. Available at
- [services.clipcat](options.html#o pt-services.clipcat.enable).
+ services.clipcat.
+
+
+
+
+ dex,
+ an OpenID Connect (OIDC) identity and OAuth 2.0 provider.
+ Available at
+ services.dex.
@@ -72,6 +137,13 @@
services.kea.
+
+
+ owncast,
+ self-hosted video live streaming solution. Available at
+ services.owncast.
+
+
sourcehut, a
@@ -103,6 +175,13 @@
services.vikunja.
+
+
+ opensnitch,
+ an application firewall. Available as
+ services.opensnitch.
+
+
snapraid, a
@@ -171,8 +250,6 @@
isso
-
-
navidrome,
@@ -181,8 +258,6 @@
navidrome.
-
-
fluidd, a
@@ -207,11 +282,103 @@
postfixadmin.
+
+
+ prowlarr,
+ an indexer manager/proxy built on the popular arr .net/reactjs
+ base stack
+ services.prowlarr.
+
+
+
+
+ soju, a
+ user-friendly IRC bouncer. Available as
+ services.soju.
+
+
+
+
+ nats, a high
+ performance cloud and edge messaging system. Available as
+ services.nats.
+
+
+
+
+ git, a
+ distributed version control system. Available as
+ programs.git.
+
+
+
+
+ parsedmarc,
+ a service which parses incoming
+ DMARC reports and
+ stores or sends them to a downstream service for further
+ analysis. Documented in
+ its manual
+ entry.
+
+
+
+
+ spark, a
+ unified analytics engine for large-scale data processing.
+
+
+
+
+ touchegg,
+ a multi-touch gesture recognizer. Available as
+ services.touchegg.
+
+
+
+
+ pantheon-tweaks,
+ an unofficial system settings panel for Pantheon. Available as
+ programs.pantheon-tweaks.
+
+
+
+
+ joycond,
+ a service that uses hid-nintendo to provide
+ nintendo joycond pairing and better nintendo switch pro
+ controller support.
+
+
+
+
+ multipath,
+ the device mapper multipath (DM-MP) daemon. Available as
+ services.multipath.
+
+
Backward Incompatibilities
+
+
+ The services.wakeonlan option was removed,
+ and replaced with
+ networking.interfaces.<name>.wakeOnLan .
+
+
+
+
+ The security.wrappers option now requires
+ to always specify an owner, group and whether the
+ setuid/setgid bit should be set. This is motivated by the fact
+ that before NixOS 21.11, specifying either setuid or setgid
+ but not owner/group resulted in wrappers owned by
+ nobody/nogroup, which is unsafe.
+
+
The paperless module and package have been
@@ -324,6 +491,33 @@ Superuser created successfully.
notes).
+
+
+ users.users.<name>.group
+ no longer defaults to nogroup , which was
+ insecure. Out-of-tree modules are likely to require
+ adaptation: instead of
+
+
+{
+ users.users.foo = {
+ isSystemUser = true;
+ };
+}
+
+
+ also create a group for your user:
+
+
+{
+ users.users.foo = {
+ isSystemUser = true;
+ group = "foo";
+ };
+ users.groups.foo = {};
+}
+
+
services.geoip-updater was broken and has
@@ -851,8 +1045,8 @@ Superuser created successfully.
The varnish package was upgraded from 6.3.x
- to 6.5.x. varnish60 for the last LTS
- release is also still available.
+ to 7.x. varnish60 for the last LTS release
+ is also still available.
@@ -895,11 +1089,99 @@ Superuser created successfully.
file format.
+
+
+ The datadog-agent ,
+ datadog-integrations-core and
+ datadog-process-agent packages were
+ upgraded from 6.11.2 to 7.30.2, git-2018-09-18 to 7.30.1 and
+ 6.11.1 to 7.30.2, respectively. As a result
+ services.datadog-agent has had breaking
+ changes to the configuration file. For details, see the
+ upstream
+ changelog.
+
+
+
+
+ opencv2 no longer includes the non-free
+ libraries by default, and consequently
+ pfstools no longer includes OpenCV support
+ by default. Both packages now support an
+ enableUnfree option to re-enable this
+ functionality.
+
+
+
+
+ services.xserver.displayManager.defaultSession = "plasma5"
+ does not work anymore, instead use either
+ "plasma" for the Plasma X11
+ session or "plasmawayland" for
+ the Plasma Wayland sesison.
+
+
+
+
+ boot.kernelParams now only accepts one
+ command line parameter per string. This change is aimed to
+ reduce common mistakes like param = 12
, which
+ would be parsed as 3 parameters.
+
+
Other Notable Changes
+
+
+ The linux kernel package infrastructure was moved out of
+ all-packages.nix , and restructured. Linux
+ related functions and attributes now live under the
+ pkgs.linuxKernel attribute set. In
+ particular the versioned linuxPackages_*
+ package sets (such as linuxPackages_5_4 )
+ and kernels from pkgs were moved there and
+ now live under pkgs.linuxKernel.packages.* .
+ The unversioned ones (such as
+ linuxPackages_latest ) remain untouched.
+
+
+
+
+ In NixOS virtual machines (QEMU), the
+ virtualisation module has been updated with
+ new options to configure:
+
+
+
+
+ IPv4 port forwarding
+ (virtualisation.forwardPorts ),
+
+
+
+
+ shared host directories
+ (virtualisation.sharedDirectories ),
+
+
+
+
+ screen resolution
+ (virtualisation.resolution ).
+
+
+
+
+ In addition, the default
+ msize
+ parameter in 9P filesystems (including /nix/store and all
+ shared directories) has been increased to 16K for improved
+ performance.
+
+
The setting
@@ -919,6 +1201,14 @@ Superuser created successfully.
attempts from the SSH logs.
+
+
+ The
+ services.xserver.extraLayouts
+ no longer cause additional rebuilds when a layout is added or
+ modified.
+
+
Sway: The terminal emulator rxvt-unicode is
@@ -959,8 +1249,8 @@ Superuser created successfully.
The wordpress module provides a new interface which allows to
use different webservers with the new option
services.wordpress.webserver .
- Currently httpd and
- nginx are supported. The definitions of
+ Currently httpd , caddy
+ and nginx are supported. The definitions of
wordpress sites should now be set in
services.wordpress.sites .
@@ -970,6 +1260,22 @@ Superuser created successfully.
be removed in 22.05.
+
+
+ The dokuwiki module provides a new interface which allows to
+ use different webservers with the new option
+ services.dokuwiki.webserver .
+ Currently caddy and
+ nginx are supported. The definitions of
+ dokuwiki sites should now be set in
+ services.dokuwiki.sites .
+
+
+ Sites definitions that use the old interface are automatically
+ migrated in the new option. This backward compatibility will
+ be removed in 22.05.
+
+
The order of NSS (host) modules has been brought in line with
@@ -1041,6 +1347,73 @@ Superuser created successfully.
+
+
+ The
+ networking.wireless
+ module (based on wpa_supplicant) has been heavily reworked,
+ solving a number of issues and adding useful features:
+
+
+
+
+ The automatic discovery of wireless interfaces at boot has
+ been made reliable again (issues
+ #101963,
+ #23196).
+
+
+
+
+ WPA3 and Fast BSS Transition (802.11r) are now enabled by
+ default for all networks.
+
+
+
+
+ Secrets like pre-shared keys and passwords can now be
+ handled safely, meaning without including them in a
+ world-readable file
+ (wpa_supplicant.conf under /nix/store).
+ This is achieved by storing the secrets in a secured
+ environmentFile
+ and referring to them though environment variables that
+ are expanded inside the configuration.
+
+
+
+
+ With multiple interfaces declared, independent
+ wpa_supplicant daemons are started, one for each interface
+ (the services are named
+ wpa_supplicant-wlan0 ,
+ wpa_supplicant-wlan1 , etc.).
+
+
+
+
+ The generated wpa_supplicant.conf file
+ is now formatted for easier reading.
+
+
+
+
+ A new
+ scanOnLowSignal
+ option has been added to facilitate fast roaming between
+ access points (enabled by default).
+
+
+
+
+ A new
+ networks.<name>.authProtocols
+ option has been added to change the authentication
+ protocols used when connecting to a network.
+
+
+
+
The
@@ -1088,6 +1461,141 @@ Superuser created successfully.
but instead use more of the YAML-specific syntax.
+
+
+ MariaDB was upgraded from 10.5.x to 10.6.x. Please read the
+ upstream
+ release notes for changes and upgrade instructions.
+
+
+
+
+ The MariaDB C client library, also known as libmysqlclient or
+ mariadb-connector-c, was upgraded from 3.1.x to 3.2.x. While
+ this should hopefully not have any impact, this upgrade comes
+ with some changes to default behavior, so you might want to
+ review the
+ upstream
+ release notes.
+
+
+
+
+ GNOME desktop environment now enables
+ QGnomePlatform as the Qt platform theme,
+ which should avoid crashes when opening file chooser dialogs
+ in Qt apps by using XDG desktop portal. Additionally, it will
+ make the apps fit better visually.
+
+
+
+
+ rofi has been updated from
+ 1.6.1
to 1.7.0
, one important
+ thing is the removal of the old xresources based configuration
+ setup. Read more
+ in
+ rofi’s changelog.
+
+
+
+
+ ipfs now defaults to not listening on you local network. This
+ setting was change as server providers won’t accept port
+ scanning on their private network. If you have several ipfs
+ instances running on a network you own, feel free to change
+ the setting ipfs.localDiscovery = true; .
+ localDiscovery enables different instances to discover each
+ other and share data.
+
+
+
+
+ lua and luajit
+ interpreters have been patched to avoid looking into /usr/lib
+ directories, thus increasing the purity of the build.
+
+
+
+
+ Three new options,
+ xdg.mime.addedAssociations,
+ xdg.mime.defaultApplications,
+ and
+ xdg.mime.removedAssociations
+ have been added to the
+ xdg.mime module to
+ allow the configuration of
+ /etc/xdg/mimeapps.list .
+
+
+
+
+ Kopia was upgraded from 0.8.x to 0.9.x. Please read the
+ upstream
+ release notes for changes and upgrade instructions.
+
+
+
+
+ The systemd.network module has gained
+ support for the FooOverUDP link type.
+
+
+
+
+ The networking module has a new
+ networking.fooOverUDP option to configure
+ Foo-over-UDP encapsulations.
+
+
+
+
+ networking.sits now supports Foo-over-UDP
+ encapsulation.
+
+
+
+
+ Changing systemd .socket units now restarts
+ them and stops the service that is activated by them.
+ Additionally, services with
+ stopOnChange = false don’t break anymore
+ when they are socket-activated.
+
+
+
+
+ The virtualisation.libvirtd module has been
+ refactored and updated with new options:
+
+
+
+
+ virtualisation.libvirtd.qemu* options
+ (e.g.:
+ virtualisation.libvirtd.qemuRunAsRoot )
+ were moved to
+ virtualisation.libvirtd.qemu
+ submodule,
+
+
+
+
+ software TPM1/TPM2 support (e.g.: Windows 11 guests)
+ (virtualisation.libvirtd.qemu.swtpm ),
+
+
+
+
+ custom OVMF package (e.g.:
+ pkgs.OVMFFull with HTTP, CSM and Secure
+ Boot support)
+ (virtualisation.libvirtd.qemu.ovmf.package ).
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/changing-config.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/changing-config.chapter.md
new file mode 100644
index 00000000000..8a404f085d7
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/changing-config.chapter.md
@@ -0,0 +1,100 @@
+# Changing the Configuration {#sec-changing-config}
+
+The file `/etc/nixos/configuration.nix` contains the current
+configuration of your machine. Whenever you've [changed
+something](#ch-configuration) in that file, you should do
+
+```ShellSession
+# nixos-rebuild switch
+```
+
+to build the new configuration, make it the default configuration for
+booting, and try to realise the configuration in the running system
+(e.g., by restarting system services).
+
+::: {.warning}
+This command doesn\'t start/stop [user services](#opt-systemd.user.services)
+automatically. `nixos-rebuild` only runs a `daemon-reload` for each user with running
+user services.
+:::
+
+::: {.warning}
+These commands must be executed as root, so you should either run them
+from a root shell or by prefixing them with `sudo -i`.
+:::
+
+You can also do
+
+```ShellSession
+# nixos-rebuild test
+```
+
+to build the configuration and switch the running system to it, but
+without making it the boot default. So if (say) the configuration locks
+up your machine, you can just reboot to get back to a working
+configuration.
+
+There is also
+
+```ShellSession
+# nixos-rebuild boot
+```
+
+to build the configuration and make it the boot default, but not switch
+to it now (so it will only take effect after the next reboot).
+
+You can make your configuration show up in a different submenu of the
+GRUB 2 boot screen by giving it a different *profile name*, e.g.
+
+```ShellSession
+# nixos-rebuild switch -p test
+```
+
+which causes the new configuration (and previous ones created using
+`-p test`) to show up in the GRUB submenu "NixOS - Profile \'test\'".
+This can be useful to separate test configurations from "stable"
+configurations.
+
+Finally, you can do
+
+```ShellSession
+$ nixos-rebuild build
+```
+
+to build the configuration but nothing more. This is useful to see
+whether everything compiles cleanly.
+
+If you have a machine that supports hardware virtualisation, you can
+also test the new configuration in a sandbox by building and running a
+QEMU *virtual machine* that contains the desired configuration. Just do
+
+```ShellSession
+$ nixos-rebuild build-vm
+$ ./result/bin/run-*-vm
+```
+
+The VM does not have any data from your host system, so your existing
+user accounts and home directories will not be available unless you have
+set `mutableUsers = false`. Another way is to temporarily add the
+following to your configuration:
+
+```nix
+users.users.your-user.initialHashedPassword = "test";
+```
+
+*Important:* delete the \$hostname.qcow2 file if you have started the
+virtual machine at least once without the right users, otherwise the
+changes will not get picked up. You can forward ports on the host to the
+guest. For instance, the following will forward host port 2222 to guest
+port 22 (SSH):
+
+```ShellSession
+$ QEMU_NET_OPTS="hostfwd=tcp::2222-:22" ./result/bin/run-*-vm
+```
+
+allowing you to log in via SSH (assuming you have set the appropriate
+passwords or SSH authorized keys):
+
+```ShellSession
+$ ssh -p 2222 localhost
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/changing-config.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/changing-config.xml
deleted file mode 100644
index 4288806d5eb..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/changing-config.xml
+++ /dev/null
@@ -1,97 +0,0 @@
-
- Changing the Configuration
-
- The file /etc/nixos/configuration.nix contains the
- current configuration of your machine. Whenever you’ve
- changed something in that file, you
- should do
-
-# nixos-rebuild switch
-
- to build the new configuration, make it the default configuration for
- booting, and try to realise the configuration in the running system (e.g., by
- restarting system services).
-
-
- This command doesn't start/stop user
- services automatically. nixos-rebuild only runs a
- daemon-reload for each user with running user services.
-
-
-
-
-
- These commands must be executed as root, so you should either run them from
- a root shell or by prefixing them with sudo -i .
-
-
-
- You can also do
-
-# nixos-rebuild test
-
- to build the configuration and switch the running system to it, but without
- making it the boot default. So if (say) the configuration locks up your
- machine, you can just reboot to get back to a working configuration.
-
-
- There is also
-
-# nixos-rebuild boot
-
- to build the configuration and make it the boot default, but not switch to it
- now (so it will only take effect after the next reboot).
-
-
- You can make your configuration show up in a different submenu of the GRUB 2
- boot screen by giving it a different profile name , e.g.
-
-# nixos-rebuild switch -p test
-
- which causes the new configuration (and previous ones created using
- -p test ) to show up in the GRUB submenu “NixOS - Profile
- 'test'”. This can be useful to separate test configurations from
- “stable” configurations.
-
-
- Finally, you can do
-
-$ nixos-rebuild build
-
- to build the configuration but nothing more. This is useful to see whether
- everything compiles cleanly.
-
-
- If you have a machine that supports hardware virtualisation, you can also
- test the new configuration in a sandbox by building and running a QEMU
- virtual machine that contains the desired configuration.
- Just do
-
-$ nixos-rebuild build-vm
-$ ./result/bin/run-*-vm
-
- The VM does not have any data from your host system, so your existing user
- accounts and home directories will not be available unless you have set
- mutableUsers = false . Another way is to temporarily add
- the following to your configuration:
-
- users.users.your-user.initialHashedPassword = "test";
-
- Important: delete the $hostname.qcow2 file if you have
- started the virtual machine at least once without the right users, otherwise
- the changes will not get picked up. You can forward ports on the host to the
- guest. For instance, the following will forward host port 2222 to guest port
- 22 (SSH):
-
-$ QEMU_NET_OPTS="hostfwd=tcp::2222-:22" ./result/bin/run-*-vm
-
- allowing you to log in via SSH (assuming you have set the appropriate
- passwords or SSH authorized keys):
-
-$ ssh -p 2222 localhost
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installation.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installation.xml
index 2901f462dee..1d443bbd0ee 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installation.xml
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installation.xml
@@ -10,8 +10,8 @@
first-time use.
-
-
-
-
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-behind-a-proxy.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-behind-a-proxy.section.md
new file mode 100644
index 00000000000..aca151531d0
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-behind-a-proxy.section.md
@@ -0,0 +1,29 @@
+# Installing behind a proxy {#sec-installing-behind-proxy}
+
+To install NixOS behind a proxy, do the following before running
+`nixos-install`.
+
+1. Update proxy configuration in `/mnt/etc/nixos/configuration.nix` to
+ keep the internet accessible after reboot.
+
+ ```nix
+ networking.proxy.default = "http://user:password@proxy:port/";
+ networking.proxy.noProxy = "127.0.0.1,localhost,internal.domain";
+ ```
+
+1. Setup the proxy environment variables in the shell where you are
+ running `nixos-install`.
+
+ ```ShellSession
+ # proxy_url="http://user:password@proxy:port/"
+ # export http_proxy="$proxy_url"
+ # export HTTP_PROXY="$proxy_url"
+ # export https_proxy="$proxy_url"
+ # export HTTPS_PROXY="$proxy_url"
+ ```
+
+::: {.note}
+If you are switching networks with different proxy configurations, use
+the `specialisation` option in `configuration.nix` to switch proxies at
+runtime. Refer to [](#ch-options) for more information.
+:::
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-behind-a-proxy.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-behind-a-proxy.xml
deleted file mode 100644
index 6788882aa8c..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-behind-a-proxy.xml
+++ /dev/null
@@ -1,48 +0,0 @@
-
- Installing behind a proxy
-
-
- To install NixOS behind a proxy, do the following before running
- nixos-install .
-
-
-
-
-
- Update proxy configuration in
- /mnt/etc/nixos/configuration.nix to keep the internet
- accessible after reboot.
-
-
-networking.proxy.default = "http://user:password@proxy:port/";
-networking.proxy.noProxy = "127.0.0.1,localhost,internal.domain";
-
-
-
-
- Setup the proxy environment variables in the shell where you are running
- nixos-install .
-
-
-# proxy_url="http://user:password@proxy:port/"
-# export http_proxy="$proxy_url"
-# export HTTP_PROXY="$proxy_url"
-# export https_proxy="$proxy_url"
-# export HTTPS_PROXY="$proxy_url"
-
-
-
-
-
-
- If you are switching networks with different proxy configurations, use the
- specialisation option in
- configuration.nix to switch proxies at runtime. Refer to
- for more information.
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-from-other-distro.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-from-other-distro.section.md
new file mode 100644
index 00000000000..d9060eb89c3
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-from-other-distro.section.md
@@ -0,0 +1,279 @@
+# Installing from another Linux distribution {#sec-installing-from-other-distro}
+
+Because Nix (the package manager) & Nixpkgs (the Nix packages
+collection) can both be installed on any (most?) Linux distributions,
+they can be used to install NixOS in various creative ways. You can, for
+instance:
+
+1. Install NixOS on another partition, from your existing Linux
+ distribution (without the use of a USB or optical device!)
+
+1. Install NixOS on the same partition (in place!), from your existing
+ non-NixOS Linux distribution using `NIXOS_LUSTRATE`.
+
+1. Install NixOS on your hard drive from the Live CD of any Linux
+ distribution.
+
+The first steps to all these are the same:
+
+1. Install the Nix package manager:
+
+ Short version:
+
+ ```ShellSession
+ $ curl -L https://nixos.org/nix/install | sh
+ $ . $HOME/.nix-profile/etc/profile.d/nix.sh # …or open a fresh shell
+ ```
+
+ More details in the [ Nix
+ manual](https://nixos.org/nix/manual/#chap-quick-start)
+
+1. Switch to the NixOS channel:
+
+ If you\'ve just installed Nix on a non-NixOS distribution, you will
+ be on the `nixpkgs` channel by default.
+
+ ```ShellSession
+ $ nix-channel --list
+ nixpkgs https://nixos.org/channels/nixpkgs-unstable
+ ```
+
+ As that channel gets released without running the NixOS tests, it
+ will be safer to use the `nixos-*` channels instead:
+
+ ```ShellSession
+ $ nix-channel --add https://nixos.org/channels/nixos-version nixpkgs
+ ```
+
+ You may want to throw in a `nix-channel --update` for good measure.
+
+1. Install the NixOS installation tools:
+
+ You\'ll need `nixos-generate-config` and `nixos-install`, but this
+ also makes some man pages and `nixos-enter` available, just in case
+ you want to chroot into your NixOS partition. NixOS installs these
+ by default, but you don\'t have NixOS yet..
+
+ ```ShellSession
+ $ nix-env -f '' -iA nixos-install-tools
+ ```
+
+1. ::: {.note}
+ The following 5 steps are only for installing NixOS to another
+ partition. For installing NixOS in place using `NIXOS_LUSTRATE`,
+ skip ahead.
+ :::
+
+ Prepare your target partition:
+
+ At this point it is time to prepare your target partition. Please
+ refer to the partitioning, file-system creation, and mounting steps
+ of [](#sec-installation)
+
+ If you\'re about to install NixOS in place using `NIXOS_LUSTRATE`
+ there is nothing to do for this step.
+
+1. Generate your NixOS configuration:
+
+ ```ShellSession
+ $ sudo `which nixos-generate-config` --root /mnt
+ ```
+
+ You\'ll probably want to edit the configuration files. Refer to the
+ `nixos-generate-config` step in [](#sec-installation) for more
+ information.
+
+ Consider setting up the NixOS bootloader to give you the ability to
+ boot on your existing Linux partition. For instance, if you\'re
+ using GRUB and your existing distribution is running Ubuntu, you may
+ want to add something like this to your `configuration.nix`:
+
+ ```nix
+ boot.loader.grub.extraEntries = ''
+ menuentry "Ubuntu" {
+ search --set=ubuntu --fs-uuid 3cc3e652-0c1f-4800-8451-033754f68e6e
+ configfile "($ubuntu)/boot/grub/grub.cfg"
+ }
+ '';
+ ```
+
+ (You can find the appropriate UUID for your partition in
+ `/dev/disk/by-uuid`)
+
+1. Create the `nixbld` group and user on your original distribution:
+
+ ```ShellSession
+ $ sudo groupadd -g 30000 nixbld
+ $ sudo useradd -u 30000 -g nixbld -G nixbld nixbld
+ ```
+
+1. Download/build/install NixOS:
+
+ ::: {.warning}
+ Once you complete this step, you might no longer be able to boot on
+ existing systems without the help of a rescue USB drive or similar.
+ :::
+
+ ::: {.note}
+ On some distributions there are separate PATHS for programs intended
+ only for root. In order for the installation to succeed, you might
+ have to use `PATH="$PATH:/usr/sbin:/sbin"` in the following command.
+ :::
+
+ ```ShellSession
+ $ sudo PATH="$PATH" NIX_PATH="$NIX_PATH" `which nixos-install` --root /mnt
+ ```
+
+ Again, please refer to the `nixos-install` step in
+ [](#sec-installation) for more information.
+
+ That should be it for installation to another partition!
+
+1. Optionally, you may want to clean up your non-NixOS distribution:
+
+ ```ShellSession
+ $ sudo userdel nixbld
+ $ sudo groupdel nixbld
+ ```
+
+ If you do not wish to keep the Nix package manager installed either,
+ run something like `sudo rm -rv ~/.nix-* /nix` and remove the line
+ that the Nix installer added to your `~/.profile`.
+
+1. ::: {.note}
+ The following steps are only for installing NixOS in place using
+ `NIXOS_LUSTRATE`:
+ :::
+
+ Generate your NixOS configuration:
+
+ ```ShellSession
+ $ sudo `which nixos-generate-config` --root /
+ ```
+
+ Note that this will place the generated configuration files in
+ `/etc/nixos`. You\'ll probably want to edit the configuration files.
+ Refer to the `nixos-generate-config` step in
+ [](#sec-installation) for more information.
+
+ You\'ll likely want to set a root password for your first boot using
+ the configuration files because you won\'t have a chance to enter a
+ password until after you reboot. You can initalize the root password
+ to an empty one with this line: (and of course don\'t forget to set
+ one once you\'ve rebooted or to lock the account with
+ `sudo passwd -l root` if you use `sudo`)
+
+ ```nix
+ users.users.root.initialHashedPassword = "";
+ ```
+
+1. Build the NixOS closure and install it in the `system` profile:
+
+ ```ShellSession
+ $ nix-env -p /nix/var/nix/profiles/system -f '' -I nixos-config=/etc/nixos/configuration.nix -iA system
+ ```
+
+1. Change ownership of the `/nix` tree to root (since your Nix install
+ was probably single user):
+
+ ```ShellSession
+ $ sudo chown -R 0.0 /nix
+ ```
+
+1. Set up the `/etc/NIXOS` and `/etc/NIXOS_LUSTRATE` files:
+
+ `/etc/NIXOS` officializes that this is now a NixOS partition (the
+ bootup scripts require its presence).
+
+ `/etc/NIXOS_LUSTRATE` tells the NixOS bootup scripts to move
+ *everything* that\'s in the root partition to `/old-root`. This will
+ move your existing distribution out of the way in the very early
+ stages of the NixOS bootup. There are exceptions (we do need to keep
+ NixOS there after all), so the NixOS lustrate process will not
+ touch:
+
+ - The `/nix` directory
+
+ - The `/boot` directory
+
+ - Any file or directory listed in `/etc/NIXOS_LUSTRATE` (one per
+ line)
+
+ ::: {.note}
+ Support for `NIXOS_LUSTRATE` was added in NixOS 16.09. The act of
+ \"lustrating\" refers to the wiping of the existing distribution.
+ Creating `/etc/NIXOS_LUSTRATE` can also be used on NixOS to remove
+ all mutable files from your root partition (anything that\'s not in
+ `/nix` or `/boot` gets \"lustrated\" on the next boot.
+
+ lustrate /ˈlʌstreɪt/ verb.
+
+ purify by expiatory sacrifice, ceremonial washing, or some other
+ ritual action.
+ :::
+
+ Let\'s create the files:
+
+ ```ShellSession
+ $ sudo touch /etc/NIXOS
+ $ sudo touch /etc/NIXOS_LUSTRATE
+ ```
+
+ Let\'s also make sure the NixOS configuration files are kept once we
+ reboot on NixOS:
+
+ ```ShellSession
+ $ echo etc/nixos | sudo tee -a /etc/NIXOS_LUSTRATE
+ ```
+
+1. Finally, move the `/boot` directory of your current distribution out
+ of the way (the lustrate process will take care of the rest once you
+ reboot, but this one must be moved out now because NixOS needs to
+ install its own boot files:
+
+ ::: {.warning}
+ Once you complete this step, your current distribution will no
+ longer be bootable! If you didn\'t get all the NixOS configuration
+ right, especially those settings pertaining to boot loading and root
+ partition, NixOS may not be bootable either. Have a USB rescue
+ device ready in case this happens.
+ :::
+
+ ```ShellSession
+ $ sudo mv -v /boot /boot.bak &&
+ sudo /nix/var/nix/profiles/system/bin/switch-to-configuration boot
+ ```
+
+ Cross your fingers, reboot, hopefully you should get a NixOS prompt!
+
+1. If for some reason you want to revert to the old distribution,
+ you\'ll need to boot on a USB rescue disk and do something along
+ these lines:
+
+ ```ShellSession
+ # mkdir root
+ # mount /dev/sdaX root
+ # mkdir root/nixos-root
+ # mv -v root/* root/nixos-root/
+ # mv -v root/nixos-root/old-root/* root/
+ # mv -v root/boot.bak root/boot # We had renamed this by hand earlier
+ # umount root
+ # reboot
+ ```
+
+ This may work as is or you might also need to reinstall the boot
+ loader.
+
+ And of course, if you\'re happy with NixOS and no longer need the
+ old distribution:
+
+ ```ShellSession
+ sudo rm -rf /old-root
+ ```
+
+1. It\'s also worth noting that this whole process can be automated.
+ This is especially useful for Cloud VMs, where provider do not
+ provide NixOS. For instance,
+ [nixos-infect](https://github.com/elitak/nixos-infect) uses the
+ lustrate process to convert Digital Ocean droplets to NixOS from
+ other distributions automatically.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-from-other-distro.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-from-other-distro.xml
deleted file mode 100644
index 63d1d52b01b..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-from-other-distro.xml
+++ /dev/null
@@ -1,364 +0,0 @@
-
-
- Installing from another Linux distribution
-
-
- Because Nix (the package manager) & Nixpkgs (the Nix packages collection)
- can both be installed on any (most?) Linux distributions, they can be used to
- install NixOS in various creative ways. You can, for instance:
-
-
-
-
-
- Install NixOS on another partition, from your existing Linux distribution
- (without the use of a USB or optical device!)
-
-
-
-
- Install NixOS on the same partition (in place!), from your existing
- non-NixOS Linux distribution using NIXOS_LUSTRATE .
-
-
-
-
- Install NixOS on your hard drive from the Live CD of any Linux
- distribution.
-
-
-
-
-
- The first steps to all these are the same:
-
-
-
-
-
- Install the Nix package manager:
-
-
- Short version:
-
-
-$ curl -L https://nixos.org/nix/install | sh
-$ . $HOME/.nix-profile/etc/profile.d/nix.sh # …or open a fresh shell
-
- More details in the
-
- Nix manual
-
-
-
-
- Switch to the NixOS channel:
-
-
- If you've just installed Nix on a non-NixOS distribution, you will be on
- the nixpkgs channel by default.
-
-
-$ nix-channel --list
-nixpkgs https://nixos.org/channels/nixpkgs-unstable
-
- As that channel gets released without running the NixOS tests, it will be
- safer to use the nixos-* channels instead:
-
-
-$ nix-channel --add https://nixos.org/channels/nixos-version nixpkgs
-
- You may want to throw in a nix-channel --update for good
- measure.
-
-
-
-
- Install the NixOS installation tools:
-
-
- You'll need nixos-generate-config and
- nixos-install , but this also makes some man pages
- and nixos-enter available, just in case you want to chroot into your
- NixOS partition. NixOS installs these by default, but you don't have
- NixOS yet..
-
- $ nix-env -f '<nixpkgs>' -iA nixos-install-tools
-
-
-
-
- The following 5 steps are only for installing NixOS to another partition.
- For installing NixOS in place using NIXOS_LUSTRATE ,
- skip ahead.
-
-
-
- Prepare your target partition:
-
-
- At this point it is time to prepare your target partition. Please refer to
- the partitioning, file-system creation, and mounting steps of
-
-
-
- If you're about to install NixOS in place using
- NIXOS_LUSTRATE there is nothing to do for this step.
-
-
-
-
- Generate your NixOS configuration:
-
-$ sudo `which nixos-generate-config` --root /mnt
-
- You'll probably want to edit the configuration files. Refer to the
- nixos-generate-config step in
- for more
- information.
-
-
- Consider setting up the NixOS bootloader to give you the ability to boot on
- your existing Linux partition. For instance, if you're using GRUB and your
- existing distribution is running Ubuntu, you may want to add something like
- this to your configuration.nix :
-
-
- = ''
- menuentry "Ubuntu" {
- search --set=ubuntu --fs-uuid 3cc3e652-0c1f-4800-8451-033754f68e6e
- configfile "($ubuntu)/boot/grub/grub.cfg"
- }
-'';
-
- (You can find the appropriate UUID for your partition in
- /dev/disk/by-uuid )
-
-
-
-
- Create the nixbld group and user on your original
- distribution:
-
-
-$ sudo groupadd -g 30000 nixbld
-$ sudo useradd -u 30000 -g nixbld -G nixbld nixbld
-
-
-
- Download/build/install NixOS:
-
-
-
- Once you complete this step, you might no longer be able to boot on
- existing systems without the help of a rescue USB drive or similar.
-
-
-
-
- On some distributions there are separate PATHS for programs intended only for root.
- In order for the installation to succeed, you might have to use PATH="$PATH:/usr/sbin:/sbin"
- in the following command.
-
-
-$ sudo PATH="$PATH" NIX_PATH="$NIX_PATH" `which nixos-install` --root /mnt
-
- Again, please refer to the nixos-install step in
- for more information.
-
-
- That should be it for installation to another partition!
-
-
-
-
- Optionally, you may want to clean up your non-NixOS distribution:
-
-
-$ sudo userdel nixbld
-$ sudo groupdel nixbld
-
- If you do not wish to keep the Nix package manager installed either, run
- something like sudo rm -rv ~/.nix-* /nix and remove the
- line that the Nix installer added to your ~/.profile .
-
-
-
-
-
- The following steps are only for installing NixOS in place using
- NIXOS_LUSTRATE :
-
-
-
- Generate your NixOS configuration:
-
-$ sudo `which nixos-generate-config` --root /
-
- Note that this will place the generated configuration files in
- /etc/nixos . You'll probably want to edit the
- configuration files. Refer to the nixos-generate-config
- step in for more
- information.
-
-
- You'll likely want to set a root password for your first boot using the
- configuration files because you won't have a chance to enter a password
- until after you reboot. You can initalize the root password to an empty one
- with this line: (and of course don't forget to set one once you've rebooted
- or to lock the account with sudo passwd -l root if you
- use sudo )
-
-
- users.users.root.initialHashedPassword = "";
-
-
-
-
- Build the NixOS closure and install it in the system
- profile:
-
-$ nix-env -p /nix/var/nix/profiles/system -f '<nixpkgs/nixos>' -I nixos-config=/etc/nixos/configuration.nix -iA system
-
-
-
- Change ownership of the /nix tree to root (since your
- Nix install was probably single user):
-
-$ sudo chown -R 0.0 /nix
-
-
-
- Set up the /etc/NIXOS and
- /etc/NIXOS_LUSTRATE files:
-
-
- /etc/NIXOS officializes that this is now a NixOS
- partition (the bootup scripts require its presence).
-
-
- /etc/NIXOS_LUSTRATE tells the NixOS bootup scripts to
- move everything that's in the root partition to
- /old-root . This will move your existing distribution out
- of the way in the very early stages of the NixOS bootup. There are
- exceptions (we do need to keep NixOS there after all), so the NixOS
- lustrate process will not touch:
-
-
-
-
- The /nix directory
-
-
-
-
- The /boot directory
-
-
-
-
- Any file or directory listed in /etc/NIXOS_LUSTRATE
- (one per line)
-
-
-
-
-
- Support for NIXOS_LUSTRATE was added in NixOS 16.09.
- The act of "lustrating" refers to the wiping of the existing distribution.
- Creating /etc/NIXOS_LUSTRATE can also be used on NixOS
- to remove all mutable files from your root partition (anything that's not
- in /nix or /boot gets "lustrated" on
- the next boot.
-
-
- lustrate /ˈlʌstreɪt/ verb.
-
-
- purify by expiatory sacrifice, ceremonial washing, or some other ritual
- action.
-
-
-
- Let's create the files:
-
-
-$ sudo touch /etc/NIXOS
-$ sudo touch /etc/NIXOS_LUSTRATE
-
-
- Let's also make sure the NixOS configuration files are kept once we reboot
- on NixOS:
-
-
-$ echo etc/nixos | sudo tee -a /etc/NIXOS_LUSTRATE
-
-
-
-
- Finally, move the /boot directory of your current
- distribution out of the way (the lustrate process will take care of the
- rest once you reboot, but this one must be moved out now because NixOS
- needs to install its own boot files:
-
-
-
- Once you complete this step, your current distribution will no longer be
- bootable! If you didn't get all the NixOS configuration right, especially
- those settings pertaining to boot loading and root partition, NixOS may
- not be bootable either. Have a USB rescue device ready in case this
- happens.
-
-
-
-$ sudo mv -v /boot /boot.bak &&
-sudo /nix/var/nix/profiles/system/bin/switch-to-configuration boot
-
-
- Cross your fingers, reboot, hopefully you should get a NixOS prompt!
-
-
-
-
- If for some reason you want to revert to the old distribution, you'll need
- to boot on a USB rescue disk and do something along these lines:
-
-
-# mkdir root
-# mount /dev/sdaX root
-# mkdir root/nixos-root
-# mv -v root/* root/nixos-root/
-# mv -v root/nixos-root/old-root/* root/
-# mv -v root/boot.bak root/boot # We had renamed this by hand earlier
-# umount root
-# reboot
-
- This may work as is or you might also need to reinstall the boot loader
-
-
- And of course, if you're happy with NixOS and no longer need the old
- distribution:
-
-sudo rm -rf /old-root
-
-
-
- It's also worth noting that this whole process can be automated. This is
- especially useful for Cloud VMs, where provider do not provide NixOS. For
- instance,
- nixos-infect
- uses the lustrate process to convert Digital Ocean droplets to NixOS from
- other distributions automatically.
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-pxe.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-pxe.section.md
new file mode 100644
index 00000000000..2016a258251
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-pxe.section.md
@@ -0,0 +1,32 @@
+# Booting from the "netboot" media (PXE) {#sec-booting-from-pxe}
+
+Advanced users may wish to install NixOS using an existing PXE or iPXE
+setup.
+
+These instructions assume that you have an existing PXE or iPXE
+infrastructure and simply want to add the NixOS installer as another
+option. To build the necessary files from a recent version of nixpkgs,
+you can run:
+
+```ShellSession
+nix-build -A netboot.x86_64-linux nixos/release.nix
+```
+
+This will create a `result` directory containing: \* `bzImage` -- the
+Linux kernel \* `initrd` -- the initrd file \* `netboot.ipxe` -- an
+example ipxe script demonstrating the appropriate kernel command line
+arguments for this image
+
+If you're using plain PXE, configure your boot loader to use the
+`bzImage` and `initrd` files and have it provide the same kernel command
+line arguments found in `netboot.ipxe`.
+
+If you're using iPXE, depending on how your HTTP/FTP/etc. server is
+configured you may be able to use `netboot.ipxe` unmodified, or you may
+need to update the paths to the files to match your server's directory
+layout.
+
+In the future we may begin making these files available as build
+products from hydra at which point we will update this documentation
+with instructions on how to obtain them either for placing on a
+dedicated TFTP server or to boot them directly over the internet.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-pxe.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-pxe.xml
deleted file mode 100644
index ea88fbdad7e..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-pxe.xml
+++ /dev/null
@@ -1,50 +0,0 @@
-
- Booting from the netboot
media (PXE)
-
-
- Advanced users may wish to install NixOS using an existing PXE or iPXE setup.
-
-
-
- These instructions assume that you have an existing PXE or iPXE
- infrastructure and simply want to add the NixOS installer as another option.
- To build the necessary files from a recent version of nixpkgs, you can run:
-
-
-
-nix-build -A netboot.x86_64-linux nixos/release.nix
-
-
-
- This will create a result directory containing: *
- bzImage – the Linux kernel * initrd
- – the initrd file * netboot.ipxe – an example ipxe
- script demonstrating the appropriate kernel command line arguments for this
- image
-
-
-
- If you’re using plain PXE, configure your boot loader to use the
- bzImage and initrd files and have it
- provide the same kernel command line arguments found in
- netboot.ipxe .
-
-
-
- If you’re using iPXE, depending on how your HTTP/FTP/etc. server is
- configured you may be able to use netboot.ipxe unmodified,
- or you may need to update the paths to the files to match your server’s
- directory layout
-
-
-
- In the future we may begin making these files available as build products
- from hydra at which point we will update this documentation with instructions
- on how to obtain them either for placing on a dedicated TFTP server or to
- boot them directly over the internet.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-usb.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-usb.section.md
new file mode 100644
index 00000000000..ae58c08e523
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-usb.section.md
@@ -0,0 +1,31 @@
+# Booting from a USB Drive {#sec-booting-from-usb}
+
+For systems without CD drive, the NixOS live CD can be booted from a USB
+stick. You can use the `dd` utility to write the image:
+`dd if=path-to-image of=/dev/sdX`. Be careful about specifying the correct
+drive; you can use the `lsblk` command to get a list of block devices.
+
+::: {.note}
+::: {.title}
+On macOS
+:::
+
+```ShellSession
+$ diskutil list
+[..]
+/dev/diskN (external, physical):
+ #: TYPE NAME SIZE IDENTIFIER
+[..]
+$ diskutil unmountDisk diskN
+Unmount of all volumes on diskN was successful
+$ sudo dd if=nix.iso of=/dev/rdiskN
+```
+
+Using the \'raw\' `rdiskN` device instead of `diskN` completes in
+minutes instead of hours. After `dd` completes, a GUI dialog \"The disk
+you inserted was not readable by this computer\" will pop up, which can
+be ignored.
+:::
+
+The `dd` utility will write the image verbatim to the drive, making it
+the recommended option for both UEFI and non-UEFI installations.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-usb.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-usb.xml
deleted file mode 100644
index 83598635acc..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-usb.xml
+++ /dev/null
@@ -1,40 +0,0 @@
-
- Booting from a USB Drive
-
-
- For systems without CD drive, the NixOS live CD can be booted from a USB
- stick. You can use the dd utility to write the image:
- dd if=path-to-image
- of=/dev/sdX . Be careful about specifying
- the correct drive; you can use the lsblk command to get a
- list of block devices.
-
- On macOS
-
-
-$ diskutil list
-[..]
-/dev/diskN (external, physical):
- #: TYPE NAME SIZE IDENTIFIER
-[..]
-$ diskutil unmountDisk diskN
-Unmount of all volumes on diskN was successful
-$ sudo dd if=nix.iso of=/dev/rdiskN
-
- Using the 'raw' rdiskN device instead of
- diskN completes in minutes instead of hours. After
- dd completes, a GUI dialog "The disk you inserted was
- not readable by this computer" will pop up, which can be ignored.
-
-
-
-
-
- The dd utility will write the image verbatim to the drive,
- making it the recommended option for both UEFI and non-UEFI installations.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-virtualbox-guest.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-virtualbox-guest.section.md
new file mode 100644
index 00000000000..e9c2a621c1b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-virtualbox-guest.section.md
@@ -0,0 +1,59 @@
+# Installing in a VirtualBox guest {#sec-instaling-virtualbox-guest}
+
+Installing NixOS into a VirtualBox guest is convenient for users who
+want to try NixOS without installing it on bare metal. If you want to
+use a pre-made VirtualBox appliance, it is available at [the downloads
+page](https://nixos.org/nixos/download.html). If you want to set up a
+VirtualBox guest manually, follow these instructions:
+
+1. Add a New Machine in VirtualBox with OS Type \"Linux / Other Linux\"
+
+1. Base Memory Size: 768 MB or higher.
+
+1. New Hard Disk of 8 GB or higher.
+
+1. Mount the CD-ROM with the NixOS ISO (by clicking on CD/DVD-ROM)
+
+1. Click on Settings / System / Processor and enable PAE/NX
+
+1. Click on Settings / System / Acceleration and enable \"VT-x/AMD-V\"
+ acceleration
+
+1. Click on Settings / Display / Screen and select VMSVGA as Graphics
+ Controller
+
+1. Save the settings, start the virtual machine, and continue
+ installation like normal
+
+There are a few modifications you should make in configuration.nix.
+Enable booting:
+
+```nix
+boot.loader.grub.device = "/dev/sda";
+```
+
+Also remove the fsck that runs at startup. It will always fail to run,
+stopping your boot until you press `*`.
+
+```nix
+boot.initrd.checkJournalingFS = false;
+```
+
+Shared folders can be given a name and a path in the host system in the
+VirtualBox settings (Machine / Settings / Shared Folders, then click on
+the \"Add\" icon). Add the following to the
+`/etc/nixos/configuration.nix` to auto-mount them. If you do not add
+`"nofail"`, the system will not boot properly.
+
+```nix
+{ config, pkgs, ...} :
+{
+ fileSystems."/virtualboxshare" = {
+ fsType = "vboxsf";
+ device = "nameofthesharedfolder";
+ options = [ "rw" "nofail" ];
+ };
+}
+```
+
+The folder will be available directly under the root directory.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-virtualbox-guest.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-virtualbox-guest.xml
deleted file mode 100644
index 019e5098a8e..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing-virtualbox-guest.xml
+++ /dev/null
@@ -1,103 +0,0 @@
-
- Installing in a VirtualBox guest
-
-
- Installing NixOS into a VirtualBox guest is convenient for users who want to
- try NixOS without installing it on bare metal. If you want to use a pre-made
- VirtualBox appliance, it is available at
- the downloads
- page. If you want to set up a VirtualBox guest manually, follow these
- instructions:
-
-
-
-
-
- Add a New Machine in VirtualBox with OS Type "Linux / Other Linux"
-
-
-
-
- Base Memory Size: 768 MB or higher.
-
-
-
-
- New Hard Disk of 8 GB or higher.
-
-
-
-
- Mount the CD-ROM with the NixOS ISO (by clicking on CD/DVD-ROM)
-
-
-
-
- Click on Settings / System / Processor and enable PAE/NX
-
-
-
-
- Click on Settings / System / Acceleration and enable "VT-x/AMD-V"
- acceleration
-
-
-
-
- Click on Settings / Display / Screen and select VMSVGA as Graphics Controller
-
-
-
-
- Save the settings, start the virtual machine, and continue installation
- like normal
-
-
-
-
-
- There are a few modifications you should make in configuration.nix. Enable
- booting:
-
-
-
- = "/dev/sda";
-
-
-
- Also remove the fsck that runs at startup. It will always fail to run,
- stopping your boot until you press * .
-
-
-
- = false;
-
-
-
- Shared folders can be given a name and a path in the host system in the
- VirtualBox settings (Machine / Settings / Shared Folders, then click on the
- "Add" icon). Add the following to the
- /etc/nixos/configuration.nix to auto-mount them. If you do
- not add "nofail" , the system will not boot properly.
-
-
-
-{ config, pkgs, ...} :
-{
- fileSystems."/virtualboxshare" = {
- fsType = "vboxsf";
- device = "nameofthesharedfolder";
- options = [ "rw" "nofail" ];
- };
-}
-
-
-
- The folder will be available directly under the root directory.
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing.chapter.md
new file mode 100644
index 00000000000..a0823b51e9c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing.chapter.md
@@ -0,0 +1,479 @@
+# Installing NixOS {#sec-installation}
+
+## Booting the system {#sec-installation-booting}
+
+NixOS can be installed on BIOS or UEFI systems. The procedure for a UEFI
+installation is by and large the same as a BIOS installation. The
+differences are mentioned in the steps that follow.
+
+The installation media can be burned to a CD, or now more commonly,
+"burned" to a USB drive (see [](#sec-booting-from-usb)).
+
+The installation media contains a basic NixOS installation. When it's
+finished booting, it should have detected most of your hardware.
+
+The NixOS manual is available by running `nixos-help`.
+
+You are logged-in automatically as `nixos`. The `nixos` user account has
+an empty password so you can use `sudo` without a password.
+
+If you downloaded the graphical ISO image, you can run `systemctl
+start display-manager` to start the desktop environment. If you want
+to continue on the terminal, you can use `loadkeys` to switch to your
+preferred keyboard layout. (We even provide neo2 via `loadkeys de
+neo`!)
+
+If the text is too small to be legible, try `setfont ter-v32n` to
+increase the font size.
+
+To install over a serial port connect with `115200n8` (e.g.
+`picocom -b 115200 /dev/ttyUSB0`). When the bootloader lists boot
+entries, select the serial console boot entry.
+
+### Networking in the installer {#sec-installation-booting-networking}
+
+The boot process should have brought up networking (check `ip
+a`). Networking is necessary for the installer, since it will
+download lots of stuff (such as source tarballs or Nixpkgs channel
+binaries). It's best if you have a DHCP server on your network.
+Otherwise configure networking manually using `ifconfig`.
+
+On the graphical installer, you can configure the network, wifi
+included, through NetworkManager. Using the `nmtui` program, you can do
+so even in a non-graphical session. If you prefer to configure the
+network manually, disable NetworkManager with
+`systemctl stop NetworkManager`.
+
+On the minimal installer, NetworkManager is not available, so
+configuration must be perfomed manually. To configure the wifi, first
+start wpa_supplicant with `sudo systemctl start wpa_supplicant`, then
+run `wpa_cli`. For most home networks, you need to type in the following
+commands:
+
+```plain
+> add_network
+0
+> set_network 0 ssid "myhomenetwork"
+OK
+> set_network 0 psk "mypassword"
+OK
+> set_network 0 key_mgmt WPA-PSK
+OK
+> enable_network 0
+OK
+```
+
+For enterprise networks, for example *eduroam*, instead do:
+
+```plain
+> add_network
+0
+> set_network 0 ssid "eduroam"
+OK
+> set_network 0 identity "myname@example.com"
+OK
+> set_network 0 password "mypassword"
+OK
+> set_network 0 key_mgmt WPA-EAP
+OK
+> enable_network 0
+OK
+```
+
+When successfully connected, you should see a line such as this one
+
+```plain
+<3>CTRL-EVENT-CONNECTED - Connection to 32:85:ab:ef:24:5c completed [id=0 id_str=]
+```
+
+you can now leave `wpa_cli` by typing `quit`.
+
+If you would like to continue the installation from a different machine
+you can use activated SSH daemon. You need to copy your ssh key to
+either `/home/nixos/.ssh/authorized_keys` or
+`/root/.ssh/authorized_keys` (Tip: For installers with a modifiable
+filesystem such as the sd-card installer image a key can be manually
+placed by mounting the image on a different machine). Alternatively you
+must set a password for either `root` or `nixos` with `passwd` to be
+able to login.
+
+## Partitioning and formatting {#sec-installation-partitioning}
+
+The NixOS installer doesn't do any partitioning or formatting, so you
+need to do that yourself.
+
+The NixOS installer ships with multiple partitioning tools. The examples
+below use `parted`, but also provides `fdisk`, `gdisk`, `cfdisk`, and
+`cgdisk`.
+
+The recommended partition scheme differs depending if the computer uses
+*Legacy Boot* or *UEFI*.
+
+### UEFI (GPT) {#sec-installation-partitioning-UEFI}
+
+Here\'s an example partition scheme for UEFI, using `/dev/sda` as the
+device.
+
+::: {.note}
+You can safely ignore `parted`\'s informational message about needing to
+update /etc/fstab.
+:::
+
+1. Create a *GPT* partition table.
+
+ ```ShellSession
+ # parted /dev/sda -- mklabel gpt
+ ```
+
+2. Add the *root* partition. This will fill the disk except for the end
+ part, where the swap will live, and the space left in front (512MiB)
+ which will be used by the boot partition.
+
+ ```ShellSession
+ # parted /dev/sda -- mkpart primary 512MiB -8GiB
+ ```
+
+3. Next, add a *swap* partition. The size required will vary according
+ to needs, here a 8GiB one is created.
+
+ ```ShellSession
+ # parted /dev/sda -- mkpart primary linux-swap -8GiB 100%
+ ```
+
+ ::: {.note}
+ The swap partition size rules are no different than for other Linux
+ distributions.
+ :::
+
+4. Finally, the *boot* partition. NixOS by default uses the ESP (EFI
+ system partition) as its */boot* partition. It uses the initially
+ reserved 512MiB at the start of the disk.
+
+ ```ShellSession
+ # parted /dev/sda -- mkpart ESP fat32 1MiB 512MiB
+ # parted /dev/sda -- set 3 esp on
+ ```
+
+Once complete, you can follow with
+[](#sec-installation-partitioning-formatting).
+
+### Legacy Boot (MBR) {#sec-installation-partitioning-MBR}
+
+Here\'s an example partition scheme for Legacy Boot, using `/dev/sda` as
+the device.
+
+::: {.note}
+You can safely ignore `parted`\'s informational message about needing to
+update /etc/fstab.
+:::
+
+1. Create a *MBR* partition table.
+
+ ```ShellSession
+ # parted /dev/sda -- mklabel msdos
+ ```
+
+2. Add the *root* partition. This will fill the the disk except for the
+ end part, where the swap will live.
+
+ ```ShellSession
+ # parted /dev/sda -- mkpart primary 1MiB -8GiB
+ ```
+
+3. Finally, add a *swap* partition. The size required will vary
+ according to needs, here a 8GiB one is created.
+
+ ```ShellSession
+ # parted /dev/sda -- mkpart primary linux-swap -8GiB 100%
+ ```
+
+ ::: {.note}
+ The swap partition size rules are no different than for other Linux
+ distributions.
+ :::
+
+Once complete, you can follow with
+[](#sec-installation-partitioning-formatting).
+
+### Formatting {#sec-installation-partitioning-formatting}
+
+Use the following commands:
+
+- For initialising Ext4 partitions: `mkfs.ext4`. It is recommended
+ that you assign a unique symbolic label to the file system using the
+ option `-L label`, since this makes the file system configuration
+ independent from device changes. For example:
+
+ ```ShellSession
+ # mkfs.ext4 -L nixos /dev/sda1
+ ```
+
+- For creating swap partitions: `mkswap`. Again it's recommended to
+ assign a label to the swap partition: `-L label`. For example:
+
+ ```ShellSession
+ # mkswap -L swap /dev/sda2
+ ```
+
+- **UEFI systems**
+
+ For creating boot partitions: `mkfs.fat`. Again it's recommended
+ to assign a label to the boot partition: `-n label`. For
+ example:
+
+ ```ShellSession
+ # mkfs.fat -F 32 -n boot /dev/sda3
+ ```
+
+- For creating LVM volumes, the LVM commands, e.g., `pvcreate`,
+ `vgcreate`, and `lvcreate`.
+
+- For creating software RAID devices, use `mdadm`.
+
+## Installing {#sec-installation-installing}
+
+1. Mount the target file system on which NixOS should be installed on
+ `/mnt`, e.g.
+
+ ```ShellSession
+ # mount /dev/disk/by-label/nixos /mnt
+ ```
+
+2. **UEFI systems**
+
+ Mount the boot file system on `/mnt/boot`, e.g.
+
+ ```ShellSession
+ # mkdir -p /mnt/boot
+ # mount /dev/disk/by-label/boot /mnt/boot
+ ```
+
+3. If your machine has a limited amount of memory, you may want to
+ activate swap devices now (`swapon device`).
+ The installer (or rather, the build actions that it
+ may spawn) may need quite a bit of RAM, depending on your
+ configuration.
+
+ ```ShellSession
+ # swapon /dev/sda2
+ ```
+
+4. You now need to create a file `/mnt/etc/nixos/configuration.nix`
+ that specifies the intended configuration of the system. This is
+ because NixOS has a *declarative* configuration model: you create or
+ edit a description of the desired configuration of your system, and
+ then NixOS takes care of making it happen. The syntax of the NixOS
+ configuration file is described in [](#sec-configuration-syntax),
+ while a list of available configuration options appears in
+ [](#ch-options). A minimal example is shown in
+ [Example: NixOS Configuration](#ex-config).
+
+ The command `nixos-generate-config` can generate an initial
+ configuration file for you:
+
+ ```ShellSession
+ # nixos-generate-config --root /mnt
+ ```
+
+ You should then edit `/mnt/etc/nixos/configuration.nix` to suit your
+ needs:
+
+ ```ShellSession
+ # nano /mnt/etc/nixos/configuration.nix
+ ```
+
+ If you're using the graphical ISO image, other editors may be
+ available (such as `vim`). If you have network access, you can also
+ install other editors -- for instance, you can install Emacs by
+ running `nix-env -f '' -iA emacs`.
+
+ BIOS systems
+
+ : You *must* set the option [](#opt-boot.loader.grub.device) to
+ specify on which disk the GRUB boot loader is to be installed.
+ Without it, NixOS cannot boot.
+
+ UEFI systems
+
+ : You *must* set the option [](#opt-boot.loader.systemd-boot.enable)
+ to `true`. `nixos-generate-config` should do this automatically
+ for new configurations when booted in UEFI mode.
+
+ You may want to look at the options starting with
+ [`boot.loader.efi`](#opt-boot.loader.efi.canTouchEfiVariables) and
+ [`boot.loader.systemd-boot`](#opt-boot.loader.systemd-boot.enable)
+ as well.
+
+ If there are other operating systems running on the machine before
+ installing NixOS, the [](#opt-boot.loader.grub.useOSProber)
+ option can be set to `true` to automatically add them to the grub
+ menu.
+
+ If you need to configure networking for your machine the
+ configuration options are described in [](#sec-networking). In
+ particular, while wifi is supported on the installation image, it is
+ not enabled by default in the configuration generated by
+ `nixos-generate-config`.
+
+ Another critical option is `fileSystems`, specifying the file
+ systems that need to be mounted by NixOS. However, you typically
+ don't need to set it yourself, because `nixos-generate-config` sets
+ it automatically in `/mnt/etc/nixos/hardware-configuration.nix` from
+ your currently mounted file systems. (The configuration file
+ `hardware-configuration.nix` is included from `configuration.nix`
+ and will be overwritten by future invocations of
+ `nixos-generate-config`; thus, you generally should not modify it.)
+ Additionally, you may want to look at [Hardware configuration for
+ known-hardware](https://github.com/NixOS/nixos-hardware) at this
+ point or after installation.
+
+ ::: {.note}
+ Depending on your hardware configuration or type of file system, you
+ may need to set the option `boot.initrd.kernelModules` to include
+ the kernel modules that are necessary for mounting the root file
+ system, otherwise the installed system will not be able to boot. (If
+ this happens, boot from the installation media again, mount the
+ target file system on `/mnt`, fix `/mnt/etc/nixos/configuration.nix`
+ and rerun `nixos-install`.) In most cases, `nixos-generate-config`
+ will figure out the required modules.
+ :::
+
+5. Do the installation:
+
+ ```ShellSession
+ # nixos-install
+ ```
+
+ This will install your system based on the configuration you
+ provided. If anything fails due to a configuration problem or any
+ other issue (such as a network outage while downloading binaries
+ from the NixOS binary cache), you can re-run `nixos-install` after
+ fixing your `configuration.nix`.
+
+ As the last step, `nixos-install` will ask you to set the password
+ for the `root` user, e.g.
+
+ ```plain
+ setting root password...
+ New password: ***
+ Retype new password: ***
+ ```
+
+ ::: {.note}
+ For unattended installations, it is possible to use
+ `nixos-install --no-root-passwd` in order to disable the password
+ prompt entirely.
+ :::
+
+6. If everything went well:
+
+ ```ShellSession
+ # reboot
+ ```
+
+7. You should now be able to boot into the installed NixOS. The GRUB
+ boot menu shows a list of *available configurations* (initially just
+ one). Every time you change the NixOS configuration (see [Changing
+ Configuration](#sec-changing-config)), a new item is added to the
+ menu. This allows you to easily roll back to a previous
+ configuration if something goes wrong.
+
+ You should log in and change the `root` password with `passwd`.
+
+ You'll probably want to create some user accounts as well, which can
+ be done with `useradd`:
+
+ ```ShellSession
+ $ useradd -c 'Eelco Dolstra' -m eelco
+ $ passwd eelco
+ ```
+
+ You may also want to install some software. This will be covered in
+ [](#sec-package-management).
+
+## Installation summary {#sec-installation-summary}
+
+To summarise, [Example: Commands for Installing NixOS on `/dev/sda`](#ex-install-sequence)
+shows a typical sequence of commands for installing NixOS on an empty hard
+drive (here `/dev/sda`). [Example: NixOS Configuration](#ex-config) shows a
+corresponding configuration Nix expression.
+
+::: {#ex-partition-scheme-MBR .example}
+::: {.title}
+**Example: Example partition schemes for NixOS on `/dev/sda` (MBR)**
+:::
+```ShellSession
+# parted /dev/sda -- mklabel msdos
+# parted /dev/sda -- mkpart primary 1MiB -8GiB
+# parted /dev/sda -- mkpart primary linux-swap -8GiB 100%
+```
+:::
+
+::: {#ex-partition-scheme-UEFI .example}
+::: {.title}
+**Example: Example partition schemes for NixOS on `/dev/sda` (UEFI)**
+:::
+```ShellSession
+# parted /dev/sda -- mklabel gpt
+# parted /dev/sda -- mkpart primary 512MiB -8GiB
+# parted /dev/sda -- mkpart primary linux-swap -8GiB 100%
+# parted /dev/sda -- mkpart ESP fat32 1MiB 512MiB
+# parted /dev/sda -- set 3 esp on
+```
+:::
+
+::: {#ex-install-sequence .example}
+::: {.title}
+**Example: Commands for Installing NixOS on `/dev/sda`**
+:::
+With a partitioned disk.
+
+```ShellSession
+# mkfs.ext4 -L nixos /dev/sda1
+# mkswap -L swap /dev/sda2
+# swapon /dev/sda2
+# mkfs.fat -F 32 -n boot /dev/sda3 # (for UEFI systems only)
+# mount /dev/disk/by-label/nixos /mnt
+# mkdir -p /mnt/boot # (for UEFI systems only)
+# mount /dev/disk/by-label/boot /mnt/boot # (for UEFI systems only)
+# nixos-generate-config --root /mnt
+# nano /mnt/etc/nixos/configuration.nix
+# nixos-install
+# reboot
+```
+:::
+
+::: {#ex-config .example}
+::: {.title}
+**Example: NixOS Configuration**
+:::
+```ShellSession
+{ config, pkgs, ... }: {
+ imports = [
+ # Include the results of the hardware scan.
+ ./hardware-configuration.nix
+ ];
+
+ boot.loader.grub.device = "/dev/sda"; # (for BIOS systems only)
+ boot.loader.systemd-boot.enable = true; # (for UEFI systems only)
+
+ # Note: setting fileSystems is generally not
+ # necessary, since nixos-generate-config figures them out
+ # automatically in hardware-configuration.nix.
+ #fileSystems."/".device = "/dev/disk/by-label/nixos";
+
+ # Enable the OpenSSH server.
+ services.sshd.enable = true;
+}
+```
+:::
+
+## Additional installation notes {#sec-installation-additional-notes}
+
+```{=docbook}
+
+
+
+
+
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing.xml
deleted file mode 100644
index ff2425e725e..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/installing.xml
+++ /dev/null
@@ -1,616 +0,0 @@
-
- Installing NixOS
-
- Booting the system
-
-
- NixOS can be installed on BIOS or UEFI systems. The procedure for a UEFI
- installation is by and large the same as a BIOS installation. The
- differences are mentioned in the steps that follow.
-
-
-
- The installation media can be burned to a CD, or now more commonly, "burned"
- to a USB drive (see ).
-
-
-
- The installation media contains a basic NixOS installation. When it’s
- finished booting, it should have detected most of your hardware.
-
-
-
- The NixOS manual is available by running nixos-help .
-
-
-
- You are logged-in automatically as nixos .
- The nixos user account has an empty password so you
- can use sudo without a password.
-
-
-
- If you downloaded the graphical ISO image, you can run systemctl
- start display-manager to start the desktop environment. If you want to continue on the
- terminal, you can use loadkeys to switch to your
- preferred keyboard layout. (We even provide neo2 via loadkeys de
- neo !)
-
-
-
- If the text is too small to be legible, try setfont ter-v32n
- to increase the font size.
-
-
-
- To install over a serial port connect with 115200n8
- (e.g. picocom -b 115200 /dev/ttyUSB0 ). When the
- bootloader lists boot entries, select the serial console boot entry.
-
-
-
- Networking in the installer
-
-
- The boot process should have brought up networking (check ip
- a ). Networking is necessary for the installer, since it will
- download lots of stuff (such as source tarballs or Nixpkgs channel
- binaries). It’s best if you have a DHCP server on your network. Otherwise
- configure networking manually using ifconfig .
-
-
-
- On the graphical installer, you can configure the network, wifi included,
- through NetworkManager. Using the nmtui program, you
- can do so even in a non-graphical session. If you prefer to configure the
- network manually, disable NetworkManager with
- systemctl stop NetworkManager .
-
-
-
- On the minimal installer, NetworkManager is not available, so configuration
- must be perfomed manually. To configure the wifi, first start wpa_supplicant
- with sudo systemctl start wpa_supplicant , then run
- wpa_cli . For most home networks, you need to type
- in the following commands:
-
-> add_network
-0
-> set_network 0 ssid "myhomenetwork"
-OK
-> set_network 0 psk "mypassword"
-OK
-> set_network 0 key_mgmt WPA-PSK
-OK
-> enable_network 0
-OK
-
- For enterprise networks, for example eduroam , instead do:
-
-> add_network
-0
-> set_network 0 ssid "eduroam"
-OK
-> set_network 0 identity "myname@example.com"
-OK
-> set_network 0 password "mypassword"
-OK
-> set_network 0 key_mgmt WPA-EAP
-OK
-> enable_network 0
-OK
-
- When successfully connected, you should see a line such as this one
-
-<3>CTRL-EVENT-CONNECTED - Connection to 32:85:ab:ef:24:5c completed [id=0 id_str=]
-
- you can now leave wpa_cli by typing quit .
-
-
-
- If you would like to continue the installation from a different machine you
- can use activated SSH daemon. You need to copy your ssh key to either
- /home/nixos/.ssh/authorized_keys or
- /root/.ssh/authorized_keys (Tip: For installers with a
- modifiable filesystem such as the sd-card installer image a key can be manually
- placed by mounting the image on a different machine). Alternatively you must set
- a password for either root or nixos with
- passwd to be able to login.
-
-
-
-
- Partitioning and formatting
-
-
- The NixOS installer doesn’t do any partitioning or formatting, so you need
- to do that yourself.
-
-
-
- The NixOS installer ships with multiple partitioning tools. The examples
- below use parted , but also provides
- fdisk , gdisk ,
- cfdisk , and cgdisk .
-
-
-
- The recommended partition scheme differs depending if the computer uses
- Legacy Boot or UEFI .
-
-
-
- UEFI (GPT)
-
-
- Here's an example partition scheme for UEFI, using
- /dev/sda as the device.
-
-
- You can safely ignore parted 's informational message
- about needing to update /etc/fstab.
-
-
-
-
-
-
-
-
- Create a GPT partition table.
-# parted /dev/sda -- mklabel gpt
-
-
-
-
- Add the root partition. This will fill the disk
- except for the end part, where the swap will live, and the space left in
- front (512MiB) which will be used by the boot partition.
-# parted /dev/sda -- mkpart primary 512MiB -8GiB
-
-
-
-
- Next, add a swap partition. The size required will
- vary according to needs, here a 8GiB one is created.
-# parted /dev/sda -- mkpart primary linux-swap -8GiB 100%
-
-
- The swap partition size rules are no different than for other Linux
- distributions.
-
-
-
-
-
-
- Finally, the boot partition. NixOS by default uses
- the ESP (EFI system partition) as its /boot
- partition. It uses the initially reserved 512MiB at the start of the
- disk.
-# parted /dev/sda -- mkpart ESP fat32 1MiB 512MiB
-# parted /dev/sda -- set 3 esp on
-
-
-
-
-
-
- Once complete, you can follow with
- .
-
-
-
-
- Legacy Boot (MBR)
-
-
- Here's an example partition scheme for Legacy Boot, using
- /dev/sda as the device.
-
-
- You can safely ignore parted 's informational message
- about needing to update /etc/fstab.
-
-
-
-
-
-
-
-
- Create a MBR partition table.
-# parted /dev/sda -- mklabel msdos
-
-
-
-
- Add the root partition. This will fill the the disk
- except for the end part, where the swap will live.
-# parted /dev/sda -- mkpart primary 1MiB -8GiB
-
-
-
-
- Finally, add a swap partition. The size required
- will vary according to needs, here a 8GiB one is created.
-# parted /dev/sda -- mkpart primary linux-swap -8GiB 100%
-
-
- The swap partition size rules are no different than for other Linux
- distributions.
-
-
-
-
-
-
-
-
- Once complete, you can follow with
- .
-
-
-
-
- Formatting
-
-
- Use the following commands:
-
-
-
- For initialising Ext4 partitions: mkfs.ext4 . It is
- recommended that you assign a unique symbolic label to the file system
- using the option -L label ,
- since this makes the file system configuration independent from device
- changes. For example:
-
-# mkfs.ext4 -L nixos /dev/sda1
-
-
-
-
- For creating swap partitions: mkswap . Again it’s
- recommended to assign a label to the swap partition: -L
- label . For example:
-
-# mkswap -L swap /dev/sda2
-
-
-
-
-
-
- UEFI systems
-
-
-
- For creating boot partitions: mkfs.fat . Again
- it’s recommended to assign a label to the boot partition:
- -n label . For example:
-
-# mkfs.fat -F 32 -n boot /dev/sda3
-
-
-
-
-
-
-
- For creating LVM volumes, the LVM commands, e.g.,
- pvcreate , vgcreate , and
- lvcreate .
-
-
-
-
- For creating software RAID devices, use mdadm .
-
-
-
-
-
-
-
- Installing
-
-
-
-
- Mount the target file system on which NixOS should be installed on
- /mnt , e.g.
-
-# mount /dev/disk/by-label/nixos /mnt
-
-
-
-
-
-
-
- UEFI systems
-
-
-
- Mount the boot file system on /mnt/boot , e.g.
-
-# mkdir -p /mnt/boot
-# mount /dev/disk/by-label/boot /mnt/boot
-
-
-
-
-
-
-
-
- If your machine has a limited amount of memory, you may want to activate
- swap devices now (swapon
- device ). The installer (or rather,
- the build actions that it may spawn) may need quite a bit of RAM,
- depending on your configuration.
-
-# swapon /dev/sda2
-
-
-
-
- You now need to create a file
- /mnt/etc/nixos/configuration.nix that specifies the
- intended configuration of the system. This is because NixOS has a
- declarative configuration model: you create or edit a
- description of the desired configuration of your system, and then NixOS
- takes care of making it happen. The syntax of the NixOS configuration file
- is described in , while a list
- of available configuration options appears in
- . A minimal example is shown in
- .
-
-
- The command nixos-generate-config can generate an
- initial configuration file for you:
-
-# nixos-generate-config --root /mnt
- You should then edit /mnt/etc/nixos/configuration.nix
- to suit your needs:
-
-# nano /mnt/etc/nixos/configuration.nix
-
- If you’re using the graphical ISO image, other editors may be available
- (such as vim ). If you have network access, you can also
- install other editors — for instance, you can install Emacs by running
- nix-env -f '<nixpkgs>' -iA emacs .
-
-
-
-
- BIOS systems
-
-
-
- You must set the option
- to specify on which disk
- the GRUB boot loader is to be installed. Without it, NixOS cannot boot.
-
-
-
-
-
- UEFI systems
-
-
-
- You must set the option
- to
- true . nixos-generate-config
- should do this automatically for new configurations when booted in UEFI
- mode.
-
-
- You may want to look at the options starting with
- boot.loader.efi
- and
- boot.loader.systemd-boot
- as well.
-
-
-
-
-
- If there are other operating systems running on the machine before
- installing NixOS, the
- option can be set to true to automatically add them to
- the grub menu.
-
-
- If you need to configure networking for your machine the configuration
- options are described in . In particular,
- while wifi is supported on the installation image, it is not enabled by
- default in the configuration generated by
- nixos-generate-config .
-
-
- Another critical option is fileSystems , specifying the
- file systems that need to be mounted by NixOS. However, you typically
- don’t need to set it yourself, because
- nixos-generate-config sets it automatically in
- /mnt/etc/nixos/hardware-configuration.nix from your
- currently mounted file systems. (The configuration file
- hardware-configuration.nix is included from
- configuration.nix and will be overwritten by future
- invocations of nixos-generate-config ; thus, you
- generally should not modify it.) Additionally, you may want to look at
- Hardware
- configuration for known-hardware at this point or after
- installation.
-
-
-
-
- Depending on your hardware configuration or type of file system, you may
- need to set the option boot.initrd.kernelModules to
- include the kernel modules that are necessary for mounting the root file
- system, otherwise the installed system will not be able to boot. (If this
- happens, boot from the installation media again, mount the target file
- system on /mnt , fix
- /mnt/etc/nixos/configuration.nix and rerun
- nixos-install .) In most cases,
- nixos-generate-config will figure out the required
- modules.
-
-
-
-
-
- Do the installation:
-
-# nixos-install
- This will install your system based on the configuration you provided.
- If anything fails due to a configuration problem or any other issue
- (such as a network outage while downloading binaries from the NixOS
- binary cache), you can re-run nixos-install after
- fixing your configuration.nix .
-
-
- As the last step, nixos-install will ask you to set the
- password for the root user, e.g.
-
-setting root password...
-New password: ***
-Retype new password: ***
-
-
- For unattended installations, it is possible to use
- nixos-install --no-root-passwd in order to disable
- the password prompt entirely.
-
-
-
-
-
-
- If everything went well:
-
-# reboot
-
-
-
-
- You should now be able to boot into the installed NixOS. The GRUB boot
- menu shows a list of available configurations
- (initially just one). Every time you change the NixOS configuration (see
- Changing Configuration
- ), a new item is added to the menu. This allows you to easily roll back to
- a previous configuration if something goes wrong.
-
-
- You should log in and change the root password with
- passwd .
-
-
- You’ll probably want to create some user accounts as well, which can be
- done with useradd :
-
-$ useradd -c 'Eelco Dolstra' -m eelco
-$ passwd eelco
-
-
- You may also want to install some software. This will be covered
- in .
-
-
-
-
-
- Installation summary
-
-
- To summarise, shows a typical
- sequence of commands for installing NixOS on an empty hard drive (here
- /dev/sda ). shows a
- corresponding configuration Nix expression.
-
-
-
- Example partition schemes for NixOS on /dev/sda (MBR)
-
-# parted /dev/sda -- mklabel msdos
-# parted /dev/sda -- mkpart primary 1MiB -8GiB
-# parted /dev/sda -- mkpart primary linux-swap -8GiB 100%
-
-
-
- Example partition schemes for NixOS on /dev/sda (UEFI)
-
-# parted /dev/sda -- mklabel gpt
-# parted /dev/sda -- mkpart primary 512MiB -8GiB
-# parted /dev/sda -- mkpart primary linux-swap -8GiB 100%
-# parted /dev/sda -- mkpart ESP fat32 1MiB 512MiB
-# parted /dev/sda -- set 3 esp on
-
-
-
- Commands for Installing NixOS on /dev/sda
-
- With a partitioned disk.
-
-# mkfs.ext4 -L nixos /dev/sda1
-# mkswap -L swap /dev/sda2
-# swapon /dev/sda2
-# mkfs.fat -F 32 -n boot /dev/sda3 # (for UEFI systems only)
-# mount /dev/disk/by-label/nixos /mnt
-# mkdir -p /mnt/boot # (for UEFI systems only)
-# mount /dev/disk/by-label/boot /mnt/boot # (for UEFI systems only)
-# nixos-generate-config --root /mnt
-# nano /mnt/etc/nixos/configuration.nix
-# nixos-install
-# reboot
-
-
-
-
- NixOS Configuration
-
-{ config, pkgs, ... }: {
- imports = [
- # Include the results of the hardware scan.
- ./hardware-configuration.nix
- ];
-
- = "/dev/sda"; # (for BIOS systems only)
- = true; # (for UEFI systems only)
-
- # Note: setting fileSystems is generally not
- # necessary, since nixos-generate-config figures them out
- # automatically in hardware-configuration.nix.
- # fileSystems."/".device = "/dev/disk/by-label/nixos";
-
- # Enable the OpenSSH server.
- services.sshd.enable = true;
-}
-
-
-
-
- Additional installation notes
-
-
-
-
-
-
-
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/obtaining.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/obtaining.chapter.md
new file mode 100644
index 00000000000..832ec6146a9
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/obtaining.chapter.md
@@ -0,0 +1,26 @@
+# Obtaining NixOS {#sec-obtaining}
+
+NixOS ISO images can be downloaded from the [NixOS download
+page](https://nixos.org/nixos/download.html). There are a number of
+installation options. If you happen to have an optical drive and a spare
+CD, burning the image to CD and booting from that is probably the
+easiest option. Most people will need to prepare a USB stick to boot
+from. [](#sec-booting-from-usb) describes the preferred method to
+prepare a USB stick. A number of alternative methods are presented in
+the [NixOS Wiki](https://nixos.wiki/wiki/NixOS_Installation_Guide#Making_the_installation_media).
+
+As an alternative to installing NixOS yourself, you can get a running
+NixOS system through several other means:
+
+- Using virtual appliances in Open Virtualization Format (OVF) that
+ can be imported into VirtualBox. These are available from the [NixOS
+ download page](https://nixos.org/nixos/download.html).
+
+- Using AMIs for Amazon's EC2. To find one for your region and
+ instance type, please refer to the [list of most recent
+ AMIs](https://github.com/NixOS/nixpkgs/blob/master/nixos/modules/virtualisation/ec2-amis.nix).
+
+- Using NixOps, the NixOS-based cloud deployment tool, which allows
+ you to provision VirtualBox and EC2 NixOS instances from declarative
+ specifications. Check out the [NixOps
+ homepage](https://nixos.org/nixops) for details.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/obtaining.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/obtaining.xml
deleted file mode 100644
index 3b8671782de..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/obtaining.xml
+++ /dev/null
@@ -1,54 +0,0 @@
-
- Obtaining NixOS
-
- NixOS ISO images can be downloaded from the
- NixOS download
- page. There are a number of installation options. If you happen to
- have an optical drive and a spare CD, burning the image to CD and booting
- from that is probably the easiest option. Most people will need to prepare a
- USB stick to boot from. describes the
- preferred method to prepare a USB stick. A number of alternative methods are
- presented in the
- NixOS
- Wiki.
-
-
- As an alternative to installing NixOS yourself, you can get a running NixOS
- system through several other means:
-
-
-
- Using virtual appliances in Open Virtualization Format (OVF) that can be
- imported into VirtualBox. These are available from the
- NixOS download
- page.
-
-
-
-
- Using AMIs for Amazon’s EC2. To find one for your region and instance
- type, please refer to the
- list
- of most recent AMIs.
-
-
-
-
- Using NixOps, the NixOS-based cloud deployment tool, which allows you to
- provision VirtualBox and EC2 NixOS instances from declarative
- specifications. Check out the
- NixOps homepage for
- details.
-
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/upgrading.chapter.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/upgrading.chapter.md
new file mode 100644
index 00000000000..b7903b9d3cb
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/upgrading.chapter.md
@@ -0,0 +1,118 @@
+# Upgrading NixOS {#sec-upgrading}
+
+The best way to keep your NixOS installation up to date is to use one of
+the NixOS *channels*. A channel is a Nix mechanism for distributing Nix
+expressions and associated binaries. The NixOS channels are updated
+automatically from NixOS's Git repository after certain tests have
+passed and all packages have been built. These channels are:
+
+- *Stable channels*, such as [`nixos-21.05`](https://nixos.org/channels/nixos-21.05).
+ These only get conservative bug fixes and package upgrades. For
+ instance, a channel update may cause the Linux kernel on your system
+ to be upgraded from 4.19.34 to 4.19.38 (a minor bug fix), but not
+ from 4.19.x to 4.20.x (a major change that has the potential to break things).
+ Stable channels are generally maintained until the next stable
+ branch is created.
+
+- The *unstable channel*, [`nixos-unstable`](https://nixos.org/channels/nixos-unstable).
+ This corresponds to NixOS's main development branch, and may thus see
+ radical changes between channel updates. It's not recommended for
+ production systems.
+
+- *Small channels*, such as [`nixos-21.05-small`](https://nixos.org/channels/nixos-21.05-small)
+ or [`nixos-unstable-small`](https://nixos.org/channels/nixos-unstable-small).
+ These are identical to the stable and unstable channels described above,
+ except that they contain fewer binary packages. This means they get updated
+ faster than the regular channels (for instance, when a critical security patch
+ is committed to NixOS's source tree), but may require more packages to be
+ built from source than usual. They're mostly intended for server environments
+ and as such contain few GUI applications.
+
+To see what channels are available, go to .
+(Note that the URIs of the various channels redirect to a directory that
+contains the channel's latest version and includes ISO images and
+VirtualBox appliances.) Please note that during the release process,
+channels that are not yet released will be present here as well. See the
+Getting NixOS page to find the
+newest supported stable release.
+
+When you first install NixOS, you're automatically subscribed to the
+NixOS channel that corresponds to your installation source. For
+instance, if you installed from a 21.05 ISO, you will be subscribed to
+the `nixos-21.05` channel. To see which NixOS channel you're subscribed
+to, run the following as root:
+
+```ShellSession
+# nix-channel --list | grep nixos
+nixos https://nixos.org/channels/nixos-unstable
+```
+
+To switch to a different NixOS channel, do
+
+```ShellSession
+# nix-channel --add https://nixos.org/channels/channel-name nixos
+```
+
+(Be sure to include the `nixos` parameter at the end.) For instance, to
+use the NixOS 21.05 stable channel:
+
+```ShellSession
+# nix-channel --add https://nixos.org/channels/nixos-21.05 nixos
+```
+
+If you have a server, you may want to use the "small" channel instead:
+
+```ShellSession
+# nix-channel --add https://nixos.org/channels/nixos-21.05-small nixos
+```
+
+And if you want to live on the bleeding edge:
+
+```ShellSession
+# nix-channel --add https://nixos.org/channels/nixos-unstable nixos
+```
+
+You can then upgrade NixOS to the latest version in your chosen channel
+by running
+
+```ShellSession
+# nixos-rebuild switch --upgrade
+```
+
+which is equivalent to the more verbose `nix-channel --update nixos; nixos-rebuild switch`.
+
+::: {.note}
+Channels are set per user. This means that running `nix-channel --add`
+as a non root user (or without sudo) will not affect
+configuration in `/etc/nixos/configuration.nix`
+:::
+
+::: {.warning}
+It is generally safe to switch back and forth between channels. The only
+exception is that a newer NixOS may also have a newer Nix version, which
+may involve an upgrade of Nix's database schema. This cannot be undone
+easily, so in that case you will not be able to go back to your original
+channel.
+:::
+
+## Automatic Upgrades {#sec-upgrading-automatic}
+
+You can keep a NixOS system up-to-date automatically by adding the
+following to `configuration.nix`:
+
+```nix
+system.autoUpgrade.enable = true;
+system.autoUpgrade.allowReboot = true;
+```
+
+This enables a periodically executed systemd service named
+`nixos-upgrade.service`. If the `allowReboot` option is `false`, it runs
+`nixos-rebuild switch --upgrade` to upgrade NixOS to the latest version
+in the current channel. (To see when the service runs, see `systemctl list-timers`.)
+If `allowReboot` is `true`, then the system will automatically reboot if
+the new generation contains a different kernel, initrd or kernel
+modules. You can also specify a channel explicitly, e.g.
+
+```nix
+system.autoUpgrade.channel = https://nixos.org/channels/nixos-21.05;
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/upgrading.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/upgrading.xml
deleted file mode 100644
index 960d4fa9a43..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/installation/upgrading.xml
+++ /dev/null
@@ -1,139 +0,0 @@
-
- Upgrading NixOS
-
- The best way to keep your NixOS installation up to date is to use one of the
- NixOS channels . A channel is a Nix mechanism for
- distributing Nix expressions and associated binaries. The NixOS channels are
- updated automatically from NixOS’s Git repository after certain tests have
- passed and all packages have been built. These channels are:
-
-
-
- Stable channels , such as
- nixos-21.05 .
- These only get conservative bug fixes and package upgrades. For instance,
- a channel update may cause the Linux kernel on your system to be upgraded
- from 4.19.34 to 4.19.38 (a minor bug fix), but not from
- 4.19.x to 4.20.x (a
- major change that has the potential to break things). Stable channels are
- generally maintained until the next stable branch is created.
-
-
-
-
-
- The unstable channel ,
- nixos-unstable .
- This corresponds to NixOS’s main development branch, and may thus see
- radical changes between channel updates. It’s not recommended for
- production systems.
-
-
-
-
- Small channels , such as
- nixos-21.05-small
- or
- nixos-unstable-small .
- These are identical to the stable and unstable channels described above,
- except that they contain fewer binary packages. This means they get
- updated faster than the regular channels (for instance, when a critical
- security patch is committed to NixOS’s source tree), but may require
- more packages to be built from source than usual. They’re mostly
- intended for server environments and as such contain few GUI applications.
-
-
-
- To see what channels are available, go to
- . (Note that the URIs of the
- various channels redirect to a directory that contains the channel’s latest
- version and includes ISO images and VirtualBox appliances.) Please note that
- during the release process, channels that are not yet released will be
- present here as well. See the Getting NixOS page
- to find the newest
- supported stable release.
-
-
- When you first install NixOS, you’re automatically subscribed to the NixOS
- channel that corresponds to your installation source. For instance, if you
- installed from a 21.05 ISO, you will be subscribed to the
- nixos-21.05 channel. To see which NixOS channel you’re
- subscribed to, run the following as root:
-
-# nix-channel --list | grep nixos
-nixos https://nixos.org/channels/nixos-unstable
-
- To switch to a different NixOS channel, do
-
-# nix-channel --add https://nixos.org/channels/channel-name nixos
-
- (Be sure to include the nixos parameter at the end.) For
- instance, to use the NixOS 21.05 stable channel:
-
-# nix-channel --add https://nixos.org/channels/nixos-21.05 nixos
-
- If you have a server, you may want to use the “small” channel instead:
-
-# nix-channel --add https://nixos.org/channels/nixos-21.05-small nixos
-
- And if you want to live on the bleeding edge:
-
-# nix-channel --add https://nixos.org/channels/nixos-unstable nixos
-
-
-
- You can then upgrade NixOS to the latest version in your chosen channel by
- running
-
-# nixos-rebuild switch --upgrade
-
- which is equivalent to the more verbose nix-channel --update nixos;
- nixos-rebuild switch .
-
-
-
- Channels are set per user. This means that running nix-channel
- --add as a non root user (or without sudo) will not affect
- configuration in /etc/nixos/configuration.nix
-
-
-
-
- It is generally safe to switch back and forth between channels. The only
- exception is that a newer NixOS may also have a newer Nix version, which may
- involve an upgrade of Nix’s database schema. This cannot be undone easily,
- so in that case you will not be able to go back to your original channel.
-
-
-
- Automatic Upgrades
-
-
- You can keep a NixOS system up-to-date automatically by adding the following
- to configuration.nix :
-
- = true;
- = true;
-
- This enables a periodically executed systemd service named
- nixos-upgrade.service . If the allowReboot
- option is false , it runs nixos-rebuild switch
- --upgrade to upgrade NixOS to the latest version in the current
- channel. (To see when the service runs, see systemctl list-timers .)
- If allowReboot is true , then the
- system will automatically reboot if the new generation contains a different
- kernel, initrd or kernel modules.
- You can also specify a channel explicitly, e.g.
-
- = https://nixos.org/channels/nixos-21.05;
-
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/man-nixos-rebuild.xml b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/man-nixos-rebuild.xml
index 8c34ea7458e..0e0ea5d74b0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/man-nixos-rebuild.xml
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/man-nixos-rebuild.xml
@@ -551,6 +551,22 @@
+
+
+ --use-substitutes
+
+
+
+ When set, nixos-rebuild will add --use-substitutes
+ to each invocation of nix-copy-closure. This will only affect the
+ behavior of nixos-rebuild if --target-host or
+ --build-host is also set. This is useful when
+ the target-host connection to cache.nixos.org is faster than the
+ connection between hosts.
+
+
+
+
--use-remote-sudo
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/md-to-db.sh b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/md-to-db.sh
index 7949b42e8d8..e0274f5619c 100755
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/md-to-db.sh
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/md-to-db.sh
@@ -6,14 +6,20 @@
# into DocBook files in the from_md folder.
DIR="$( cd "$( dirname "${BASH_SOURCE[0]}" )" >/dev/null 2>&1 && pwd )"
-pushd $DIR
+pushd "$DIR"
# NOTE: Keep in sync with Nixpkgs manual (/doc/Makefile).
# TODO: Remove raw-attribute when we can get rid of DocBook altogether.
pandoc_commonmark_enabled_extensions=+attributes+fenced_divs+footnotes+bracketed_spans+definition_lists+pipe_tables+raw_attribute
pandoc_flags=(
- # media extraction and diagram-generator.lua not needed
- "--lua-filter=$DIR/../../../doc/labelless-link-is-xref.lua"
+ # Not needed:
+ # - diagram-generator.lua (we do not support that in NixOS manual to limit dependencies)
+ # - media extraction (was only required for diagram generator)
+ # - docbook-reader/citerefentry-to-rst-role.lua (only relevant for DocBook → MarkDown/rST/MyST)
+ "--lua-filter=$DIR/../../../doc/build-aux/pandoc-filters/myst-reader/roles.lua"
+ "--lua-filter=$DIR/../../../doc/build-aux/pandoc-filters/link-unix-man-references.lua"
+ "--lua-filter=$DIR/../../../doc/build-aux/pandoc-filters/docbook-writer/rst-roles.lua"
+ "--lua-filter=$DIR/../../../doc/build-aux/pandoc-filters/docbook-writer/labelless-link-is-xref.lua"
-f "commonmark${pandoc_commonmark_enabled_extensions}+smart"
-t docbook
)
@@ -23,16 +29,20 @@ mapfile -t MD_FILES < <(find . -type f -regex '.*\.md$')
for mf in ${MD_FILES[*]}; do
if [ "${mf: -11}" == ".section.md" ]; then
- mkdir -p $(dirname "$OUT/$mf")
+ mkdir -p "$(dirname "$OUT/$mf")"
+ OUTFILE="$OUT/${mf%".section.md"}.section.xml"
pandoc "$mf" "${pandoc_flags[@]}" \
- -o "$OUT/${mf%".section.md"}.section.xml"
+ -o "$OUTFILE"
+ grep -q -m 1 "xi:include" "$OUTFILE" && sed -i 's|xmlns:xlink="http://www.w3.org/1999/xlink"| xmlns:xlink="http://www.w3.org/1999/xlink" xmlns:xi="http://www.w3.org/2001/XInclude"|' "$OUTFILE"
fi
if [ "${mf: -11}" == ".chapter.md" ]; then
- mkdir -p $(dirname "$OUT/$mf")
+ mkdir -p "$(dirname "$OUT/$mf")"
+ OUTFILE="$OUT/${mf%".chapter.md"}.chapter.xml"
pandoc "$mf" "${pandoc_flags[@]}" \
--top-level-division=chapter \
- -o "$OUT/${mf%".chapter.md"}.chapter.xml"
+ -o "$OUTFILE"
+ grep -q -m 1 "xi:include" "$OUTFILE" && sed -i 's|xmlns:xlink="http://www.w3.org/1999/xlink"| xmlns:xlink="http://www.w3.org/1999/xlink" xmlns:xi="http://www.w3.org/2001/XInclude"|' "$OUTFILE"
fi
done
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/release-notes/rl-2009.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/release-notes/rl-2009.section.md
index 48059ab07f5..79be2a56a54 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/release-notes/rl-2009.section.md
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/release-notes/rl-2009.section.md
@@ -578,7 +578,9 @@ When upgrading from a previous release, please be aware of the following incompa
- `services.journald.rateLimitBurst` was updated from `1000` to `10000` to follow the new upstream systemd default.
-- The notmuch package move its emacs-related binaries and emacs lisp files to a separate output. They\'re not part of the default `out` output anymore - if you relied on the `notmuch-emacs-mua` binary or the emacs lisp files, access them via the `notmuch.emacs` output. Device tree overlay support was improved in [\#79370](https://github.com/NixOS/nixpkgs/pull/79370) and now uses [hardware.deviceTree.kernelPackage](options.html#opt-hardware.deviceTree.kernelPackage) instead of `hardware.deviceTree.base`. [hardware.deviceTree.overlays](options.html#opt-hardware.deviceTree.overlays) configuration was extended to support `.dts` files with symbols. Device trees can now be filtered by setting [hardware.deviceTree.filter](options.html#opt-hardware.deviceTree.filter) option.
+- The notmuch package moves its emacs-related binaries and emacs lisp files to a separate output. They\'re not part of the default `out` output anymore - if you relied on the `notmuch-emacs-mua` binary or the emacs lisp files, access them via the `notmuch.emacs` output.
+
+- Device tree overlay support was improved in [\#79370](https://github.com/NixOS/nixpkgs/pull/79370) and now uses [hardware.deviceTree.kernelPackage](options.html#opt-hardware.deviceTree.kernelPackage) instead of `hardware.deviceTree.base`. [hardware.deviceTree.overlays](options.html#opt-hardware.deviceTree.overlays) configuration was extended to support `.dts` files with symbols. Device trees can now be filtered by setting [hardware.deviceTree.filter](options.html#opt-hardware.deviceTree.filter) option.
- The default output of `buildGoPackage` is now `$out` instead of `$bin`.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/release-notes/rl-2111.section.md b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/release-notes/rl-2111.section.md
index c7e5afb7d0a..a598257f36c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/release-notes/rl-2111.section.md
+++ b/infra/libkookie/nixpkgs/unstable/nixos/doc/manual/release-notes/rl-2111.section.md
@@ -7,23 +7,46 @@ In addition to numerous new and upgraded packages, this release has the followin
## Highlights {#sec-release-21.11-highlights}
- PHP now defaults to PHP 8.0, updated from 7.4.
-- kOps now defaults to 1.21.0, which uses containerd as the default runtime.
+
+- kOps now defaults to 1.21.1, which uses containerd as the default runtime.
- `python3` now defaults to Python 3.9, updated from Python 3.8.
- PostgreSQL now defaults to major version 13.
+- spark now defaults to spark 3, updated from 2. A [migration guide](https://spark.apache.org/docs/latest/core-migration-guide.html#upgrading-from-core-24-to-30) is available.
+
+- Activation scripts can now opt int to be run when running `nixos-rebuild dry-activate` and detect the dry activation by reading `$NIXOS_ACTION`.
+ This allows activation scripts to output what they would change if the activation was really run.
+ The users/modules activation script supports this and outputs some of is actions.
+
+- KDE Plasma now finally works on Wayland.
+
+- bash now defaults to major version 5.
+
+- Systemd was updated to version 249 (from 247).
+
+- Pantheon desktop has been updated to version 6. Due to changes of screen locker, if locking doesn't work for you, please try `gsettings set org.gnome.desktop.lockdown disable-lock-screen false`.
+
+- `kubernetes-helm` now defaults to 3.7.0, which introduced some breaking changes to the experimental OCI manifest format. See [HIP 6](https://github.com/helm/community/blob/main/hips/hip-0006.md) for more details.
+ `helmfile` also defaults to 0.141.0, which is the minimum compatible version.
+
+- GNOME has been upgraded to 41. Please take a look at their [Release Notes](https://help.gnome.org/misc/release-notes/41.0/) for details.
+
## New Services {#sec-release-21.11-new-services}
- [btrbk](https://digint.ch/btrbk/index.html), a backup tool for btrfs subvolumes, taking advantage of btrfs specific capabilities to create atomic snapshots and transfer them incrementally to your backup locations. Available as [services.btrbk](options.html#opt-services.brtbk.instances).
-- [clipcat](https://github.com/xrelkd/clipcat/), an X11 clipboard manager written in Rust. Available at [services.clipcat](options.html#o
-pt-services.clipcat.enable).
+- [clipcat](https://github.com/xrelkd/clipcat/), an X11 clipboard manager written in Rust. Available at [services.clipcat](options.html#opt-services.clipcat.enable).
+
+- [dex](https://github.com/dexidp/dex), an OpenID Connect (OIDC) identity and OAuth 2.0 provider. Available at [services.dex](options.html#opt-services.dex.enable).
- [geoipupdate](https://github.com/maxmind/geoipupdate), a GeoIP database updater from MaxMind. Available as [services.geoipupdate](options.html#opt-services.geoipupdate.enable).
- [Kea](https://www.isc.org/kea/), ISCs 2nd generation DHCP and DDNS server suite. Available at [services.kea](options.html#opt-services.kea).
+- [owncast](https://owncast.online/), self-hosted video live streaming solution. Available at [services.owncast](options.html#opt-services.owncast).
+
- [sourcehut](https://sr.ht), a collection of tools useful for software development. Available as [services.sourcehut](options.html#opt-services.sourcehut.enable).
- [ucarp](https://download.pureftpd.org/pub/ucarp/README), an userspace implementation of the Common Address Redundancy Protocol (CARP). Available as [networking.ucarp](options.html#opt-networking.ucarp.enable).
@@ -32,6 +55,8 @@ pt-services.clipcat.enable).
- [vikunja](https://vikunja.io), a to-do list app. Available as [services.vikunja](#opt-services.vikunja.enable).
+- [opensnitch](https://github.com/evilsocket/opensnitch), an application firewall. Available as [services.opensnitch](#opt-services.opensnitch.enable).
+
- [snapraid](https://www.snapraid.it/), a backup program for disk arrays.
Available as [snapraid](#opt-snapraid.enable).
@@ -53,8 +78,8 @@ pt-services.clipcat.enable).
- [isso](https://posativ.org/isso/), a commenting server similar to Disqus.
Available as [isso](#opt-services.isso.enable)
-* [navidrome](https://www.navidrome.org/), a personal music streaming server with
-subsonic-compatible api. Available as [navidrome](#opt-services.navidrome.enable).
+- [navidrome](https://www.navidrome.org/), a personal music streaming server with
+ subsonic-compatible api. Available as [navidrome](#opt-services.navidrome.enable).
- [fluidd](https://docs.fluidd.xyz/), a Klipper web interface for managing 3d printers using moonraker. Available as [fluidd](#opt-services.fluidd.enable).
@@ -62,8 +87,35 @@ subsonic-compatible api. Available as [navidrome](#opt-services.navidrome.enable
- [postfixadmin](https://postfixadmin.sourceforge.io/), a web based virtual user administration interface for Postfix mail servers. Available as [postfixadmin](#opt-services.postfixadmin.enable).
+- [prowlarr](https://wiki.servarr.com/prowlarr), an indexer manager/proxy built on the popular arr .net/reactjs base stack [services.prowlarr](#opt-services.prowlarr.enable).
+
+- [soju](https://sr.ht/~emersion/soju), a user-friendly IRC bouncer. Available as [services.soju](options.html#opt-services.soju.enable).
+
+- [nats](https://nats.io/), a high performance cloud and edge messaging system. Available as [services.nats](#opt-services.nats.enable).
+
+- [git](https://git-scm.com), a distributed version control system. Available as [programs.git](options.html#opt-programs.git.enable).
+
+- [parsedmarc](https://domainaware.github.io/parsedmarc/), a service
+ which parses incoming [DMARC](https://dmarc.org/) reports and stores
+ or sends them to a downstream service for further analysis.
+ Documented in [its manual entry](#module-services-parsedmarc).
+
+- [spark](https://spark.apache.org/), a unified analytics engine for large-scale data processing.
+
+- [touchegg](https://github.com/JoseExposito/touchegg), a multi-touch gesture recognizer. Available as [services.touchegg](#opt-services.touchegg.enable).
+
+- [pantheon-tweaks](https://github.com/pantheon-tweaks/pantheon-tweaks), an unofficial system settings panel for Pantheon. Available as [programs.pantheon-tweaks](#opt-programs.pantheon-tweaks.enable).
+
+- [joycond](https://github.com/DanielOgorchock/joycond), a service that uses `hid-nintendo` to provide nintendo joycond pairing and better nintendo switch pro controller support.
+
+- [multipath](https://github.com/opensvc/multipath-tools), the device mapper multipath (DM-MP) daemon. Available as [services.multipath](#opt-services.multipath.enable).
+
## Backward Incompatibilities {#sec-release-21.11-incompatibilities}
+- The `services.wakeonlan` option was removed, and replaced with `networking.interfaces..wakeOnLan`.
+
+- The `security.wrappers` option now requires to always specify an owner, group and whether the setuid/setgid bit should be set.
+ This is motivated by the fact that before NixOS 21.11, specifying either setuid or setgid but not owner/group resulted in wrappers owned by nobody/nogroup, which is unsafe.
- The `paperless` module and package have been removed. All users should migrate to the
successor `paperless-ng` instead. The Paperless project [has been
@@ -71,46 +123,49 @@ subsonic-compatible api. Available as [navidrome](#opt-services.navidrome.enable
and advises all users to use `paperless-ng` instead.
Users can use the `services.paperless-ng` module as a replacement while noting the following incompatibilities:
- - `services.paperless.ocrLanguages` has no replacement. Users should migrate to [`services.paperless-ng.extraConfig`](options.html#opt-services.paperless-ng.extraConfig) instead:
- ```nix
- {
- services.paperless-ng.extraConfig = {
- # Provide languages as ISO 639-2 codes
- # separated by a plus (+) sign.
- # https://en.wikipedia.org/wiki/List_of_ISO_639-2_codes
- PAPERLESS_OCR_LANGUAGE = "deu+eng+jpn"; # German & English & Japanse
- };
- }
- ```
-
- - If you previously specified `PAPERLESS_CONSUME_MAIL_*` settings in
- `services.paperless.extraConfig` you should remove those options now. You
- now *must* define those settings in the admin interface of paperless-ng.
-
- - Option `services.paperless.manage` no longer exists.
- Use the script at `${services.paperless-ng.dataDir}/paperless-ng-manage` instead.
- Note that this script only exists after the `paperless-ng` service has been
- started at least once.
-
- - After switching to the new system configuration you should run the Django
- management command to reindex your documents and optionally create a user,
- if you don't have one already.
-
- To do so, enter the data directory (the value of
- `services.paperless-ng.dataDir`, `/var/lib/paperless` by default), switch
- to the paperless user and execute the management command like below:
- ```
- $ cd /var/lib/paperless
- $ su paperless -s /bin/sh
- $ ./paperless-ng-manage document_index reindex
- # if not already done create a user account, paperless-ng requires a login
- $ ./paperless-ng-manage createsuperuser
- Username (leave blank to use 'paperless'): my-user-name
- Email address: me@example.com
- Password: **********
- Password (again): **********
- Superuser created successfully.
- ```
+
+ - `services.paperless.ocrLanguages` has no replacement. Users should migrate to [`services.paperless-ng.extraConfig`](options.html#opt-services.paperless-ng.extraConfig) instead:
+
+ ```nix
+ {
+ services.paperless-ng.extraConfig = {
+ # Provide languages as ISO 639-2 codes
+ # separated by a plus (+) sign.
+ # https://en.wikipedia.org/wiki/List_of_ISO_639-2_codes
+ PAPERLESS_OCR_LANGUAGE = "deu+eng+jpn"; # German & English & Japanse
+ };
+ }
+ ```
+
+ - If you previously specified `PAPERLESS_CONSUME_MAIL_*` settings in
+ `services.paperless.extraConfig` you should remove those options now. You
+ now _must_ define those settings in the admin interface of paperless-ng.
+
+ - Option `services.paperless.manage` no longer exists.
+ Use the script at `${services.paperless-ng.dataDir}/paperless-ng-manage` instead.
+ Note that this script only exists after the `paperless-ng` service has been
+ started at least once.
+
+ - After switching to the new system configuration you should run the Django
+ management command to reindex your documents and optionally create a user,
+ if you don't have one already.
+
+ To do so, enter the data directory (the value of
+ `services.paperless-ng.dataDir`, `/var/lib/paperless` by default), switch
+ to the paperless user and execute the management command like below:
+
+ ```
+ $ cd /var/lib/paperless
+ $ su paperless -s /bin/sh
+ $ ./paperless-ng-manage document_index reindex
+ # if not already done create a user account, paperless-ng requires a login
+ $ ./paperless-ng-manage createsuperuser
+ Username (leave blank to use 'paperless'): my-user-name
+ Email address: me@example.com
+ Password: **********
+ Password (again): **********
+ Superuser created successfully.
+ ```
- The `staticjinja` package has been upgraded from 1.0.4 to 4.1.0
@@ -120,6 +175,25 @@ subsonic-compatible api. Available as [navidrome](#opt-services.navidrome.enable
- The `erigon` ethereum node has moved it's database location in `2021-08-03`, users upgrading must manually move their chaindata (see [release notes](https://github.com/ledgerwatch/erigon/releases/tag/v2021.08.03)).
+- [users.users.<name>.group](options.html#opt-users.users._name_.group) no longer defaults to `nogroup`, which was insecure. Out-of-tree modules are likely to require adaptation: instead of
+ ```nix
+ {
+ users.users.foo = {
+ isSystemUser = true;
+ };
+ }
+ ```
+ also create a group for your user:
+ ```nix
+ {
+ users.users.foo = {
+ isSystemUser = true;
+ group = "foo";
+ };
+ users.groups.foo = {};
+ }
+ ```
+
- `services.geoip-updater` was broken and has been replaced by [services.geoipupdate](options.html#opt-services.geoipupdate.enable).
- PHP 7.3 is no longer supported due to upstream not supporting this version for the entire lifecycle of the 21.11 release.
@@ -188,28 +262,32 @@ subsonic-compatible api. Available as [navidrome](#opt-services.navidrome.enable
* The `bitwarden_rs` packages and modules were renamed to `vaultwarden`
[following upstream](https://github.com/dani-garcia/vaultwarden/discussions/1642). More specifically,
- * `pkgs.bitwarden_rs`, `pkgs.bitwarden_rs-sqlite`, `pkgs.bitwarden_rs-mysql` and
+ - `pkgs.bitwarden_rs`, `pkgs.bitwarden_rs-sqlite`, `pkgs.bitwarden_rs-mysql` and
`pkgs.bitwarden_rs-postgresql` were renamed to `pkgs.vaultwarden`, `pkgs.vaultwarden-sqlite`,
`pkgs.vaultwarden-mysql` and `pkgs.vaultwarden-postgresql`, respectively.
- * Old names are preserved as aliases for backwards compatibility, but may be removed in the future.
- * The `bitwarden_rs` executable was also renamed to `vaultwarden` in all packages.
- * `pkgs.bitwarden_rs-vault` was renamed to `pkgs.vaultwarden-vault`.
- * `pkgs.bitwarden_rs-vault` is preserved as an alias for backwards compatibility, but may be removed in the future.
- * The static files were moved from `/usr/share/bitwarden_rs` to `/usr/share/vaultwarden`.
+ - Old names are preserved as aliases for backwards compatibility, but may be removed in the future.
+ - The `bitwarden_rs` executable was also renamed to `vaultwarden` in all packages.
+
+ - `pkgs.bitwarden_rs-vault` was renamed to `pkgs.vaultwarden-vault`.
+
+ - `pkgs.bitwarden_rs-vault` is preserved as an alias for backwards compatibility, but may be removed in the future.
+ - The static files were moved from `/usr/share/bitwarden_rs` to `/usr/share/vaultwarden`.
+
+ - The `services.bitwarden_rs` config module was renamed to `services.vaultwarden`.
- * The `services.bitwarden_rs` config module was renamed to `services.vaultwarden`.
- * `services.bitwarden_rs` is preserved as an alias for backwards compatibility, but may be removed in the future.
+ - `services.bitwarden_rs` is preserved as an alias for backwards compatibility, but may be removed in the future.
- * `systemd.services.bitwarden_rs`, `systemd.services.backup-bitwarden_rs` and `systemd.timers.backup-bitwarden_rs`
+ - `systemd.services.bitwarden_rs`, `systemd.services.backup-bitwarden_rs` and `systemd.timers.backup-bitwarden_rs`
were renamed to `systemd.services.vaultwarden`, `systemd.services.backup-vaultwarden` and
`systemd.timers.backup-vaultwarden`, respectively.
- * Old names are preserved as aliases for backwards compatibility, but may be removed in the future.
- * `users.users.bitwarden_rs` and `users.groups.bitwarden_rs` were renamed to `users.users.vaultwarden` and
+ - Old names are preserved as aliases for backwards compatibility, but may be removed in the future.
+
+ - `users.users.bitwarden_rs` and `users.groups.bitwarden_rs` were renamed to `users.users.vaultwarden` and
`users.groups.vaultwarden`, respectively.
- * The data directory remains located at `/var/lib/bitwarden_rs`, for backwards compatibility.
+ - The data directory remains located at `/var/lib/bitwarden_rs`, for backwards compatibility.
- `yggdrasil` was upgraded to a new major release with breaking changes, see [upstream changelog](https://github.com/yggdrasil-network/yggdrasil-go/releases/tag/v0.4.0).
@@ -222,6 +300,7 @@ subsonic-compatible api. Available as [navidrome](#opt-services.navidrome.enable
- `tt-rss` was upgraded to the commit on 2021-06-21, which has breaking changes. If you use `services.tt-rss.extraConfig` you should migrate to the `putenv`-style configuration. See [this Discourse post](https://community.tt-rss.org/t/rip-config-php-hello-classes-config-php/4337) in the tt-rss forums for more details.
- The following Visual Studio Code extensions were renamed to keep the naming convention uniform.
+
- `bbenoist.Nix` -> `bbenoist.nix`
- `CoenraadS.bracket-pair-colorizer` -> `coenraads.bracket-pair-colorizer`
- `golang.Go` -> `golang.go`
@@ -241,12 +320,12 @@ subsonic-compatible api. Available as [navidrome](#opt-services.navidrome.enable
- The `yambar` package has been split into `yambar` and `yambar-wayland`, corresponding to the xorg and wayland backend respectively. Please switch to `yambar-wayland` if you are on wayland.
- The `services.minio` module gained an additional option `consoleAddress`, that
-configures the address and port the web UI is listening, it defaults to `:9001`.
-To be able to access the web UI this port needs to be opened in the firewall.
+ configures the address and port the web UI is listening, it defaults to `:9001`.
+ To be able to access the web UI this port needs to be opened in the firewall.
-- The `varnish` package was upgraded from 6.3.x to 6.5.x. `varnish60` for the last LTS release is also still available.
+- The `varnish` package was upgraded from 6.3.x to 7.x. `varnish60` for the last LTS release is also still available.
-- The `kubernetes` package was upgraded to 1.22. The `kubernetes.apiserver.kubeletHttps` option was removed and HTTPS is always used.
+- The `kubernetes` package was upgraded to 1.22. The `kubernetes.apiserver.kubeletHttps` option was removed and HTTPS is always used.
- The attribute `linuxPackages_latest_hardened` was dropped because the hardened patches
lag behind the upstream kernel which made version bumps harder. If you want to use
@@ -260,12 +339,35 @@ To be able to access the web UI this port needs to be opened in the firewall.
- The `todoman` package was upgraded from 3.9.0 to 4.0.0. This introduces breaking changes in the [configuration file](https://todoman.readthedocs.io/en/stable/configure.html#configuration-file) format.
+- The `datadog-agent`, `datadog-integrations-core` and `datadog-process-agent` packages
+ were upgraded from 6.11.2 to 7.30.2, git-2018-09-18 to 7.30.1 and 6.11.1 to 7.30.2,
+ respectively. As a result `services.datadog-agent` has had breaking changes to the
+ configuration file. For details, see the [upstream changelog](https://github.com/DataDog/datadog-agent/blob/main/CHANGELOG.rst).
+
+- `opencv2` no longer includes the non-free libraries by default, and consequently `pfstools` no longer includes OpenCV support by default. Both packages now support an `enableUnfree` option to re-enable this functionality.
+- `services.xserver.displayManager.defaultSession = "plasma5"` does not work anymore, instead use either `"plasma"` for the Plasma X11 session or `"plasmawayland"` for the Plasma Wayland sesison.
+
+- `boot.kernelParams` now only accepts one command line parameter per string. This change is aimed to reduce common mistakes like "param = 12", which would be parsed as 3 parameters.
+
## Other Notable Changes {#sec-release-21.11-notable-changes}
+
+- The linux kernel package infrastructure was moved out of `all-packages.nix`, and restructured. Linux related functions and attributes now live under the `pkgs.linuxKernel` attribute set.
+ In particular the versioned `linuxPackages_*` package sets (such as `linuxPackages_5_4`) and kernels from `pkgs` were moved there and now live under `pkgs.linuxKernel.packages.*`. The unversioned ones (such as `linuxPackages_latest`) remain untouched.
+
+- In NixOS virtual machines (QEMU), the `virtualisation` module has been updated with new options to configure:
+ - IPv4 port forwarding ([`virtualisation.forwardPorts`](options.html#opt-virtualisation.forwardPorts)),
+ - shared host directories ([`virtualisation.sharedDirectories`](options.html#opt-virtualisation.sharedDirectories)),
+ - screen resolution ([`virtualisation.resolution`](options.html#opt-virtualisation.resolution)).
+
+ In addition, the default [`msize`](options.html#opt-virtualisation.msize) parameter in 9P filesystems (including /nix/store and all shared directories) has been increased to 16K for improved performance.
+
- The setting [`services.openssh.logLevel`](options.html#opt-services.openssh.logLevel) `"VERBOSE"` `"INFO"`. This brings NixOS in line with upstream and other Linux distributions, and reduces log spam on servers due to bruteforcing botnets.
However, if [`services.fail2ban.enable`](options.html#opt-services.fail2ban.enable) is `true`, the `fail2ban` will override the verbosity to `"VERBOSE"`, so that `fail2ban` can observe the failed login attempts from the SSH logs.
+- The [`services.xserver.extraLayouts`](options.html#opt-services.xserver.extraLayouts) no longer cause additional rebuilds when a layout is added or modified.
+
- Sway: The terminal emulator `rxvt-unicode` is no longer installed by default via `programs.sway.extraPackages`. The current default configuration uses `alacritty` (and soon `foot`) so this is only an issue when using a customized configuration and not installing `rxvt-unicode` explicitly.
- `python3` now defaults to Python 3.9. Python 3.9 introduces many deprecation warnings, please look at the [What's New In Python 3.9 post](https://docs.python.org/3/whatsnew/3.9.html) for more information.
@@ -274,7 +376,11 @@ To be able to access the web UI this port needs to be opened in the firewall.
- The `claws-mail` package now references the new GTK+ 3 release branch, major version 4. To use the GTK+ 2 releases, one can install the `claws-mail-gtk2` package.
-- The wordpress module provides a new interface which allows to use different webservers with the new option [`services.wordpress.webserver`](options.html#opt-services.wordpress.webserver). Currently `httpd` and `nginx` are supported. The definitions of wordpress sites should now be set in [`services.wordpress.sites`](options.html#opt-services.wordpress.sites).
+- The wordpress module provides a new interface which allows to use different webservers with the new option [`services.wordpress.webserver`](options.html#opt-services.wordpress.webserver). Currently `httpd`, `caddy` and `nginx` are supported. The definitions of wordpress sites should now be set in [`services.wordpress.sites`](options.html#opt-services.wordpress.sites).
+
+ Sites definitions that use the old interface are automatically migrated in the new option. This backward compatibility will be removed in 22.05.
+
+- The dokuwiki module provides a new interface which allows to use different webservers with the new option [`services.dokuwiki.webserver`](options.html#opt-services.dokuwiki.webserver). Currently `caddy` and `nginx` are supported. The definitions of dokuwiki sites should now be set in [`services.dokuwiki.sites`](options.html#opt-services.dokuwiki.sites).
Sites definitions that use the old interface are automatically migrated in the new option. This backward compatibility will be removed in 22.05.
@@ -302,6 +408,16 @@ To be able to access the web UI this port needs to be opened in the firewall.
`myhostname`, but before `dns` should use the default priority
- NSS modules which should come after `dns` should use mkAfter.
+- The [networking.wireless](options.html#opt-networking.wireless.enable) module (based on wpa_supplicant) has been heavily reworked, solving a number of issues and adding useful features:
+ - The automatic discovery of wireless interfaces at boot has been made reliable again (issues [#101963](https://github.com/NixOS/nixpkgs/issues/101963), [#23196](https://github.com/NixOS/nixpkgs/issues/23196)).
+ - WPA3 and Fast BSS Transition (802.11r) are now enabled by default for all networks.
+ - Secrets like pre-shared keys and passwords can now be handled safely, meaning without including them in a world-readable file (`wpa_supplicant.conf` under /nix/store).
+ This is achieved by storing the secrets in a secured [environmentFile](options.html#opt-networking.wireless.environmentFile) and referring to them though environment variables that are expanded inside the configuration.
+ - With multiple interfaces declared, independent wpa_supplicant daemons are started, one for each interface (the services are named `wpa_supplicant-wlan0`, `wpa_supplicant-wlan1`, etc.).
+ - The generated `wpa_supplicant.conf` file is now formatted for easier reading.
+ - A new [scanOnLowSignal](options.html#opt-networking.wireless.scanOnLowSignal) option has been added to facilitate fast roaming between access points (enabled by default).
+ - A new [networks.<name>.authProtocols](options.html#opt-networking.wireless.networks._name_.authProtocols) option has been added to change the authentication protocols used when connecting to a network.
+
- The [networking.wireless.iwd](options.html#opt-networking.wireless.iwd.enable) module has a new [networking.wireless.iwd.settings](options.html#opt-networking.wireless.iwd.settings) option.
- The [services.syncoid.enable](options.html#opt-services.syncoid.enable) module now properly drops ZFS permissions after usage. Before it delegated permissions to whole pools instead of datasets and didn't clean up after execution. You can manually look this up for your pools by running `zfs allow your-pool-name` and use `zfs unallow syncoid your-pool-name` to clean this up.
@@ -311,3 +427,33 @@ To be able to access the web UI this port needs to be opened in the firewall.
- Nginx will use the value of `sslTrustedCertificate` if provided for a virtual host, even if `enableACME` is set. This is useful for providers not using the same certificate to sign OCSP responses and server certificates.
- `lib.formats.yaml`'s `generate` will not generate JSON anymore, but instead use more of the YAML-specific syntax.
+
+- MariaDB was upgraded from 10.5.x to 10.6.x. Please read the [upstream release notes](https://mariadb.com/kb/en/changes-improvements-in-mariadb-106/) for changes and upgrade instructions.
+
+- The MariaDB C client library, also known as libmysqlclient or mariadb-connector-c, was upgraded from 3.1.x to 3.2.x. While this should hopefully not have any impact, this upgrade comes with some changes to default behavior, so you might want to review the [upstream release notes](https://mariadb.com/kb/en/changes-and-improvements-in-mariadb-connector-c-32/).
+
+- GNOME desktop environment now enables `QGnomePlatform` as the Qt platform theme, which should avoid crashes when opening file chooser dialogs in Qt apps by using XDG desktop portal. Additionally, it will make the apps fit better visually.
+
+- `rofi` has been updated from '1.6.1' to '1.7.0', one important thing is the removal of the old xresources based configuration setup. Read more [in rofi's changelog](https://github.com/davatorium/rofi/blob/cb12e6fc058f4a0f4f/Changelog#L1).
+
+- ipfs now defaults to not listening on you local network. This setting was change as server providers won't accept port scanning on their private network. If you have several ipfs instances running on a network you own, feel free to change the setting `ipfs.localDiscovery = true;`. localDiscovery enables different instances to discover each other and share data.
+
+- `lua` and `luajit` interpreters have been patched to avoid looking into /usr/lib
+ directories, thus increasing the purity of the build.
+
+- Three new options, [xdg.mime.addedAssociations](#opt-xdg.mime.addedAssociations), [xdg.mime.defaultApplications](#opt-xdg.mime.defaultApplications), and [xdg.mime.removedAssociations](#opt-xdg.mime.removedAssociations) have been added to the [xdg.mime](#opt-xdg.mime.enable) module to allow the configuration of `/etc/xdg/mimeapps.list`.
+
+- Kopia was upgraded from 0.8.x to 0.9.x. Please read the [upstream release notes](https://github.com/kopia/kopia/releases/tag/v0.9.0) for changes and upgrade instructions.
+
+- The `systemd.network` module has gained support for the FooOverUDP link type.
+
+- The `networking` module has a new `networking.fooOverUDP` option to configure Foo-over-UDP encapsulations.
+
+- `networking.sits` now supports Foo-over-UDP encapsulation.
+
+- Changing systemd `.socket` units now restarts them and stops the service that is activated by them. Additionally, services with `stopOnChange = false` don't break anymore when they are socket-activated.
+
+- The `virtualisation.libvirtd` module has been refactored and updated with new options:
+ - `virtualisation.libvirtd.qemu*` options (e.g.: `virtualisation.libvirtd.qemuRunAsRoot`) were moved to [`virtualisation.libvirtd.qemu`](options.html#opt-virtualisation.libvirtd.qemu) submodule,
+ - software TPM1/TPM2 support (e.g.: Windows 11 guests) ([`virtualisation.libvirtd.qemu.swtpm`](options.html#opt-virtualisation.libvirtd.qemu.swtpm)),
+ - custom OVMF package (e.g.: `pkgs.OVMFFull` with HTTP, CSM and Secure Boot support) ([`virtualisation.libvirtd.qemu.ovmf.package`](options.html#opt-virtualisation.libvirtd.qemu.ovmf.package)).
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/lib/build-vms.nix b/infra/libkookie/nixpkgs/unstable/nixos/lib/build-vms.nix
index f0a58628c68..05d9ce89dbd 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/lib/build-vms.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/lib/build-vms.nix
@@ -4,15 +4,14 @@
, # Ignored
config ? null
, # Nixpkgs, for qemu, lib and more
- pkgs
+ pkgs, lib
, # !!! See comment about args in lib/modules.nix
specialArgs ? {}
, # NixOS configuration to add to the VMs
extraConfigurations ? []
}:
-with pkgs.lib;
-with import ../lib/qemu-flags.nix { inherit pkgs; };
+with lib;
rec {
@@ -69,9 +68,8 @@ rec {
prefixLength = 24;
} ];
});
- in
- { key = "ip-address";
- config =
+
+ networkConfig =
{ networking.hostName = mkDefault m.fst;
networking.interfaces = listToAttrs interfaces;
@@ -93,10 +91,19 @@ rec {
"${config.networking.hostName}\n"));
virtualisation.qemu.options =
- forEach interfacesNumbered
- ({ fst, snd }: qemuNICFlags snd fst m.snd);
+ let qemu-common = import ../lib/qemu-common.nix { inherit lib pkgs; };
+ in flip concatMap interfacesNumbered
+ ({ fst, snd }: qemu-common.qemuNICFlags snd fst m.snd);
};
- }
+
+ in
+ { key = "ip-address";
+ config = networkConfig // {
+ # Expose the networkConfig items for tests like nixops
+ # that need to recreate the network config.
+ system.build.networkConfig = networkConfig;
+ };
+ }
)
(getAttr m.fst nodes)
] );
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/lib/make-options-doc/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/lib/make-options-doc/default.nix
index 14015ab64ab..e058e70f388 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/lib/make-options-doc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/lib/make-options-doc/default.nix
@@ -83,10 +83,13 @@ let
optionsListVisible = lib.filter (opt: opt.visible && !opt.internal) (lib.optionAttrSetToDocList options);
# Customly sort option list for the man page.
+ # Always ensure that the sort order matches sortXML.py!
optionsList = lib.sort optionLess optionsListDesc;
# Convert the list of options into an XML file.
- optionsXML = builtins.toFile "options.xml" (builtins.toXML optionsList);
+ # This file is *not* sorted sorted to save on eval time, since the docbook XML
+ # and the manpage depend on it and thus we evaluate this on every system rebuild.
+ optionsXML = builtins.toFile "options.xml" (builtins.toXML optionsListDesc);
optionsNix = builtins.listToAttrs (map (o: { name = o.name; value = removeAttrs o ["name" "visible" "internal"]; }) optionsList);
@@ -185,9 +188,10 @@ in {
exit 1
fi
+ ${pkgs.python3Minimal}/bin/python ${./sortXML.py} $optionsXML sorted.xml
${pkgs.libxslt.bin}/bin/xsltproc \
--stringparam revision '${revision}' \
- -o intermediate.xml ${./options-to-docbook.xsl} $optionsXML
+ -o intermediate.xml ${./options-to-docbook.xsl} sorted.xml
${pkgs.libxslt.bin}/bin/xsltproc \
-o "$out" ${./postprocess-option-descriptions.xsl} intermediate.xml
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/lib/make-options-doc/options-to-docbook.xsl b/infra/libkookie/nixpkgs/unstable/nixos/lib/make-options-doc/options-to-docbook.xsl
index 18d19fddaca..da4cd164bf2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/lib/make-options-doc/options-to-docbook.xsl
+++ b/infra/libkookie/nixpkgs/unstable/nixos/lib/make-options-doc/options-to-docbook.xsl
@@ -54,7 +54,7 @@
Default:
-
+
@@ -62,14 +62,7 @@
Example:
-
-
-
-
-
-
-
-
+
@@ -107,20 +100,37 @@
-
+
-
-
-''
- ''
+
+
-
+
+
+
+
+
+
+
+
+ ''
+
+ ''
+
+
+
+
+
+
+
+
+
null
@@ -129,10 +139,10 @@
- '' ''
+ '' ''
- " "
+ " "
@@ -163,7 +173,7 @@
-
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/lib/make-options-doc/sortXML.py b/infra/libkookie/nixpkgs/unstable/nixos/lib/make-options-doc/sortXML.py
new file mode 100644
index 00000000000..717820788c9
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/lib/make-options-doc/sortXML.py
@@ -0,0 +1,28 @@
+import xml.etree.ElementTree as ET
+import sys
+
+tree = ET.parse(sys.argv[1])
+# the xml tree is of the form
+# {all options, each an attrs}
+options = list(tree.getroot().find('list'))
+
+def sortKey(opt):
+ def order(s):
+ if s.startswith("enable"):
+ return 0
+ if s.startswith("package"):
+ return 1
+ return 2
+
+ return [
+ (order(p.attrib['value']), p.attrib['value'])
+ for p in opt.findall('attr[@name="loc"]/list/string')
+ ]
+
+# always ensure that the sort order matches the order used in the nix expression!
+options.sort(key=sortKey)
+
+doc = ET.Element("expr")
+newOptions = ET.SubElement(doc, "list")
+newOptions.extend(options)
+ET.ElementTree(doc).write(sys.argv[2], encoding='utf-8')
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/lib/qemu-flags.nix b/infra/libkookie/nixpkgs/unstable/nixos/lib/qemu-common.nix
similarity index 83%
rename from infra/libkookie/nixpkgs/unstable/nixos/lib/qemu-flags.nix
rename to infra/libkookie/nixpkgs/unstable/nixos/lib/qemu-common.nix
index f786745ba32..84f9060acd6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/lib/qemu-flags.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/lib/qemu-common.nix
@@ -1,12 +1,12 @@
-# QEMU flags shared between various Nix expressions.
-{ pkgs }:
+# QEMU-related utilities shared between various Nix expressions.
+{ lib, pkgs }:
let
zeroPad = n:
- pkgs.lib.optionalString (n < 16) "0" +
+ lib.optionalString (n < 16) "0" +
(if n > 255
then throw "Can't have more than 255 nets or nodes!"
- else pkgs.lib.toHexString n);
+ else lib.toHexString n);
in
rec {
@@ -14,7 +14,7 @@ rec {
qemuNICFlags = nic: net: machine:
[ "-device virtio-net-pci,netdev=vlan${toString nic},mac=${qemuNicMac net machine}"
- "-netdev vde,id=vlan${toString nic},sock=$QEMU_VDE_SOCKET_${toString net}"
+ ''-netdev vde,id=vlan${toString nic},sock="$QEMU_VDE_SOCKET_${toString net}"''
];
qemuSerialDevice = if pkgs.stdenv.isi686 || pkgs.stdenv.isx86_64 then "ttyS0"
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/lib/test-driver/test-driver.py b/infra/libkookie/nixpkgs/unstable/nixos/lib/test-driver/test-driver.py
index f8502188bde..e659b0c04f5 100755
--- a/infra/libkookie/nixpkgs/unstable/nixos/lib/test-driver/test-driver.py
+++ b/infra/libkookie/nixpkgs/unstable/nixos/lib/test-driver/test-driver.py
@@ -21,7 +21,6 @@ import shutil
import socket
import subprocess
import sys
-import telnetlib
import tempfile
import time
import unicodedata
@@ -89,55 +88,6 @@ CHAR_TO_KEY = {
")": "shift-0x0B",
}
-global log, machines, test_script
-
-
-def eprint(*args: object, **kwargs: Any) -> None:
- print(*args, file=sys.stderr, **kwargs)
-
-
-def make_command(args: list) -> str:
- return " ".join(map(shlex.quote, (map(str, args))))
-
-
-def create_vlan(vlan_nr: str) -> Tuple[str, str, "subprocess.Popen[bytes]", Any]:
- log.log("starting VDE switch for network {}".format(vlan_nr))
- vde_socket = tempfile.mkdtemp(
- prefix="nixos-test-vde-", suffix="-vde{}.ctl".format(vlan_nr)
- )
- pty_master, pty_slave = pty.openpty()
- vde_process = subprocess.Popen(
- ["vde_switch", "-s", vde_socket, "--dirmode", "0700"],
- stdin=pty_slave,
- stdout=subprocess.PIPE,
- stderr=subprocess.PIPE,
- shell=False,
- )
- fd = os.fdopen(pty_master, "w")
- fd.write("version\n")
- # TODO: perl version checks if this can be read from
- # an if not, dies. we could hang here forever. Fix it.
- assert vde_process.stdout is not None
- vde_process.stdout.readline()
- if not os.path.exists(os.path.join(vde_socket, "ctl")):
- raise Exception("cannot start vde_switch")
-
- return (vlan_nr, vde_socket, vde_process, fd)
-
-
-def retry(fn: Callable, timeout: int = 900) -> None:
- """Call the given function repeatedly, with 1 second intervals,
- until it returns True or a timeout is reached.
- """
-
- for _ in range(timeout):
- if fn(False):
- return
- time.sleep(1)
-
- if not fn(True):
- raise Exception(f"action timed out after {timeout} seconds")
-
class Logger:
def __init__(self) -> None:
@@ -151,6 +101,10 @@ class Logger:
self._print_serial_logs = True
+ @staticmethod
+ def _eprint(*args: object, **kwargs: Any) -> None:
+ print(*args, file=sys.stderr, **kwargs)
+
def close(self) -> None:
self.xml.endElement("logfile")
self.xml.endDocument()
@@ -169,15 +123,27 @@ class Logger:
self.xml.characters(message)
self.xml.endElement("line")
+ def info(self, *args, **kwargs) -> None: # type: ignore
+ self.log(*args, **kwargs)
+
+ def warning(self, *args, **kwargs) -> None: # type: ignore
+ self.log(*args, **kwargs)
+
+ def error(self, *args, **kwargs) -> None: # type: ignore
+ self.log(*args, **kwargs)
+ sys.exit(1)
+
def log(self, message: str, attributes: Dict[str, str] = {}) -> None:
- eprint(self.maybe_prefix(message, attributes))
+ self._eprint(self.maybe_prefix(message, attributes))
self.drain_log_queue()
self.log_line(message, attributes)
def log_serial(self, message: str, machine: str) -> None:
self.enqueue({"msg": message, "machine": machine, "type": "serial"})
if self._print_serial_logs:
- eprint(Style.DIM + "{} # {}".format(machine, message) + Style.RESET_ALL)
+ self._eprint(
+ Style.DIM + "{} # {}".format(machine, message) + Style.RESET_ALL
+ )
def enqueue(self, item: Dict[str, str]) -> None:
self.queue.put(item)
@@ -194,7 +160,7 @@ class Logger:
@contextmanager
def nested(self, message: str, attributes: Dict[str, str] = {}) -> Iterator[None]:
- eprint(self.maybe_prefix(message, attributes))
+ self._eprint(self.maybe_prefix(message, attributes))
self.xml.startElement("nest", attrs={})
self.xml.startElement("head", attributes)
@@ -211,6 +177,27 @@ class Logger:
self.xml.endElement("nest")
+rootlog = Logger()
+
+
+def make_command(args: list) -> str:
+ return " ".join(map(shlex.quote, (map(str, args))))
+
+
+def retry(fn: Callable, timeout: int = 900) -> None:
+ """Call the given function repeatedly, with 1 second intervals,
+ until it returns True or a timeout is reached.
+ """
+
+ for _ in range(timeout):
+ if fn(False):
+ return
+ time.sleep(1)
+
+ if not fn(True):
+ raise Exception(f"action timed out after {timeout} seconds")
+
+
def _perform_ocr_on_screenshot(
screenshot_path: str, model_ids: Iterable[int]
) -> List[str]:
@@ -242,113 +229,256 @@ def _perform_ocr_on_screenshot(
return model_results
-class Machine:
- def __repr__(self) -> str:
- return f""
-
- def __init__(self, args: Dict[str, Any]) -> None:
- if "name" in args:
- self.name = args["name"]
- else:
- self.name = "machine"
- cmd = args.get("startCommand", None)
- if cmd:
- match = re.search("run-(.+)-vm$", cmd)
- if match:
- self.name = match.group(1)
- self.logger = args["log"]
- self.script = args.get("startCommand", self.create_startcommand(args))
-
- tmp_dir = os.environ.get("TMPDIR", tempfile.gettempdir())
-
- def create_dir(name: str) -> str:
- path = os.path.join(tmp_dir, name)
- os.makedirs(path, mode=0o700, exist_ok=True)
- return path
+class StartCommand:
+ """The Base Start Command knows how to append the necesary
+ runtime qemu options as determined by a particular test driver
+ run. Any such start command is expected to happily receive and
+ append additional qemu args.
+ """
- self.state_dir = os.path.join(tmp_dir, f"vm-state-{self.name}")
- if not args.get("keepVmState", False):
- self.cleanup_statedir()
- os.makedirs(self.state_dir, mode=0o700, exist_ok=True)
- self.shared_dir = create_dir("shared-xchg")
+ _cmd: str
- self.booted = False
- self.connected = False
- self.pid: Optional[int] = None
- self.socket = None
- self.monitor: Optional[socket.socket] = None
- self.allow_reboot = args.get("allowReboot", False)
+ def cmd(
+ self,
+ monitor_socket_path: pathlib.Path,
+ shell_socket_path: pathlib.Path,
+ allow_reboot: bool = False, # TODO: unused, legacy?
+ ) -> str:
+ display_opts = ""
+ display_available = any(x in os.environ for x in ["DISPLAY", "WAYLAND_DISPLAY"])
+ if not display_available:
+ display_opts += " -nographic"
+
+ # qemu options
+ qemu_opts = ""
+ qemu_opts += (
+ ""
+ if allow_reboot
+ else " -no-reboot"
+ " -device virtio-serial"
+ " -device virtconsole,chardev=shell"
+ " -device virtio-rng-pci"
+ " -serial stdio"
+ )
+ # TODO: qemu script already catpures this env variable, legacy?
+ qemu_opts += " " + os.environ.get("QEMU_OPTS", "")
+
+ return (
+ f"{self._cmd}"
+ f" -monitor unix:{monitor_socket_path}"
+ f" -chardev socket,id=shell,path={shell_socket_path}"
+ f"{qemu_opts}"
+ f"{display_opts}"
+ )
@staticmethod
- def create_startcommand(args: Dict[str, str]) -> str:
- net_backend = "-netdev user,id=net0"
- net_frontend = "-device virtio-net-pci,netdev=net0"
+ def build_environment(
+ state_dir: pathlib.Path,
+ shared_dir: pathlib.Path,
+ ) -> dict:
+ # We make a copy to not update the current environment
+ env = dict(os.environ)
+ env.update(
+ {
+ "TMPDIR": str(state_dir),
+ "SHARED_DIR": str(shared_dir),
+ "USE_TMPDIR": "1",
+ }
+ )
+ return env
+
+ def run(
+ self,
+ state_dir: pathlib.Path,
+ shared_dir: pathlib.Path,
+ monitor_socket_path: pathlib.Path,
+ shell_socket_path: pathlib.Path,
+ ) -> subprocess.Popen:
+ return subprocess.Popen(
+ self.cmd(monitor_socket_path, shell_socket_path),
+ stdin=subprocess.DEVNULL,
+ stdout=subprocess.PIPE,
+ stderr=subprocess.STDOUT,
+ shell=True,
+ cwd=state_dir,
+ env=self.build_environment(state_dir, shared_dir),
+ )
- if "netBackendArgs" in args:
- net_backend += "," + args["netBackendArgs"]
- if "netFrontendArgs" in args:
- net_frontend += "," + args["netFrontendArgs"]
+class NixStartScript(StartCommand):
+ """A start script from nixos/modules/virtualiation/qemu-vm.nix
+ that also satisfies the requirement of the BaseStartCommand.
+ These Nix commands have the particular charactersitic that the
+ machine name can be extracted out of them via a regex match.
+ (Admittedly a _very_ implicit contract, evtl. TODO fix)
+ """
- start_command = (
- args.get("qemuBinary", "qemu-kvm")
- + " -m 384 "
- + net_backend
- + " "
- + net_frontend
- + " $QEMU_OPTS "
- )
+ def __init__(self, script: str):
+ self._cmd = script
+
+ @property
+ def machine_name(self) -> str:
+ match = re.search("run-(.+)-vm$", self._cmd)
+ name = "machine"
+ if match:
+ name = match.group(1)
+ return name
+
+
+class LegacyStartCommand(StartCommand):
+ """Used in some places to create an ad-hoc machine instead of
+ using nix test instrumentation + module system for that purpose.
+ Legacy.
+ """
- if "hda" in args:
- hda_path = os.path.abspath(args["hda"])
- if args.get("hdaInterface", "") == "scsi":
- start_command += (
- "-drive id=hda,file="
- + hda_path
- + ",werror=report,if=none "
- + "-device scsi-hd,drive=hda "
+ def __init__(
+ self,
+ netBackendArgs: Optional[str] = None,
+ netFrontendArgs: Optional[str] = None,
+ hda: Optional[Tuple[pathlib.Path, str]] = None,
+ cdrom: Optional[str] = None,
+ usb: Optional[str] = None,
+ bios: Optional[str] = None,
+ qemuFlags: Optional[str] = None,
+ ):
+ self._cmd = "qemu-kvm -m 384"
+
+ # networking
+ net_backend = "-netdev user,id=net0"
+ net_frontend = "-device virtio-net-pci,netdev=net0"
+ if netBackendArgs is not None:
+ net_backend += "," + netBackendArgs
+ if netFrontendArgs is not None:
+ net_frontend += "," + netFrontendArgs
+ self._cmd += f" {net_backend} {net_frontend}"
+
+ # hda
+ hda_cmd = ""
+ if hda is not None:
+ hda_path = hda[0].resolve()
+ hda_interface = hda[1]
+ if hda_interface == "scsi":
+ hda_cmd += (
+ f" -drive id=hda,file={hda_path},werror=report,if=none"
+ " -device scsi-hd,drive=hda"
)
else:
- start_command += (
- "-drive file="
- + hda_path
- + ",if="
- + args["hdaInterface"]
- + ",werror=report "
- )
+ hda_cmd += f" -drive file={hda_path},if={hda_interface},werror=report"
+ self._cmd += hda_cmd
- if "cdrom" in args:
- start_command += "-cdrom " + args["cdrom"] + " "
+ # cdrom
+ if cdrom is not None:
+ self._cmd += f" -cdrom {cdrom}"
- if "usb" in args:
+ # usb
+ usb_cmd = ""
+ if usb is not None:
# https://github.com/qemu/qemu/blob/master/docs/usb2.txt
- start_command += (
- "-device usb-ehci -drive "
- + "id=usbdisk,file="
- + args["usb"]
- + ",if=none,readonly "
- + "-device usb-storage,drive=usbdisk "
+ usb_cmd += (
+ " -device usb-ehci"
+ f" -drive id=usbdisk,file={usb},if=none,readonly"
+ " -device usb-storage,drive=usbdisk "
)
- if "bios" in args:
- start_command += "-bios " + args["bios"] + " "
+ self._cmd += usb_cmd
+
+ # bios
+ if bios is not None:
+ self._cmd += f" -bios {bios}"
- start_command += args.get("qemuFlags", "")
+ # qemu flags
+ if qemuFlags is not None:
+ self._cmd += f" {qemuFlags}"
- return start_command
+
+class Machine:
+ """A handle to the machine with this name, that also knows how to manage
+ the machine lifecycle with the help of a start script / command."""
+
+ name: str
+ tmp_dir: pathlib.Path
+ shared_dir: pathlib.Path
+ state_dir: pathlib.Path
+ monitor_path: pathlib.Path
+ shell_path: pathlib.Path
+
+ start_command: StartCommand
+ keep_vm_state: bool
+ allow_reboot: bool
+
+ process: Optional[subprocess.Popen] = None
+ pid: Optional[int] = None
+ monitor: Optional[socket.socket] = None
+ shell: Optional[socket.socket] = None
+
+ booted: bool = False
+ connected: bool = False
+ # Store last serial console lines for use
+ # of wait_for_console_text
+ last_lines: Queue = Queue()
+
+ def __repr__(self) -> str:
+ return f""
+
+ def __init__(
+ self,
+ tmp_dir: pathlib.Path,
+ start_command: StartCommand,
+ name: str = "machine",
+ keep_vm_state: bool = False,
+ allow_reboot: bool = False,
+ ) -> None:
+ self.tmp_dir = tmp_dir
+ self.keep_vm_state = keep_vm_state
+ self.allow_reboot = allow_reboot
+ self.name = name
+ self.start_command = start_command
+
+ # set up directories
+ self.shared_dir = self.tmp_dir / "shared-xchg"
+ self.shared_dir.mkdir(mode=0o700, exist_ok=True)
+
+ self.state_dir = self.tmp_dir / f"vm-state-{self.name}"
+ self.monitor_path = self.state_dir / "monitor"
+ self.shell_path = self.state_dir / "shell"
+ if (not self.keep_vm_state) and self.state_dir.exists():
+ self.cleanup_statedir()
+ self.state_dir.mkdir(mode=0o700, exist_ok=True)
+
+ @staticmethod
+ def create_startcommand(args: Dict[str, str]) -> StartCommand:
+ rootlog.warning(
+ "Using legacy create_startcommand(),"
+ "please use proper nix test vm instrumentation, instead"
+ "to generate the appropriate nixos test vm qemu startup script"
+ )
+ hda = None
+ if args.get("hda"):
+ hda_arg: str = args.get("hda", "")
+ hda_arg_path: pathlib.Path = pathlib.Path(hda_arg)
+ hda = (hda_arg_path, args.get("hdaInterface", ""))
+ return LegacyStartCommand(
+ netBackendArgs=args.get("netBackendArgs"),
+ netFrontendArgs=args.get("netFrontendArgs"),
+ hda=hda,
+ cdrom=args.get("cdrom"),
+ usb=args.get("usb"),
+ bios=args.get("bios"),
+ qemuFlags=args.get("qemuFlags"),
+ )
def is_up(self) -> bool:
return self.booted and self.connected
def log(self, msg: str) -> None:
- self.logger.log(msg, {"machine": self.name})
+ rootlog.log(msg, {"machine": self.name})
def log_serial(self, msg: str) -> None:
- self.logger.log_serial(msg, self.name)
+ rootlog.log_serial(msg, self.name)
def nested(self, msg: str, attrs: Dict[str, str] = {}) -> _GeneratorContextManager:
my_attrs = {"machine": self.name}
my_attrs.update(attrs)
- return self.logger.nested(msg, my_attrs)
+ return rootlog.nested(msg, my_attrs)
def wait_for_monitor_prompt(self) -> str:
assert self.monitor is not None
@@ -446,6 +576,7 @@ class Machine:
self.connect()
out_command = "( set -euo pipefail; {} ); echo '|!=EOF' $?\n".format(command)
+ assert self.shell
self.shell.send(out_command.encode())
output = ""
@@ -466,6 +597,8 @@ class Machine:
Should only be used during test development, not in the production test."""
self.connect()
self.log("Terminal is ready (there is no prompt):")
+
+ assert self.shell
subprocess.run(
["socat", "READLINE", f"FD:{self.shell.fileno()}"],
pass_fds=[self.shell.fileno()],
@@ -534,6 +667,7 @@ class Machine:
with self.nested("waiting for the VM to power off"):
sys.stdout.flush()
+ assert self.process
self.process.wait()
self.pid = None
@@ -611,6 +745,8 @@ class Machine:
with self.nested("waiting for the VM to finish booting"):
self.start()
+ assert self.shell
+
tic = time.time()
self.shell.recv(1024)
# TODO: Timeout
@@ -750,65 +886,35 @@ class Machine:
self.log("starting vm")
- def create_socket(path: str) -> socket.socket:
- if os.path.exists(path):
- os.unlink(path)
+ def clear(path: pathlib.Path) -> pathlib.Path:
+ if path.exists():
+ path.unlink()
+ return path
+
+ def create_socket(path: pathlib.Path) -> socket.socket:
s = socket.socket(family=socket.AF_UNIX, type=socket.SOCK_STREAM)
- s.bind(path)
+ s.bind(str(path))
s.listen(1)
return s
- monitor_path = os.path.join(self.state_dir, "monitor")
- self.monitor_socket = create_socket(monitor_path)
-
- shell_path = os.path.join(self.state_dir, "shell")
- self.shell_socket = create_socket(shell_path)
-
- display_available = any(x in os.environ for x in ["DISPLAY", "WAYLAND_DISPLAY"])
- qemu_options = (
- " ".join(
- [
- "" if self.allow_reboot else "-no-reboot",
- "-monitor unix:{}".format(monitor_path),
- "-chardev socket,id=shell,path={}".format(shell_path),
- "-device virtio-serial",
- "-device virtconsole,chardev=shell",
- "-device virtio-rng-pci",
- "-serial stdio" if display_available else "-nographic",
- ]
- )
- + " "
- + os.environ.get("QEMU_OPTS", "")
- )
-
- environment = dict(os.environ)
- environment.update(
- {
- "TMPDIR": self.state_dir,
- "SHARED_DIR": self.shared_dir,
- "USE_TMPDIR": "1",
- "QEMU_OPTS": qemu_options,
- }
- )
-
- self.process = subprocess.Popen(
- self.script,
- stdin=subprocess.DEVNULL,
- stdout=subprocess.PIPE,
- stderr=subprocess.STDOUT,
- shell=True,
- cwd=self.state_dir,
- env=environment,
+ monitor_socket = create_socket(clear(self.monitor_path))
+ shell_socket = create_socket(clear(self.shell_path))
+ self.process = self.start_command.run(
+ self.state_dir,
+ self.shared_dir,
+ self.monitor_path,
+ self.shell_path,
)
- self.monitor, _ = self.monitor_socket.accept()
- self.shell, _ = self.shell_socket.accept()
+ self.monitor, _ = monitor_socket.accept()
+ self.shell, _ = shell_socket.accept()
# Store last serial console lines for use
# of wait_for_console_text
self.last_lines: Queue = Queue()
def process_serial_output() -> None:
- assert self.process.stdout is not None
+ assert self.process
+ assert self.process.stdout
for _line in self.process.stdout:
# Ignore undecodable bytes that may occur in boot menus
line = _line.decode(errors="ignore").replace("\r", "").rstrip()
@@ -825,15 +931,15 @@ class Machine:
self.log("QEMU running (pid {})".format(self.pid))
def cleanup_statedir(self) -> None:
- if os.path.isdir(self.state_dir):
- shutil.rmtree(self.state_dir)
- self.logger.log(f"deleting VM state directory {self.state_dir}")
- self.logger.log("if you want to keep the VM state, pass --keep-vm-state")
+ shutil.rmtree(self.state_dir)
+ rootlog.log(f"deleting VM state directory {self.state_dir}")
+ rootlog.log("if you want to keep the VM state, pass --keep-vm-state")
def shutdown(self) -> None:
if not self.booted:
return
+ assert self.shell
self.shell.send("poweroff\n".encode())
self.wait_for_shutdown()
@@ -908,41 +1014,215 @@ class Machine:
"""Make the machine reachable."""
self.send_monitor_command("set_link virtio-net-pci.1 on")
+ def release(self) -> None:
+ if self.pid is None:
+ return
+ rootlog.info(f"kill machine (pid {self.pid})")
+ assert self.process
+ assert self.shell
+ assert self.monitor
+ self.process.terminate()
+ self.shell.close()
+ self.monitor.close()
+
+
+class VLan:
+ """This class handles a VLAN that the run-vm scripts identify via its
+ number handles. The network's lifetime equals the object's lifetime.
+ """
-def create_machine(args: Dict[str, Any]) -> Machine:
- args["log"] = log
- return Machine(args)
+ nr: int
+ socket_dir: pathlib.Path
+ process: subprocess.Popen
+ pid: int
+ fd: io.TextIOBase
-def start_all() -> None:
- with log.nested("starting all VMs"):
- for machine in machines:
- machine.start()
+ def __repr__(self) -> str:
+ return f""
+ def __init__(self, nr: int, tmp_dir: pathlib.Path):
+ self.nr = nr
+ self.socket_dir = tmp_dir / f"vde{self.nr}.ctl"
-def join_all() -> None:
- with log.nested("waiting for all VMs to finish"):
- for machine in machines:
- machine.wait_for_shutdown()
+ # TODO: don't side-effect environment here
+ os.environ[f"QEMU_VDE_SOCKET_{self.nr}"] = str(self.socket_dir)
+ rootlog.info("start vlan")
+ pty_master, pty_slave = pty.openpty()
-def run_tests(interactive: bool = False) -> None:
- if interactive:
- ptpython.repl.embed(test_symbols(), {})
- else:
- test_script()
+ self.process = subprocess.Popen(
+ ["vde_switch", "-s", self.socket_dir, "--dirmode", "0700"],
+ stdin=pty_slave,
+ stdout=subprocess.PIPE,
+ stderr=subprocess.PIPE,
+ shell=False,
+ )
+ self.pid = self.process.pid
+ self.fd = os.fdopen(pty_master, "w")
+ self.fd.write("version\n")
+
+ # TODO: perl version checks if this can be read from
+ # an if not, dies. we could hang here forever. Fix it.
+ assert self.process.stdout is not None
+ self.process.stdout.readline()
+ if not (self.socket_dir / "ctl").exists():
+ rootlog.error("cannot start vde_switch")
+
+ rootlog.info(f"running vlan (pid {self.pid})")
+
+ def __del__(self) -> None:
+ rootlog.info(f"kill vlan (pid {self.pid})")
+ self.fd.close()
+ self.process.terminate()
+
+
+class Driver:
+ """A handle to the driver that sets up the environment
+ and runs the tests"""
+
+ tests: str
+ vlans: List[VLan]
+ machines: List[Machine]
+
+ def __init__(
+ self,
+ start_scripts: List[str],
+ vlans: List[int],
+ tests: str,
+ keep_vm_state: bool = False,
+ ):
+ self.tests = tests
+
+ tmp_dir = pathlib.Path(os.environ.get("TMPDIR", tempfile.gettempdir()))
+ tmp_dir.mkdir(mode=0o700, exist_ok=True)
+
+ with rootlog.nested("start all VLans"):
+ self.vlans = [VLan(nr, tmp_dir) for nr in vlans]
+
+ def cmd(scripts: List[str]) -> Iterator[NixStartScript]:
+ for s in scripts:
+ yield NixStartScript(s)
+
+ self.machines = [
+ Machine(
+ start_command=cmd,
+ keep_vm_state=keep_vm_state,
+ name=cmd.machine_name,
+ tmp_dir=tmp_dir,
+ )
+ for cmd in cmd(start_scripts)
+ ]
+
+ @atexit.register
+ def clean_up() -> None:
+ with rootlog.nested("clean up"):
+ for machine in self.machines:
+ machine.release()
+
+ def subtest(self, name: str) -> Iterator[None]:
+ """Group logs under a given test name"""
+ with rootlog.nested(name):
+ try:
+ yield
+ return True
+ except Exception as e:
+ rootlog.error(f'Test "{name}" failed with error: "{e}"')
+ raise e
+
+ def test_symbols(self) -> Dict[str, Any]:
+ @contextmanager
+ def subtest(name: str) -> Iterator[None]:
+ return self.subtest(name)
+
+ general_symbols = dict(
+ start_all=self.start_all,
+ test_script=self.test_script,
+ machines=self.machines,
+ vlans=self.vlans,
+ driver=self,
+ log=rootlog,
+ os=os,
+ create_machine=self.create_machine,
+ subtest=subtest,
+ run_tests=self.run_tests,
+ join_all=self.join_all,
+ retry=retry,
+ serial_stdout_off=self.serial_stdout_off,
+ serial_stdout_on=self.serial_stdout_on,
+ Machine=Machine, # for typing
+ )
+ machine_symbols = {
+ m.name: self.machines[idx] for idx, m in enumerate(self.machines)
+ }
+ vlan_symbols = {
+ f"vlan{v.nr}": self.vlans[idx] for idx, v in enumerate(self.vlans)
+ }
+ print(
+ "additionally exposed symbols:\n "
+ + ", ".join(map(lambda m: m.name, self.machines))
+ + ",\n "
+ + ", ".join(map(lambda v: f"vlan{v.nr}", self.vlans))
+ + ",\n "
+ + ", ".join(list(general_symbols.keys()))
+ )
+ return {**general_symbols, **machine_symbols, **vlan_symbols}
+
+ def test_script(self) -> None:
+ """Run the test script"""
+ with rootlog.nested("run the VM test script"):
+ symbols = self.test_symbols() # call eagerly
+ exec(self.tests, symbols, None)
+
+ def run_tests(self) -> None:
+ """Run the test script (for non-interactive test runs)"""
+ self.test_script()
# TODO: Collect coverage data
- for machine in machines:
+ for machine in self.machines:
if machine.is_up():
machine.execute("sync")
+ def start_all(self) -> None:
+ """Start all machines"""
+ with rootlog.nested("start all VMs"):
+ for machine in self.machines:
+ machine.start()
+
+ def join_all(self) -> None:
+ """Wait for all machines to shut down"""
+ with rootlog.nested("wait for all VMs to finish"):
+ for machine in self.machines:
+ machine.wait_for_shutdown()
+
+ def create_machine(self, args: Dict[str, Any]) -> Machine:
+ rootlog.warning(
+ "Using legacy create_machine(), please instantiate the"
+ "Machine class directly, instead"
+ )
+ tmp_dir = pathlib.Path(os.environ.get("TMPDIR", tempfile.gettempdir()))
+ tmp_dir.mkdir(mode=0o700, exist_ok=True)
-def serial_stdout_on() -> None:
- log._print_serial_logs = True
+ if args.get("startCommand"):
+ start_command: str = args.get("startCommand", "")
+ cmd = NixStartScript(start_command)
+ name = args.get("name", cmd.machine_name)
+ else:
+ cmd = Machine.create_startcommand(args) # type: ignore
+ name = args.get("name", "machine")
+
+ return Machine(
+ tmp_dir=tmp_dir,
+ start_command=cmd,
+ name=name,
+ keep_vm_state=args.get("keep_vm_state", False),
+ allow_reboot=args.get("allow_reboot", False),
+ )
+ def serial_stdout_on(self) -> None:
+ rootlog._print_serial_logs = True
-def serial_stdout_off() -> None:
- log._print_serial_logs = False
+ def serial_stdout_off(self) -> None:
+ rootlog._print_serial_logs = False
class EnvDefault(argparse.Action):
@@ -970,52 +1250,6 @@ class EnvDefault(argparse.Action):
setattr(namespace, self.dest, values)
-@contextmanager
-def subtest(name: str) -> Iterator[None]:
- with log.nested(name):
- try:
- yield
- return True
- except Exception as e:
- log.log(f'Test "{name}" failed with error: "{e}"')
- raise e
-
- return False
-
-
-def _test_symbols() -> Dict[str, Any]:
- general_symbols = dict(
- start_all=start_all,
- test_script=globals().get("test_script"), # same
- machines=globals().get("machines"), # without being initialized
- log=globals().get("log"), # extracting those symbol keys
- os=os,
- create_machine=create_machine,
- subtest=subtest,
- run_tests=run_tests,
- join_all=join_all,
- retry=retry,
- serial_stdout_off=serial_stdout_off,
- serial_stdout_on=serial_stdout_on,
- Machine=Machine, # for typing
- )
- return general_symbols
-
-
-def test_symbols() -> Dict[str, Any]:
-
- general_symbols = _test_symbols()
-
- machine_symbols = {m.name: machines[idx] for idx, m in enumerate(machines)}
- print(
- "additionally exposed symbols:\n "
- + ", ".join(map(lambda m: m.name, machines))
- + ",\n "
- + ", ".join(list(general_symbols.keys()))
- )
- return {**general_symbols, **machine_symbols}
-
-
if __name__ == "__main__":
arg_parser = argparse.ArgumentParser(prog="nixos-test-driver")
arg_parser.add_argument(
@@ -1055,44 +1289,18 @@ if __name__ == "__main__":
)
args = arg_parser.parse_args()
- testscript = pathlib.Path(args.testscript).read_text()
-
- global log, machines, test_script
-
- log = Logger()
-
- vde_sockets = [create_vlan(v) for v in args.vlans]
- for nr, vde_socket, _, _ in vde_sockets:
- os.environ["QEMU_VDE_SOCKET_{}".format(nr)] = vde_socket
-
- machines = [
- create_machine({"startCommand": s, "keepVmState": args.keep_vm_state})
- for s in args.start_scripts
- ]
- machine_eval = [
- "{0} = machines[{1}]".format(m.name, idx) for idx, m in enumerate(machines)
- ]
- exec("\n".join(machine_eval))
-
- @atexit.register
- def clean_up() -> None:
- with log.nested("cleaning up"):
- for machine in machines:
- if machine.pid is None:
- continue
- log.log("killing {} (pid {})".format(machine.name, machine.pid))
- machine.process.kill()
- for _, _, process, _ in vde_sockets:
- process.terminate()
- log.close()
-
- def test_script() -> None:
- with log.nested("running the VM test script"):
- symbols = test_symbols() # call eagerly
- exec(testscript, symbols, None)
-
- interactive = args.interactive or (not bool(testscript))
- tic = time.time()
- run_tests(interactive)
- toc = time.time()
- print("test script finished in {:.2f}s".format(toc - tic))
+
+ if not args.keep_vm_state:
+ rootlog.info("Machine state will be reset. To keep it, pass --keep-vm-state")
+
+ driver = Driver(
+ args.start_scripts, args.vlans, args.testscript.read_text(), args.keep_vm_state
+ )
+
+ if args.interactive:
+ ptpython.repl.embed(driver.test_symbols(), {})
+ else:
+ tic = time.time()
+ driver.run_tests()
+ toc = time.time()
+ rootlog.info(f"test script finished in {(toc-tic):.2f}s")
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/lib/testing-python.nix b/infra/libkookie/nixpkgs/unstable/nixos/lib/testing-python.nix
index 43b4f9b159b..dbba9e4c445 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/lib/testing-python.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/lib/testing-python.nix
@@ -43,7 +43,8 @@ rec {
from pydoc import importfile
with open('driver-symbols', 'w') as fp:
t = importfile('${testDriverScript}')
- test_symbols = t._test_symbols()
+ d = t.Driver([],[],"")
+ test_symbols = d.test_symbols()
fp.write(','.join(test_symbols.keys()))
EOF
'';
@@ -188,14 +189,6 @@ rec {
--set startScripts "''${vmStartScripts[*]}" \
--set testScript "$out/test-script" \
--set vlans '${toString vlans}'
-
- ${lib.optionalString (testScript == "") ''
- ln -s ${testDriver}/bin/nixos-test-driver $out/bin/nixos-run-vms
- wrapProgram $out/bin/nixos-run-vms \
- --set startScripts "''${vmStartScripts[*]}" \
- --set testScript "${pkgs.writeText "start-all" "start_all(); join_all();"}" \
- --set vlans '${toString vlans}'
- ''}
'');
# Make a full-blown test
@@ -217,7 +210,7 @@ rec {
nodes = qemu_pkg:
let
build-vms = import ./build-vms.nix {
- inherit system pkgs minimal specialArgs;
+ inherit system lib pkgs minimal specialArgs;
extraConfigurations = extraConfigurations ++ [(
{
virtualisation.qemu.package = qemu_pkg;
@@ -257,105 +250,17 @@ rec {
inherit test driver driverInteractive nodes;
};
- runInMachine =
- { drv
- , machine
- , preBuild ? ""
- , postBuild ? ""
- , qemu_pkg ? pkgs.qemu_test
- , ... # ???
- }:
- let
- build-vms = import ./build-vms.nix {
- inherit system pkgs minimal specialArgs extraConfigurations;
- };
-
- vm = build-vms.buildVM { }
- [
- machine
- {
- key = "run-in-machine";
- networking.hostName = "client";
- nix.readOnlyStore = false;
- virtualisation.writableStore = false;
- }
- ];
-
- buildrunner = writeText "vm-build" ''
- source $1
-
- ${coreutils}/bin/mkdir -p $TMPDIR
- cd $TMPDIR
-
- exec $origBuilder $origArgs
- '';
-
- testScript = ''
- start_all()
- client.wait_for_unit("multi-user.target")
- ${preBuild}
- client.succeed("env -i ${bash}/bin/bash ${buildrunner} /tmp/xchg/saved-env >&2")
- ${postBuild}
- client.succeed("sync") # flush all data before pulling the plug
- '';
-
- testDriver = pythonTestDriver { inherit qemu_pkg; };
+ abortForFunction = functionName: abort ''The ${functionName} function was
+ removed because it is not an essential part of the NixOS testing
+ infrastructure. It had no usage in NixOS or Nixpkgs and it had no designated
+ maintainer. You are free to reintroduce it by documenting it in the manual
+ and adding yourself as maintainer. It was removed in
+ https://github.com/NixOS/nixpkgs/pull/137013
+ '';
- vmRunCommand = writeText "vm-run" ''
- xchg=vm-state-client/xchg
- ${coreutils}/bin/mkdir $out
- ${coreutils}/bin/mkdir -p $xchg
-
- for i in $passAsFile; do
- i2=''${i}Path
- _basename=$(${coreutils}/bin/basename ''${!i2})
- ${coreutils}/bin/cp ''${!i2} $xchg/$_basename
- eval $i2=/tmp/xchg/$_basename
- ${coreutils}/bin/ls -la $xchg
- done
-
- unset i i2 _basename
- export | ${gnugrep}/bin/grep -v '^xchg=' > $xchg/saved-env
- unset xchg
-
- export tests='${testScript}'
- ${testDriver}/bin/nixos-test-driver --keep-vm-state ${vm.config.system.build.vm}/bin/run-*-vm
- ''; # */
-
- in
- lib.overrideDerivation drv (attrs: {
- requiredSystemFeatures = [ "kvm" ];
- builder = "${bash}/bin/sh";
- args = [ "-e" vmRunCommand ];
- origArgs = attrs.args;
- origBuilder = attrs.builder;
- });
-
-
- runInMachineWithX = { require ? [ ], ... } @ args:
- let
- client =
- { ... }:
- {
- inherit require;
- imports = [
- ../tests/common/auto.nix
- ];
- virtualisation.memorySize = 1024;
- services.xserver.enable = true;
- test-support.displayManager.auto.enable = true;
- services.xserver.displayManager.defaultSession = "none+icewm";
- services.xserver.windowManager.icewm.enable = true;
- };
- in
- runInMachine ({
- machine = client;
- preBuild =
- ''
- client.wait_for_x()
- '';
- } // args);
+ runInMachine = abortForFunction "runInMachine";
+ runInMachineWithX = abortForFunction "runInMachineWithX";
simpleTest = as: (makeTest as).test;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/lib/utils.nix b/infra/libkookie/nixpkgs/unstable/nixos/lib/utils.nix
index 7fe812424f8..439b627dc38 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/lib/utils.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/lib/utils.nix
@@ -10,7 +10,7 @@ rec {
# Check whenever fileSystem is needed for boot. NOTE: Make sure
# pathsNeededForBoot is closed under the parent relationship, i.e. if /a/b/c
# is in the list, put /a and /a/b in as well.
- pathsNeededForBoot = [ "/" "/nix" "/nix/store" "/var" "/var/log" "/var/lib" "/etc" ];
+ pathsNeededForBoot = [ "/" "/nix" "/nix/store" "/var" "/var/log" "/var/lib" "/var/lib/nixos" "/etc" ];
fsNeededForBoot = fs: fs.neededForBoot || elem fs.mountPoint pathsNeededForBoot;
# Check whenever `b` depends on `a` as a fileSystem
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/maintainers/scripts/azure-new/examples/basic/image.nix b/infra/libkookie/nixpkgs/unstable/nixos/maintainers/scripts/azure-new/examples/basic/image.nix
index ad62dcd14a0..310eba3621a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/maintainers/scripts/azure-new/examples/basic/image.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/maintainers/scripts/azure-new/examples/basic/image.nix
@@ -1,6 +1,6 @@
let
pkgs = (import ../../../../../../default.nix {});
- machine = import "${pkgs.path}/nixos/lib/eval-config.nix" {
+ machine = import (pkgs.path + "/nixos/lib/eval-config.nix") {
system = "x86_64-linux";
modules = [
({config, ...}: { imports = [ ./system.nix ]; })
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/maintainers/scripts/ec2/amazon-image.nix b/infra/libkookie/nixpkgs/unstable/nixos/maintainers/scripts/ec2/amazon-image.nix
index 6942b58f236..fcb369e87ff 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/maintainers/scripts/ec2/amazon-image.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/maintainers/scripts/ec2/amazon-image.nix
@@ -27,7 +27,7 @@ in {
};
contents = mkOption {
- example = literalExample ''
+ example = literalExpression ''
[ { source = pkgs.memtest86 + "/memtest.bin";
target = "boot/memtest.bin";
}
@@ -104,8 +104,8 @@ in {
${pkgs.jq}/bin/jq -n \
--arg system_label ${lib.escapeShellArg config.system.nixos.label} \
--arg system ${lib.escapeShellArg pkgs.stdenv.hostPlatform.system} \
- --arg root_logical_bytes "$(${pkgs.qemu}/bin/qemu-img info --output json "$bootDisk" | ${pkgs.jq}/bin/jq '."virtual-size"')" \
- --arg boot_logical_bytes "$(${pkgs.qemu}/bin/qemu-img info --output json "$rootDisk" | ${pkgs.jq}/bin/jq '."virtual-size"')" \
+ --arg root_logical_bytes "$(${pkgs.qemu}/bin/qemu-img info --output json "$rootDisk" | ${pkgs.jq}/bin/jq '."virtual-size"')" \
+ --arg boot_logical_bytes "$(${pkgs.qemu}/bin/qemu-img info --output json "$bootDisk" | ${pkgs.jq}/bin/jq '."virtual-size"')" \
--arg root "$rootDisk" \
--arg boot "$bootDisk" \
'{}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/maintainers/scripts/ec2/create-amis.sh b/infra/libkookie/nixpkgs/unstable/nixos/maintainers/scripts/ec2/create-amis.sh
index 691d7fcfcba..712d9b548ff 100755
--- a/infra/libkookie/nixpkgs/unstable/nixos/maintainers/scripts/ec2/create-amis.sh
+++ b/infra/libkookie/nixpkgs/unstable/nixos/maintainers/scripts/ec2/create-amis.sh
@@ -15,18 +15,22 @@
# set -x
set -euo pipefail
+var () { true; }
+
# configuration
-state_dir=$HOME/amis/ec2-images
-home_region=eu-west-1
-bucket=nixos-amis
-service_role_name=vmimport
+var ${state_dir:=$HOME/amis/ec2-images}
+var ${home_region:=eu-west-1}
+var ${bucket:=nixos-amis}
+var ${service_role_name:=vmimport}
-regions=(eu-west-1 eu-west-2 eu-west-3 eu-central-1 eu-north-1
+var ${regions:=eu-west-1 eu-west-2 eu-west-3 eu-central-1 eu-north-1
us-east-1 us-east-2 us-west-1 us-west-2
ca-central-1
ap-southeast-1 ap-southeast-2 ap-northeast-1 ap-northeast-2
ap-south-1 ap-east-1
- sa-east-1)
+ sa-east-1}
+
+regions=($regions)
log() {
echo "$@" >&2
@@ -60,10 +64,16 @@ read_image_info() {
# We handle a single image per invocation, store all attributes in
# globals for convenience.
-image_label=$(read_image_info .label)
+zfs_disks=$(read_image_info .disks)
+image_label="$(read_image_info .label)${zfs_disks:+-ZFS}"
image_system=$(read_image_info .system)
-image_file=$(read_image_info .file)
-image_logical_bytes=$(read_image_info .logical_bytes)
+image_files=( $(read_image_info "${zfs_disks:+.disks.root}.file") )
+
+image_logical_bytes=$(read_image_info "${zfs_disks:+.disks.boot}.logical_bytes")
+
+if [[ -n "$zfs_disks" ]]; then
+ image_files+=( $(read_image_info .disks.boot.file) )
+fi
# Derived attributes
@@ -113,11 +123,11 @@ wait_for_import() {
local state snapshot_id
log "Waiting for import task $task_id to be completed"
while true; do
- read -r state progress snapshot_id < <(
+ read -r state message snapshot_id < <(
aws ec2 describe-import-snapshot-tasks --region "$region" --import-task-ids "$task_id" | \
- jq -r '.ImportSnapshotTasks[].SnapshotTaskDetail | "\(.Status) \(.Progress) \(.SnapshotId)"'
+ jq -r '.ImportSnapshotTasks[].SnapshotTaskDetail | "\(.Status) \(.StatusMessage) \(.SnapshotId)"'
)
- log " ... state=$state progress=$progress snapshot_id=$snapshot_id"
+ log " ... state=$state message=$message snapshot_id=$snapshot_id"
case "$state" in
active)
sleep 10
@@ -179,41 +189,48 @@ make_image_public() {
upload_image() {
local region=$1
- local aws_path=${image_file#/}
+ for image_file in "${image_files[@]}"; do
+ local aws_path=${image_file#/}
- local state_key="$region.$image_label.$image_system"
- local task_id
- task_id=$(read_state "$state_key" task_id)
- local snapshot_id
- snapshot_id=$(read_state "$state_key" snapshot_id)
- local ami_id
- ami_id=$(read_state "$state_key" ami_id)
-
- if [ -z "$task_id" ]; then
- log "Checking for image on S3"
- if ! aws s3 ls --region "$region" "s3://${bucket}/${aws_path}" >&2; then
- log "Image missing from aws, uploading"
- aws s3 cp --region "$region" "$image_file" "s3://${bucket}/${aws_path}" >&2
+ if [[ -n "$zfs_disks" ]]; then
+ local suffix=${image_file%.*}
+ suffix=${suffix##*.}
fi
- log "Importing image from S3 path s3://$bucket/$aws_path"
-
- task_id=$(aws ec2 import-snapshot --role-name "$service_role_name" --disk-container "{
- \"Description\": \"nixos-image-${image_label}-${image_system}\",
- \"Format\": \"vhd\",
- \"UserBucket\": {
- \"S3Bucket\": \"$bucket\",
- \"S3Key\": \"$aws_path\"
- }
- }" --region "$region" | jq -r '.ImportTaskId')
-
- write_state "$state_key" task_id "$task_id"
- fi
+ local state_key="$region.$image_label${suffix:+.${suffix}}.$image_system"
+ local task_id
+ task_id=$(read_state "$state_key" task_id)
+ local snapshot_id
+ snapshot_id=$(read_state "$state_key" snapshot_id)
+ local ami_id
+ ami_id=$(read_state "$state_key" ami_id)
+
+ if [ -z "$task_id" ]; then
+ log "Checking for image on S3"
+ if ! aws s3 ls --region "$region" "s3://${bucket}/${aws_path}" >&2; then
+ log "Image missing from aws, uploading"
+ aws s3 cp --region "$region" "$image_file" "s3://${bucket}/${aws_path}" >&2
+ fi
+
+ log "Importing image from S3 path s3://$bucket/$aws_path"
+
+ task_id=$(aws ec2 import-snapshot --role-name "$service_role_name" --disk-container "{
+ \"Description\": \"nixos-image-${image_label}-${image_system}\",
+ \"Format\": \"vhd\",
+ \"UserBucket\": {
+ \"S3Bucket\": \"$bucket\",
+ \"S3Key\": \"$aws_path\"
+ }
+ }" --region "$region" | jq -r '.ImportTaskId')
+
+ write_state "$state_key" task_id "$task_id"
+ fi
- if [ -z "$snapshot_id" ]; then
- snapshot_id=$(wait_for_import "$region" "$task_id")
- write_state "$state_key" snapshot_id "$snapshot_id"
- fi
+ if [ -z "$snapshot_id" ]; then
+ snapshot_id=$(wait_for_import "$region" "$task_id")
+ write_state "$state_key" snapshot_id "$snapshot_id"
+ fi
+ done
if [ -z "$ami_id" ]; then
log "Registering snapshot $snapshot_id as AMI"
@@ -222,6 +239,18 @@ upload_image() {
"DeviceName=/dev/xvda,Ebs={SnapshotId=$snapshot_id,VolumeSize=$image_logical_gigabytes,DeleteOnTermination=true,VolumeType=gp3}"
)
+ if [[ -n "$zfs_disks" ]]; then
+ local root_snapshot_id=$(read_state "$region.$image_label.root.$image_system" snapshot_id)
+
+ local root_image_logical_bytes=$(read_image_info ".disks.root.logical_bytes")
+ local root_image_logical_gigabytes=$(((root_image_logical_bytes-1)/1024/1024/1024+1)) # Round to the next GB
+
+ block_device_mappings+=(
+ "DeviceName=/dev/xvdb,Ebs={SnapshotId=$root_snapshot_id,VolumeSize=$root_image_logical_gigabytes,DeleteOnTermination=true,VolumeType=gp3}"
+ )
+ fi
+
+
local extra_flags=(
--root-device-name /dev/xvda
--sriov-net-support simple
@@ -248,7 +277,7 @@ upload_image() {
write_state "$state_key" ami_id "$ami_id"
fi
- make_image_public "$region" "$ami_id"
+ [[ -v PRIVATE ]] || make_image_public "$region" "$ami_id"
echo "$ami_id"
}
@@ -276,7 +305,7 @@ copy_to_region() {
write_state "$state_key" ami_id "$ami_id"
fi
- make_image_public "$region" "$ami_id"
+ [[ -v PRIVATE ]] || make_image_public "$region" "$ami_id"
echo "$ami_id"
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/console.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/console.nix
index c5150305bd8..98f942ee63f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/console.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/console.nix
@@ -116,7 +116,11 @@ in
{ console.keyMap = with config.services.xserver;
mkIf cfg.useXkbConfig
(pkgs.runCommand "xkb-console-keymap" { preferLocalBuild = true; } ''
- '${pkgs.ckbcomp}/bin/ckbcomp' -model '${xkbModel}' -layout '${layout}' \
+ '${pkgs.ckbcomp}/bin/ckbcomp' \
+ ${optionalString (config.environment.sessionVariables ? XKB_CONFIG_ROOT)
+ "-I${config.environment.sessionVariables.XKB_CONFIG_ROOT}"
+ } \
+ -model '${xkbModel}' -layout '${layout}' \
-option '${xkbOptions}' -variant '${xkbVariant}' > "$out"
'');
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/fonts/fontdir.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/fonts/fontdir.nix
index c4bd3a077d3..db4b6c638ab 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/fonts/fontdir.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/fonts/fontdir.nix
@@ -50,9 +50,8 @@ in
config = mkIf cfg.enable {
- # This is enough to make a symlink because the xserver
- # module already links all /share/X11 paths.
environment.systemPackages = [ x11Fonts ];
+ environment.pathsToLink = [ "/share/X11/fonts" ];
services.xserver.filesSection = ''
FontPath "${x11Fonts}/share/X11/fonts"
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/fonts/fonts.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/fonts/fonts.nix
index f87e61e3ef9..04952898cb7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/fonts/fonts.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/fonts/fonts.nix
@@ -61,7 +61,7 @@ in
fonts = mkOption {
type = types.listOf types.path;
default = [];
- example = literalExample "[ pkgs.dejavu_fonts ]";
+ example = literalExpression "[ pkgs.dejavu_fonts ]";
description = "List of primary font paths.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/i18n.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/i18n.nix
index 991b449d80b..545d4a3dca6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/i18n.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/i18n.nix
@@ -14,7 +14,7 @@ with lib;
allLocales = any (x: x == "all") config.i18n.supportedLocales;
locales = config.i18n.supportedLocales;
};
- example = literalExample "pkgs.glibcLocales";
+ example = literalExpression "pkgs.glibcLocales";
description = ''
Customized pkg.glibcLocales package.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/krb5/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/krb5/default.nix
index c2302451d70..911c5b629a9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/krb5/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/krb5/default.nix
@@ -83,8 +83,8 @@ in {
kerberos = mkOption {
type = types.package;
default = pkgs.krb5Full;
- defaultText = "pkgs.krb5Full";
- example = literalExample "pkgs.heimdalFull";
+ defaultText = literalExpression "pkgs.krb5Full";
+ example = literalExpression "pkgs.heimdal";
description = ''
The Kerberos implementation that will be present in
environment.systemPackages after enabling this
@@ -96,7 +96,7 @@ in {
type = with types; either attrs lines;
default = {};
apply = attrs: filterEmbeddedMetadata attrs;
- example = literalExample ''
+ example = literalExpression ''
{
default_realm = "ATHENA.MIT.EDU";
};
@@ -109,7 +109,7 @@ in {
realms = mkOption {
type = with types; either attrs lines;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"ATHENA.MIT.EDU" = {
admin_server = "athena.mit.edu";
@@ -127,7 +127,7 @@ in {
domain_realm = mkOption {
type = with types; either attrs lines;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"example.com" = "EXAMPLE.COM";
".example.com" = "EXAMPLE.COM";
@@ -142,7 +142,7 @@ in {
capaths = mkOption {
type = with types; either attrs lines;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"ATHENA.MIT.EDU" = {
"EXAMPLE.COM" = ".";
@@ -161,7 +161,7 @@ in {
appdefaults = mkOption {
type = with types; either attrs lines;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
pam = {
debug = false;
@@ -182,7 +182,7 @@ in {
plugins = mkOption {
type = with types; either attrs lines;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
ccselect = {
disable = "k5identity";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/malloc.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/malloc.nix
index fc35993b5a8..84da5643004 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/malloc.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/malloc.nix
@@ -30,6 +30,15 @@ let
vulnerabilities, while maintaining good performance.
'';
};
+
+ mimalloc = {
+ libPath = "${pkgs.mimalloc}/lib/libmimalloc.so";
+ description = ''
+ A compact and fast general purpose allocator, which may
+ optionally be built with mitigations against various heap
+ vulnerabilities.
+ '';
+ };
};
providerConf = providers.${cfg.provider};
@@ -91,7 +100,10 @@ in
"abstractions/base" = ''
r /etc/ld-nix.so.preload,
r ${config.environment.etc."ld-nix.so.preload".source},
- mr ${providerLibPath},
+ include "${pkgs.apparmorRulesFromClosure {
+ name = "mallocLib";
+ baseRules = ["mr $path/lib/**.so*"];
+ } [ mallocLib ] }"
'';
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/networking.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/networking.nix
index 8c4eec510e5..11307e33120 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/networking.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/networking.nix
@@ -21,7 +21,7 @@ in
networking.hosts = lib.mkOption {
type = types.attrsOf (types.listOf types.str);
- example = literalExample ''
+ example = literalExpression ''
{
"127.0.0.1" = [ "foo.bar.baz" ];
"192.168.0.2" = [ "fileserver.local" "nameserver.local" ];
@@ -34,8 +34,8 @@ in
networking.hostFiles = lib.mkOption {
type = types.listOf types.path;
- defaultText = lib.literalExample "Hosts from `networking.hosts` and `networking.extraHosts`";
- example = lib.literalExample ''[ "''${pkgs.my-blocklist-package}/share/my-blocklist/hosts" ]'';
+ defaultText = literalDocBook "Hosts from networking.hosts and networking.extraHosts ";
+ example = literalExpression ''[ "''${pkgs.my-blocklist-package}/share/my-blocklist/hosts" ]'';
description = ''
Files that should be concatenated together to form /etc/hosts .
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/power-management.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/power-management.nix
index cc0ff732ffa..710842e1503 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/power-management.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/power-management.nix
@@ -35,7 +35,7 @@ in
powerUpCommands = mkOption {
type = types.lines;
default = "";
- example = literalExample ''
+ example = literalExpression ''
"''${pkgs.hdparm}/sbin/hdparm -B 255 /dev/sda"
'';
description =
@@ -49,7 +49,7 @@ in
powerDownCommands = mkOption {
type = types.lines;
default = "";
- example = literalExample ''
+ example = literalExpression ''
"''${pkgs.hdparm}/sbin/hdparm -B 255 /dev/sda"
'';
description =
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/pulseaudio.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/pulseaudio.nix
index 3f7ae109e8c..01555d28b73 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/pulseaudio.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/pulseaudio.nix
@@ -149,8 +149,8 @@ in {
default = if config.services.jack.jackd.enable
then pkgs.pulseaudioFull
else pkgs.pulseaudio;
- defaultText = "pkgs.pulseaudio";
- example = literalExample "pkgs.pulseaudioFull";
+ defaultText = literalExpression "pkgs.pulseaudio";
+ example = literalExpression "pkgs.pulseaudioFull";
description = ''
The PulseAudio derivation to use. This can be used to enable
features (such as JACK support, Bluetooth) via the
@@ -161,7 +161,7 @@ in {
extraModules = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "[ pkgs.pulseaudio-modules-bt ]";
+ example = literalExpression "[ pkgs.pulseaudio-modules-bt ]";
description = ''
Extra pulseaudio modules to use. This is intended for out-of-tree
pulseaudio modules like extra bluetooth codecs.
@@ -184,7 +184,7 @@ in {
type = types.attrsOf types.unspecified;
default = {};
description = "Config of the pulse daemon. See man pulse-daemon.conf .";
- example = literalExample ''{ realtime-scheduling = "yes"; }'';
+ example = literalExpression ''{ realtime-scheduling = "yes"; }'';
};
};
@@ -204,7 +204,7 @@ in {
allowedIpRanges = mkOption {
type = types.listOf types.str;
default = [];
- example = literalExample ''[ "127.0.0.1" "192.168.1.0/24" ]'';
+ example = literalExpression ''[ "127.0.0.1" "192.168.1.0/24" ]'';
description = ''
A list of IP subnets that are allowed to stream to the server.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/shells-environment.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/shells-environment.nix
index 34e558d8603..ae3f618e273 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/shells-environment.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/shells-environment.nix
@@ -136,10 +136,8 @@ in
environment.binsh = mkOption {
default = "${config.system.build.binsh}/bin/sh";
- defaultText = "\${config.system.build.binsh}/bin/sh";
- example = literalExample ''
- "''${pkgs.dash}/bin/dash"
- '';
+ defaultText = literalExpression ''"''${config.system.build.binsh}/bin/sh"'';
+ example = literalExpression ''"''${pkgs.dash}/bin/dash"'';
type = types.path;
visible = false;
description = ''
@@ -152,7 +150,7 @@ in
environment.shells = mkOption {
default = [];
- example = literalExample "[ pkgs.bashInteractive pkgs.zsh ]";
+ example = literalExpression "[ pkgs.bashInteractive pkgs.zsh ]";
description = ''
A list of permissible login shells for user accounts.
No need to mention /bin/sh
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/sysctl.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/sysctl.nix
index e59c7a32c28..db1f5284f50 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/sysctl.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/sysctl.nix
@@ -22,7 +22,7 @@ in
boot.kernel.sysctl = mkOption {
default = {};
- example = literalExample ''
+ example = literalExpression ''
{ "net.ipv4.tcp_syncookies" = false; "vm.swappiness" = 60; }
'';
type = types.attrsOf sysctlOption;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/system-path.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/system-path.nix
index 1292c3008c6..6ff4ec2921c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/system-path.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/system-path.nix
@@ -58,7 +58,7 @@ in
systemPackages = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "[ pkgs.firefox pkgs.thunderbird ]";
+ example = literalExpression "[ pkgs.firefox pkgs.thunderbird ]";
description = ''
The set of packages that appear in
/run/current-system/sw. These packages are
@@ -73,9 +73,9 @@ in
defaultPackages = mkOption {
type = types.listOf types.package;
default = defaultPackages;
- example = literalExample "[]";
+ example = [];
description = ''
- Set of default packages that aren't strictly neccessary
+ Set of default packages that aren't strictly necessary
for a running system, entries can be removed for a more
minimal NixOS installation.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/unix-odbc-drivers.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/unix-odbc-drivers.nix
index abc12a627d6..055c3b2364e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/unix-odbc-drivers.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/unix-odbc-drivers.nix
@@ -19,7 +19,7 @@ in {
environment.unixODBCDrivers = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "with pkgs.unixODBCDrivers; [ sqlite psql ]";
+ example = literalExpression "with pkgs.unixODBCDrivers; [ sqlite psql ]";
description = ''
Specifies Unix ODBC drivers to be registered in
/etc/odbcinst.ini . You may also want to
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/update-users-groups.pl b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/update-users-groups.pl
index bef08dc4020..232f886789d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/update-users-groups.pl
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/update-users-groups.pl
@@ -1,11 +1,10 @@
use strict;
+use warnings;
use File::Path qw(make_path);
use File::Slurp;
+use Getopt::Long;
use JSON;
-make_path("/var/lib/nixos", { mode => 0755 });
-
-
# Keep track of deleted uids and gids.
my $uidMapFile = "/var/lib/nixos/uid-map";
my $uidMap = -e $uidMapFile ? decode_json(read_file($uidMapFile)) : {};
@@ -13,12 +12,19 @@ my $uidMap = -e $uidMapFile ? decode_json(read_file($uidMapFile)) : {};
my $gidMapFile = "/var/lib/nixos/gid-map";
my $gidMap = -e $gidMapFile ? decode_json(read_file($gidMapFile)) : {};
+my $is_dry = ($ENV{'NIXOS_ACTION'} // "") eq "dry-activate";
+GetOptions("dry-activate" => \$is_dry);
+make_path("/var/lib/nixos", { mode => 0755 }) unless $is_dry;
sub updateFile {
my ($path, $contents, $perms) = @_;
+ return if $is_dry;
write_file($path, { atomic => 1, binmode => ':utf8', perms => $perms // 0644 }, $contents) or die;
}
+sub nscdInvalidate {
+ system("nscd", "--invalidate", $_[0]) unless $is_dry;
+}
sub hashPassword {
my ($password) = @_;
@@ -28,6 +34,14 @@ sub hashPassword {
return crypt($password, '$6$' . $salt . '$');
}
+sub dry_print {
+ if ($is_dry) {
+ print STDERR ("$_[1] $_[2]\n")
+ } else {
+ print STDERR ("$_[0] $_[2]\n")
+ }
+}
+
# Functions for allocating free GIDs/UIDs. FIXME: respect ID ranges in
# /etc/login.defs.
@@ -51,7 +65,7 @@ sub allocGid {
my ($name) = @_;
my $prevGid = $gidMap->{$name};
if (defined $prevGid && !defined $gidsUsed{$prevGid}) {
- print STDERR "reviving group '$name' with GID $prevGid\n";
+ dry_print("reviving", "would revive", "group '$name' with GID $prevGid");
$gidsUsed{$prevGid} = 1;
return $prevGid;
}
@@ -63,15 +77,14 @@ sub allocUid {
my ($min, $max, $up) = $isSystemUser ? (400, 999, 0) : (1000, 29999, 1);
my $prevUid = $uidMap->{$name};
if (defined $prevUid && $prevUid >= $min && $prevUid <= $max && !defined $uidsUsed{$prevUid}) {
- print STDERR "reviving user '$name' with UID $prevUid\n";
+ dry_print("reviving", "would revive", "user '$name' with UID $prevUid");
$uidsUsed{$prevUid} = 1;
return $prevUid;
}
return allocId(\%uidsUsed, \%uidsPrevUsed, $min, $max, $up, sub { my ($uid) = @_; getpwuid($uid) });
}
-
-# Read the declared users/groups.
+# Read the declared users/groups
my $spec = decode_json(read_file($ARGV[0]));
# Don't allocate UIDs/GIDs that are manually assigned.
@@ -134,7 +147,7 @@ foreach my $g (@{$spec->{groups}}) {
if (defined $existing) {
$g->{gid} = $existing->{gid} if !defined $g->{gid};
if ($g->{gid} != $existing->{gid}) {
- warn "warning: not applying GID change of group ‘$name’ ($existing->{gid} -> $g->{gid})\n";
+ dry_print("warning: not applying", "warning: would not apply", "GID change of group ‘$name’ ($existing->{gid} -> $g->{gid})");
$g->{gid} = $existing->{gid};
}
$g->{password} = $existing->{password}; # do we want this?
@@ -163,7 +176,7 @@ foreach my $name (keys %groupsCur) {
my $g = $groupsCur{$name};
next if defined $groupsOut{$name};
if (!$spec->{mutableUsers} || defined $declGroups{$name}) {
- print STDERR "removing group ‘$name’\n";
+ dry_print("removing group", "would remove group", "‘$name’");
} else {
$groupsOut{$name} = $g;
}
@@ -175,7 +188,7 @@ my @lines = map { join(":", $_->{name}, $_->{password}, $_->{gid}, $_->{members}
(sort { $a->{gid} <=> $b->{gid} } values(%groupsOut));
updateFile($gidMapFile, to_json($gidMap));
updateFile("/etc/group", \@lines);
-system("nscd --invalidate group");
+nscdInvalidate("group");
# Generate a new /etc/passwd containing the declared users.
my %usersOut;
@@ -196,7 +209,7 @@ foreach my $u (@{$spec->{users}}) {
if (defined $existing) {
$u->{uid} = $existing->{uid} if !defined $u->{uid};
if ($u->{uid} != $existing->{uid}) {
- warn "warning: not applying UID change of user ‘$name’ ($existing->{uid} -> $u->{uid})\n";
+ dry_print("warning: not applying", "warning: would not apply", "UID change of user ‘$name’ ($existing->{uid} -> $u->{uid})");
$u->{uid} = $existing->{uid};
}
} else {
@@ -211,7 +224,7 @@ foreach my $u (@{$spec->{users}}) {
# Ensure home directory incl. ownership and permissions.
if ($u->{createHome}) {
- make_path($u->{home}, { mode => 0700 }) if ! -e $u->{home};
+ make_path($u->{home}, { mode => 0700 }) if ! -e $u->{home} and ! $is_dry;
chown $u->{uid}, $u->{gid}, $u->{home};
chmod 0700, $u->{home};
}
@@ -250,7 +263,7 @@ foreach my $name (keys %usersCur) {
my $u = $usersCur{$name};
next if defined $usersOut{$name};
if (!$spec->{mutableUsers} || defined $declUsers{$name}) {
- print STDERR "removing user ‘$name’\n";
+ dry_print("removing user", "would remove user", "‘$name’");
} else {
$usersOut{$name} = $u;
}
@@ -261,7 +274,7 @@ foreach my $name (keys %usersCur) {
(sort { $a->{uid} <=> $b->{uid} } (values %usersOut));
updateFile($uidMapFile, to_json($uidMap));
updateFile("/etc/passwd", \@lines);
-system("nscd --invalidate passwd");
+nscdInvalidate("passwd");
# Rewrite /etc/shadow to add new accounts or remove dead ones.
@@ -293,7 +306,7 @@ updateFile("/etc/shadow", \@shadowNew, 0640);
my $uid = getpwnam "root";
my $gid = getgrnam "shadow";
my $path = "/etc/shadow";
- chown($uid, $gid, $path) || die "Failed to change ownership of $path: $!";
+ (chown($uid, $gid, $path) || die "Failed to change ownership of $path: $!") unless $is_dry;
}
# Rewrite /etc/subuid & /etc/subgid to include default container mappings
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/users-groups.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/users-groups.nix
index f86be3be2c6..629905e6095 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/users-groups.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/users-groups.nix
@@ -123,7 +123,7 @@ let
group = mkOption {
type = types.str;
apply = x: assert (builtins.stringLength x < 32 || abort "Group name '${x}' is longer than 31 characters which is not allowed!"); x;
- default = "nogroup";
+ default = "";
description = "The user's primary group.";
};
@@ -165,8 +165,8 @@ let
shell = mkOption {
type = types.nullOr (types.either types.shellPackage (passwdEntry types.path));
default = pkgs.shadow;
- defaultText = "pkgs.shadow";
- example = literalExample "pkgs.bashInteractive";
+ defaultText = literalExpression "pkgs.shadow";
+ example = literalExpression "pkgs.bashInteractive";
description = ''
The path to the user's shell. Can use shell derivations,
like pkgs.bashInteractive . Don’t
@@ -291,7 +291,7 @@ let
packages = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "[ pkgs.firefox pkgs.thunderbird ]";
+ example = literalExpression "[ pkgs.firefox pkgs.thunderbird ]";
description = ''
The set of packages that should be made available to the user.
This is in contrast to environment.systemPackages ,
@@ -561,14 +561,16 @@ in {
shadow.gid = ids.gids.shadow;
};
- system.activationScripts.users = stringAfter [ "stdio" ]
- ''
+ system.activationScripts.users = {
+ supportsDryActivation = true;
+ text = ''
install -m 0700 -d /root
install -m 0755 -d /home
${pkgs.perl.withPackages (p: [ p.FileSlurp p.JSON ])}/bin/perl \
-w ${./update-users-groups.pl} ${spec}
'';
+ };
# for backwards compatibility
system.activationScripts.groups = stringAfter [ "users" ] "";
@@ -638,6 +640,16 @@ in {
Exactly one of users.users.${user.name}.isSystemUser and users.users.${user.name}.isNormalUser must be set.
'';
}
+ {
+ assertion = user.group != "";
+ message = ''
+ users.users.${user.name}.group is unset. This used to default to
+ nogroup, but this is unsafe. For example you can create a group
+ for this user with:
+ users.users.${user.name}.group = "${user.name}";
+ users.groups.${user.name} = {};
+ '';
+ }
]
));
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/xdg/mime.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/xdg/mime.nix
index 4cdb3f30994..9b6dd4cab5f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/xdg/mime.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/xdg/mime.nix
@@ -1,9 +1,17 @@
{ config, lib, pkgs, ... }:
with lib;
+
+let
+ cfg = config.xdg.mime;
+ associationOptions = with types; attrsOf (
+ coercedTo (either (listOf str) str) (x: concatStringsSep ";" (toList x)) str
+ );
+in
+
{
meta = {
- maintainers = teams.freedesktop.members;
+ maintainers = teams.freedesktop.members ++ (with maintainers; [ figsoda ]);
};
options = {
@@ -16,9 +24,63 @@ with lib;
XDG MIME Applications specification.
'';
};
+
+ xdg.mime.addedAssociations = mkOption {
+ type = associationOptions;
+ default = {};
+ example = {
+ "application/pdf" = "firefox.desktop";
+ "text/xml" = [ "nvim.desktop" "codium.desktop" ];
+ };
+ description = ''
+ Adds associations between mimetypes and applications. See the
+
+ specifications for more information.
+ '';
+ };
+
+ xdg.mime.defaultApplications = mkOption {
+ type = associationOptions;
+ default = {};
+ example = {
+ "application/pdf" = "firefox.desktop";
+ "image/png" = [ "sxiv.desktop" "gimp.desktop" ];
+ };
+ description = ''
+ Sets the default applications for given mimetypes. See the
+
+ specifications for more information.
+ '';
+ };
+
+ xdg.mime.removedAssociations = mkOption {
+ type = associationOptions;
+ default = {};
+ example = {
+ "audio/mp3" = [ "mpv.desktop" "umpv.desktop" ];
+ "inode/directory" = "codium.desktop";
+ };
+ description = ''
+ Removes associations between mimetypes and applications. See the
+
+ specifications for more information.
+ '';
+ };
};
- config = mkIf config.xdg.mime.enable {
+ config = mkIf cfg.enable {
+ environment.etc."xdg/mimeapps.list" = mkIf (
+ cfg.addedAssociations != {}
+ || cfg.defaultApplications != {}
+ || cfg.removedAssociations != {}
+ ) {
+ text = generators.toINI { } {
+ "Added Associations" = cfg.addedAssociations;
+ "Default Applications" = cfg.defaultApplications;
+ "Removed Associations" = cfg.removedAssociations;
+ };
+ };
+
environment.pathsToLink = [ "/share/mime" ];
environment.systemPackages = [
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/xdg/portals/wlr.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/xdg/portals/wlr.nix
index 55baab0026b..aba1d8dbc00 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/config/xdg/portals/wlr.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/config/xdg/portals/wlr.nix
@@ -37,7 +37,7 @@ in
default = { };
# Example taken from the manpage
- example = literalExample ''
+ example = literalExpression ''
{
screencast = {
output_name = "HDMI-A-1";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/ckb-next.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/ckb-next.nix
index 6932be1c54c..b2bbd77c9d7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/ckb-next.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/ckb-next.nix
@@ -27,7 +27,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.ckb-next;
- defaultText = "pkgs.ckb-next";
+ defaultText = literalExpression "pkgs.ckb-next";
description = ''
The package implementing the Corsair keyboard/mouse driver.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/device-tree.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/device-tree.nix
index 4aa1d6369d1..be67116ad50 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/device-tree.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/device-tree.nix
@@ -21,7 +21,7 @@ let
each .dtb file matching "compatible" of the overlay.
'';
default = null;
- example = literalExample "./dts/overlays.dts";
+ example = literalExpression "./dts/overlays.dts";
};
dtsText = mkOption {
@@ -31,7 +31,7 @@ let
Literal DTS contents, overlay is applied to
each .dtb file matching "compatible" of the overlay.
'';
- example = literalExample ''
+ example = ''
/dts-v1/;
/plugin/;
/ {
@@ -125,8 +125,8 @@ in
kernelPackage = mkOption {
default = config.boot.kernelPackages.kernel;
- defaultText = "config.boot.kernelPackages.kernel";
- example = literalExample "pkgs.linux_latest";
+ defaultText = literalExpression "config.boot.kernelPackages.kernel";
+ example = literalExpression "pkgs.linux_latest";
type = types.path;
description = ''
Kernel package containing the base device-tree (.dtb) to boot. Uses
@@ -156,7 +156,7 @@ in
overlays = mkOption {
default = [];
- example = literalExample ''
+ example = literalExpression ''
[
{ name = "pps"; dtsFile = ./dts/pps.dts; }
{ name = "spi";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/digitalbitbox.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/digitalbitbox.nix
index 0888cfbef2a..097448a74f4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/digitalbitbox.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/digitalbitbox.nix
@@ -19,7 +19,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.digitalbitbox;
- defaultText = "pkgs.digitalbitbox";
+ defaultText = literalExpression "pkgs.digitalbitbox";
description = "The Digital Bitbox package to use. This can be used to install a package with udev rules that differ from the defaults.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/opengl.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/opengl.nix
index a50b5d32c35..0d8aaf73459 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/opengl.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/opengl.nix
@@ -89,7 +89,7 @@ in
extraPackages = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "with pkgs; [ vaapiIntel libvdpau-va-gl vaapiVdpau intel-ocl ]";
+ example = literalExpression "with pkgs; [ vaapiIntel libvdpau-va-gl vaapiVdpau intel-ocl ]";
description = ''
Additional packages to add to OpenGL drivers. This can be used
to add OpenCL drivers, VA-API/VDPAU drivers etc.
@@ -99,7 +99,7 @@ in
extraPackages32 = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "with pkgs.pkgsi686Linux; [ vaapiIntel libvdpau-va-gl vaapiVdpau ]";
+ example = literalExpression "with pkgs.pkgsi686Linux; [ vaapiIntel libvdpau-va-gl vaapiVdpau ]";
description = ''
Additional packages to add to 32-bit OpenGL drivers on
64-bit systems. Used when driSupport32Bit is
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/opentabletdriver.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/opentabletdriver.nix
index 295e23e6164..caba934ebe7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/opentabletdriver.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/opentabletdriver.nix
@@ -29,7 +29,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.opentabletdriver;
- defaultText = "pkgs.opentabletdriver";
+ defaultText = literalExpression "pkgs.opentabletdriver";
description = ''
OpenTabletDriver derivation to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/printers.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/printers.nix
index c587076dcd1..7bab4f7038d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/printers.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/printers.nix
@@ -72,10 +72,10 @@ in {
};
deviceUri = mkOption {
type = types.str;
- example = [
+ example = literalExpression ''
"ipp://printserver.local/printers/BrotherHL_Workroom"
"usb://HP/DESKJET%20940C?serial=CN16E6C364BH"
- ];
+ '';
description = ''
How to reach the printer.
lpinfo -v shows a list of supported device URIs and schemes.
@@ -83,8 +83,8 @@ in {
};
model = mkOption {
type = types.str;
- example = literalExample ''
- gutenprint.''${lib.versions.majorMinor (lib.getVersion pkgs.gutenprint)}://brother-hl-5140/expert
+ example = literalExpression ''
+ "gutenprint.''${lib.versions.majorMinor (lib.getVersion pkgs.gutenprint)}://brother-hl-5140/expert"
'';
description = ''
Location of the ppd driver file for the printer.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/sata.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/sata.nix
index 541897527a8..81592997d6e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/sata.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/sata.nix
@@ -39,7 +39,7 @@ in
enable = mkEnableOption "SATA drive timeouts";
deciSeconds = mkOption {
- example = "70";
+ example = 70;
type = types.int;
description = ''
Set SCT Error Recovery Control timeout in deciseconds for use in RAID configurations.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/video/nvidia.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/video/nvidia.nix
index 1e125eced2c..8f6b5c22ea4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/video/nvidia.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/video/nvidia.nix
@@ -23,6 +23,7 @@ let
offloadCfg = pCfg.offload;
primeEnabled = syncCfg.enable || offloadCfg.enable;
nvidiaPersistencedEnabled = cfg.nvidiaPersistenced;
+ nvidiaSettings = cfg.nvidiaSettings;
in
{
@@ -143,6 +144,15 @@ in
'';
};
+ hardware.nvidia.nvidiaSettings = mkOption {
+ default = true;
+ type = types.bool;
+ description = ''
+ Whether to add nvidia-settings, NVIDIA's GUI configuration tool, to
+ systemPackages.
+ '';
+ };
+
hardware.nvidia.nvidiaPersistenced = mkOption {
default = false;
type = types.bool;
@@ -155,11 +165,11 @@ in
hardware.nvidia.package = lib.mkOption {
type = lib.types.package;
default = config.boot.kernelPackages.nvidiaPackages.stable;
- defaultText = "config.boot.kernelPackages.nvidiaPackages.stable";
+ defaultText = literalExpression "config.boot.kernelPackages.nvidiaPackages.stable";
description = ''
The NVIDIA X11 derivation to use.
'';
- example = "config.boot.kernelPackages.nvidiaPackages.legacy_340";
+ example = literalExpression "config.boot.kernelPackages.nvidiaPackages.legacy_340";
};
};
@@ -203,7 +213,7 @@ in
}
{
- assertion = cfg.powerManagement.enable -> offloadCfg.enable;
+ assertion = cfg.powerManagement.finegrained -> offloadCfg.enable;
message = "Fine-grained power management requires offload to be enabled.";
}
@@ -279,7 +289,8 @@ in
hardware.opengl.extraPackages = optional offloadCfg.enable nvidia_x11.out;
hardware.opengl.extraPackages32 = optional offloadCfg.enable nvidia_x11.lib32;
- environment.systemPackages = [ nvidia_x11.bin nvidia_x11.settings ]
+ environment.systemPackages = [ nvidia_x11.bin ]
+ ++ optionals nvidiaSettings [ nvidia_x11.settings ]
++ optionals nvidiaPersistencedEnabled [ nvidia_x11.persistenced ];
systemd.packages = optional cfg.powerManagement.enable nvidia_x11.out;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/video/uvcvideo/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/video/uvcvideo/default.nix
index cf6aa052abb..338062cf69b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/video/uvcvideo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/hardware/video/uvcvideo/default.nix
@@ -33,7 +33,7 @@ in
packages = mkOption {
type = types.listOf types.path;
- example = literalExample "[ pkgs.tiscamera ]";
+ example = literalExpression "[ pkgs.tiscamera ]";
description = ''
List of packages containing uvcvideo dynamic controls
rules. All files found in
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/fcitx.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/fcitx.nix
index 440f13b4152..57960cc365b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/fcitx.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/fcitx.nix
@@ -17,7 +17,7 @@ in
engines = mkOption {
type = with types; listOf fcitxEngine;
default = [];
- example = literalExample "with pkgs.fcitx-engines; [ mozc hangul ]";
+ example = literalExpression "with pkgs.fcitx-engines; [ mozc hangul ]";
description =
let
enginesDrv = filterAttrs (const isDerivation) pkgs.fcitx-engines;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/fcitx5.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/fcitx5.nix
index eecbe32fea4..414aabbbaa7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/fcitx5.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/fcitx5.nix
@@ -12,7 +12,7 @@ in {
addons = mkOption {
type = with types; listOf package;
default = [];
- example = with pkgs; [ fcitx5-rime ];
+ example = literalExpression "with pkgs; [ fcitx5-rime ]";
description = ''
Enabled Fcitx5 addons.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/ibus.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/ibus.nix
index 1aaa5a952be..92f8c64338a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/ibus.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/ibus.nix
@@ -36,7 +36,7 @@ in
engines = mkOption {
type = with types; listOf ibusEngine;
default = [];
- example = literalExample "with pkgs.ibus-engines; [ mozc hangul ]";
+ example = literalExpression "with pkgs.ibus-engines; [ mozc hangul ]";
description =
let
enginesDrv = filterAttrs (const isDerivation) pkgs.ibus-engines;
@@ -48,7 +48,7 @@ in
panel = mkOption {
type = with types; nullOr path;
default = null;
- example = literalExample "''${pkgs.plasma5Packages.plasma-desktop}/lib/libexec/kimpanel-ibus-panel";
+ example = literalExpression ''"''${pkgs.plasma5Packages.plasma-desktop}/lib/libexec/kimpanel-ibus-panel"'';
description = "Replace the IBus panel with another panel.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/kime.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/kime.nix
index 2a73cb3f460..e462cae2437 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/kime.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/i18n/input-method/kime.nix
@@ -10,7 +10,7 @@ in
config = mkOption {
type = yamlFormat.type;
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
daemon = {
modules = ["Xim" "Indicator"];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/iso-image.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/iso-image.nix
index 78cbf14bbaf..4812cacabaf 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/iso-image.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/iso-image.nix
@@ -528,7 +528,7 @@ in
};
isoImage.contents = mkOption {
- example = literalExample ''
+ example = literalExpression ''
[ { source = pkgs.memtest86 + "/memtest.bin";
target = "boot/memtest.bin";
}
@@ -541,7 +541,7 @@ in
};
isoImage.storeContents = mkOption {
- example = literalExample "[ pkgs.stdenv ]";
+ example = literalExpression "[ pkgs.stdenv ]";
description = ''
This option lists additional derivations to be included in the
Nix store in the generated ISO image.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball-fuloong2f.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball-fuloong2f.nix
index 123f487baf9..054c8c74a76 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball-fuloong2f.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball-fuloong2f.nix
@@ -93,7 +93,7 @@ in
boot.initrd.availableKernelModules =
[ "vfat" "reiserfs" ];
- boot.kernelPackages = pkgs.linuxPackages_3_10;
+ boot.kernelPackages = pkgs.linuxKernel.packages.linux_3_10;
boot.kernelParams = [ "console=tty1" ];
boot.postBootCommands =
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball-pc.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball-pc.nix
index a79209d7dfe..674fb6c8a33 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball-pc.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball-pc.nix
@@ -114,7 +114,7 @@ in
# To be able to use the systemTarball to catch troubles.
boot.crashDump = {
enable = true;
- kernelPackages = pkgs.linuxPackages_3_4;
+ kernelPackages = pkgs.linuxKernel.packages.linux_3_4;
};
# No grub for the tarball.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball-sheevaplug.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball-sheevaplug.nix
index 95579f3ca06..458e313a3f7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball-sheevaplug.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball-sheevaplug.nix
@@ -111,7 +111,7 @@ in
# "console=ttyS0,115200n8" # serial console
];
- boot.kernelPackages = pkgs.linuxPackages_3_4;
+ boot.kernelPackages = pkgs.linuxKernel.packages.linux_3_4;
boot.supportedFilesystems = [ "reiserfs" ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball.nix
index 58098c45535..362c555cc53 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/cd-dvd/system-tarball.nix
@@ -15,7 +15,7 @@ in
{
options = {
tarball.contents = mkOption {
- example = literalExample ''
+ example = literalExpression ''
[ { source = pkgs.memtest86 + "/memtest.bin";
target = "boot/memtest.bin";
}
@@ -28,7 +28,7 @@ in
};
tarball.storeContents = mkOption {
- example = literalExample "[ pkgs.stdenv ]";
+ example = literalExpression "[ pkgs.stdenv ]";
description = ''
This option lists additional derivations to be included in the
Nix store in the generated ISO image.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/netboot/netboot.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/netboot/netboot.nix
index 28b6c39b29d..145f71b5d0c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/netboot/netboot.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/netboot/netboot.nix
@@ -9,7 +9,7 @@ with lib;
options = {
netboot.storeContents = mkOption {
- example = literalExample "[ pkgs.stdenv ]";
+ example = literalExpression "[ pkgs.stdenv ]";
description = ''
This option lists additional derivations to be included in the
Nix store in the generated netboot image.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/sd-card/sd-image-raspberrypi.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/sd-card/sd-image-raspberrypi.nix
index 83850f4c115..103d6787a03 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/sd-card/sd-image-raspberrypi.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/sd-card/sd-image-raspberrypi.nix
@@ -12,7 +12,7 @@
boot.loader.generic-extlinux-compatible.enable = true;
boot.consoleLogLevel = lib.mkDefault 7;
- boot.kernelPackages = pkgs.linuxPackages_rpi1;
+ boot.kernelPackages = pkgs.linuxKernel.packages.linux_rpi1;
sdImage = {
populateFirmwareCommands = let
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/sd-card/sd-image.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/sd-card/sd-image.nix
index 2a10a77300e..a964cf2d6f8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/sd-card/sd-image.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/sd-card/sd-image.nix
@@ -49,7 +49,7 @@ in
storePaths = mkOption {
type = with types; listOf package;
- example = literalExample "[ pkgs.stdenv ]";
+ example = literalExpression "[ pkgs.stdenv ]";
description = ''
Derivations to be included in the Nix store in the generated SD image.
'';
@@ -107,7 +107,7 @@ in
};
populateFirmwareCommands = mkOption {
- example = literalExample "'' cp \${pkgs.myBootLoader}/u-boot.bin firmware/ ''";
+ example = literalExpression "'' cp \${pkgs.myBootLoader}/u-boot.bin firmware/ ''";
description = ''
Shell commands to populate the ./firmware directory.
All files in that directory are copied to the
@@ -116,7 +116,7 @@ in
};
populateRootCommands = mkOption {
- example = literalExample "''\${config.boot.loader.generic-extlinux-compatible.populateCmd} -c \${config.system.build.toplevel} -d ./files/boot''";
+ example = literalExpression "''\${config.boot.loader.generic-extlinux-compatible.populateCmd} -c \${config.system.build.toplevel} -d ./files/boot''";
description = ''
Shell commands to populate the ./files directory.
All files in that directory are copied to the
@@ -126,7 +126,7 @@ in
};
postBuildCommands = mkOption {
- example = literalExample "'' dd if=\${pkgs.myBootLoader}/SPL of=$img bs=1024 seek=1 conv=notrunc ''";
+ example = literalExpression "'' dd if=\${pkgs.myBootLoader}/SPL of=$img bs=1024 seek=1 conv=notrunc ''";
default = "";
description = ''
Shell commands to run after the image is built.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/nix-fallback-paths.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/nix-fallback-paths.nix
index 15c76287e34..cb509b7340b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/nix-fallback-paths.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/nix-fallback-paths.nix
@@ -1,7 +1,7 @@
{
- x86_64-linux = "/nix/store/jhbxh1jwjc3hjhzs9y2hifdn0rmnfwaj-nix-2.3.15";
- i686-linux = "/nix/store/9pspwnkdrgzma1l4xlv7arhwa56y16di-nix-2.3.15";
- aarch64-linux = "/nix/store/72aqi5g7f4fhgvgafbcqwcpqjgnczj48-nix-2.3.15";
- x86_64-darwin = "/nix/store/6p6qwp73dgfkqhynmxrzbx1lcfgfpqal-nix-2.3.15";
- aarch64-darwin = "/nix/store/dmq2vksdhssgfl822shd0ky3x5x0klh4-nix-2.3.15";
+ x86_64-linux = "/nix/store/nzp4m3cmm7wawk031byh8jg4cdzjq212-nix-2.3.16";
+ i686-linux = "/nix/store/zsaza9pwim617ak15fsc31lv65b9w3in-nix-2.3.16";
+ aarch64-linux = "/nix/store/7f6z40gyd405yd50qkyzwilnqw106bx8-nix-2.3.16";
+ x86_64-darwin = "/nix/store/c43kyri67ia8mibs0id5ara7gqwlkybf-nix-2.3.16";
+ aarch64-darwin = "/nix/store/6jwhak3cvsgnbqs540n27g8pxnk427fr-nix-2.3.16";
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/nixos-build-vms/build-vms.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/nixos-build-vms/build-vms.nix
index e49ceba2424..8aedce2fb49 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/nixos-build-vms/build-vms.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/nixos-build-vms/build-vms.nix
@@ -8,11 +8,21 @@ let
_file = "${networkExpr}@node-${vm}";
imports = [ module ];
}) (import networkExpr);
-in
-with import ../../../../lib/testing-python.nix {
- inherit system;
pkgs = import ../../../../.. { inherit system config; };
-};
-(makeTest { inherit nodes; testScript = ""; }).driverInteractive
+ testing = import ../../../../lib/testing-python.nix {
+ inherit system pkgs;
+ };
+
+ interactiveDriver = (testing.makeTest { inherit nodes; testScript = "start_all(); join_all();"; }).driverInteractive;
+in
+
+
+pkgs.runCommand "nixos-build-vms" { nativeBuildInputs = [ pkgs.makeWrapper ]; } ''
+ mkdir -p $out/bin
+ ln -s ${interactiveDriver}/bin/nixos-test-driver $out/bin/nixos-test-driver
+ ln -s ${interactiveDriver}/bin/nixos-test-driver $out/bin/nixos-run-vms
+ wrapProgram $out/bin/nixos-test-driver \
+ --add-flags "--interactive"
+''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/nixos-enter.sh b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/nixos-enter.sh
index 450d7761814..e57296b38e4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/nixos-enter.sh
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/nixos-enter.sh
@@ -68,7 +68,7 @@ mount --rbind /sys "$mountPoint/sys"
fi
# Run the activation script. Set $LOCALE_ARCHIVE to supress some Perl locale warnings.
- LOCALE_ARCHIVE="$system/sw/lib/locale/locale-archive" chroot "$mountPoint" "$system/activate" 1>&2 || true
+ LOCALE_ARCHIVE="$system/sw/lib/locale/locale-archive" IN_NIXOS_ENTER=1 chroot "$mountPoint" "$system/activate" 1>&2 || true
# Create /tmp
chroot "$mountPoint" systemd-tmpfiles --create --remove --exclude-prefix=/dev 1>&2 || true
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/tools.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/tools.nix
index f79ed3493df..2f3b0cdd48f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/tools.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/installer/tools/tools.nix
@@ -104,7 +104,20 @@ in
};
};
- config = {
+ options.system.disableInstallerTools = mkOption {
+ internal = true;
+ type = types.bool;
+ default = false;
+ description = ''
+ Disable nixos-rebuild, nixos-generate-config, nixos-installer
+ and other NixOS tools. This is useful to shrink embedded,
+ read-only systems which are not expected to be rebuild or
+ reconfigure themselves. Use at your own risk!
+ '';
+ };
+
+ config = lib.mkIf (!config.system.disableInstallerTools) {
+
system.nixos-generate-config.configuration = mkDefault ''
# Edit this configuration file to define what should be installed on
# your system. Help is available in the configuration.nix(5) man page
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/crashdump.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/crashdump.nix
index 796078d7ef8..b0f75d9caaa 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/crashdump.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/crashdump.nix
@@ -50,7 +50,7 @@ in
boot = {
postBootCommands = ''
echo "loading crashdump kernel...";
- ${pkgs.kexectools}/sbin/kexec -p /run/current-system/kernel \
+ ${pkgs.kexec-tools}/sbin/kexec -p /run/current-system/kernel \
--initrd=/run/current-system/initrd \
--reset-vga --console-vga \
--command-line="init=$(readlink -f /run/current-system/init) irqpoll maxcpus=1 reset_devices ${kernelParams}"
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/documentation.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/documentation.nix
index 7e04f0f16a9..c3ded4f1ea3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/documentation.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/documentation.nix
@@ -6,7 +6,11 @@ let
cfg = config.documentation;
- manualModules = baseModules ++ optionals cfg.nixos.includeAllModules (extraModules ++ modules);
+ manualModules =
+ baseModules
+ # Modules for which to show options even when not imported
+ ++ [ ../virtualisation/qemu-vm.nix ]
+ ++ optionals cfg.nixos.includeAllModules (extraModules ++ modules);
/* For the purpose of generating docs, evaluate options with each derivation
in `pkgs` (recursively) replaced by a fake with path "\${pkgs.attribute.path}".
@@ -120,6 +124,24 @@ in
'';
};
+ man.manualPages = mkOption {
+ type = types.path;
+ default = pkgs.buildEnv {
+ name = "man-paths";
+ paths = config.environment.systemPackages;
+ pathsToLink = [ "/share/man" ];
+ extraOutputsToInstall = ["man"];
+ ignoreCollisions = true;
+ };
+ defaultText = literalDocBook "all man pages in config.environment.systemPackages ";
+ description = ''
+ The manual pages to generate caches for if generateCaches
+ is enabled. Must be a path to a directory with man pages under
+ /share/man ; see the source for an example.
+ Advanced users can make this a content-addressed derivation to save a few rebuilds.
+ '';
+ };
+
info.enable = mkOption {
type = types.bool;
default = true;
@@ -145,11 +167,11 @@ in
description = ''
Whether to install documentation targeted at developers.
- This includes man pages targeted at developers if man.enable is
+ This includes man pages targeted at developers if documentation.man.enable is
set (this also includes "devman" outputs).
- This includes info pages targeted at developers if info.enable
+ This includes info pages targeted at developers if documentation.info.enable
is set (this also includes "devinfo" outputs).
- This includes other pages targeted at developers if doc.enable
+ This includes other pages targeted at developers if documentation.doc.enable
is set (this also includes "devdoc" outputs).
'';
@@ -163,10 +185,10 @@ in
This includes man pages like
configuration.nix
- 5 if man.enable is
+ 5 if documentation.man.enable is
set.
This includes the HTML manual and the nixos-help command if
- doc.enable is set.
+ documentation.doc.enable is set.
'';
};
@@ -189,7 +211,7 @@ in
Which extra NixOS module paths the generated NixOS's documentation should strip
from options.
'';
- example = literalExample ''
+ example = literalExpression ''
# e.g. with options from modules in ''${pkgs.customModules}/nix:
[ pkgs.customModules ]
'';
@@ -207,16 +229,8 @@ in
environment.extraOutputsToInstall = [ "man" ] ++ optional cfg.dev.enable "devman";
environment.etc."man_db.conf".text =
let
- manualPages = pkgs.buildEnv {
- name = "man-paths";
- paths = config.environment.systemPackages;
- pathsToLink = [ "/share/man" ];
- extraOutputsToInstall = ["man"];
- ignoreCollisions = true;
- };
- manualCache = pkgs.runCommandLocal "man-cache" { }
- ''
- echo "MANDB_MAP ${manualPages}/share/man $out" > man.conf
+ manualCache = pkgs.runCommandLocal "man-cache" { } ''
+ echo "MANDB_MAP ${cfg.man.manualPages}/share/man $out" > man.conf
${pkgs.man-db}/bin/mandb -C man.conf -psc >/dev/null 2>&1
'';
in
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/ids.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/ids.nix
index c7ab3f313a6..f392ca52566 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/ids.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/ids.nix
@@ -46,9 +46,9 @@ in
messagebus = 4; # D-Bus
haldaemon = 5;
#disk = 6; # unused
- vsftpd = 7;
+ #vsftpd = 7; # dynamically allocated ass of 2021-09-14
ftp = 8;
- bitlbee = 9;
+ # bitlbee = 9; # removed 2021-10-05 #139765
#avahi = 10; # removed 2019-05-22
nagios = 11;
atd = 12;
@@ -83,14 +83,14 @@ in
#fourstore = 42; # dropped in 20.03
#fourstorehttp = 43; # dropped in 20.03
virtuoso = 44;
- rtkit = 45;
+ #rtkit = 45; # dynamically allocated 2021-09-03
dovecot2 = 46;
dovenull2 = 47;
prayer = 49;
mpd = 50;
clamav = 51;
fprot = 52;
- bind = 53;
+ # bind = 53; #dynamically allocated as of 2021-09-03
wwwrun = 54;
#adm = 55; # unused
spamd = 56;
@@ -134,26 +134,26 @@ in
firebird = 95;
#keys = 96; # unused
#haproxy = 97; # dynamically allocated as of 2020-03-11
- mongodb = 98;
+ #mongodb = 98; #dynamically allocated as of 2021-09-03
#openldap = 99; # dynamically allocated as of PR#94610
#users = 100; # unused
- cgminer = 101;
+ # cgminer = 101; #dynamically allocated as of 2021-09-17
munin = 102;
- logcheck = 103;
- nix-ssh = 104;
+ #logcheck = 103; #dynamically allocated as of 2021-09-17
+ #nix-ssh = 104; #dynamically allocated as of 2021-09-03
dictd = 105;
couchdb = 106;
#searx = 107; # dynamically allocated as of 2020-10-27
- kippo = 108;
+ #kippo = 108; # removed 2021-10-07, the kippo package was removed in 1b213f321cdbfcf868b96fd9959c24207ce1b66a during 2021-04
jenkins = 109;
systemd-journal-gateway = 110;
#notbit = 111; # unused
aerospike = 111;
- ngircd = 112;
+ #ngircd = 112; #dynamically allocated as of 2021-09-03
#btsync = 113; # unused
- minecraft = 114;
+ #minecraft = 114; #dynamically allocated as of 2021-09-03
vault = 115;
- rippled = 116;
+ # rippled = 116; #dynamically allocated as of 2021-09-18
murmur = 117;
foundationdb = 118;
newrelic = 119;
@@ -169,19 +169,19 @@ in
mopidy = 130;
#docker = 131; # unused
gdm = 132;
- dhcpd = 133;
+ #dhcpd = 133; # dynamically allocated as of 2021-09-03
siproxd = 134;
mlmmj = 135;
- neo4j = 136;
+ #neo4j = 136;# dynamically allocated as of 2021-09-03
riemann = 137;
riemanndash = 138;
- radvd = 139;
- zookeeper = 140;
- dnsmasq = 141;
+ #radvd = 139;# dynamically allocated as of 2021-09-03
+ #zookeeper = 140;# dynamically allocated as of 2021-09-03
+ #dnsmasq = 141;# dynamically allocated as of 2021-09-03
#uhub = 142; # unused
yandexdisk = 143;
mxisd = 144; # was once collectd
- consul = 145;
+ #consul = 145;# dynamically allocated as of 2021-09-03
mailpile = 146;
redmine = 147;
#seeks = 148; # removed 2020-06-21
@@ -192,7 +192,7 @@ in
systemd-resolve = 153;
systemd-timesync = 154;
liquidsoap = 155;
- etcd = 156;
+ #etcd = 156;# dynamically allocated as of 2021-09-03
hbase = 158;
opentsdb = 159;
scollector = 160;
@@ -201,26 +201,26 @@ in
peerflix = 163;
#chronos = 164; # removed 2020-08-15
gitlab = 165;
- tox-bootstrapd = 166;
+ # tox-bootstrapd = 166; removed 2021-09-15
cadvisor = 167;
nylon = 168;
- apache-kafka = 169;
+ #apache-kafka = 169;# dynamically allocated as of 2021-09-03
#panamax = 170; # unused
exim = 172;
#fleet = 173; # unused
#input = 174; # unused
sddm = 175;
- tss = 176;
+ #tss = 176; # dynamically allocated as of 2021-09-17
#memcached = 177; removed 2018-01-03
- ntp = 179;
+ #ntp = 179; # dynamically allocated as of 2021-09-17
zabbix = 180;
#redis = 181; removed 2018-01-03
- unifi = 183;
+ #unifi = 183; dynamically allocated as of 2021-09-17
uptimed = 184;
- zope2 = 185;
- ripple-data-api = 186;
+ #zope2 = 185; # dynamically allocated as of 2021-09-18
+ #ripple-data-api = 186; dynamically allocated as of 2021-09-17
mediatomb = 187;
- rdnssd = 188;
+ #rdnssd = 188; #dynamically allocated as of 2021-09-18
ihaskell = 189;
i2p = 190;
lambdabot = 191;
@@ -231,20 +231,20 @@ in
skydns = 197;
# ripple-rest = 198; # unused, removed 2017-08-12
# nix-serve = 199; # unused, removed 2020-12-12
- tvheadend = 200;
+ #tvheadend = 200; # dynamically allocated as of 2021-09-18
uwsgi = 201;
gitit = 202;
riemanntools = 203;
subsonic = 204;
riak = 205;
- shout = 206;
+ #shout = 206; # dynamically allocated as of 2021-09-18
gateone = 207;
namecoin = 208;
#lxd = 210; # unused
- kibana = 211;
+ #kibana = 211;# dynamically allocated as of 2021-09-03
xtreemfs = 212;
calibre-server = 213;
- heapster = 214;
+ #heapster = 214; #dynamically allocated as of 2021-09-17
bepasty = 215;
# pumpio = 216; # unused, removed 2018-02-24
nm-openvpn = 217;
@@ -258,13 +258,13 @@ in
rspamd = 225;
# rmilter = 226; # unused, removed 2019-08-22
cfdyndns = 227;
- gammu-smsd = 228;
+ # gammu-smsd = 228; #dynamically allocated as of 2021-09-17
pdnsd = 229;
octoprint = 230;
avahi-autoipd = 231;
- nntp-proxy = 232;
+ # nntp-proxy = 232; #dynamically allocated as of 2021-09-17
mjpg-streamer = 233;
- radicale = 234;
+ #radicale = 234;# dynamically allocated as of 2021-09-03
hydra-queue-runner = 235;
hydra-www = 236;
syncthing = 237;
@@ -272,14 +272,14 @@ in
taskd = 240;
# factorio = 241; # DynamicUser = true
# emby = 242; # unusued, removed 2019-05-01
- graylog = 243;
+ #graylog = 243;# dynamically allocated as of 2021-09-03
sniproxy = 244;
nzbget = 245;
mosquitto = 246;
- toxvpn = 247;
+ #toxvpn = 247; # dynamically allocated as of 2021-09-18
# squeezelite = 248; # DynamicUser = true
turnserver = 249;
- smokeping = 250;
+ #smokeping = 250;# dynamically allocated as of 2021-09-03
gocd-agent = 251;
gocd-server = 252;
terraria = 253;
@@ -350,6 +350,7 @@ in
# shadow = 318; # unused
hqplayer = 319;
moonraker = 320;
+ distcc = 321;
# When adding a uid, make sure it doesn't match an existing gid. And don't use uids above 399!
@@ -365,9 +366,9 @@ in
messagebus = 4; # D-Bus
haldaemon = 5;
disk = 6;
- vsftpd = 7;
+ #vsftpd = 7; # dynamically allocated as of 2021-09-14
ftp = 8;
- bitlbee = 9;
+ # bitlbee = 9; # removed 2021-10-05 #139765
#avahi = 10; # removed 2019-05-22
#nagios = 11; # unused
atd = 12;
@@ -461,7 +462,7 @@ in
dictd = 105;
couchdb = 106;
#searx = 107; # dynamically allocated as of 2020-10-27
- kippo = 108;
+ #kippo = 108; # removed 2021-10-07, the kippo package was removed in 1b213f321cdbfcf868b96fd9959c24207ce1b66a during 2021-04
jenkins = 109;
systemd-journal-gateway = 110;
#notbit = 111; # unused
@@ -523,7 +524,7 @@ in
#fleet = 173; # unused
input = 174;
sddm = 175;
- tss = 176;
+ #tss = 176; #dynamically allocateda as of 2021-09-20
#memcached = 177; # unused, removed 2018-01-03
#ntp = 179; # unused
zabbix = 180;
@@ -553,7 +554,7 @@ in
#shout = 206; #unused
gateone = 207;
namecoin = 208;
- lxd = 210; # unused
+ #lxd = 210; # unused
#kibana = 211;
xtreemfs = 212;
calibre-server = 213;
@@ -572,7 +573,7 @@ in
cfdyndns = 227;
pdnsd = 229;
octoprint = 230;
- radicale = 234;
+ #radicale = 234;# dynamically allocated as of 2021-09-03
syncthing = 237;
caddy = 239;
taskd = 240;
@@ -584,7 +585,7 @@ in
#toxvpn = 247; # unused
#squeezelite = 248; #unused
turnserver = 249;
- smokeping = 250;
+ #smokeping = 250;# dynamically allocated as of 2021-09-03
gocd-agent = 251;
gocd-server = 252;
terraria = 253;
@@ -654,6 +655,7 @@ in
shadow = 318;
hqplayer = 319;
moonraker = 320;
+ distcc = 321;
# When adding a gid, make sure it doesn't match an existing
# uid. Users and groups with the same name should have equal
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/locate.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/locate.nix
index 1d2bc8c7281..2f2986c2fec 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/locate.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/locate.nix
@@ -25,8 +25,8 @@ in {
locate = mkOption {
type = package;
default = pkgs.findutils;
- defaultText = "pkgs.findutils";
- example = "pkgs.mlocate";
+ defaultText = literalExpression "pkgs.findutils";
+ example = literalExpression "pkgs.mlocate";
description = ''
The locate implementation to use
'';
@@ -43,6 +43,9 @@ in {
The format is described in
systemd.time
7 .
+
+ To disable automatic updates, set to "never"
+ and run updatedb manually.
'';
};
@@ -192,6 +195,18 @@ in {
{ LOCATE_PATH = cfg.output;
};
+ environment.etc = {
+ # write /etc/updatedb.conf for manual calls to `updatedb`
+ "updatedb.conf" = {
+ text = ''
+ PRUNEFS="${lib.concatStringsSep " " cfg.pruneFS}"
+ PRUNENAMES="${lib.concatStringsSep " " cfg.pruneNames}"
+ PRUNEPATHS="${lib.concatStringsSep " " cfg.prunePaths}"
+ PRUNE_BIND_MOUNTSFR="${lib.boolToString cfg.pruneBindMounts}"
+ '';
+ };
+ };
+
warnings = optional (isMLocate && cfg.localuser != null) "mlocate does not support the services.locate.localuser option; updatedb will run as root. (Silence with services.locate.localuser = null.)"
++ optional (isFindutils && cfg.pruneNames != []) "findutils locate does not support pruning by directory component"
++ optional (isFindutils && cfg.pruneBindMounts) "findutils locate does not support skipping bind mounts";
@@ -238,7 +253,7 @@ in {
serviceConfig.ReadWritePaths = dirOf cfg.output;
};
- systemd.timers.update-locatedb =
+ systemd.timers.update-locatedb = mkIf (cfg.interval != "never")
{ description = "Update timer for locate database";
partOf = [ "update-locatedb.service" ];
wantedBy = [ "timers.target" ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/nixpkgs.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/nixpkgs.nix
index a2ac5c58528..08bc4398555 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/nixpkgs.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/misc/nixpkgs.nix
@@ -67,13 +67,13 @@ in
options.nixpkgs = {
pkgs = mkOption {
- defaultText = literalExample
- ''import "''${nixos}/.." {
- inherit (cfg) config overlays localSystem crossSystem;
- }
- '';
+ defaultText = literalExpression ''
+ import "''${nixos}/.." {
+ inherit (cfg) config overlays localSystem crossSystem;
+ }
+ '';
type = pkgsType;
- example = literalExample "import {}";
+ example = literalExpression "import {}";
description = ''
If set, the pkgs argument to all NixOS modules is the value of
this option, extended with nixpkgs.overlays
, if
@@ -109,7 +109,7 @@ in
config = mkOption {
default = {};
- example = literalExample
+ example = literalExpression
''
{ allowBroken = true; allowUnfree = true; }
'';
@@ -125,7 +125,7 @@ in
overlays = mkOption {
default = [];
- example = literalExample
+ example = literalExpression
''
[
(self: super: {
@@ -158,7 +158,7 @@ in
# Make sure that the final value has all fields for sake of other modules
# referring to this. TODO make `lib.systems` itself use the module system.
apply = lib.systems.elaborate;
- defaultText = literalExample
+ defaultText = literalExpression
''(import "''${nixos}/../lib").lib.systems.examples.aarch64-multiplatform'';
description = ''
Specifies the platform on which NixOS should be built. When
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/module-list.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/module-list.nix
index 71a1118fd38..df35a57d047 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/module-list.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/module-list.nix
@@ -135,6 +135,7 @@
./programs/droidcam.nix
./programs/environment.nix
./programs/evince.nix
+ ./programs/extra-container.nix
./programs/feedbackd.nix
./programs/file-roller.nix
./programs/firejail.nix
@@ -145,6 +146,7 @@
./programs/fuse.nix
./programs/gamemode.nix
./programs/geary.nix
+ ./programs/git.nix
./programs/gnome-disks.nix
./programs/gnome-documents.nix
./programs/gnome-terminal.nix
@@ -152,6 +154,7 @@
./programs/gnupg.nix
./programs/gphoto2.nix
./programs/hamster.nix
+ ./programs/htop.nix
./programs/iftop.nix
./programs/iotop.nix
./programs/java.nix
@@ -170,6 +173,7 @@
./programs/npm.nix
./programs/noisetorch.nix
./programs/oblogout.nix
+ ./programs/pantheon-tweaks.nix
./programs/partition-manager.nix
./programs/plotinus.nix
./programs/proxychains.nix
@@ -200,6 +204,7 @@
./programs/vim.nix
./programs/wavemon.nix
./programs/waybar.nix
+ ./programs/weylus.nix
./programs/wireshark.nix
./programs/wshowkeys.nix
./programs/xfs_quota.nix
@@ -296,6 +301,7 @@
./services/cluster/kubernetes/pki.nix
./services/cluster/kubernetes/proxy.nix
./services/cluster/kubernetes/scheduler.nix
+ ./services/cluster/spark/default.nix
./services/computing/boinc/client.nix
./services/computing/foldingathome/client.nix
./services/computing/slurm/slurm.nix
@@ -340,6 +346,7 @@
./services/desktops/accountsservice.nix
./services/desktops/bamf.nix
./services/desktops/blueman.nix
+ ./services/desktops/cpupower-gui.nix
./services/desktops/dleyna-renderer.nix
./services/desktops/dleyna-server.nix
./services/desktops/pantheon/files.nix
@@ -374,6 +381,7 @@
./services/desktops/zeitgeist.nix
./services/development/bloop.nix
./services/development/blackfire.nix
+ ./services/development/distccd.nix
./services/development/hoogle.nix
./services/development/jupyter/default.nix
./services/development/jupyterhub/default.nix
@@ -381,6 +389,8 @@
./services/display-managers/greetd.nix
./services/editors/emacs.nix
./services/editors/infinoted.nix
+ ./services/games/crossfire-server.nix
+ ./services/games/deliantra-server.nix
./services/games/factorio.nix
./services/games/freeciv.nix
./services/games/minecraft-server.nix
@@ -402,6 +412,7 @@
./services/hardware/illum.nix
./services/hardware/interception-tools.nix
./services/hardware/irqbalance.nix
+ ./services/hardware/joycond.nix
./services/hardware/lcd.nix
./services/hardware/lirc.nix
./services/hardware/nvidia-optimus.nix
@@ -533,6 +544,7 @@
./services/misc/matrix-appservice-discord.nix
./services/misc/matrix-appservice-irc.nix
./services/misc/matrix-synapse.nix
+ ./services/misc/mautrix-facebook.nix
./services/misc/mautrix-telegram.nix
./services/misc/mbpfan.nix
./services/misc/mediatomb.nix
@@ -552,12 +564,14 @@
./services/misc/octoprint.nix
./services/misc/ombi.nix
./services/misc/osrm.nix
+ ./services/misc/owncast.nix
./services/misc/packagekit.nix
./services/misc/paperless-ng.nix
./services/misc/parsoid.nix
./services/misc/plex.nix
./services/misc/plikd.nix
./services/misc/podgrab.nix
+ ./services/misc/prowlarr.nix
./services/misc/tautulli.nix
./services/misc/pinnwand.nix
./services/misc/pykms.nix
@@ -583,6 +597,7 @@
./services/misc/sysprof.nix
./services/misc/taskserver
./services/misc/tiddlywiki.nix
+ ./services/misc/tp-auto-kbbl.nix
./services/misc/tzupdate.nix
./services/misc/uhub.nix
./services/misc/weechat.nix
@@ -617,6 +632,7 @@
./services/monitoring/munin.nix
./services/monitoring/nagios.nix
./services/monitoring/netdata.nix
+ ./services/monitoring/parsedmarc.nix
./services/monitoring/prometheus/default.nix
./services/monitoring/prometheus/alertmanager.nix
./services/monitoring/prometheus/exporters.nix
@@ -744,7 +760,6 @@
./services/networking/kea.nix
./services/networking/keepalived/default.nix
./services/networking/keybase.nix
- ./services/networking/kippo.nix
./services/networking/knot.nix
./services/networking/kresd.nix
./services/networking/lambdabot.nix
@@ -764,6 +779,7 @@
./services/networking/mstpd.nix
./services/networking/mtprotoproxy.nix
./services/networking/mullvad-vpn.nix
+ ./services/networking/multipath.nix
./services/networking/murmur.nix
./services/networking/mxisd.nix
./services/networking/namecoind.nix
@@ -833,6 +849,7 @@
./services/networking/smokeping.nix
./services/networking/softether.nix
./services/networking/solanum.nix
+ ./services/networking/soju.nix
./services/networking/spacecookie.nix
./services/networking/spiped.nix
./services/networking/squid.nix
@@ -867,11 +884,9 @@
./services/video/unifi-video.nix
./services/networking/v2ray.nix
./services/networking/vsftpd.nix
- ./services/networking/wakeonlan.nix
./services/networking/wasabibackend.nix
./services/networking/websockify.nix
./services/networking/wg-quick.nix
- ./services/networking/wicd.nix
./services/networking/wireguard.nix
./services/networking/wpa_supplicant.nix
./services/networking/xandikos.nix
@@ -892,6 +907,7 @@
./services/search/elasticsearch-curator.nix
./services/search/hound.nix
./services/search/kibana.nix
+ ./services/search/meilisearch.nix
./services/search/solr.nix
./services/security/certmgr.nix
./services/security/cfssl.nix
@@ -908,6 +924,7 @@
./services/security/nginx-sso.nix
./services/security/oauth2_proxy.nix
./services/security/oauth2_proxy_nginx.nix
+ ./services/security/opensnitch.nix
./services/security/privacyidea.nix
./services/security/physlock.nix
./services/security/shibboleth-sp.nix
@@ -951,6 +968,7 @@
./services/web-apps/calibre-web.nix
./services/web-apps/convos.nix
./services/web-apps/cryptpad.nix
+ ./services/web-apps/dex.nix
./services/web-apps/discourse.nix
./services/web-apps/documize.nix
./services/web-apps/dokuwiki.nix
@@ -969,6 +987,7 @@
./services/web-apps/jirafeau.nix
./services/web-apps/jitsi-meet.nix
./services/web-apps/keycloak.nix
+ ./services/web-apps/lemmy.nix
./services/web-apps/limesurvey.nix
./services/web-apps/mastodon.nix
./services/web-apps/mattermost.nix
@@ -978,6 +997,7 @@
./services/web-apps/nextcloud.nix
./services/web-apps/nexus.nix
./services/web-apps/node-red.nix
+ ./services/web-apps/pict-rs.nix
./services/web-apps/plantuml-server.nix
./services/web-apps/plausible.nix
./services/web-apps/pgpkeyserver-lite.nix
@@ -1020,7 +1040,7 @@
./services/web-servers/shellinabox.nix
./services/web-servers/tomcat.nix
./services/web-servers/traefik.nix
- ./services/web-servers/trafficserver.nix
+ ./services/web-servers/trafficserver/default.nix
./services/web-servers/ttyd.nix
./services/web-servers/uwsgi.nix
./services/web-servers/varnish/default.nix
@@ -1049,6 +1069,7 @@
./services/x11/gdk-pixbuf.nix
./services/x11/imwheel.nix
./services/x11/redshift.nix
+ ./services/x11/touchegg.nix
./services/x11/urserver.nix
./services/x11/urxvtd.nix
./services/x11/window-managers/awesome.nix
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/atop.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/atop.nix
index b45eb16e3ea..ad75ab27666 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/atop.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/atop.nix
@@ -19,7 +19,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.atop;
- defaultText = "pkgs.atop";
+ defaultText = literalExpression "pkgs.atop";
description = ''
Which package to use for Atop.
'';
@@ -37,7 +37,7 @@ in
package = mkOption {
type = types.package;
default = config.boot.kernelPackages.netatop;
- defaultText = "config.boot.kernelPackages.netatop";
+ defaultText = literalExpression "config.boot.kernelPackages.netatop";
description = ''
Which package to use for netatop.
'';
@@ -141,8 +141,15 @@ in
// mkService cfg.atopgpu.enable "atopgpu" [ atop ];
timers = mkTimer cfg.atopRotateTimer.enable "atop-rotate" [ atop ];
};
- security.wrappers =
- lib.mkIf cfg.setuidWrapper.enable { atop = { source = "${atop}/bin/atop"; }; };
+
+ security.wrappers = lib.mkIf cfg.setuidWrapper.enable {
+ atop =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${atop}/bin/atop";
+ };
+ };
}
);
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/bandwhich.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/bandwhich.nix
index 1cffb5fa276..610d602ad2c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/bandwhich.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/bandwhich.nix
@@ -22,8 +22,10 @@ in {
config = mkIf cfg.enable {
environment.systemPackages = with pkgs; [ bandwhich ];
security.wrappers.bandwhich = {
- source = "${pkgs.bandwhich}/bin/bandwhich";
+ owner = "root";
+ group = "root";
capabilities = "cap_net_raw,cap_net_admin+ep";
+ source = "${pkgs.bandwhich}/bin/bandwhich";
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/bash/bash-completion.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/bash/bash-completion.nix
index f07b1b636ef..b8e5b1bfa33 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/bash/bash-completion.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/bash/bash-completion.nix
@@ -26,7 +26,7 @@ in
shopt -s nullglob
for p in $NIX_PROFILES; do
for m in "$p/etc/bash_completion.d/"*; do
- . $m
+ . "$m"
done
done
eval "$nullglobStatus"
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/bash/bash.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/bash/bash.nix
index 908ab34b08d..7281126979e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/bash/bash.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/bash/bash.nix
@@ -78,10 +78,10 @@ in
promptInit = mkOption {
default = ''
# Provide a nice prompt if the terminal supports it.
- if [ "$TERM" != "dumb" -o -n "$INSIDE_EMACS" ]; then
+ if [ "$TERM" != "dumb" ] || [ -n "$INSIDE_EMACS" ]; then
PROMPT_COLOR="1;31m"
- let $UID && PROMPT_COLOR="1;32m"
- if [ -n "$INSIDE_EMACS" -o "$TERM" == "eterm" -o "$TERM" == "eterm-color" ]; then
+ ((UID)) && PROMPT_COLOR="1;32m"
+ if [ -n "$INSIDE_EMACS" ] || [ "$TERM" = "eterm" ] || [ "$TERM" = "eterm-color" ]; then
# Emacs term mode doesn't support xterm title escape sequence (\e]0;)
PS1="\n\[\033[$PROMPT_COLOR\][\u@\h:\w]\\$\[\033[0m\] "
else
@@ -173,7 +173,7 @@ in
# /etc/bashrc: DO NOT EDIT -- this file has been generated automatically.
# Only execute this file once per shell.
- if [ -n "$__ETC_BASHRC_SOURCED" -o -n "$NOSYSBASHRC" ]; then return; fi
+ if [ -n "$__ETC_BASHRC_SOURCED" ] || [ -n "$NOSYSBASHRC" ]; then return; fi
__ETC_BASHRC_SOURCED=1
# If the profile was not loaded in a parent process, source
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/captive-browser.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/captive-browser.nix
index d7684d08c6c..0f5d087e8d8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/captive-browser.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/captive-browser.nix
@@ -14,7 +14,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.captive-browser;
- defaultText = "pkgs.captive-browser";
+ defaultText = literalExpression "pkgs.captive-browser";
description = "Which package to use for captive-browser";
};
@@ -105,11 +105,15 @@ in
);
security.wrappers.udhcpc = {
+ owner = "root";
+ group = "root";
capabilities = "cap_net_raw+p";
source = "${pkgs.busybox}/bin/udhcpc";
};
security.wrappers.captive-browser = {
+ owner = "root";
+ group = "root";
capabilities = "cap_net_raw+p";
source = pkgs.writeShellScript "captive-browser" ''
export PREV_CONFIG_HOME="$XDG_CONFIG_HOME"
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/ccache.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/ccache.nix
index d672e1da017..0f7fd0a3683 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/ccache.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/ccache.nix
@@ -28,7 +28,9 @@ in {
# "nix-ccache --show-stats" and "nix-ccache --clear"
security.wrappers.nix-ccache = {
+ owner = "root";
group = "nixbld";
+ setuid = false;
setgid = true;
source = pkgs.writeScript "nix-ccache.pl" ''
#!${pkgs.perl}/bin/perl
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/chromium.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/chromium.nix
index b727f850a94..602253a321d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/chromium.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/chromium.nix
@@ -33,7 +33,7 @@ in
for additional details.
'';
default = [];
- example = literalExample ''
+ example = literalExpression ''
[
"chlffgpmiacpedhhbkiomidkjlcfhogd" # pushbullet
"mbniclmhobmnbdlbpiphghaielnnpgdp" # lightshot
@@ -75,7 +75,7 @@ in
Make sure the selected policy is supported on Linux and your browser version.
'';
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"BrowserSignin" = 0;
"SyncDisabled" = true;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/command-not-found/command-not-found.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/command-not-found/command-not-found.nix
index 79786584c66..4d2a89b5158 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/command-not-found/command-not-found.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/command-not-found/command-not-found.nix
@@ -49,10 +49,10 @@ in
''
# This function is called whenever a command is not found.
command_not_found_handle() {
- local p=${commandNotFound}/bin/command-not-found
- if [ -x $p -a -f ${cfg.dbPath} ]; then
+ local p='${commandNotFound}/bin/command-not-found'
+ if [ -x "$p" ] && [ -f '${cfg.dbPath}' ]; then
# Run the helper program.
- $p "$@"
+ "$p" "$@"
# Retry the command if we just installed it.
if [ $? = 126 ]; then
"$@"
@@ -70,10 +70,10 @@ in
''
# This function is called whenever a command is not found.
command_not_found_handler() {
- local p=${commandNotFound}/bin/command-not-found
- if [ -x $p -a -f ${cfg.dbPath} ]; then
+ local p='${commandNotFound}/bin/command-not-found'
+ if [ -x "$p" ] && [ -f '${cfg.dbPath}' ]; then
# Run the helper program.
- $p "$@"
+ "$p" "$@"
# Retry the command if we just installed it.
if [ $? = 126 ]; then
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/command-not-found/command-not-found.pl b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/command-not-found/command-not-found.pl
index 6e275bcc8be..220d057b7f4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/command-not-found/command-not-found.pl
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/command-not-found/command-not-found.pl
@@ -25,14 +25,7 @@ if (!defined $res || scalar @$res == 0) {
print STDERR "$program: command not found\n";
} elsif (scalar @$res == 1) {
my $package = @$res[0]->{package};
- if ($ENV{"NIX_AUTO_INSTALL"} // "") {
- print STDERR <runtime .
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/noisetorch.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/noisetorch.nix
index 5f3b0c8f5d1..f76555289f1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/noisetorch.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/noisetorch.nix
@@ -10,6 +10,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.noisetorch;
+ defaultText = literalExpression "pkgs.noisetorch";
description = ''
The noisetorch package to use.
'';
@@ -18,8 +19,10 @@ in {
config = mkIf cfg.enable {
security.wrappers.noisetorch = {
- source = "${cfg.package}/bin/noisetorch";
+ owner = "root";
+ group = "root";
capabilities = "cap_sys_resource=+ep";
+ source = "${cfg.package}/bin/noisetorch";
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/npm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/npm.nix
index f101a44587a..d79c6c73400 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/npm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/npm.nix
@@ -14,10 +14,11 @@ in
enable = mkEnableOption "npm global config";
package = mkOption {
- type = types.path;
+ type = types.package;
description = "The npm package version / flavor to use";
default = pkgs.nodePackages.npm;
- example = literalExample "pkgs.nodePackages_13_x.npm";
+ defaultText = literalExpression "pkgs.nodePackages.npm";
+ example = literalExpression "pkgs.nodePackages_13_x.npm";
};
npmrc = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/pantheon-tweaks.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/pantheon-tweaks.nix
new file mode 100644
index 00000000000..0b8a19ea22c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/pantheon-tweaks.nix
@@ -0,0 +1,19 @@
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+{
+ meta = {
+ maintainers = teams.pantheon.members;
+ };
+
+ ###### interface
+ options = {
+ programs.pantheon-tweaks.enable = mkEnableOption "Pantheon Tweaks, an unofficial system settings panel for Pantheon";
+ };
+
+ ###### implementation
+ config = mkIf config.programs.pantheon-tweaks.enable {
+ services.xserver.desktopManager.pantheon.extraSwitchboardPlugs = [ pkgs.pantheon-tweaks ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/plotinus.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/plotinus.nix
index e3549c79588..2c90a41ba02 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/plotinus.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/plotinus.nix
@@ -30,7 +30,7 @@ in
###### implementation
config = mkIf cfg.enable {
- environment.variables.XDG_DATA_DIRS = [ "${pkgs.plotinus}/share/gsettings-schemas/${pkgs.plotinus.name}" ];
+ environment.sessionVariables.XDG_DATA_DIRS = [ "${pkgs.plotinus}/share/gsettings-schemas/${pkgs.plotinus.name}" ];
environment.variables.GTK3_MODULES = [ "${pkgs.plotinus}/lib/libplotinus.so" ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/proxychains.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/proxychains.nix
index 7743f79c1c0..3f44e23a93e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/proxychains.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/proxychains.nix
@@ -120,7 +120,7 @@ in {
Proxies to be used by proxychains.
'';
- example = literalExample ''
+ example = literalExpression ''
{ myproxy =
{ type = "socks4";
host = "127.0.0.1";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/shadow.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/shadow.nix
index 386ded9d98b..963cd8853db 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/shadow.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/shadow.nix
@@ -43,6 +43,13 @@ let
'';
+ mkSetuidRoot = source:
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ inherit source;
+ };
+
in
{
@@ -59,7 +66,7 @@ in
This must not be a store path, since the path is
used outside the store (in particular in /etc/passwd).
'';
- example = literalExample "pkgs.zsh";
+ example = literalExpression "pkgs.zsh";
type = types.either types.path types.shellPackage;
};
@@ -109,14 +116,14 @@ in
};
security.wrappers = {
- su.source = "${pkgs.shadow.su}/bin/su";
- sg.source = "${pkgs.shadow.out}/bin/sg";
- newgrp.source = "${pkgs.shadow.out}/bin/newgrp";
- newuidmap.source = "${pkgs.shadow.out}/bin/newuidmap";
- newgidmap.source = "${pkgs.shadow.out}/bin/newgidmap";
+ su = mkSetuidRoot "${pkgs.shadow.su}/bin/su";
+ sg = mkSetuidRoot "${pkgs.shadow.out}/bin/sg";
+ newgrp = mkSetuidRoot "${pkgs.shadow.out}/bin/newgrp";
+ newuidmap = mkSetuidRoot "${pkgs.shadow.out}/bin/newuidmap";
+ newgidmap = mkSetuidRoot "${pkgs.shadow.out}/bin/newgidmap";
} // lib.optionalAttrs config.users.mutableUsers {
- chsh.source = "${pkgs.shadow.out}/bin/chsh";
- passwd.source = "${pkgs.shadow.out}/bin/passwd";
+ chsh = mkSetuidRoot "${pkgs.shadow.out}/bin/chsh";
+ passwd = mkSetuidRoot "${pkgs.shadow.out}/bin/passwd";
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/singularity.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/singularity.nix
index 6ac64a81fc2..db935abe4bb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/singularity.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/singularity.nix
@@ -16,7 +16,12 @@ in {
config = mkIf cfg.enable {
environment.systemPackages = [ singularity ];
- security.wrappers.singularity-suid.source = "${singularity}/libexec/singularity/bin/starter-suid.orig";
+ security.wrappers.singularity-suid =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${singularity}/libexec/singularity/bin/starter-suid.orig";
+ };
systemd.tmpfiles.rules = [
"d /var/singularity/mnt/session 0770 root root -"
"d /var/singularity/mnt/final 0770 root root -"
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/slock.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/slock.nix
index 0e1281e62cd..ce80fcc5d4a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/slock.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/slock.nix
@@ -21,6 +21,11 @@ in
config = mkIf cfg.enable {
environment.systemPackages = [ pkgs.slock ];
- security.wrappers.slock.source = "${pkgs.slock.out}/bin/slock";
+ security.wrappers.slock =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.slock.out}/bin/slock";
+ };
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/spacefm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/spacefm.nix
index 6d03608402f..822fca3ecec 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/spacefm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/spacefm.nix
@@ -29,11 +29,13 @@ in
terminal_su = "${pkgs.sudo}/bin/sudo";
graphical_su = "${pkgs.gksu}/bin/gksu";
};
- example = literalExample ''{
- tmp_dir = "/tmp";
- terminal_su = "''${pkgs.sudo}/bin/sudo";
- graphical_su = "''${pkgs.gksu}/bin/gksu";
- }'';
+ defaultText = literalExpression ''
+ {
+ tmp_dir = "/tmp";
+ terminal_su = "''${pkgs.sudo}/bin/sudo";
+ graphical_su = "''${pkgs.gksu}/bin/gksu";
+ }
+ '';
description = ''
The system-wide spacefm configuration.
Parameters to be written to /etc/spacefm/spacefm.conf .
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/ssh.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/ssh.nix
index 795f1a9f7b4..5da15b68cf7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/ssh.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/ssh.nix
@@ -36,6 +36,7 @@ in
askPassword = mkOption {
type = types.str;
default = "${pkgs.x11_ssh_askpass}/libexec/x11-ssh-askpass";
+ defaultText = literalExpression ''"''${pkgs.x11_ssh_askpass}/libexec/x11-ssh-askpass"'';
description = "Program used by SSH to ask for passwords.";
};
@@ -113,7 +114,7 @@ in
agentPKCS11Whitelist = mkOption {
type = types.nullOr types.str;
default = null;
- example = "\${pkgs.opensc}/lib/opensc-pkcs11.so";
+ example = literalExpression ''"''${pkgs.opensc}/lib/opensc-pkcs11.so"'';
description = ''
A pattern-list of acceptable paths for PKCS#11 shared libraries
that may be used with the -s option to ssh-add.
@@ -123,7 +124,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.openssh;
- defaultText = "pkgs.openssh";
+ defaultText = literalExpression "pkgs.openssh";
description = ''
The package used for the openssh client and daemon.
'';
@@ -180,7 +181,7 @@ in
description = ''
The set of system-wide known SSH hosts.
'';
- example = literalExample ''
+ example = literalExpression ''
{
myhost = {
hostNames = [ "myhost" "myhost.mydomain.com" "10.10.1.4" ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/ssmtp.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/ssmtp.nix
index 8b500f0383f..b454bf35229 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/ssmtp.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/ssmtp.nix
@@ -54,7 +54,7 @@ in
ssmtp 5 configuration. Refer
to for details on supported values.
'';
- example = literalExample ''
+ example = literalExpression ''
{
Debug = true;
FromLineOverride = false;
@@ -181,6 +181,8 @@ in
source = "${pkgs.ssmtp}/bin/sendmail";
setuid = false;
setgid = false;
+ owner = "root";
+ group = "root";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/sway.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/sway.nix
index d5819a08e8f..caf329c2536 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/sway.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/sway.nix
@@ -92,10 +92,10 @@ in {
default = with pkgs; [
swaylock swayidle alacritty dmenu
];
- defaultText = literalExample ''
+ defaultText = literalExpression ''
with pkgs; [ swaylock swayidle alacritty dmenu ];
'';
- example = literalExample ''
+ example = literalExpression ''
with pkgs; [
i3status i3status-rust
termite rofi light
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/traceroute.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/traceroute.nix
index 4eb0be3f0e0..6e04057ac50 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/traceroute.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/traceroute.nix
@@ -19,8 +19,10 @@ in {
config = mkIf cfg.enable {
security.wrappers.traceroute = {
- source = "${pkgs.traceroute}/bin/traceroute";
+ owner = "root";
+ group = "root";
capabilities = "cap_net_raw+p";
+ source = "${pkgs.traceroute}/bin/traceroute";
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/tsm-client.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/tsm-client.nix
index 7ac4086d5f0..65d4db7834f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/tsm-client.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/tsm-client.nix
@@ -5,7 +5,7 @@ let
inherit (builtins) length map;
inherit (lib.attrsets) attrNames filterAttrs hasAttr mapAttrs mapAttrsToList optionalAttrs;
inherit (lib.modules) mkDefault mkIf;
- inherit (lib.options) literalExample mkEnableOption mkOption;
+ inherit (lib.options) literalExpression mkEnableOption mkOption;
inherit (lib.strings) concatStringsSep optionalString toLower;
inherit (lib.types) addCheck attrsOf lines nullOr package path port str strMatching submodule;
@@ -123,7 +123,7 @@ let
};
options.text = mkOption {
type = lines;
- example = literalExample
+ example = literalExpression
''lib.modules.mkAfter "compression no"'';
description = ''
Additional text lines for the server stanza.
@@ -218,8 +218,8 @@ let
package = mkOption {
type = package;
default = pkgs.tsm-client;
- defaultText = "pkgs.tsm-client";
- example = literalExample "pkgs.tsm-client-withGui";
+ defaultText = literalExpression "pkgs.tsm-client";
+ example = literalExpression "pkgs.tsm-client-withGui";
description = ''
The TSM client derivation to be
added to the system environment.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/udevil.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/udevil.nix
index ba5670f9dfe..0dc08c435df 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/udevil.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/udevil.nix
@@ -9,6 +9,11 @@ in {
options.programs.udevil.enable = mkEnableOption "udevil";
config = mkIf cfg.enable {
- security.wrappers.udevil.source = "${lib.getBin pkgs.udevil}/bin/udevil";
+ security.wrappers.udevil =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${lib.getBin pkgs.udevil}/bin/udevil";
+ };
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/vim.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/vim.nix
index 9f46dff2a29..1695bc99473 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/vim.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/vim.nix
@@ -18,8 +18,8 @@ in {
package = mkOption {
type = types.package;
default = pkgs.vim;
- defaultText = "pkgs.vim";
- example = "pkgs.vimHugeX";
+ defaultText = literalExpression "pkgs.vim";
+ example = literalExpression "pkgs.vimHugeX";
description = ''
vim package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/wavemon.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/wavemon.nix
index ac665fe4a02..e5ccacba75d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/wavemon.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/wavemon.nix
@@ -21,8 +21,10 @@ in {
config = mkIf cfg.enable {
environment.systemPackages = with pkgs; [ wavemon ];
security.wrappers.wavemon = {
- source = "${pkgs.wavemon}/bin/wavemon";
+ owner = "root";
+ group = "root";
capabilities = "cap_net_admin+ep";
+ source = "${pkgs.wavemon}/bin/wavemon";
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/weylus.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/weylus.nix
new file mode 100644
index 00000000000..ea92c77e7c3
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/weylus.nix
@@ -0,0 +1,47 @@
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let
+ cfg = config.programs.weylus;
+in
+{
+ options.programs.weylus = with types; {
+ enable = mkEnableOption "weylus";
+
+ openFirewall = mkOption {
+ type = bool;
+ default = false;
+ description = ''
+ Open ports needed for the functionality of the program.
+ '';
+ };
+
+ users = mkOption {
+ type = listOf str;
+ default = [ ];
+ description = ''
+ To enable stylus and multi-touch support, the user you're going to use must be added to this list.
+ These users can synthesize input events system-wide, even when another user is logged in - untrusted users should not be added.
+ '';
+ };
+
+ package = mkOption {
+ type = package;
+ default = pkgs.weylus;
+ defaultText = "pkgs.weylus";
+ description = "Weylus package to install.";
+ };
+ };
+ config = mkIf cfg.enable {
+ networking.firewall = mkIf cfg.openFirewall {
+ allowedTCPPorts = [ 1701 9001 ];
+ };
+
+ hardware.uinput.enable = true;
+
+ users.groups.uinput.members = cfg.users;
+
+ environment.systemPackages = [ cfg.package ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/wireshark.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/wireshark.nix
index 819f15b98a0..f7b0727cb2b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/wireshark.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/wireshark.nix
@@ -19,7 +19,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.wireshark-cli;
- defaultText = "pkgs.wireshark-cli";
+ defaultText = literalExpression "pkgs.wireshark-cli";
description = ''
Which Wireshark package to install in the global environment.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/wshowkeys.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/wshowkeys.nix
index 09b008af1d5..f7b71d2bb0c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/wshowkeys.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/wshowkeys.nix
@@ -17,6 +17,11 @@ in {
};
config = mkIf cfg.enable {
- security.wrappers.wshowkeys.source = "${pkgs.wshowkeys}/bin/wshowkeys";
+ security.wrappers.wshowkeys =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.wshowkeys}/bin/wshowkeys";
+ };
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/xonsh.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/xonsh.nix
index c06fd1655c2..6e40db51cdb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/xonsh.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/xonsh.nix
@@ -27,7 +27,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.xonsh;
- example = literalExample "pkgs.xonsh.override { configFile = \"/path/to/xonshrc\"; }";
+ defaultText = literalExpression "pkgs.xonsh";
+ example = literalExpression "pkgs.xonsh.override { configFile = \"/path/to/xonshrc\"; }";
description = ''
xonsh package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/xss-lock.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/xss-lock.nix
index ceb7259b3d7..aba76133e5e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/xss-lock.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/xss-lock.nix
@@ -11,7 +11,8 @@ in
lockerCommand = mkOption {
default = "${pkgs.i3lock}/bin/i3lock";
- example = literalExample "\${pkgs.i3lock-fancy}/bin/i3lock-fancy";
+ defaultText = literalExpression ''"''${pkgs.i3lock}/bin/i3lock"'';
+ example = literalExpression ''"''${pkgs.i3lock-fancy}/bin/i3lock-fancy"'';
type = types.separatedString " ";
description = "Locker to be used with xsslock";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/xwayland.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/xwayland.nix
index cb3c9c5b156..3a8080fa4c4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/xwayland.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/xwayland.nix
@@ -16,9 +16,8 @@ in
type = types.str;
default = optionalString config.fonts.fontDir.enable
"/run/current-system/sw/share/X11/fonts";
- defaultText = literalExample ''
- optionalString config.fonts.fontDir.enable
- "/run/current-system/sw/share/X11/fonts";
+ defaultText = literalExpression ''
+ optionalString config.fonts.fontDir.enable "/run/current-system/sw/share/X11/fonts"
'';
description = ''
Default font path. Setting this option causes Xwayland to be rebuilt.
@@ -30,10 +29,10 @@ in
default = pkgs.xwayland.override (oldArgs: {
inherit (cfg) defaultFontPath;
});
- defaultText = literalExample ''
+ defaultText = literalExpression ''
pkgs.xwayland.override (oldArgs: {
inherit (config.programs.xwayland) defaultFontPath;
- });
+ })
'';
description = "The Xwayland package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/yabar.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/yabar.nix
index 5de9331ac52..a8fac41e899 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/yabar.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/yabar.nix
@@ -45,7 +45,8 @@ in
package = mkOption {
default = pkgs.yabar-unstable;
- example = literalExample "pkgs.yabar";
+ defaultText = literalExpression "pkgs.yabar-unstable";
+ example = literalExpression "pkgs.yabar";
type = types.package;
# `yabar-stable` segfaults under certain conditions.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/oh-my-zsh.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/oh-my-zsh.nix
index f24842a4791..9d7622bd328 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/oh-my-zsh.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/oh-my-zsh.nix
@@ -48,7 +48,7 @@ in
package = mkOption {
default = pkgs.oh-my-zsh;
- defaultText = "pkgs.oh-my-zsh";
+ defaultText = literalExpression "pkgs.oh-my-zsh";
description = ''
Package to install for `oh-my-zsh` usage.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/zsh-autoenv.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/zsh-autoenv.nix
index 630114bcda9..62f497a45dd 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/zsh-autoenv.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/zsh-autoenv.nix
@@ -10,7 +10,7 @@ in {
enable = mkEnableOption "zsh-autoenv";
package = mkOption {
default = pkgs.zsh-autoenv;
- defaultText = "pkgs.zsh-autoenv";
+ defaultText = literalExpression "pkgs.zsh-autoenv";
description = ''
Package to install for `zsh-autoenv` usage.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/zsh-autosuggestions.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/zsh-autosuggestions.nix
index 037888fdc5a..a8fcfff95e5 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/zsh-autosuggestions.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/zsh-autosuggestions.nix
@@ -40,7 +40,7 @@ in
type = with types; attrsOf str;
default = {};
description = "Attribute set with additional configuration values";
- example = literalExample ''
+ example = literalExpression ''
{
"ZSH_AUTOSUGGEST_BUFFER_MAX_SIZE" = "20";
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/zsh-syntax-highlighting.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/zsh-syntax-highlighting.nix
index 927a904369d..1eb53ccae52 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/zsh-syntax-highlighting.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/programs/zsh/zsh-syntax-highlighting.nix
@@ -42,7 +42,7 @@ in
default = {};
type = types.attrsOf types.str;
- example = literalExample ''
+ example = literalExpression ''
{
"rm -rf *" = "fg=white,bold,bg=red";
}
@@ -59,7 +59,7 @@ in
default = {};
type = types.attrsOf types.str;
- example = literalExample ''
+ example = literalExpression ''
{
"alias" = "fg=magenta,bold";
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/rename.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/rename.nix
index 233e3ee848b..8e1d6f7bc4a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/rename.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/rename.nix
@@ -27,6 +27,7 @@ with lib;
(mkRemovedOptionModule [ "services" "mesos" ] "The corresponding package was removed from nixpkgs.")
(mkRemovedOptionModule [ "services" "winstone" ] "The corresponding package was removed from nixpkgs.")
(mkRemovedOptionModule [ "networking" "vpnc" ] "Use environment.etc.\"vpnc/service.conf\" instead.")
+ (mkRemovedOptionModule [ "networking" "wicd" ] "The corresponding package was removed from nixpkgs.")
(mkRemovedOptionModule [ "environment" "blcr" "enable" ] "The BLCR module has been removed")
(mkRemovedOptionModule [ "services" "beegfsEnable" ] "The BeeGFS module has been removed")
(mkRemovedOptionModule [ "services" "beegfs" ] "The BeeGFS module has been removed")
@@ -78,6 +79,9 @@ with lib;
The hidepid module was removed, since the underlying machinery
is broken when using cgroups-v2.
'')
+ (mkRemovedOptionModule ["services" "wakeonlan"] "This module was removed in favor of enabling it with networking.interfaces..wakeOnLan")
+
+ (mkRemovedOptionModule [ "services" "kippo" ] "The corresponding package was removed from nixpkgs.")
# Do NOT add any option renames here, see top of the file
];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/acme.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/acme.nix
index bcbd17d8e10..f522b7c4128 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/acme.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/acme.nix
@@ -486,7 +486,7 @@ let
extraDomainNames = mkOption {
type = types.listOf types.str;
default = [];
- example = literalExample ''
+ example = literalExpression ''
[
"example.org"
"mydomain.org"
@@ -656,7 +656,7 @@ in {
to those units if they rely on the certificates being present,
or trigger restarts of the service if certificates get renewed.
'';
- example = literalExample ''
+ example = literalExpression ''
{
"example.com" = {
webroot = "/var/lib/acme/acme-challenge/";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/ca.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/ca.nix
index 7df86e71423..83c15f90f92 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/ca.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/ca.nix
@@ -24,7 +24,7 @@ in
security.pki.certificateFiles = mkOption {
type = types.listOf types.path;
default = [];
- example = literalExample "[ \"\${pkgs.cacert}/etc/ssl/certs/ca-bundle.crt\" ]";
+ example = literalExpression ''[ "''${pkgs.cacert}/etc/ssl/certs/ca-bundle.crt" ]'';
description = ''
A list of files containing trusted root certificates in PEM
format. These are concatenated to form
@@ -37,7 +37,7 @@ in
security.pki.certificates = mkOption {
type = types.listOf types.str;
default = [];
- example = literalExample ''
+ example = literalExpression ''
[ '''
NixOS.org
=========
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/chromium-suid-sandbox.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/chromium-suid-sandbox.nix
index b83dbc4202a..bb99c053f71 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/chromium-suid-sandbox.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/chromium-suid-sandbox.nix
@@ -28,6 +28,11 @@ in
config = mkIf cfg.enable {
environment.systemPackages = [ sandbox ];
- security.wrappers.${sandbox.passthru.sandboxExecutableName}.source = "${sandbox}/bin/${sandbox.passthru.sandboxExecutableName}";
+ security.wrappers.${sandbox.passthru.sandboxExecutableName} =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${sandbox}/bin/${sandbox.passthru.sandboxExecutableName}";
+ };
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/dhparams.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/dhparams.nix
index 62a499ea624..012be2887d8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/dhparams.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/dhparams.nix
@@ -53,7 +53,7 @@ in {
coerce = bits: { inherit bits; };
in attrsOf (coercedTo int coerce (submodule paramsSubmodule));
default = {};
- example = lib.literalExample "{ nginx.bits = 3072; }";
+ example = lib.literalExpression "{ nginx.bits = 3072; }";
description = ''
Diffie-Hellman parameters to generate.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/doas.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/doas.nix
index 27f6870aaf3..9a3daf4f504 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/doas.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/doas.nix
@@ -77,7 +77,7 @@ in
You can use mkBefore
and/or mkAfter
to ensure
this is the case when configuration options are merged.
'';
- example = literalExample ''
+ example = literalExpression ''
[
# Allow execution of any command by any user in group doas, requiring
# a password and keeping any previously-defined environment variables.
@@ -241,9 +241,12 @@ in
}
];
- security.wrappers = {
- doas.source = "${doas}/bin/doas";
- };
+ security.wrappers.doas =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${doas}/bin/doas";
+ };
environment.systemPackages = [
doas
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/duosec.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/duosec.nix
index c47be80b9dc..bbe246fe229 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/duosec.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/duosec.nix
@@ -186,7 +186,12 @@ in
config = mkIf (cfg.ssh.enable || cfg.pam.enable) {
environment.systemPackages = [ pkgs.duo-unix ];
- security.wrappers.login_duo.source = "${pkgs.duo-unix.out}/bin/login_duo";
+ security.wrappers.login_duo =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.duo-unix.out}/bin/login_duo";
+ };
system.activationScripts = {
login_duo = mkIf cfg.ssh.enable ''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/lock-kernel-modules.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/lock-kernel-modules.nix
index fc9e7939d81..065587bc286 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/lock-kernel-modules.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/lock-kernel-modules.nix
@@ -1,4 +1,4 @@
-{ config, lib, ... }:
+{ config, pkgs, lib, ... }:
with lib;
@@ -13,7 +13,7 @@ with lib;
default = false;
description = ''
Disable kernel module loading once the system is fully initialised.
- Module loading is disabled until the next reboot. Problems caused
+ Module loading is disabled until the next reboot. Problems caused
by delayed module loading can be fixed by adding the module(s) in
question to boot.kernelModules .
'';
@@ -29,20 +29,30 @@ with lib;
else [ x.fsType ]
else []) config.system.build.fileSystems;
- systemd.services.disable-kernel-module-loading = rec {
+ systemd.services.disable-kernel-module-loading = {
description = "Disable kernel module loading";
+ wants = [ "systemd-udevd.service" ];
wantedBy = [ config.systemd.defaultUnit ];
- after = [ "systemd-udev-settle.service" "firewall.service" "systemd-modules-load.service" ] ++ wantedBy;
+ after =
+ [ "firewall.service"
+ "systemd-modules-load.service"
+ config.systemd.defaultUnit
+ ];
unitConfig.ConditionPathIsReadWrite = "/proc/sys/kernel";
- serviceConfig = {
- Type = "oneshot";
- RemainAfterExit = true;
- ExecStart = "/bin/sh -c 'echo -n 1 >/proc/sys/kernel/modules_disabled'";
- };
+ serviceConfig =
+ { Type = "oneshot";
+ RemainAfterExit = true;
+ TimeoutSec = 180;
+ };
+
+ script = ''
+ ${pkgs.udev}/bin/udevadm settle
+ echo -n 1 >/proc/sys/kernel/modules_disabled
+ '';
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/pam.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/pam.nix
index 163d75d7caf..4c18fa8cc67 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/pam.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/pam.nix
@@ -586,7 +586,7 @@ in
};
security.pam.services = mkOption {
- default = [];
+ default = {};
type = with types; attrsOf (submodule pamOpts);
description =
''
@@ -869,9 +869,10 @@ in
security.wrappers = {
unix_chkpwd = {
- source = "${pkgs.pam}/sbin/unix_chkpwd.orig";
- owner = "root";
setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.pam}/sbin/unix_chkpwd.orig";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/pam_mount.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/pam_mount.nix
index e25ace38f57..462b7f89e2f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/pam_mount.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/pam_mount.nix
@@ -33,7 +33,7 @@ in
additionalSearchPaths = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "[ pkgs.bindfs ]";
+ example = literalExpression "[ pkgs.bindfs ]";
description = ''
Additional programs to include in the search path of pam_mount.
Useful for example if you want to use some FUSE filesystems like bindfs.
@@ -43,7 +43,7 @@ in
fuseMountOptions = mkOption {
type = types.listOf types.str;
default = [];
- example = literalExample ''
+ example = literalExpression ''
[ "nodev" "nosuid" "force-user=%(USER)" "gid=%(USERGID)" "perms=0700" "chmod-deny" "chown-deny" "chgrp-deny" ]
'';
description = ''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/pam_usb.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/pam_usb.nix
index c695ba075ca..51d81e823f8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/pam_usb.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/pam_usb.nix
@@ -32,8 +32,18 @@ in
# Make sure pmount and pumount are setuid wrapped.
security.wrappers = {
- pmount.source = "${pkgs.pmount.out}/bin/pmount";
- pumount.source = "${pkgs.pmount.out}/bin/pumount";
+ pmount =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.pmount.out}/bin/pmount";
+ };
+ pumount =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.pmount.out}/bin/pumount";
+ };
};
environment.systemPackages = [ pkgs.pmount ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/polkit.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/polkit.nix
index f556cca23cd..d9c58152f1f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/polkit.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/polkit.nix
@@ -83,8 +83,18 @@ in
security.pam.services.polkit-1 = {};
security.wrappers = {
- pkexec.source = "${pkgs.polkit.bin}/bin/pkexec";
- polkit-agent-helper-1.source = "${pkgs.polkit.out}/lib/polkit-1/polkit-agent-helper-1";
+ pkexec =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.polkit.bin}/bin/pkexec";
+ };
+ polkit-agent-helper-1 =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.polkit.out}/lib/polkit-1/polkit-agent-helper-1";
+ };
};
systemd.tmpfiles.rules = [
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/rtkit.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/rtkit.nix
index a7b27cbcf21..ad8746808e8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/rtkit.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/rtkit.nix
@@ -35,9 +35,12 @@ with lib;
services.dbus.packages = [ pkgs.rtkit ];
users.users.rtkit =
- { uid = config.ids.uids.rtkit;
+ {
+ isSystemUser = true;
+ group = "rtkit";
description = "RealtimeKit daemon";
};
+ users.groups.rtkit = {};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/sudo.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/sudo.nix
index 2e73f8f4f31..99e578f8ada 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/sudo.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/sudo.nix
@@ -45,7 +45,7 @@ in
security.sudo.package = mkOption {
type = types.package;
default = pkgs.sudo;
- defaultText = "pkgs.sudo";
+ defaultText = literalExpression "pkgs.sudo";
description = ''
Which package to use for `sudo`.
'';
@@ -91,7 +91,7 @@ in
this is the case when configuration options are merged.
'';
default = [];
- example = literalExample ''
+ example = literalExpression ''
[
# Allow execution of any command by all users in group sudo,
# requiring a password.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/systemd-confinement.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/systemd-confinement.nix
index 0a09a755e93..d859c45c74f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/systemd-confinement.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/systemd-confinement.nix
@@ -62,8 +62,8 @@ in {
options.confinement.binSh = lib.mkOption {
type = types.nullOr types.path;
default = toplevelConfig.environment.binsh;
- defaultText = "config.environment.binsh";
- example = lib.literalExample "\${pkgs.dash}/bin/dash";
+ defaultText = lib.literalExpression "config.environment.binsh";
+ example = lib.literalExpression ''"''${pkgs.dash}/bin/dash"'';
description = ''
The program to make available as /bin/sh inside
the chroot. If this is set to null , no
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/tpm2.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/tpm2.nix
index 27f9b58c975..be85fd246e3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/tpm2.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/tpm2.nix
@@ -26,8 +26,7 @@ in {
'';
type = lib.types.nullOr lib.types.str;
default = if cfg.abrmd.enable then "tss" else "root";
- defaultText = ''"tss" when using the userspace resource manager,'' +
- ''"root" otherwise'';
+ defaultText = lib.literalExpression ''if config.security.tpm2.abrmd.enable then "tss" else "root"'';
};
tssGroup = lib.mkOption {
@@ -57,7 +56,7 @@ in {
description = "tpm2-abrmd package to use";
type = lib.types.package;
default = pkgs.tpm2-abrmd;
- defaultText = "pkgs.tpm2-abrmd";
+ defaultText = lib.literalExpression "pkgs.tpm2-abrmd";
};
};
@@ -71,7 +70,7 @@ in {
description = "tpm2-pkcs11 package to use";
type = lib.types.package;
default = pkgs.tpm2-pkcs11;
- defaultText = "pkgs.tpm2-pkcs11";
+ defaultText = lib.literalExpression "pkgs.tpm2-pkcs11";
};
};
@@ -146,6 +145,7 @@ in {
# Create the tss user and group only if the default value is used
users.users.${cfg.tssUser} = lib.mkIf (cfg.tssUser == "tss") {
isSystemUser = true;
+ group = "tss";
};
users.groups.${cfg.tssGroup} = lib.mkIf (cfg.tssGroup == "tss") {};
@@ -172,7 +172,7 @@ in {
BusName = "com.intel.tss2.Tabrmd";
ExecStart = "${cfg.abrmd.package}/bin/tpm2-abrmd";
User = "tss";
- Group = "nogroup";
+ Group = "tss";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/wrappers/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/wrappers/default.nix
index 1e65f451515..a47de7e04f7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/security/wrappers/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/security/wrappers/default.nix
@@ -5,85 +5,140 @@ let
parentWrapperDir = dirOf wrapperDir;
- programs =
- (lib.mapAttrsToList
- (n: v: (if v ? program then v else v // {program=n;}))
- wrappers);
-
securityWrapper = pkgs.callPackage ./wrapper.nix {
inherit parentWrapperDir;
};
+ fileModeType =
+ let
+ # taken from the chmod(1) man page
+ symbolic = "[ugoa]*([-+=]([rwxXst]*|[ugo]))+|[-+=][0-7]+";
+ numeric = "[-+=]?[0-7]{0,4}";
+ mode = "((${symbolic})(,${symbolic})*)|(${numeric})";
+ in
+ lib.types.strMatching mode
+ // { description = "file mode string"; };
+
+ wrapperType = lib.types.submodule ({ name, config, ... }: {
+ options.source = lib.mkOption
+ { type = lib.types.path;
+ description = "The absolute path to the program to be wrapped.";
+ };
+ options.program = lib.mkOption
+ { type = with lib.types; nullOr str;
+ default = name;
+ description = ''
+ The name of the wrapper program. Defaults to the attribute name.
+ '';
+ };
+ options.owner = lib.mkOption
+ { type = lib.types.str;
+ description = "The owner of the wrapper program.";
+ };
+ options.group = lib.mkOption
+ { type = lib.types.str;
+ description = "The group of the wrapper program.";
+ };
+ options.permissions = lib.mkOption
+ { type = fileModeType;
+ default = "u+rx,g+x,o+x";
+ example = "a+rx";
+ description = ''
+ The permissions of the wrapper program. The format is that of a
+ symbolic or numeric file mode understood by chmod .
+ '';
+ };
+ options.capabilities = lib.mkOption
+ { type = lib.types.commas;
+ default = "";
+ description = ''
+ A comma-separated list of capabilities to be given to the wrapper
+ program. For capabilities supported by the system check the
+
+ capabilities
+ 7
+
+ manual page.
+
+
+ cap_setpcap , which is required for the wrapper
+ program to be able to raise caps into the Ambient set is NOT raised
+ to the Ambient set so that the real program cannot modify its own
+ capabilities!! This may be too restrictive for cases in which the
+ real program needs cap_setpcap but it at least leans on the side
+ security paranoid vs. too relaxed.
+
+ '';
+ };
+ options.setuid = lib.mkOption
+ { type = lib.types.bool;
+ default = false;
+ description = "Whether to add the setuid bit the wrapper program.";
+ };
+ options.setgid = lib.mkOption
+ { type = lib.types.bool;
+ default = false;
+ description = "Whether to add the setgid bit the wrapper program.";
+ };
+ });
+
###### Activation script for the setcap wrappers
mkSetcapProgram =
{ program
, capabilities
, source
- , owner ? "nobody"
- , group ? "nogroup"
- , permissions ? "u+rx,g+x,o+x"
+ , owner
+ , group
+ , permissions
, ...
}:
assert (lib.versionAtLeast (lib.getVersion config.boot.kernelPackages.kernel) "4.3");
''
- cp ${securityWrapper}/bin/security-wrapper $wrapperDir/${program}
- echo -n "${source}" > $wrapperDir/${program}.real
+ cp ${securityWrapper}/bin/security-wrapper "$wrapperDir/${program}"
+ echo -n "${source}" > "$wrapperDir/${program}.real"
# Prevent races
- chmod 0000 $wrapperDir/${program}
- chown ${owner}.${group} $wrapperDir/${program}
+ chmod 0000 "$wrapperDir/${program}"
+ chown ${owner}.${group} "$wrapperDir/${program}"
# Set desired capabilities on the file plus cap_setpcap so
# the wrapper program can elevate the capabilities set on
# its file into the Ambient set.
- ${pkgs.libcap.out}/bin/setcap "cap_setpcap,${capabilities}" $wrapperDir/${program}
+ ${pkgs.libcap.out}/bin/setcap "cap_setpcap,${capabilities}" "$wrapperDir/${program}"
# Set the executable bit
- chmod ${permissions} $wrapperDir/${program}
+ chmod ${permissions} "$wrapperDir/${program}"
'';
###### Activation script for the setuid wrappers
mkSetuidProgram =
{ program
, source
- , owner ? "nobody"
- , group ? "nogroup"
- , setuid ? false
- , setgid ? false
- , permissions ? "u+rx,g+x,o+x"
+ , owner
+ , group
+ , setuid
+ , setgid
+ , permissions
, ...
}:
''
- cp ${securityWrapper}/bin/security-wrapper $wrapperDir/${program}
- echo -n "${source}" > $wrapperDir/${program}.real
+ cp ${securityWrapper}/bin/security-wrapper "$wrapperDir/${program}"
+ echo -n "${source}" > "$wrapperDir/${program}.real"
# Prevent races
- chmod 0000 $wrapperDir/${program}
- chown ${owner}.${group} $wrapperDir/${program}
+ chmod 0000 "$wrapperDir/${program}"
+ chown ${owner}.${group} "$wrapperDir/${program}"
- chmod "u${if setuid then "+" else "-"}s,g${if setgid then "+" else "-"}s,${permissions}" $wrapperDir/${program}
+ chmod "u${if setuid then "+" else "-"}s,g${if setgid then "+" else "-"}s,${permissions}" "$wrapperDir/${program}"
'';
mkWrappedPrograms =
builtins.map
- (s: if (s ? capabilities)
- then mkSetcapProgram
- ({ owner = "root";
- group = "root";
- } // s)
- else if
- (s ? setuid && s.setuid) ||
- (s ? setgid && s.setgid) ||
- (s ? permissions)
- then mkSetuidProgram s
- else mkSetuidProgram
- ({ owner = "root";
- group = "root";
- setuid = true;
- setgid = false;
- permissions = "u+rx,g+x,o+x";
- } // s)
- ) programs;
+ (opts:
+ if opts.capabilities != ""
+ then mkSetcapProgram opts
+ else mkSetuidProgram opts
+ ) (lib.attrValues wrappers);
in
{
imports = [
@@ -95,45 +150,42 @@ in
options = {
security.wrappers = lib.mkOption {
- type = lib.types.attrs;
+ type = lib.types.attrsOf wrapperType;
default = {};
- example = lib.literalExample
+ example = lib.literalExpression
''
- { sendmail.source = "/nix/store/.../bin/sendmail";
- ping = {
- source = "${pkgs.iputils.out}/bin/ping";
- owner = "nobody";
- group = "nogroup";
- capabilities = "cap_net_raw+ep";
- };
+ {
+ # a setuid root program
+ doas =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "''${pkgs.doas}/bin/doas";
+ };
+
+ # a setgid program
+ locate =
+ { setgid = true;
+ owner = "root";
+ group = "mlocate";
+ source = "''${pkgs.locate}/bin/locate";
+ };
+
+ # a program with the CAP_NET_RAW capability
+ ping =
+ { owner = "root";
+ group = "root";
+ capabilities = "cap_net_raw+ep";
+ source = "''${pkgs.iputils.out}/bin/ping";
+ };
}
'';
description = ''
- This option allows the ownership and permissions on the setuid
- wrappers for specific programs to be overridden from the
- default (setuid root, but not setgid root).
-
-
- The sub-attribute source is mandatory,
- it must be the absolute path to the program to be wrapped.
-
-
- The sub-attribute program is optional and
- can give the wrapper program a new name. The default name is the same
- as the attribute name itself.
-
- Additionally, this option can set capabilities on a
- wrapper program that propagates those capabilities down to the
- wrapped, real program.
-
- NOTE: cap_setpcap, which is required for the wrapper
- program to be able to raise caps into the Ambient set is NOT
- raised to the Ambient set so that the real program cannot
- modify its own capabilities!! This may be too restrictive for
- cases in which the real program needs cap_setpcap but it at
- least leans on the side security paranoid vs. too
- relaxed.
-
+ This option effectively allows adding setuid/setgid bits, capabilities,
+ changing file ownership and permissions of a program without directly
+ modifying it. This works by creating a wrapper program under the
+ security.wrapperDir directory, which is then added to
+ the shell PATH .
'';
};
@@ -151,13 +203,31 @@ in
###### implementation
config = {
- security.wrappers = {
- # These are mount related wrappers that require the +s permission.
- fusermount.source = "${pkgs.fuse}/bin/fusermount";
- fusermount3.source = "${pkgs.fuse3}/bin/fusermount3";
- mount.source = "${lib.getBin pkgs.util-linux}/bin/mount";
- umount.source = "${lib.getBin pkgs.util-linux}/bin/umount";
- };
+ assertions = lib.mapAttrsToList
+ (name: opts:
+ { assertion = opts.setuid || opts.setgid -> opts.capabilities == "";
+ message = ''
+ The security.wrappers.${name} wrapper is not valid:
+ setuid/setgid and capabilities are mutually exclusive.
+ '';
+ }
+ ) wrappers;
+
+ security.wrappers =
+ let
+ mkSetuidRoot = source:
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ inherit source;
+ };
+ in
+ { # These are mount related wrappers that require the +s permission.
+ fusermount = mkSetuidRoot "${pkgs.fuse}/bin/fusermount";
+ fusermount3 = mkSetuidRoot "${pkgs.fuse3}/bin/fusermount3";
+ mount = mkSetuidRoot "${lib.getBin pkgs.util-linux}/bin/mount";
+ umount = mkSetuidRoot "${lib.getBin pkgs.util-linux}/bin/umount";
+ };
boot.specialFileSystems.${parentWrapperDir} = {
fsType = "tmpfs";
@@ -179,19 +249,15 @@ in
]}"
'';
- ###### setcap activation script
+ ###### wrappers activation script
system.activationScripts.wrappers =
lib.stringAfter [ "specialfs" "users" ]
''
- # Look in the system path and in the default profile for
- # programs to be wrapped.
- WRAPPER_PATH=${config.system.path}/bin:${config.system.path}/sbin
-
chmod 755 "${parentWrapperDir}"
# We want to place the tmpdirs for the wrappers to the parent dir.
wrapperDir=$(mktemp --directory --tmpdir="${parentWrapperDir}" wrappers.XXXXXXXXXX)
- chmod a+rx $wrapperDir
+ chmod a+rx "$wrapperDir"
${lib.concatStringsSep "\n" mkWrappedPrograms}
@@ -199,16 +265,44 @@ in
# Atomically replace the symlink
# See https://axialcorps.com/2013/07/03/atomically-replacing-files-and-directories/
old=$(readlink -f ${wrapperDir})
- if [ -e ${wrapperDir}-tmp ]; then
- rm --force --recursive ${wrapperDir}-tmp
+ if [ -e "${wrapperDir}-tmp" ]; then
+ rm --force --recursive "${wrapperDir}-tmp"
fi
- ln --symbolic --force --no-dereference $wrapperDir ${wrapperDir}-tmp
- mv --no-target-directory ${wrapperDir}-tmp ${wrapperDir}
- rm --force --recursive $old
+ ln --symbolic --force --no-dereference "$wrapperDir" "${wrapperDir}-tmp"
+ mv --no-target-directory "${wrapperDir}-tmp" "${wrapperDir}"
+ rm --force --recursive "$old"
else
# For initial setup
- ln --symbolic $wrapperDir ${wrapperDir}
+ ln --symbolic "$wrapperDir" "${wrapperDir}"
fi
'';
+
+ ###### wrappers consistency checks
+ system.extraDependencies = lib.singleton (pkgs.runCommandLocal
+ "ensure-all-wrappers-paths-exist" { }
+ ''
+ # make sure we produce output
+ mkdir -p $out
+
+ echo -n "Checking that Nix store paths of all wrapped programs exist... "
+
+ declare -A wrappers
+ ${lib.concatStringsSep "\n" (lib.mapAttrsToList (n: v:
+ "wrappers['${n}']='${v.source}'") wrappers)}
+
+ for name in "''${!wrappers[@]}"; do
+ path="''${wrappers[$name]}"
+ if [[ "$path" =~ /nix/store ]] && [ ! -e "$path" ]; then
+ test -t 1 && echo -ne '\033[1;31m'
+ echo "FAIL"
+ echo "The path $path does not exist!"
+ echo 'Please, check the value of `security.wrappers."'$name'".source`.'
+ test -t 1 && echo -ne '\033[0m'
+ exit 1
+ fi
+ done
+
+ echo "OK"
+ '');
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/admin/meshcentral.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/admin/meshcentral.nix
index ae7b6edda7d..92762d2037c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/admin/meshcentral.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/admin/meshcentral.nix
@@ -10,7 +10,7 @@ in with lib; {
description = "MeshCentral package to use. Replacing this may be necessary to add dependencies for extra functionality.";
type = types.package;
default = pkgs.meshcentral;
- defaultText = "pkgs.meshcentral";
+ defaultText = literalExpression "pkgs.meshcentral";
};
settings = mkOption {
description = ''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/admin/oxidized.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/admin/oxidized.nix
index 94b44630ba6..49ea3ced76a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/admin/oxidized.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/admin/oxidized.nix
@@ -33,7 +33,7 @@ in
configFile = mkOption {
type = types.path;
- example = literalExample ''
+ example = literalExpression ''
pkgs.writeText "oxidized-config.yml" '''
---
debug: true
@@ -69,7 +69,7 @@ in
routerDB = mkOption {
type = types.path;
- example = literalExample ''
+ example = literalExpression ''
pkgs.writeText "oxidized-router.db" '''
hostname-sw1:powerconnect:username1:password2
hostname-sw2:procurve:username2:password2
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/amqp/activemq/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/amqp/activemq/default.nix
index 178b2f6e144..47669b05aa9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/amqp/activemq/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/amqp/activemq/default.nix
@@ -33,6 +33,7 @@ in {
};
configurationDir = mkOption {
default = "${activemq}/conf";
+ defaultText = literalExpression ''"''${pkgs.activemq}/conf"'';
type = types.str;
description = ''
The base directory for ActiveMQ's configuration.
@@ -64,7 +65,7 @@ in {
javaProperties = mkOption {
type = types.attrs;
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
"java.net.preferIPv4Stack" = "true";
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/amqp/rabbitmq.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/amqp/rabbitmq.nix
index 8fdfda9a66d..3255942fe43 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/amqp/rabbitmq.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/amqp/rabbitmq.nix
@@ -29,7 +29,7 @@ in
package = mkOption {
default = pkgs.rabbitmq-server;
type = types.package;
- defaultText = "pkgs.rabbitmq-server";
+ defaultText = literalExpression "pkgs.rabbitmq-server";
description = ''
Which rabbitmq package to use.
'';
@@ -82,7 +82,7 @@ in
configItems = mkOption {
default = { };
type = types.attrsOf types.str;
- example = literalExample ''
+ example = literalExpression ''
{
"auth_backends.1.authn" = "rabbit_auth_backend_ldap";
"auth_backends.1.authz" = "rabbit_auth_backend_internal";
@@ -135,25 +135,14 @@ in
description = "The list of directories containing external plugins";
};
- managementPlugin = mkOption {
- description = "The options to run the management plugin";
- type = types.submodule {
- options = {
- enable = mkOption {
- default = false;
- type = types.bool;
- description = ''
- Whether to enable the management plugin
- '';
- };
- port = mkOption {
- default = 15672;
- type = types.port;
- description = ''
- On which port to run the management plugin
- '';
- };
- };
+ managementPlugin = {
+ enable = mkEnableOption "the management plugin";
+ port = mkOption {
+ default = 15672;
+ type = types.port;
+ description = ''
+ On which port to run the management plugin
+ '';
};
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/botamusique.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/botamusique.nix
index 14614d2dd16..f4fa0ead4f0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/botamusique.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/botamusique.nix
@@ -17,6 +17,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.botamusique;
+ defaultText = literalExpression "pkgs.botamusique";
description = "The botamusique package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/hqplayerd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/hqplayerd.nix
index d549ac77e0e..416d12ce217 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/hqplayerd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/hqplayerd.nix
@@ -63,7 +63,7 @@ in
description = ''
HQplayer daemon configuration, written to /etc/hqplayer/hqplayerd.xml.
- Refer to ${pkg}/share/doc/hqplayerd/readme.txt for possible values.
+ Refer to share/doc/hqplayerd/readme.txt in the hqplayerd derivation for possible values.
'';
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/jack.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/jack.nix
index d0a95b87ee1..84fc9957b87 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/jack.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/jack.nix
@@ -25,8 +25,8 @@ in {
internal = true;
type = types.package;
default = pkgs.jack2;
- defaultText = "pkgs.jack2";
- example = literalExample "pkgs.jack1";
+ defaultText = literalExpression "pkgs.jack2";
+ example = literalExpression "pkgs.jack1";
description = ''
The JACK package to use.
'';
@@ -37,7 +37,7 @@ in {
default = [
"-dalsa"
];
- example = literalExample ''
+ example = literalExpression ''
[ "-dalsa" "--device" "hw:1" ];
'';
description = ''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/liquidsoap.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/liquidsoap.nix
index 3a047d10a63..ffeefc0f988 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/liquidsoap.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/liquidsoap.nix
@@ -39,9 +39,9 @@ in
default = {};
example = {
- myStream1 = literalExample "\"/etc/liquidsoap/myStream1.liq\"";
- myStream2 = literalExample "./myStream2.liq";
- myStream3 = literalExample "\"out(playlist(\\\"/srv/music/\\\"))\"";
+ myStream1 = "/etc/liquidsoap/myStream1.liq";
+ myStream2 = literalExpression "./myStream2.liq";
+ myStream3 = "out(playlist(\"/srv/music/\"))";
};
type = types.attrsOf (types.either types.path types.str);
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/mopidy.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/mopidy.nix
index 6fd7eae5b89..9937feadaeb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/mopidy.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/mopidy.nix
@@ -39,7 +39,7 @@ in {
extensionPackages = mkOption {
default = [];
type = types.listOf types.package;
- example = literalExample "[ pkgs.mopidy-spotify ]";
+ example = literalExpression "[ pkgs.mopidy-spotify ]";
description = ''
Mopidy extensions that should be loaded by the service.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/mpd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/mpd.nix
index e33e860d883..560264e249d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/mpd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/mpd.nix
@@ -74,7 +74,7 @@ in {
musicDirectory = mkOption {
type = with types; either path (strMatching "(http|https|nfs|smb)://.+");
default = "${cfg.dataDir}/music";
- defaultText = "\${dataDir}/music";
+ defaultText = literalExpression ''"''${dataDir}/music"'';
description = ''
The directory or NFS/SMB network share where MPD reads music from. If left
as the default value this directory will automatically be created before
@@ -86,7 +86,7 @@ in {
playlistDirectory = mkOption {
type = types.path;
default = "${cfg.dataDir}/playlists";
- defaultText = "\${dataDir}/playlists";
+ defaultText = literalExpression ''"''${dataDir}/playlists"'';
description = ''
The directory where MPD stores playlists. If left as the default value
this directory will automatically be created before the MPD server starts,
@@ -155,7 +155,7 @@ in {
dbFile = mkOption {
type = types.nullOr types.str;
default = "${cfg.dataDir}/tag_cache";
- defaultText = "\${dataDir}/tag_cache";
+ defaultText = literalExpression ''"''${dataDir}/tag_cache"'';
description = ''
The path to MPD's database. If set to null the
parameter is omitted from the configuration.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/roon-bridge.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/roon-bridge.nix
index 85273a2039c..e08f8a4f9e7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/roon-bridge.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/roon-bridge.nix
@@ -14,9 +14,6 @@ in {
default = false;
description = ''
Open ports in the firewall for the bridge.
-
- UDP: 9003
- TCP: 9100 - 9200
'';
};
user = mkOption {
@@ -54,10 +51,15 @@ in {
};
networking.firewall = mkIf cfg.openFirewall {
- allowedTCPPortRanges = [
- { from = 9100; to = 9200; }
- ];
+ allowedTCPPortRanges = [{ from = 9100; to = 9200; }];
allowedUDPPorts = [ 9003 ];
+ extraCommands = ''
+ iptables -A INPUT -s 224.0.0.0/4 -j ACCEPT
+ iptables -A INPUT -d 224.0.0.0/4 -j ACCEPT
+ iptables -A INPUT -s 240.0.0.0/5 -j ACCEPT
+ iptables -A INPUT -m pkttype --pkt-type multicast -j ACCEPT
+ iptables -A INPUT -m pkttype --pkt-type broadcast -j ACCEPT
+ '';
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/roon-server.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/roon-server.nix
index eceb65044c5..42da5a10017 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/roon-server.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/roon-server.nix
@@ -14,9 +14,6 @@ in {
default = false;
description = ''
Open ports in the firewall for the server.
-
- UDP: 9003
- TCP: 9100 - 9200
'';
};
user = mkOption {
@@ -54,10 +51,15 @@ in {
};
networking.firewall = mkIf cfg.openFirewall {
- allowedTCPPortRanges = [
- { from = 9100; to = 9200; }
- ];
+ allowedTCPPortRanges = [{ from = 9100; to = 9200; }];
allowedUDPPorts = [ 9003 ];
+ extraCommands = ''
+ iptables -A INPUT -s 224.0.0.0/4 -j ACCEPT
+ iptables -A INPUT -d 224.0.0.0/4 -j ACCEPT
+ iptables -A INPUT -s 240.0.0.0/5 -j ACCEPT
+ iptables -A INPUT -m pkttype --pkt-type multicast -j ACCEPT
+ iptables -A INPUT -m pkttype --pkt-type broadcast -j ACCEPT
+ '';
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/slimserver.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/slimserver.nix
index 21632919699..ecd26528499 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/slimserver.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/slimserver.nix
@@ -22,7 +22,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.slimserver;
- defaultText = "pkgs.slimserver";
+ defaultText = literalExpression "pkgs.slimserver";
description = "Slimserver package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/snapserver.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/snapserver.nix
index f96b5f3e194..d3e97719f35 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/snapserver.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/snapserver.nix
@@ -206,7 +206,7 @@ in {
For type meta , a list of stream names in the form /one/two/... . Don't forget the leading slash.
For type alsa , use an empty string.
'';
- example = literalExample ''
+ example = literalExpression ''
"/path/to/pipe"
"/path/to/librespot"
"192.168.1.2:4444"
@@ -226,7 +226,7 @@ in {
description = ''
Key-value pairs that convey additional parameters about a stream.
'';
- example = literalExample ''
+ example = literalExpression ''
# for type == "pipe":
{
mode = "create";
@@ -254,7 +254,7 @@ in {
description = ''
The definition for an input source.
'';
- example = literalExample ''
+ example = literalExpression ''
{
mpd = {
type = "pipe";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/spotifyd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/spotifyd.nix
index 9279a03aed4..22848ed9800 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/spotifyd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/spotifyd.nix
@@ -4,7 +4,15 @@ with lib;
let
cfg = config.services.spotifyd;
- spotifydConf = pkgs.writeText "spotifyd.conf" cfg.config;
+ toml = pkgs.formats.toml {};
+ warnConfig =
+ if cfg.config != ""
+ then lib.trace "Using the stringly typed .config attribute is discouraged. Use the TOML typed .settings attribute instead."
+ else id;
+ spotifydConf =
+ if cfg.settings != {}
+ then toml.generate "spotify.conf" cfg.settings
+ else warnConfig (pkgs.writeText "spotifyd.conf" cfg.config);
in
{
options = {
@@ -14,6 +22,16 @@ in
config = mkOption {
default = "";
type = types.lines;
+ description = ''
+ (Deprecated) Configuration for Spotifyd. For syntax and directives, see
+ .
+ '';
+ };
+
+ settings = mkOption {
+ default = {};
+ type = toml.type;
+ example = { global.bitrate = 320; };
description = ''
Configuration for Spotifyd. For syntax and directives, see
.
@@ -23,6 +41,13 @@ in
};
config = mkIf cfg.enable {
+ assertions = [
+ {
+ assertion = cfg.config == "" || cfg.settings == {};
+ message = "At most one of the .config attribute and the .settings attribute may be set";
+ }
+ ];
+
systemd.services.spotifyd = {
wantedBy = [ "multi-user.target" ];
after = [ "network-online.target" "sound.target" ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/ympd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/ympd.nix
index 551bd941fe6..36c5527027f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/ympd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/audio/ympd.nix
@@ -26,7 +26,6 @@ in {
type = types.str;
default = "localhost";
description = "The host where MPD is listening.";
- example = "localhost";
};
port = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/automysqlbackup.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/automysqlbackup.nix
index e3a8d1f7993..fd2764a40ad 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/automysqlbackup.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/automysqlbackup.nix
@@ -2,7 +2,7 @@
let
- inherit (lib) concatMapStringsSep concatStringsSep isInt isList literalExample;
+ inherit (lib) concatMapStringsSep concatStringsSep isInt isList literalExpression;
inherit (lib) mapAttrs mapAttrsToList mkDefault mkEnableOption mkIf mkOption optional types;
cfg = config.services.automysqlbackup;
@@ -48,7 +48,7 @@ in
''${pkgs.automysqlbackup}/etc/automysqlbackup.conf
for details on supported values.
'';
- example = literalExample ''
+ example = literalExpression ''
{
db_names = [ "nextcloud" "matomo" ];
table_exclude = [ "nextcloud.oc_users" "nextcloud.oc_whats_new" ];
@@ -73,6 +73,7 @@ in
services.automysqlbackup.config = mapAttrs (name: mkDefault) {
mysql_dump_username = user;
mysql_dump_host = "localhost";
+ mysql_dump_socket = "/run/mysqld/mysqld.sock";
backup_dir = "/var/backup/mysql";
db_exclude = [ "information_schema" "performance_schema" ];
mailcontent = "stdout";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/borgbackup.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/borgbackup.nix
index ccbc7726392..5461dbaf0bd 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/borgbackup.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/borgbackup.nix
@@ -169,6 +169,7 @@ let
(map (mkAuthorizedKey cfg false) cfg.authorizedKeys
++ map (mkAuthorizedKey cfg true) cfg.authorizedKeysAppendOnly);
useDefaultShell = true;
+ group = cfg.group;
isSystemUser = true;
};
groups.${cfg.group} = { };
@@ -202,7 +203,7 @@ in {
See also the chapter about BorgBackup in the NixOS manual.
'';
default = { };
- example = literalExample ''
+ example = literalExpression ''
{ # for a local backup
rootBackup = {
paths = "/";
@@ -259,7 +260,7 @@ in {
archiveBaseName = mkOption {
type = types.strMatching "[^/{}]+";
default = "${globalConfig.networking.hostName}-${name}";
- defaultText = "\${config.networking.hostName}-";
+ defaultText = literalExpression ''"''${config.networking.hostName}-"'';
description = ''
How to name the created archives. A timestamp, whose format is
determined by dateFormat , will be appended. The full
@@ -325,10 +326,7 @@ in {
you to specify a passCommand
or a passphrase .
'';
- example = ''
- encryption.mode = "repokey-blake2" ;
- encryption.passphrase = "mySecretPassphrase" ;
- '';
+ example = "repokey-blake2";
};
encryption.passCommand = mkOption {
@@ -436,7 +434,7 @@ in {
for the available options.
'';
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
within = "1d"; # Keep all archives from the last day
daily = 7;
@@ -454,7 +452,7 @@ in {
Use "" to consider all archives.
'';
default = config.archiveBaseName;
- defaultText = "\${archiveBaseName}";
+ defaultText = literalExpression "archiveBaseName";
};
environment = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/btrbk.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/btrbk.nix
index a8ff71f609a..0c00b934405 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/btrbk.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/btrbk.nix
@@ -57,7 +57,7 @@ in
description = "Extra packages for btrbk, like compression utilities for stream_compress ";
type = lib.types.listOf lib.types.package;
default = [ ];
- example = lib.literalExample "[ pkgs.xz ]";
+ example = lib.literalExpression "[ pkgs.xz ]";
};
niceness = lib.mkOption {
description = "Niceness for local instances of btrbk. Also applies to remote ones connecting via ssh when positive.";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/postgresql-backup.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/postgresql-backup.nix
index bcc135005e1..562458eb457 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/postgresql-backup.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/postgresql-backup.nix
@@ -85,7 +85,7 @@ in {
backupAll = mkOption {
default = cfg.databases == [];
- defaultText = "services.postgresqlBackup.databases == []";
+ defaultText = literalExpression "services.postgresqlBackup.databases == []";
type = lib.types.bool;
description = ''
Backup all databases using pg_dumpall.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/postgresql-wal-receiver.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/postgresql-wal-receiver.nix
index 3d9869d5343..32643adfdae 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/postgresql-wal-receiver.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/postgresql-wal-receiver.nix
@@ -7,7 +7,7 @@ let
options = {
postgresqlPackage = mkOption {
type = types.package;
- example = literalExample "pkgs.postgresql_11";
+ example = literalExpression "pkgs.postgresql_11";
description = ''
PostgreSQL package to use.
'';
@@ -15,7 +15,7 @@ let
directory = mkOption {
type = types.path;
- example = literalExample "/mnt/pg_wal/main/";
+ example = literalExpression "/mnt/pg_wal/main/";
description = ''
Directory to write the output to.
'';
@@ -88,7 +88,7 @@ let
extraArgs = mkOption {
type = with types; listOf str;
default = [ ];
- example = literalExample ''
+ example = literalExpression ''
[
"--no-sync"
]
@@ -101,7 +101,7 @@ let
environment = mkOption {
type = with types; attrsOf str;
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
PGPASSFILE = "/private/passfile";
PGSSLMODE = "require";
@@ -121,7 +121,7 @@ in {
receivers = mkOption {
type = with types; attrsOf (submodule receiverSubmodule);
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
main = {
postgresqlPackage = pkgs.postgresql_11;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/restic-rest-server.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/restic-rest-server.nix
index d1b775f150d..86744637f85 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/restic-rest-server.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/restic-rest-server.nix
@@ -59,7 +59,7 @@ in
package = mkOption {
default = pkgs.restic-rest-server;
- defaultText = "pkgs.restic-rest-server";
+ defaultText = literalExpression "pkgs.restic-rest-server";
type = types.package;
description = "Restic REST server package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/sanoid.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/sanoid.nix
index 41d0e2e1df6..e70063415ec 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/sanoid.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/sanoid.nix
@@ -57,8 +57,13 @@ let
useTemplate = use_template;
recursive = mkOption {
- description = "Whether to recursively snapshot dataset children.";
- type = types.bool;
+ description = ''
+ Whether to recursively snapshot dataset children.
+ You can also set this to "zfs" to handle datasets
+ recursively in an atomic way without the possibility to
+ override settings for child datasets.
+ '';
+ type = with types; oneOf [ bool (enum [ "zfs" ]) ];
default = false;
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/syncoid.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/syncoid.nix
index 3ad8d279a36..4df10f5ee02 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/syncoid.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/syncoid.nix
@@ -16,16 +16,67 @@ let
lib.concatMapStrings (s: if lib.isList s then "-" else s)
(builtins.split "[^a-zA-Z0-9_.\\-]+" name);
- # Function to build "zfs allow" and "zfs unallow" commands for the
- # filesystems we've delegated permissions to.
- buildAllowCommand = zfsAction: permissions: dataset: lib.escapeShellArgs [
- # Here we explicitly use the booted system to guarantee the stable API needed by ZFS
- "-+/run/booted-system/sw/bin/zfs"
- zfsAction
- cfg.user
- (concatStringsSep "," permissions)
- dataset
- ];
+ # Function to build "zfs allow" commands for the filesystems we've
+ # delegated permissions to. It also checks if the target dataset
+ # exists before delegating permissions, if it doesn't exist we
+ # delegate it to the parent dataset. This should solve the case of
+ # provisoning new datasets.
+ buildAllowCommand = permissions: dataset: (
+ "-+${pkgs.writeShellScript "zfs-allow-${dataset}" ''
+ # Here we explicitly use the booted system to guarantee the stable API needed by ZFS
+
+ # Run a ZFS list on the dataset to check if it exists
+ if ${lib.escapeShellArgs [
+ "/run/booted-system/sw/bin/zfs"
+ "list"
+ dataset
+ ]} 2> /dev/null; then
+ ${lib.escapeShellArgs [
+ "/run/booted-system/sw/bin/zfs"
+ "allow"
+ cfg.user
+ (concatStringsSep "," permissions)
+ dataset
+ ]}
+ else
+ ${lib.escapeShellArgs [
+ "/run/booted-system/sw/bin/zfs"
+ "allow"
+ cfg.user
+ (concatStringsSep "," permissions)
+ # Remove the last part of the path
+ (builtins.dirOf dataset)
+ ]}
+ fi
+ ''}"
+ );
+
+ # Function to build "zfs unallow" commands for the filesystems we've
+ # delegated permissions to. Here we unallow both the target but also
+ # on the parent dataset because at this stage we have no way of
+ # knowing if the allow command did execute on the parent dataset or
+ # not in the pre-hook. We can't run the same if in the post hook
+ # since the dataset should have been created at this point.
+ buildUnallowCommand = permissions: dataset: (
+ "-+${pkgs.writeShellScript "zfs-unallow-${dataset}" ''
+ # Here we explicitly use the booted system to guarantee the stable API needed by ZFS
+ ${lib.escapeShellArgs [
+ "/run/booted-system/sw/bin/zfs"
+ "unallow"
+ cfg.user
+ (concatStringsSep "," permissions)
+ dataset
+ ]}
+ ${lib.escapeShellArgs [
+ "/run/booted-system/sw/bin/zfs"
+ "unallow"
+ cfg.user
+ (concatStringsSep "," permissions)
+ # Remove the last part of the path
+ (builtins.dirOf dataset)
+ ]}
+ ''}"
+ );
in
{
@@ -235,7 +286,7 @@ in
};
}));
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
"pool/test".target = "root@target:pool/test";
}
@@ -274,11 +325,11 @@ in
path = [ "/run/booted-system/sw/bin/" ];
serviceConfig = {
ExecStartPre =
- (map (buildAllowCommand "allow" c.localSourceAllow) (localDatasetName c.source)) ++
- (map (buildAllowCommand "allow" c.localTargetAllow) (localDatasetName c.target));
+ (map (buildAllowCommand c.localSourceAllow) (localDatasetName c.source)) ++
+ (map (buildAllowCommand c.localTargetAllow) (localDatasetName c.target));
ExecStopPost =
- (map (buildAllowCommand "unallow" c.localSourceAllow) (localDatasetName c.source)) ++
- (map (buildAllowCommand "unallow" c.localTargetAllow) (localDatasetName c.target));
+ (map (buildUnallowCommand c.localSourceAllow) (localDatasetName c.source)) ++
+ (map (buildUnallowCommand c.localTargetAllow) (localDatasetName c.target));
ExecStart = lib.escapeShellArgs ([ "${pkgs.sanoid}/bin/syncoid" ]
++ optionals c.useCommonArgs cfg.commonArgs
++ optional c.recursive "-r"
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/tarsnap.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/tarsnap.nix
index 8187042b4b8..9cce8683661 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/tarsnap.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/tarsnap.nix
@@ -214,7 +214,7 @@ in
maxbwRateUp = mkOption {
type = types.nullOr types.int;
default = null;
- example = literalExample "25 * 1000";
+ example = literalExpression "25 * 1000";
description = ''
Upload bandwidth rate limit in bytes.
'';
@@ -223,7 +223,7 @@ in
maxbwRateDown = mkOption {
type = types.nullOr types.int;
default = null;
- example = literalExample "50 * 1000";
+ example = literalExpression "50 * 1000";
description = ''
Download bandwidth rate limit in bytes.
'';
@@ -256,7 +256,7 @@ in
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
nixos =
{ directories = [ "/home" "/root/ssl" ];
@@ -310,7 +310,7 @@ in
# the service - therefore we sleep in a loop until we can ping the
# endpoint.
preStart = ''
- while ! ping -q -c 1 v1-0-0-server.tarsnap.com &> /dev/null; do sleep 3; done
+ while ! ping -4 -q -c 1 v1-0-0-server.tarsnap.com &> /dev/null; do sleep 3; done
'';
script = let
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/znapzend.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/znapzend.nix
index debb2a39705..09e60177c39 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/znapzend.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/backup/znapzend.nix
@@ -166,8 +166,8 @@ let
postsnap .
'';
default = null;
- example = literalExample ''
- ''${pkgs.mariadb}/bin/mysql -e "set autocommit=0;flush tables with read lock;\\! ''${pkgs.coreutils}/bin/sleep 600" & ''${pkgs.coreutils}/bin/echo $! > /tmp/mariadblock.pid ; sleep 10
+ example = literalExpression ''
+ '''''${pkgs.mariadb}/bin/mysql -e "set autocommit=0;flush tables with read lock;\\! ''${pkgs.coreutils}/bin/sleep 600" & ''${pkgs.coreutils}/bin/echo $! > /tmp/mariadblock.pid ; sleep 10'''
'';
};
@@ -178,8 +178,8 @@ let
e.g. for database unlocking. See also presnap .
'';
default = null;
- example = literalExample ''
- ''${pkgs.coreutils}/bin/kill `''${pkgs.coreutils}/bin/cat /tmp/mariadblock.pid`;''${pkgs.coreutils}/bin/rm /tmp/mariadblock.pid
+ example = literalExpression ''
+ "''${pkgs.coreutils}/bin/kill `''${pkgs.coreutils}/bin/cat /tmp/mariadblock.pid`;''${pkgs.coreutils}/bin/rm /tmp/mariadblock.pid"
'';
};
@@ -223,7 +223,7 @@ let
type = attrsOf (destType config);
description = "Additional destinations.";
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
local = {
dataset = "btank/backup";
@@ -324,14 +324,14 @@ in
autoCreation = mkOption {
type = bool;
default = false;
- description = "Automatically create the destination dataset if it does not exists.";
+ description = "Automatically create the destination dataset if it does not exist.";
};
zetup = mkOption {
type = attrsOf srcType;
description = "Znapzend configuration.";
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"tank/home" = {
# Make snapshots of tank/home every hour, keep those for 1 day,
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/blockchain/ethereum/geth.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/blockchain/ethereum/geth.nix
index 6c2df95886e..bf2cf1edd4d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/blockchain/ethereum/geth.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/blockchain/ethereum/geth.nix
@@ -108,6 +108,7 @@ let
package = mkOption {
default = pkgs.go-ethereum.geth;
+ defaultText = literalExpression "pkgs.go-ethereum.geth";
type = types.package;
description = "Package to use as Go Ethereum node.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/hadoop/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/hadoop/default.nix
index 41ac46e538e..a165f619dc0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/hadoop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/hadoop/default.nix
@@ -8,7 +8,7 @@ with lib;
coreSite = mkOption {
default = {};
type = types.attrsOf types.anything;
- example = literalExample ''
+ example = literalExpression ''
{
"fs.defaultFS" = "hdfs://localhost";
}
@@ -19,7 +19,7 @@ with lib;
hdfsSite = mkOption {
default = {};
type = types.attrsOf types.anything;
- example = literalExample ''
+ example = literalExpression ''
{
"dfs.nameservices" = "namenode1";
}
@@ -30,7 +30,7 @@ with lib;
mapredSite = mkOption {
default = {};
type = types.attrsOf types.anything;
- example = literalExample ''
+ example = literalExpression ''
{
"mapreduce.map.cpu.vcores" = "1";
}
@@ -41,7 +41,7 @@ with lib;
yarnSite = mkOption {
default = {};
type = types.attrsOf types.anything;
- example = literalExample ''
+ example = literalExpression ''
{
"yarn.resourcemanager.ha.id" = "resourcemanager1";
}
@@ -52,8 +52,7 @@ with lib;
package = mkOption {
type = types.package;
default = pkgs.hadoop;
- defaultText = "pkgs.hadoop";
- example = literalExample "pkgs.hadoop";
+ defaultText = literalExpression "pkgs.hadoop";
description = "";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/k3s/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/k3s/default.nix
index e5c51441690..50b6780bbe6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/k3s/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/k3s/default.nix
@@ -12,8 +12,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.k3s;
- defaultText = "pkgs.k3s";
- example = literalExample "pkgs.k3s";
+ defaultText = literalExpression "pkgs.k3s";
description = "Package that should be used for k3s";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/addon-manager.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/addon-manager.nix
index 821f1aa5460..3d988dc2479 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/addon-manager.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/addon-manager.nix
@@ -27,7 +27,7 @@ in
'';
default = { };
type = attrsOf attrs;
- example = literalExample ''
+ example = literalExpression ''
{
"my-service" = {
"apiVersion" = "v1";
@@ -46,7 +46,7 @@ in
description = "Kubernetes addons (any kind of Kubernetes resource can be an addon).";
default = { };
type = attrsOf (either attrs (listOf attrs));
- example = literalExample ''
+ example = literalExpression ''
{
"my-service" = {
"apiVersion" = "v1";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/addons/dns.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/addons/dns.nix
index 8f937a13231..34943fddd3d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/addons/dns.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/addons/dns.nix
@@ -83,21 +83,24 @@ in {
reload
loadbalance
}'';
- defaultText = ''
- .:${toString ports.dns} {
- errors
- health :${toString ports.health}
- kubernetes ''${config.services.kubernetes.addons.dns.clusterDomain} in-addr.arpa ip6.arpa {
- pods insecure
- fallthrough in-addr.arpa ip6.arpa
+ defaultText = literalExpression ''
+ '''
+ .:${toString ports.dns} {
+ errors
+ health :${toString ports.health}
+ kubernetes ''${config.services.kubernetes.addons.dns.clusterDomain} in-addr.arpa ip6.arpa {
+ pods insecure
+ fallthrough in-addr.arpa ip6.arpa
+ }
+ prometheus :${toString ports.metrics}
+ forward . /etc/resolv.conf
+ cache 30
+ loop
+ reload
+ loadbalance
}
- prometheus :${toString ports.metrics}
- forward . /etc/resolv.conf
- cache 30
- loop
- reload
- loadbalance
- }'';
+ '''
+ '';
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/default.nix
index 33d217ba60e..433adf4d488 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/default.nix
@@ -5,28 +5,33 @@ with lib;
let
cfg = config.services.kubernetes;
- defaultContainerdConfigFile = pkgs.writeText "containerd.toml" ''
- version = 2
- root = "/var/lib/containerd"
- state = "/run/containerd"
- oom_score = 0
-
- [grpc]
- address = "/run/containerd/containerd.sock"
+ defaultContainerdSettings = {
+ version = 2;
+ root = "/var/lib/containerd";
+ state = "/run/containerd";
+ oom_score = 0;
+
+ grpc = {
+ address = "/run/containerd/containerd.sock";
+ };
- [plugins."io.containerd.grpc.v1.cri"]
- sandbox_image = "pause:latest"
+ plugins."io.containerd.grpc.v1.cri" = {
+ sandbox_image = "pause:latest";
- [plugins."io.containerd.grpc.v1.cri".cni]
- bin_dir = "/opt/cni/bin"
- max_conf_num = 0
+ cni = {
+ bin_dir = "/opt/cni/bin";
+ max_conf_num = 0;
+ };
- [plugins."io.containerd.grpc.v1.cri".containerd.runtimes.runc]
- runtime_type = "io.containerd.runc.v2"
+ containerd.runtimes.runc = {
+ runtime_type = "io.containerd.runc.v2";
+ };
- [plugins."io.containerd.grpc.v1.cri".containerd.runtimes."io.containerd.runc.v2".options]
- SystemdCgroup = true
- '';
+ containerd.runtimes."io.containerd.runc.v2".options = {
+ SystemdCgroup = true;
+ };
+ };
+ };
mkKubeConfig = name: conf: pkgs.writeText "${name}-kubeconfig" (builtins.toJSON {
apiVersion = "v1";
@@ -121,7 +126,7 @@ in {
description = "Kubernetes package to use.";
type = types.package;
default = pkgs.kubernetes;
- defaultText = "pkgs.kubernetes";
+ defaultText = literalExpression "pkgs.kubernetes";
};
kubeconfig = mkKubeConfigOptions "Default kubeconfig";
@@ -248,7 +253,7 @@ in {
(mkIf cfg.kubelet.enable {
virtualisation.containerd = {
enable = mkDefault true;
- configFile = mkDefault defaultContainerdConfigFile;
+ settings = mapAttrsRecursive (name: mkDefault) defaultContainerdSettings;
};
})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/kubelet.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/kubelet.nix
index 08f5cdfdf33..3a2a0ed363d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/kubelet.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/kubernetes/kubelet.nix
@@ -96,7 +96,7 @@ in
description = "Kubernetes CNI configuration.";
type = listOf attrs;
default = [];
- example = literalExample ''
+ example = literalExpression ''
[{
"cniVersion": "0.3.1",
"name": "mynet",
@@ -343,7 +343,7 @@ in
};
# Allways include cni plugins
- services.kubernetes.kubelet.cni.packages = [pkgs.cni-plugins];
+ services.kubernetes.kubelet.cni.packages = [pkgs.cni-plugins pkgs.cni-plugin-flannel];
boot.kernelModules = ["br_netfilter" "overlay"];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/spark/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/spark/default.nix
new file mode 100644
index 00000000000..e6b44e130a3
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/cluster/spark/default.nix
@@ -0,0 +1,162 @@
+{config, pkgs, lib, ...}:
+let
+ cfg = config.services.spark;
+in
+with lib;
+{
+ options = {
+ services.spark = {
+ master = {
+ enable = mkEnableOption "Spark master service";
+ bind = mkOption {
+ type = types.str;
+ description = "Address the spark master binds to.";
+ default = "127.0.0.1";
+ example = "0.0.0.0";
+ };
+ restartIfChanged = mkOption {
+ type = types.bool;
+ description = ''
+ Automatically restart master service on config change.
+ This can be set to false to defer restarts on clusters running critical applications.
+ Please consider the security implications of inadvertently running an older version,
+ and the possibility of unexpected behavior caused by inconsistent versions across a cluster when disabling this option.
+ '';
+ default = true;
+ };
+ extraEnvironment = mkOption {
+ type = types.attrsOf types.str;
+ description = "Extra environment variables to pass to spark master. See spark-standalone documentation.";
+ default = {};
+ example = {
+ SPARK_MASTER_WEBUI_PORT = 8181;
+ SPARK_MASTER_OPTS = "-Dspark.deploy.defaultCores=5";
+ };
+ };
+ };
+ worker = {
+ enable = mkEnableOption "Spark worker service";
+ workDir = mkOption {
+ type = types.path;
+ description = "Spark worker work dir.";
+ default = "/var/lib/spark";
+ };
+ master = mkOption {
+ type = types.str;
+ description = "Address of the spark master.";
+ default = "127.0.0.1:7077";
+ };
+ restartIfChanged = mkOption {
+ type = types.bool;
+ description = ''
+ Automatically restart worker service on config change.
+ This can be set to false to defer restarts on clusters running critical applications.
+ Please consider the security implications of inadvertently running an older version,
+ and the possibility of unexpected behavior caused by inconsistent versions across a cluster when disabling this option.
+ '';
+ default = true;
+ };
+ extraEnvironment = mkOption {
+ type = types.attrsOf types.str;
+ description = "Extra environment variables to pass to spark worker.";
+ default = {};
+ example = {
+ SPARK_WORKER_CORES = 5;
+ SPARK_WORKER_MEMORY = "2g";
+ };
+ };
+ };
+ confDir = mkOption {
+ type = types.path;
+ description = "Spark configuration directory. Spark will use the configuration files (spark-defaults.conf, spark-env.sh, log4j.properties, etc) from this directory.";
+ default = "${cfg.package}/lib/${cfg.package.untarDir}/conf";
+ defaultText = literalExpression ''"''${package}/lib/''${package.untarDir}/conf"'';
+ };
+ logDir = mkOption {
+ type = types.path;
+ description = "Spark log directory.";
+ default = "/var/log/spark";
+ };
+ package = mkOption {
+ type = types.package;
+ description = "Spark package.";
+ default = pkgs.spark;
+ defaultText = literalExpression "pkgs.spark";
+ example = literalExpression ''pkgs.spark.overrideAttrs (super: rec {
+ pname = "spark";
+ version = "2.4.4";
+
+ src = pkgs.fetchzip {
+ url = "mirror://apache/spark/"''${pname}-''${version}/''${pname}-''${version}-bin-without-hadoop.tgz";
+ sha256 = "1a9w5k0207fysgpxx6db3a00fs5hdc2ncx99x4ccy2s0v5ndc66g";
+ };
+ })'';
+ };
+ };
+ };
+ config = lib.mkIf (cfg.worker.enable || cfg.master.enable) {
+ environment.systemPackages = [ cfg.package ];
+ systemd = {
+ services = {
+ spark-master = lib.mkIf cfg.master.enable {
+ path = with pkgs; [ procps openssh nettools ];
+ description = "spark master service.";
+ after = [ "network.target" ];
+ wantedBy = [ "multi-user.target" ];
+ restartIfChanged = cfg.master.restartIfChanged;
+ environment = cfg.master.extraEnvironment // {
+ SPARK_MASTER_HOST = cfg.master.bind;
+ SPARK_CONF_DIR = cfg.confDir;
+ SPARK_LOG_DIR = cfg.logDir;
+ };
+ serviceConfig = {
+ Type = "forking";
+ User = "spark";
+ Group = "spark";
+ WorkingDirectory = "${cfg.package}/lib/${cfg.package.untarDir}";
+ ExecStart = "${cfg.package}/lib/${cfg.package.untarDir}/sbin/start-master.sh";
+ ExecStop = "${cfg.package}/lib/${cfg.package.untarDir}/sbin/stop-master.sh";
+ TimeoutSec = 300;
+ StartLimitBurst=10;
+ Restart = "always";
+ };
+ };
+ spark-worker = lib.mkIf cfg.worker.enable {
+ path = with pkgs; [ procps openssh nettools rsync ];
+ description = "spark master service.";
+ after = [ "network.target" ];
+ wantedBy = [ "multi-user.target" ];
+ restartIfChanged = cfg.worker.restartIfChanged;
+ environment = cfg.worker.extraEnvironment // {
+ SPARK_MASTER = cfg.worker.master;
+ SPARK_CONF_DIR = cfg.confDir;
+ SPARK_LOG_DIR = cfg.logDir;
+ SPARK_WORKER_DIR = cfg.worker.workDir;
+ };
+ serviceConfig = {
+ Type = "forking";
+ User = "spark";
+ WorkingDirectory = "${cfg.package}/lib/${cfg.package.untarDir}";
+ ExecStart = "${cfg.package}/lib/${cfg.package.untarDir}/sbin/start-worker.sh spark://${cfg.worker.master}";
+ ExecStop = "${cfg.package}/lib/${cfg.package.untarDir}/sbin/stop-worker.sh";
+ TimeoutSec = 300;
+ StartLimitBurst=10;
+ Restart = "always";
+ };
+ };
+ };
+ tmpfiles.rules = [
+ "d '${cfg.worker.workDir}' - spark spark - -"
+ "d '${cfg.logDir}' - spark spark - -"
+ ];
+ };
+ users = {
+ users.spark = {
+ description = "spark user.";
+ group = "spark";
+ isSystemUser = true;
+ };
+ groups.spark = { };
+ };
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/computing/boinc/client.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/computing/boinc/client.nix
index 7becf624071..52249455fd4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/computing/boinc/client.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/computing/boinc/client.nix
@@ -30,7 +30,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.boinc;
- defaultText = "pkgs.boinc";
+ defaultText = literalExpression "pkgs.boinc";
description = ''
Which BOINC package to use.
'';
@@ -60,7 +60,7 @@ in
extraEnvPackages = mkOption {
type = types.listOf types.package;
default = [];
- example = "[ pkgs.virtualbox ]";
+ example = literalExpression "[ pkgs.virtualbox ]";
description = ''
Additional packages to make available in the environment in which
BOINC will run. Common choices are:
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/computing/foldingathome/client.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/computing/foldingathome/client.nix
index fbef6a04b16..aa9d0a5218f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/computing/foldingathome/client.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/computing/foldingathome/client.nix
@@ -23,7 +23,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.fahclient;
- defaultText = "pkgs.fahclient";
+ defaultText = literalExpression "pkgs.fahclient";
description = ''
Which Folding@home client to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/computing/slurm/slurm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/computing/slurm/slurm.nix
index a3dee94e2dc..0c96f323132 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/computing/slurm/slurm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/computing/slurm/slurm.nix
@@ -132,8 +132,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.slurm.override { enableX11 = ! cfg.enableSrunX11; };
- defaultText = "pkgs.slurm";
- example = literalExample "pkgs.slurm-full";
+ defaultText = literalExpression "pkgs.slurm";
+ example = literalExpression "pkgs.slurm-full";
description = ''
The package to use for slurm binaries.
'';
@@ -172,7 +172,7 @@ in
nodeName = mkOption {
type = types.listOf types.str;
default = [];
- example = literalExample ''[ "linux[1-32] CPUs=1 State=UNKNOWN" ];'';
+ example = literalExpression ''[ "linux[1-32] CPUs=1 State=UNKNOWN" ];'';
description = ''
Name that SLURM uses to refer to a node (or base partition for BlueGene
systems). Typically this would be the string that "/bin/hostname -s"
@@ -183,7 +183,7 @@ in
partitionName = mkOption {
type = types.listOf types.str;
default = [];
- example = literalExample ''[ "debug Nodes=linux[1-32] Default=YES MaxTime=INFINITE State=UP" ];'';
+ example = literalExpression ''[ "debug Nodes=linux[1-32] Default=YES MaxTime=INFINITE State=UP" ];'';
description = ''
Name by which the partition may be referenced. Note that now you have
to write the partition's parameters after the name.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/buildbot/master.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/buildbot/master.nix
index f668e69e5df..2dc61c21ac7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/buildbot/master.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/buildbot/master.nix
@@ -93,6 +93,7 @@ in {
type = types.path;
description = "Optionally pass master.cfg path. Other options in this configuration will be ignored.";
default = defaultMasterCfg;
+ defaultText = literalDocBook ''generated configuration file'';
example = "/etc/nixos/buildbot/master.cfg";
};
@@ -210,14 +211,14 @@ in {
package = mkOption {
type = types.package;
default = pkgs.python3Packages.buildbot-full;
- defaultText = "pkgs.python3Packages.buildbot-full";
+ defaultText = literalExpression "pkgs.python3Packages.buildbot-full";
description = "Package to use for buildbot.";
- example = literalExample "pkgs.python3Packages.buildbot";
+ example = literalExpression "pkgs.python3Packages.buildbot";
};
packages = mkOption {
default = [ pkgs.git ];
- example = literalExample "[ pkgs.git ]";
+ defaultText = literalExpression "[ pkgs.git ]";
type = types.listOf types.package;
description = "Packages to add to PATH for the buildbot process.";
};
@@ -225,9 +226,9 @@ in {
pythonPackages = mkOption {
type = types.functionTo (types.listOf types.package);
default = pythonPackages: with pythonPackages; [ ];
- defaultText = "pythonPackages: with pythonPackages; [ ]";
+ defaultText = literalExpression "pythonPackages: with pythonPackages; [ ]";
description = "Packages to add the to the PYTHONPATH of the buildbot process.";
- example = literalExample "pythonPackages: with pythonPackages; [ requests ]";
+ example = literalExpression "pythonPackages: with pythonPackages; [ requests ]";
};
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/buildbot/worker.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/buildbot/worker.nix
index 708b3e1cc18..dd4f4a4a74a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/buildbot/worker.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/buildbot/worker.nix
@@ -128,14 +128,14 @@ in {
package = mkOption {
type = types.package;
default = pkgs.python3Packages.buildbot-worker;
- defaultText = "pkgs.python3Packages.buildbot-worker";
+ defaultText = literalExpression "pkgs.python3Packages.buildbot-worker";
description = "Package to use for buildbot worker.";
- example = literalExample "pkgs.python2Packages.buildbot-worker";
+ example = literalExpression "pkgs.python2Packages.buildbot-worker";
};
packages = mkOption {
default = with pkgs; [ git ];
- example = literalExample "[ pkgs.git ]";
+ defaultText = literalExpression "[ pkgs.git ]";
type = types.listOf types.package;
description = "Packages to add to PATH for the buildbot process.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/buildkite-agents.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/buildkite-agents.nix
index b8982d757db..1872567c9f1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/buildkite-agents.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/buildkite-agents.nix
@@ -39,7 +39,7 @@ let
package = mkOption {
default = pkgs.buildkite-agent;
- defaultText = "pkgs.buildkite-agent";
+ defaultText = literalExpression "pkgs.buildkite-agent";
description = "Which buildkite-agent derivation to use";
type = types.package;
};
@@ -52,7 +52,7 @@ let
runtimePackages = mkOption {
default = [ pkgs.bash pkgs.gnutar pkgs.gzip pkgs.git pkgs.nix ];
- defaultText = "[ pkgs.bash pkgs.gnutar pkgs.gzip pkgs.git pkgs.nix ]";
+ defaultText = literalExpression "[ pkgs.bash pkgs.gnutar pkgs.gzip pkgs.git pkgs.nix ]";
description = "Add programs to the buildkite-agent environment";
type = types.listOf types.package;
};
@@ -168,7 +168,7 @@ let
hooksPath = mkOption {
type = types.path;
default = hooksDir config;
- defaultText = "generated from services.buildkite-agents..hooks";
+ defaultText = literalDocBook "generated from services.buildkite-agents.<name>.hooks ";
description = ''
Path to the directory storing the hooks.
Consider using services.buildkite-agents.<name>.hooks.<name>
@@ -179,6 +179,7 @@ let
shell = mkOption {
type = types.str;
default = "${pkgs.bash}/bin/bash -e -c";
+ defaultText = literalExpression ''"''${pkgs.bash}/bin/bash -e -c"'';
description = ''
Command that buildkite-agent 3 will execute when it spawns a shell.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/github-runner.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/github-runner.nix
index f951c155323..943c1e4598d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/github-runner.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/github-runner.nix
@@ -77,7 +77,7 @@ in
Changing this option triggers a new runner registration.
'';
- example = literalExample ''[ "nixos" ]'';
+ example = literalExpression ''[ "nixos" ]'';
default = [ ];
};
@@ -105,6 +105,7 @@ in
Which github-runner derivation to use.
'';
default = pkgs.github-runner;
+ defaultText = literalExpression "pkgs.github-runner";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/gitlab-runner.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/gitlab-runner.nix
index 15c37c2bc76..d4b8541c6a1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/gitlab-runner.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/gitlab-runner.nix
@@ -136,7 +136,7 @@ in
checkInterval = mkOption {
type = types.int;
default = 0;
- example = literalExample "with lib; (length (attrNames config.services.gitlab-runner.services)) * 3";
+ example = literalExpression "with lib; (length (attrNames config.services.gitlab-runner.services)) * 3";
description = ''
Defines the interval length, in seconds, between new jobs check.
The default value is 3;
@@ -147,7 +147,7 @@ in
concurrent = mkOption {
type = types.int;
default = 1;
- example = literalExample "config.nix.maxJobs";
+ example = literalExpression "config.nix.maxJobs";
description = ''
Limits how many jobs globally can be run concurrently.
The most upper limit of jobs using all defined runners.
@@ -203,7 +203,7 @@ in
};
};
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
listenAddress = "0.0.0.0:8093";
}
@@ -234,8 +234,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.gitlab-runner;
- defaultText = "pkgs.gitlab-runner";
- example = literalExample "pkgs.gitlab-runner_1_11";
+ defaultText = literalExpression "pkgs.gitlab-runner";
+ example = literalExpression "pkgs.gitlab-runner_1_11";
description = "Gitlab Runner package to use.";
};
extraPackages = mkOption {
@@ -248,7 +248,7 @@ in
services = mkOption {
description = "GitLab Runner services.";
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
# runner for building in docker via host's nix-daemon
# nix store will be readable in runner, might be insecure
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/gocd-agent/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/gocd-agent/default.nix
index 8cae08bf1fa..acc3fb12484 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/gocd-agent/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/gocd-agent/default.nix
@@ -37,7 +37,7 @@ in {
packages = mkOption {
default = [ pkgs.stdenv pkgs.jre pkgs.git config.programs.ssh.package pkgs.nix ];
- defaultText = "[ pkgs.stdenv pkgs.jre pkgs.git config.programs.ssh.package pkgs.nix ]";
+ defaultText = literalExpression "[ pkgs.stdenv pkgs.jre pkgs.git config.programs.ssh.package pkgs.nix ]";
type = types.listOf types.package;
description = ''
Packages to add to PATH for the Go.CD agent process.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/gocd-server/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/gocd-server/default.nix
index 4c829664a0a..646bf13ac67 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/gocd-server/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/gocd-server/default.nix
@@ -69,7 +69,7 @@ in {
packages = mkOption {
default = [ pkgs.stdenv pkgs.jre pkgs.git config.programs.ssh.package pkgs.nix ];
- defaultText = "[ pkgs.stdenv pkgs.jre pkgs.git config.programs.ssh.package pkgs.nix ]";
+ defaultText = literalExpression "[ pkgs.stdenv pkgs.jre pkgs.git config.programs.ssh.package pkgs.nix ]";
type = types.listOf types.package;
description = ''
Packages to add to PATH for the Go.CD server's process.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/hail.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/hail.nix
index 5d0c3f7b4ab..4070a3425c4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/hail.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/hail.nix
@@ -35,7 +35,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.haskellPackages.hail;
- defaultText = "pkgs.haskellPackages.hail";
+ defaultText = literalExpression "pkgs.haskellPackages.hail";
description = "Hail package to use.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/hercules-ci-agent/common.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/hercules-ci-agent/common.nix
index 70d85a97f3b..d53d68bdcf9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/hercules-ci-agent/common.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/hercules-ci-agent/common.nix
@@ -10,7 +10,8 @@ Platform-specific code is in the respective default.nix files.
let
inherit (lib)
filterAttrs
- literalExample
+ literalDocBook
+ literalExpression
mkIf
mkOption
mkRemovedOptionModule
@@ -60,7 +61,7 @@ let
'';
type = types.path;
default = config.baseDirectory + "/work";
- defaultText = literalExample ''baseDirectory + "/work"'';
+ defaultText = literalExpression ''baseDirectory + "/work"'';
};
staticSecretsDirectory = mkOption {
description = ''
@@ -68,7 +69,7 @@ let
'';
type = types.path;
default = config.baseDirectory + "/secrets";
- defaultText = literalExample ''baseDirectory + "/secrets"'';
+ defaultText = literalExpression ''baseDirectory + "/secrets"'';
};
clusterJoinTokenPath = mkOption {
description = ''
@@ -76,7 +77,7 @@ let
'';
type = types.path;
default = config.staticSecretsDirectory + "/cluster-join-token.key";
- defaultText = literalExample ''staticSecretsDirectory + "/cluster-join-token.key"'';
+ defaultText = literalExpression ''staticSecretsDirectory + "/cluster-join-token.key"'';
# internal: It's a bit too detailed to show by default in the docs,
# but useful to define explicitly to allow reuse by other modules.
internal = true;
@@ -87,7 +88,7 @@ let
'';
type = types.path;
default = config.staticSecretsDirectory + "/binary-caches.json";
- defaultText = literalExample ''staticSecretsDirectory + "/binary-caches.json"'';
+ defaultText = literalExpression ''staticSecretsDirectory + "/binary-caches.json"'';
# internal: It's a bit too detailed to show by default in the docs,
# but useful to define explicitly to allow reuse by other modules.
internal = true;
@@ -158,7 +159,7 @@ in
'';
type = types.package;
default = pkgs.hercules-ci-agent;
- defaultText = literalExample "pkgs.hercules-ci-agent";
+ defaultText = literalExpression "pkgs.hercules-ci-agent";
};
settings = mkOption {
description = ''
@@ -180,7 +181,7 @@ in
tomlFile = mkOption {
type = types.path;
internal = true;
- defaultText = "generated hercules-ci-agent.toml";
+ defaultText = literalDocBook "generated hercules-ci-agent.toml ";
description = ''
The fully assembled config file.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/hydra/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/hydra/default.nix
index 0103cd723d2..d6cde77c0a3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/hydra/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/hydra/default.nix
@@ -100,7 +100,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.hydra-unstable;
- defaultText = "pkgs.hydra-unstable";
+ defaultText = literalExpression "pkgs.hydra-unstable";
description = "The Hydra package.";
};
@@ -155,7 +155,7 @@ in
smtpHost = mkOption {
type = types.nullOr types.str;
default = null;
- example = ["localhost"];
+ example = "localhost";
description = ''
Hostname of the SMTP server to use to send email.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/jenkins/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/jenkins/default.nix
index 98ef1e2c691..d37dcb5519d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/jenkins/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/jenkins/default.nix
@@ -81,14 +81,14 @@ in {
package = mkOption {
default = pkgs.jenkins;
- defaultText = "pkgs.jenkins";
+ defaultText = literalExpression "pkgs.jenkins";
type = types.package;
description = "Jenkins package to use.";
};
packages = mkOption {
default = [ pkgs.stdenv pkgs.git pkgs.jdk11 config.programs.ssh.package pkgs.nix ];
- defaultText = "[ pkgs.stdenv pkgs.git pkgs.jdk11 config.programs.ssh.package pkgs.nix ]";
+ defaultText = literalExpression "[ pkgs.stdenv pkgs.git pkgs.jdk11 config.programs.ssh.package pkgs.nix ]";
type = types.listOf types.package;
description = ''
Packages to add to PATH for the jenkins process.
@@ -120,7 +120,7 @@ in {
null . You can generate this set with a
tool such as jenkinsPlugins2nix .
'';
- example = literalExample ''
+ example = literalExpression ''
import path/to/jenkinsPlugins2nix-generated-plugins.nix { inherit (pkgs) fetchurl stdenv; }
'';
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/jenkins/job-builder.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/jenkins/job-builder.nix
index 536d394b3fd..3ca1542c18f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/jenkins/job-builder.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/continuous-integration/jenkins/job-builder.nix
@@ -74,7 +74,7 @@ in {
jsonJobs = mkOption {
default = [ ];
type = types.listOf types.str;
- example = literalExample ''
+ example = literalExpression ''
[
'''
[ { "job":
@@ -94,7 +94,7 @@ in {
nixJobs = mkOption {
default = [ ];
type = types.listOf types.attrs;
- example = literalExample ''
+ example = literalExpression ''
[ { job =
{ name = "jenkins-job-test-3";
builders = [
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/aerospike.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/aerospike.nix
index 4b905f90529..8109762aea7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/aerospike.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/aerospike.nix
@@ -43,7 +43,7 @@ in
package = mkOption {
default = pkgs.aerospike;
- defaultText = "pkgs.aerospike";
+ defaultText = literalExpression "pkgs.aerospike";
type = types.package;
description = "Which Aerospike derivation to use";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/cassandra.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/cassandra.nix
index 820be5085de..b36cac35e7c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/cassandra.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/cassandra.nix
@@ -4,7 +4,8 @@ let
inherit (lib)
concatStringsSep
flip
- literalExample
+ literalDocBook
+ literalExpression
optionalAttrs
optionals
recursiveUpdate
@@ -136,8 +137,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.cassandra;
- defaultText = "pkgs.cassandra";
- example = literalExample "pkgs.cassandra_3_11";
+ defaultText = literalExpression "pkgs.cassandra";
+ example = literalExpression "pkgs.cassandra_3_11";
description = ''
The Apache Cassandra package to use.
'';
@@ -276,7 +277,7 @@ in
extraEnvSh = mkOption {
type = types.lines;
default = "";
- example = "CLASSPATH=$CLASSPATH:\${extraJar}";
+ example = literalExpression ''"CLASSPATH=$CLASSPATH:''${extraJar}"'';
description = ''
Extra shell lines to be appended onto cassandra-env.sh.
'';
@@ -436,6 +437,7 @@ in
if versionAtLeast cfg.package.version "3.11"
then pkgs.writeText "jmx-roles-file" defaultJmxRolesFile
else null;
+ defaultText = literalDocBook ''generated configuration file if version is at least 3.11, otherwise null '';
example = "/var/lib/cassandra/jmx.password";
description = ''
Specify your own jmx roles file.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/cockroachdb.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/cockroachdb.nix
index 35fb46d69d8..eb061af9262 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/cockroachdb.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/cockroachdb.nix
@@ -150,7 +150,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.cockroachdb;
- defaultText = "pkgs.cockroachdb";
+ defaultText = literalExpression "pkgs.cockroachdb";
description = ''
The CockroachDB derivation to use for running the service.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/couchdb.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/couchdb.nix
index 6cc29cd717e..16dd64f2373 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/couchdb.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/couchdb.nix
@@ -44,8 +44,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.couchdb;
- defaultText = "pkgs.couchdb";
- example = literalExample "pkgs.couchdb";
+ defaultText = literalExpression "pkgs.couchdb";
description = ''
CouchDB package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/firebird.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/firebird.nix
index 0815487d4a1..4e3130bea22 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/firebird.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/firebird.nix
@@ -44,11 +44,9 @@ in
package = mkOption {
default = pkgs.firebird;
- defaultText = "pkgs.firebird";
+ defaultText = literalExpression "pkgs.firebird";
type = types.package;
- example = ''
- package = pkgs.firebird_3;
- '';
+ example = literalExpression "pkgs.firebird_3";
description = ''
Which Firebird package to be installed: pkgs.firebird_3
For SuperServer use override: pkgs.firebird_3.override { superServer = true; };
@@ -56,7 +54,7 @@ in
};
port = mkOption {
- default = "3050";
+ default = 3050;
type = types.port;
description = ''
Port Firebird uses.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/hbase.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/hbase.nix
index 2d1a47bbaa3..9132b7ed356 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/hbase.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/hbase.nix
@@ -44,8 +44,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.hbase;
- defaultText = "pkgs.hbase";
- example = literalExample "pkgs.hbase";
+ defaultText = literalExpression "pkgs.hbase";
description = ''
HBase package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/influxdb.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/influxdb.nix
index dd5d69b1147..f7383b2023a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/influxdb.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/influxdb.nix
@@ -96,9 +96,8 @@ let
};
} cfg.extraConfig;
- configFile = pkgs.runCommand "config.toml" {
- buildInputs = [ pkgs.remarshal ];
- preferLocalBuild = true;
+ configFile = pkgs.runCommandLocal "config.toml" {
+ nativeBuildInputs = [ pkgs.remarshal ];
} ''
remarshal -if json -of toml \
< ${pkgs.writeText "config.json" (builtins.toJSON configOptions)} \
@@ -121,7 +120,7 @@ in
package = mkOption {
default = pkgs.influxdb;
- defaultText = "pkgs.influxdb";
+ defaultText = literalExpression "pkgs.influxdb";
description = "Which influxdb derivation to use";
type = types.package;
};
@@ -185,6 +184,7 @@ in
users.users = optionalAttrs (cfg.user == "influxdb") {
influxdb = {
uid = config.ids.uids.influxdb;
+ group = "influxdb";
description = "Influxdb daemon user";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/influxdb2.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/influxdb2.nix
index df7bac4261b..01b9c493484 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/influxdb2.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/influxdb2.nix
@@ -11,7 +11,7 @@ in
enable = mkEnableOption "the influxdb2 server";
package = mkOption {
default = pkgs.influxdb2;
- defaultText = "pkgs.influxdb2";
+ defaultText = literalExpression "pkgs.influxdb2";
description = "influxdb2 derivation to use.";
type = types.package;
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/memcached.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/memcached.nix
index ca7b20eb049..1c06937e2f3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/memcached.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/memcached.nix
@@ -67,7 +67,9 @@ in
users.users = optionalAttrs (cfg.user == "memcached") {
memcached.description = "Memcached server user";
memcached.isSystemUser = true;
+ memcached.group = "memcached";
};
+ users.groups = optionalAttrs (cfg.user == "memcached") { memcached = {}; };
environment.systemPackages = [ memcached ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/monetdb.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/monetdb.nix
index 5c66fc7b2e3..52a2ef041f8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/monetdb.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/monetdb.nix
@@ -17,7 +17,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.monetdb;
- defaultText = "pkgs.monetdb";
+ defaultText = literalExpression "pkgs.monetdb";
description = "MonetDB package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/mongodb.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/mongodb.nix
index db1e5fedf50..fccf85d482e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/mongodb.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/mongodb.nix
@@ -33,7 +33,7 @@ in
package = mkOption {
default = pkgs.mongodb;
- defaultText = "pkgs.mongodb";
+ defaultText = literalExpression "pkgs.mongodb";
type = types.package;
description = "
Which MongoDB derivation to use.
@@ -123,9 +123,11 @@ in
users.users.mongodb = mkIf (cfg.user == "mongodb")
{ name = "mongodb";
- uid = config.ids.uids.mongodb;
+ isSystemUser = true;
+ group = "mongodb";
description = "MongoDB server user";
};
+ users.groups.mongodb = mkIf (cfg.user == "mongodb") {};
environment.systemPackages = [ mongodb ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/mysql.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/mysql.nix
index b801b5cce63..a9d9a6d8058 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/mysql.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/mysql.nix
@@ -34,7 +34,7 @@ in
package = mkOption {
type = types.package;
- example = literalExample "pkgs.mariadb";
+ example = literalExpression "pkgs.mariadb";
description = "
Which MySQL derivation to use. MariaDB packages are supported too.
";
@@ -43,7 +43,7 @@ in
bind = mkOption {
type = types.nullOr types.str;
default = null;
- example = literalExample "0.0.0.0";
+ example = "0.0.0.0";
description = "Address to bind to. The default is to bind to all addresses.";
};
@@ -74,12 +74,12 @@ in
configFile = mkOption {
type = types.path;
default = settingsFile;
- defaultText = "settingsFile";
+ defaultText = literalExpression "settingsFile";
description = ''
Override the configuration file used by MySQL. By default,
NixOS generates one automatically from services.mysql.settings .
'';
- example = literalExample ''
+ example = literalExpression ''
pkgs.writeText "my.cnf" '''
[mysqld]
datadir = /var/lib/mysql
@@ -109,7 +109,7 @@ in
'';
- example = literalExample ''
+ example = literalExpression ''
{
mysqld = {
key_buffer_size = "6G";
@@ -167,7 +167,7 @@ in
of MySQL. The schema attribute is optional: If not specified, an empty database is created.
'';
example = [
- { name = "foodatabase"; schema = literalExample "./foodatabase.sql"; }
+ { name = "foodatabase"; schema = literalExpression "./foodatabase.sql"; }
{ name = "bardatabase"; }
];
};
@@ -217,7 +217,7 @@ in
GRANT syntax.
The attributes are used as GRANT ''${attrName} ON ''${attrValue}
.
'';
- example = literalExample ''
+ example = literalExpression ''
{
"database.*" = "ALL PRIVILEGES";
"*.*" = "SELECT, LOCK TABLES";
@@ -235,7 +235,7 @@ in
option is changed. This means that users created and permissions assigned once through this option or
otherwise have to be removed manually.
'';
- example = literalExample ''
+ example = literalExpression ''
[
{
name = "nextcloud";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/neo4j.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/neo4j.nix
index 53760bb24c4..f37e5ad1693 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/neo4j.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/neo4j.nix
@@ -179,7 +179,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.neo4j;
- defaultText = "pkgs.neo4j";
+ defaultText = literalExpression "pkgs.neo4j";
description = ''
Neo4j package to use.
'';
@@ -651,10 +651,12 @@ in {
environment.systemPackages = [ cfg.package ];
users.users.neo4j = {
- uid = config.ids.uids.neo4j;
+ isSystemUser = true;
+ group = "neo4j";
description = "Neo4j daemon user";
home = cfg.directories.home;
};
+ users.groups.neo4j = {};
};
meta = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/openldap.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/openldap.nix
index f0efc659cff..2c1e25d4308 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/openldap.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/openldap.nix
@@ -34,7 +34,7 @@ let
in types.attrsOf (types.submodule { options = hiddenOptions; });
default = {};
description = "Child entries of the current entry, with recursively the same structure.";
- example = lib.literalExample ''
+ example = lib.literalExpression ''
{
"cn=schema" = {
# The attribute used in the DN must be defined
@@ -127,6 +127,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.openldap;
+ defaultText = literalExpression "pkgs.openldap";
description = ''
OpenLDAP package to use.
@@ -158,14 +159,14 @@ in {
settings = mkOption {
type = ldapAttrsType;
description = "Configuration for OpenLDAP, in OLC format";
- example = lib.literalExample ''
+ example = lib.literalExpression ''
{
attrs.olcLogLevel = [ "stats" ];
children = {
"cn=schema".includes = [
- "\${pkgs.openldap}/etc/schema/core.ldif"
- "\${pkgs.openldap}/etc/schema/cosine.ldif"
- "\${pkgs.openldap}/etc/schema/inetorgperson.ldif"
+ "''${pkgs.openldap}/etc/schema/core.ldif"
+ "''${pkgs.openldap}/etc/schema/cosine.ldif"
+ "''${pkgs.openldap}/etc/schema/inetorgperson.ldif"
];
"olcDatabase={-1}frontend" = {
attrs = {
@@ -225,7 +226,7 @@ in {
rebuilt on each server startup, so this will slow down server startup,
especially with large databases.
'';
- example = lib.literalExample ''
+ example = lib.literalExpression ''
{
"dc=example,dc=org" = '''
dn= dn: dc=example,dc=org
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/opentsdb.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/opentsdb.nix
index c4bd71f3d60..e873b2f7011 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/opentsdb.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/opentsdb.nix
@@ -26,8 +26,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.opentsdb;
- defaultText = "pkgs.opentsdb";
- example = literalExample "pkgs.opentsdb";
+ defaultText = literalExpression "pkgs.opentsdb";
description = ''
OpenTSDB package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/pgmanage.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/pgmanage.nix
index 8508e76b5cd..f30f71866af 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/pgmanage.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/pgmanage.nix
@@ -49,7 +49,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.pgmanage;
- defaultText = "pkgs.pgmanage";
+ defaultText = literalExpression "pkgs.pgmanage";
description = ''
The pgmanage package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/postgresql.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/postgresql.nix
index fd4a195787f..d49cb4c51a7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/postgresql.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/postgresql.nix
@@ -44,7 +44,7 @@ in
package = mkOption {
type = types.package;
- example = literalExample "pkgs.postgresql_11";
+ example = literalExpression "pkgs.postgresql_11";
description = ''
PostgreSQL package to use.
'';
@@ -66,7 +66,7 @@ in
dataDir = mkOption {
type = types.path;
- defaultText = "/var/lib/postgresql/\${config.services.postgresql.package.psqlSchema}";
+ defaultText = literalExpression ''"/var/lib/postgresql/''${config.services.postgresql.package.psqlSchema}"'';
example = "/var/lib/postgresql/11";
description = ''
The data directory for PostgreSQL. If left as the default value
@@ -161,7 +161,7 @@ in
GRANT syntax.
The attributes are used as GRANT ''${attrValue} ON ''${attrName}
.
'';
- example = literalExample ''
+ example = literalExpression ''
{
"DATABASE \"nextcloud\"" = "ALL PRIVILEGES";
"ALL TABLES IN SCHEMA public" = "ALL PRIVILEGES";
@@ -179,7 +179,7 @@ in
option is changed. This means that users created and permissions assigned once through this option or
otherwise have to be removed manually.
'';
- example = literalExample ''
+ example = literalExpression ''
[
{
name = "nextcloud";
@@ -221,7 +221,7 @@ in
extraPlugins = mkOption {
type = types.listOf types.path;
default = [];
- example = literalExample "with pkgs.postgresql_11.pkgs; [ postgis pg_repack ]";
+ example = literalExpression "with pkgs.postgresql_11.pkgs; [ postgis pg_repack ]";
description = ''
List of PostgreSQL plugins. PostgreSQL version for each plugin should
match version for services.postgresql.package value.
@@ -241,7 +241,7 @@ in
escaped with two single quotes as described by the upstream documentation linked above.
'';
- example = literalExample ''
+ example = literalExpression ''
{
log_connections = true;
log_statement = "all";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/redis.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/redis.nix
index 8873f6d00e0..578d9d9ec8d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/redis.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/redis.nix
@@ -47,7 +47,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.redis;
- defaultText = "pkgs.redis";
+ defaultText = literalExpression "pkgs.redis";
description = "Which Redis derivation to use.";
};
@@ -133,7 +133,6 @@ in {
type = with types; listOf (listOf int);
default = [ [900 1] [300 10] [60 10000] ];
description = "The schedule in which data is persisted to disk, represented as a list of lists where the first element represent the amount of seconds and the second the number of changes.";
- example = [ [900 1] [300 10] [60 10000] ];
};
slaveOf = mkOption {
@@ -217,7 +216,7 @@ in {
for details on supported values.
'';
- example = literalExample ''
+ example = literalExpression ''
{
loadmodule = [ "/path/to/my_module.so" "/path/to/other_module.so" ];
}
@@ -246,6 +245,7 @@ in {
users.users.redis = {
description = "Redis database user";
+ group = "redis";
isSystemUser = true;
};
users.groups.redis = {};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/riak.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/riak.nix
index 657eeea87bf..cc4237d038c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/riak.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/riak.nix
@@ -21,8 +21,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.riak;
- defaultText = "pkgs.riak";
- example = literalExample "pkgs.riak";
+ defaultText = literalExpression "pkgs.riak";
description = ''
Riak package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/victoriametrics.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/victoriametrics.nix
index 9e2c79e61a3..0513dcff172 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/victoriametrics.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/databases/victoriametrics.nix
@@ -6,7 +6,7 @@ let cfg = config.services.victoriametrics; in
package = mkOption {
type = types.package;
default = pkgs.victoriametrics;
- defaultText = "pkgs.victoriametrics";
+ defaultText = literalExpression "pkgs.victoriametrics";
description = ''
The VictoriaMetrics distribution to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/cpupower-gui.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/cpupower-gui.nix
new file mode 100644
index 00000000000..f66afc0a3dc
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/cpupower-gui.nix
@@ -0,0 +1,56 @@
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let
+ cfg = config.services.cpupower-gui;
+in {
+ options = {
+ services.cpupower-gui = {
+ enable = mkOption {
+ type = lib.types.bool;
+ default = false;
+ example = true;
+ description = ''
+ Enables dbus/systemd service needed by cpupower-gui.
+ These services are responsible for retrieving and modifying cpu power
+ saving settings.
+ '';
+ };
+ };
+ };
+
+ config = mkIf cfg.enable {
+ environment.systemPackages = [ pkgs.cpupower-gui ];
+ services.dbus.packages = [ pkgs.cpupower-gui ];
+ systemd.user = {
+ services.cpupower-gui-user = {
+ description = "Apply cpupower-gui config at user login";
+ wantedBy = [ "graphical-session.target" ];
+ serviceConfig = {
+ Type = "oneshot";
+ ExecStart = "${pkgs.cpupower-gui}/bin/cpupower-gui config";
+ };
+ };
+ };
+ systemd.services = {
+ cpupower-gui = {
+ description = "Apply cpupower-gui config at boot";
+ wantedBy = [ "multi-user.target" ];
+ serviceConfig = {
+ Type = "oneshot";
+ ExecStart = "${pkgs.cpupower-gui}/bin/cpupower-gui config";
+ };
+ };
+ cpupower-gui-helper = {
+ description = "cpupower-gui system helper";
+ aliases = [ "dbus-org.rnd2.cpupower_gui.helper.service" ];
+ serviceConfig = {
+ Type = "dbus";
+ BusName = "org.rnd2.cpupower_gui.helper";
+ ExecStart = "${pkgs.cpupower-gui}/lib/cpupower-gui/cpupower-gui-helper";
+ };
+ };
+ };
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/geoclue2.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/geoclue2.nix
index cb5c948ecf7..60a34dd6563 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/geoclue2.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/geoclue2.nix
@@ -21,7 +21,6 @@ let
isAllowed = mkOption {
type = types.bool;
- default = null;
description = ''
Whether the application will be allowed access to location information.
'';
@@ -29,7 +28,6 @@ let
isSystem = mkOption {
type = types.bool;
- default = null;
description = ''
Whether the application is a system component or not.
'';
@@ -162,7 +160,7 @@ in
appConfig = mkOption {
type = types.attrsOf appConfigModule;
default = {};
- example = literalExample ''
+ example = literalExpression ''
"com.github.app" = {
isAllowed = true;
isSystem = true;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gnome/evolution-data-server.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gnome/evolution-data-server.nix
index ef5ad797c27..bd2242d9818 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gnome/evolution-data-server.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gnome/evolution-data-server.nix
@@ -39,7 +39,7 @@ with lib;
plugins = mkOption {
type = types.listOf types.package;
default = [ ];
- example = literalExample "[ pkgs.evolution-ews ]";
+ example = literalExpression "[ pkgs.evolution-ews ]";
description = "Plugins for Evolution.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gnome/gnome-keyring.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gnome/gnome-keyring.nix
index cda44bab8bf..d821da164be 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gnome/gnome-keyring.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gnome/gnome-keyring.nix
@@ -52,8 +52,10 @@ with lib;
security.pam.services.login.enableGnomeKeyring = true;
security.wrappers.gnome-keyring-daemon = {
- source = "${pkgs.gnome.gnome-keyring}/bin/gnome-keyring-daemon";
+ owner = "root";
+ group = "root";
capabilities = "cap_ipc_lock=ep";
+ source = "${pkgs.gnome.gnome-keyring}/bin/gnome-keyring-daemon";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gsignond.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gsignond.nix
index 5ab9add9f32..465acd73fa6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gsignond.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gsignond.nix
@@ -9,7 +9,7 @@ let
in
{
- meta.maintainers = pkgs.pantheon.maintainers;
+ meta.maintainers = teams.pantheon.members;
###### interface
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gvfs.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gvfs.nix
index 966a4d38662..b6a27279bdf 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gvfs.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/gvfs.nix
@@ -35,6 +35,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.gnome.gvfs;
+ defaultText = literalExpression "pkgs.gnome.gvfs";
description = "Which GVfs package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/bluez-hardware.conf.json b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/bluez-hardware.conf.json
deleted file mode 100644
index cae9e1bdba0..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/bluez-hardware.conf.json
+++ /dev/null
@@ -1,232 +0,0 @@
-{
- "bluez5.features.device": [
- {
- "name": "Air 1 Plus",
- "no-features": [
- "hw-volume-mic"
- ]
- },
- {
- "name": "AirPods",
- "no-features": [
- "msbc-alt1",
- "msbc-alt1-rtl"
- ]
- },
- {
- "name": "AirPods Pro",
- "no-features": [
- "msbc-alt1",
- "msbc-alt1-rtl"
- ]
- },
- {
- "name": "AXLOIE Goin",
- "no-features": [
- "msbc-alt1",
- "msbc-alt1-rtl"
- ]
- },
- {
- "name": "BAA 100",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "name": "JBL Endurance RUN BT",
- "no-features": [
- "msbc-alt1",
- "msbc-alt1-rtl",
- "sbc-xq"
- ]
- },
- {
- "name": "JBL LIVE650BTNC"
- },
- {
- "name": "Soundcore Life P2-L",
- "no-features": [
- "msbc-alt1",
- "msbc-alt1-rtl"
- ]
- },
- {
- "name": "Urbanista Stockholm Plus",
- "no-features": [
- "msbc-alt1",
- "msbc-alt1-rtl"
- ]
- },
- {
- "address": "~^94:16:25:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^9c:64:8b:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^a0:e9:db:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^0c:a6:94:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^00:14:02:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^44:5e:f3:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^d4:9c:28:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^00:18:6b:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^b8:ad:3e:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^a0:e9:db:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^00:24:1c:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^00:11:b1:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^a4:15:66:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^00:14:f1:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^00:26:7e:",
- "no-features": [
- "hw-volume"
- ]
- },
- {
- "address": "~^90:03:b7:",
- "no-features": [
- "hw-volume"
- ]
- }
- ],
- "bluez5.features.adapter": [
- {
- "bus-type": "usb",
- "vendor-id": "usb:0bda"
- },
- {
- "bus-type": "usb",
- "no-features": [
- "msbc-alt1-rtl"
- ]
- },
- {
- "no-features": [
- "msbc-alt1-rtl"
- ]
- }
- ],
- "bluez5.features.kernel": [
- {
- "sysname": "Linux",
- "release": "~^[0-4]\\.",
- "no-features": [
- "msbc-alt1",
- "msbc-alt1-rtl"
- ]
- },
- {
- "sysname": "Linux",
- "release": "~^5\\.[1-7]\\.",
- "no-features": [
- "msbc-alt1",
- "msbc-alt1-rtl"
- ]
- },
- {
- "sysname": "Linux",
- "release": "~^5\\.(8|9|10)\\.",
- "no-features": [
- "msbc-alt1"
- ]
- },
- {
- "sysname": "Linux",
- "release": "~^5\\.12\\.(1|2|3|4|5|6|7|8|9|10|11|12|13|14|15|16|17)($|[^0-9])"
- },
- {
- "sysname": "Linux",
- "release": "~^5\\.12\\.",
- "no-features": [
- "msbc-alt1"
- ]
- },
- {
- "sysname": "Linux",
- "release": "~^5\\.13\\.(1|2)($|[^0-9])"
- },
- {
- "sysname": "Linux",
- "release": "~^5\\.13\\.",
- "no-features": [
- "msbc-alt1"
- ]
- },
- {
- "sysname": "Linux",
- "release": "~^5\\.14\\.",
- "no-features": [
- "msbc-alt1"
- ]
- },
- {
- "no-features": []
- }
- ]
-}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/pipewire-media-session.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/pipewire-media-session.nix
index 41ab995e329..4ae6aab29cd 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/pipewire-media-session.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/pipewire-media-session.nix
@@ -15,7 +15,6 @@ let
defaults = {
alsa-monitor = (builtins.fromJSON (builtins.readFile ./alsa-monitor.conf.json));
bluez-monitor = (builtins.fromJSON (builtins.readFile ./bluez-monitor.conf.json));
- bluez-hardware = (builtins.fromJSON (builtins.readFile ./bluez-hardware.conf.json));
media-session = (builtins.fromJSON (builtins.readFile ./media-session.conf.json));
v4l2-monitor = (builtins.fromJSON (builtins.readFile ./v4l2-monitor.conf.json));
};
@@ -23,7 +22,6 @@ let
configs = {
alsa-monitor = recursiveUpdate defaults.alsa-monitor cfg.config.alsa-monitor;
bluez-monitor = recursiveUpdate defaults.bluez-monitor cfg.config.bluez-monitor;
- bluez-hardware = defaults.bluez-hardware;
media-session = recursiveUpdate defaults.media-session cfg.config.media-session;
v4l2-monitor = recursiveUpdate defaults.v4l2-monitor cfg.config.v4l2-monitor;
};
@@ -39,14 +37,14 @@ in {
enable = mkOption {
type = types.bool;
default = config.services.pipewire.enable;
- defaultText = "config.services.pipewire.enable";
+ defaultText = literalExpression "config.services.pipewire.enable";
description = "Example pipewire session manager";
};
package = mkOption {
type = types.package;
default = pkgs.pipewire.mediaSession;
- example = literalExample "pkgs.pipewire.mediaSession";
+ defaultText = literalExpression "pkgs.pipewire.mediaSession";
description = ''
The pipewire-media-session derivation to use.
'';
@@ -122,10 +120,6 @@ in {
mkIf config.services.pipewire.pulse.enable {
source = json.generate "bluez-monitor.conf" configs.bluez-monitor;
};
- environment.etc."pipewire/media-session.d/bluez-hardware.conf" =
- mkIf config.services.pipewire.pulse.enable {
- source = json.generate "bluez-hardware.conf" configs.bluez-hardware;
- };
environment.etc."pipewire/media-session.d/with-jack" =
mkIf config.services.pipewire.jack.enable {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/pipewire-pulse.conf.json b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/pipewire-pulse.conf.json
index 17bbbdef117..3ed994f1114 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/pipewire-pulse.conf.json
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/pipewire-pulse.conf.json
@@ -37,5 +37,6 @@
}
}
],
+ "context.exec": [],
"stream.properties": {}
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/pipewire.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/pipewire.nix
index dbd6c5d87e1..604645b2b18 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/pipewire.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/desktops/pipewire/pipewire.nix
@@ -51,8 +51,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.pipewire;
- defaultText = "pkgs.pipewire";
- example = literalExample "pkgs.pipewire";
+ defaultText = literalExpression "pkgs.pipewire";
description = ''
The pipewire derivation to use.
'';
@@ -194,7 +193,7 @@ in {
};
environment.sessionVariables.LD_LIBRARY_PATH =
- lib.optional cfg.jack.enable "/run/current-system/sw/lib/pipewire";
+ lib.optional cfg.jack.enable "${cfg.package.jack}/lib";
# https://gitlab.freedesktop.org/pipewire/pipewire/-/issues/464#note_723554
systemd.user.services.pipewire.environment."PIPEWIRE_LINK_PASSIVE" = "1";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/distccd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/distccd.nix
new file mode 100644
index 00000000000..9f6d5c813c4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/distccd.nix
@@ -0,0 +1,155 @@
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let
+ cfg = config.services.distccd;
+in
+{
+ options = {
+ services.distccd = {
+ enable = mkEnableOption "distccd";
+
+ allowedClients = mkOption {
+ type = types.listOf types.str;
+ default = [ "127.0.0.1" ];
+ example = [ "127.0.0.1" "192.168.0.0/24" "10.0.0.0/24" ];
+ description = ''
+ Client IPs which are allowed to connect to distccd in CIDR notation.
+
+ Anyone who can connect to the distccd server can run arbitrary
+ commands on that system as the distcc user, therefore you should use
+ this judiciously.
+ '';
+ };
+
+ jobTimeout = mkOption {
+ type = types.nullOr types.int;
+ default = null;
+ description = ''
+ Maximum duration, in seconds, of a single compilation request.
+ '';
+ };
+
+ logLevel = mkOption {
+ type = types.nullOr (types.enum [ "critical" "error" "warning" "notice" "info" "debug" ]);
+ default = "warning";
+ description = ''
+ Set the minimum severity of error that will be included in the log
+ file. Useful if you only want to see error messages rather than an
+ entry for each connection.
+ '';
+ };
+
+ maxJobs = mkOption {
+ type = types.nullOr types.int;
+ default = null;
+ description = ''
+ Maximum number of tasks distccd should execute at any time.
+ '';
+ };
+
+
+ nice = mkOption {
+ type = types.nullOr types.int;
+ default = null;
+ description = ''
+ Niceness of the compilation tasks.
+ '';
+ };
+
+ openFirewall = mkOption {
+ type = types.bool;
+ default = false;
+ description = ''
+ Opens the specified TCP port for distcc.
+ '';
+ };
+
+ package = mkOption {
+ type = types.package;
+ default = pkgs.distcc;
+ defaultText = literalExpression "pkgs.distcc";
+ description = ''
+ The distcc package to use.
+ '';
+ };
+
+ port = mkOption {
+ type = types.port;
+ default = 3632;
+ description = ''
+ The TCP port which distccd will listen on.
+ '';
+ };
+
+ stats = {
+ enable = mkEnableOption "statistics reporting via HTTP server";
+ port = mkOption {
+ type = types.port;
+ default = 3633;
+ description = ''
+ The TCP port which the distccd statistics HTTP server will listen
+ on.
+ '';
+ };
+ };
+
+ zeroconf = mkOption {
+ type = types.bool;
+ default = false;
+ description = ''
+ Whether to register via mDNS/DNS-SD
+ '';
+ };
+ };
+ };
+
+ config = mkIf cfg.enable {
+ networking.firewall = mkIf cfg.openFirewall {
+ allowedTCPPorts = [ cfg.port ]
+ ++ optionals cfg.stats.enable [ cfg.stats.port ];
+ };
+
+ systemd.services.distccd = {
+ after = [ "network.target" ];
+ wantedBy = [ "multi-user.target" ];
+
+ description = "Distributed C, C++ and Objective-C compiler";
+ documentation = [ "man:distccd(1)" ];
+
+ serviceConfig = {
+ User = "distcc";
+ Group = "distcc";
+ # FIXME: I'd love to get rid of `--enable-tcp-insecure` here, but I'm
+ # not sure how I'm supposed to get distccd to "accept" running a binary
+ # (the compiler) that's outside of /usr/lib.
+ ExecStart = pkgs.writeShellScript "start-distccd" ''
+ export PATH="${pkgs.distccMasquerade}/bin"
+ ${cfg.package}/bin/distccd \
+ --no-detach \
+ --daemon \
+ --enable-tcp-insecure \
+ --port ${toString cfg.port} \
+ ${optionalString (cfg.jobTimeout != null) "--job-lifetime ${toString cfg.jobTimeout}"} \
+ ${optionalString (cfg.logLevel != null) "--log-level ${cfg.logLevel}"} \
+ ${optionalString (cfg.maxJobs != null) "--jobs ${toString cfg.maxJobs}"} \
+ ${optionalString (cfg.nice != null) "--nice ${toString cfg.nice}"} \
+ ${optionalString cfg.stats.enable "--stats"} \
+ ${optionalString cfg.stats.enable "--stats-port ${toString cfg.stats.port}"} \
+ ${optionalString cfg.zeroconf "--zeroconf"} \
+ ${concatMapStrings (c: "--allow ${c} ") cfg.allowedClients}
+ '';
+ };
+ };
+
+ users = {
+ groups.distcc.gid = config.ids.gids.distcc;
+ users.distcc = {
+ description = "distccd user";
+ group = "distcc";
+ uid = config.ids.uids.distcc;
+ };
+ };
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/hoogle.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/hoogle.nix
index a6693013b73..7c635f7a5b8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/hoogle.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/hoogle.nix
@@ -27,8 +27,8 @@ in {
packages = mkOption {
type = types.functionTo (types.listOf types.package);
default = hp: [];
- defaultText = "hp: []";
- example = "hp: with hp; [ text lens ]";
+ defaultText = literalExpression "hp: []";
+ example = literalExpression "hp: with hp; [ text lens ]";
description = ''
The Haskell packages to generate documentation for.
@@ -41,7 +41,7 @@ in {
haskellPackages = mkOption {
description = "Which haskell package set to use.";
default = pkgs.haskellPackages;
- defaultText = "pkgs.haskellPackages";
+ defaultText = literalExpression "pkgs.haskellPackages";
};
home = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/jupyter/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/jupyter/default.nix
index 21b84b3bcda..bebb3c3f13f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/jupyter/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/jupyter/default.nix
@@ -40,6 +40,7 @@ in {
# want to pass in JUPYTER_PATH but use .environment instead,
# saving a rebuild.
default = pkgs.python3.pkgs.notebook;
+ defaultText = literalExpression "pkgs.python3.pkgs.notebook";
description = ''
Jupyter package to use.
'';
@@ -105,10 +106,7 @@ in {
"open('/path/secret_file', 'r', encoding='utf8').read().strip()"
It will be interpreted at the end of the notebookConfig.
'';
- example = [
- "'sha1:1b961dc713fb:88483270a63e57d18d43cf337e629539de1436ba'"
- "open('/path/secret_file', 'r', encoding='utf8').read().strip()"
- ];
+ example = "'sha1:1b961dc713fb:88483270a63e57d18d43cf337e629539de1436ba'";
};
notebookConfig = mkOption {
@@ -125,7 +123,7 @@ in {
})));
default = null;
- example = literalExample ''
+ example = literalExpression ''
{
python3 = let
env = (pkgs.python3.withPackages (pythonPackages: with pythonPackages; [
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/jupyter/kernel-options.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/jupyter/kernel-options.nix
index 03547637449..348a8b44b38 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/jupyter/kernel-options.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/jupyter/kernel-options.nix
@@ -9,10 +9,10 @@ with lib;
displayName = mkOption {
type = types.str;
default = "";
- example = [
+ example = literalExpression ''
"Python 3"
"Python 3 for Data Science"
- ];
+ '';
description = ''
Name that will be shown to the user.
'';
@@ -43,7 +43,7 @@ with lib;
logo32 = mkOption {
type = types.nullOr types.path;
default = null;
- example = "{env.sitePackages}/ipykernel/resources/logo-32x32.png";
+ example = literalExpression ''"''${env.sitePackages}/ipykernel/resources/logo-32x32.png"'';
description = ''
Path to 32x32 logo png.
'';
@@ -51,7 +51,7 @@ with lib;
logo64 = mkOption {
type = types.nullOr types.path;
default = null;
- example = "{env.sitePackages}/ipykernel/resources/logo-64x64.png";
+ example = literalExpression ''"''${env.sitePackages}/ipykernel/resources/logo-64x64.png"'';
description = ''
Path to 64x64 logo png.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/jupyterhub/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/jupyterhub/default.nix
index a1df4468cff..fa6b3be960a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/jupyterhub/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/jupyterhub/default.nix
@@ -66,18 +66,24 @@ in {
defaults for configuration but you can override anything since
this is a python file.
'';
- example = literalExample ''
- c.SystemdSpawner.mem_limit = '8G'
- c.SystemdSpawner.cpu_limit = 2.0
+ example = ''
+ c.SystemdSpawner.mem_limit = '8G'
+ c.SystemdSpawner.cpu_limit = 2.0
'';
};
jupyterhubEnv = mkOption {
type = types.package;
- default = (pkgs.python3.withPackages (p: with p; [
+ default = pkgs.python3.withPackages (p: with p; [
jupyterhub
jupyterhub-systemdspawner
- ]));
+ ]);
+ defaultText = literalExpression ''
+ pkgs.python3.withPackages (p: with p; [
+ jupyterhub
+ jupyterhub-systemdspawner
+ ])
+ '';
description = ''
Python environment to run jupyterhub
@@ -90,10 +96,16 @@ in {
jupyterlabEnv = mkOption {
type = types.package;
- default = (pkgs.python3.withPackages (p: with p; [
+ default = pkgs.python3.withPackages (p: with p; [
jupyterhub
jupyterlab
- ]));
+ ]);
+ defaultText = literalExpression ''
+ pkgs.python3.withPackages (p: with p; [
+ jupyterhub
+ jupyterlab
+ ])
+ '';
description = ''
Python environment to run jupyterlab
@@ -111,7 +123,7 @@ in {
})));
default = null;
- example = literalExample ''
+ example = literalExpression ''
{
python3 = let
env = (pkgs.python3.withPackages (pythonPackages: with pythonPackages; [
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/lorri.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/lorri.nix
index fc576e4c18b..bda63518bfd 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/lorri.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/development/lorri.nix
@@ -21,8 +21,7 @@ in {
description = ''
The lorri package to use.
'';
- defaultText = lib.literalExample "pkgs.lorri";
- example = lib.literalExample "pkgs.lorri";
+ defaultText = lib.literalExpression "pkgs.lorri";
};
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/display-managers/greetd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/display-managers/greetd.nix
index c3072bf0996..895961707d3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/display-managers/greetd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/display-managers/greetd.nix
@@ -13,13 +13,13 @@ in
package = mkOption {
type = types.package;
default = pkgs.greetd.greetd;
- defaultText = "pkgs.greetd.greetd";
+ defaultText = literalExpression "pkgs.greetd.greetd";
description = "The greetd package that should be used.";
};
settings = mkOption {
type = settingsFormat.type;
- example = literalExample ''
+ example = literalExpression ''
{
default_session = {
command = "''${pkgs.greetd.greetd}/bin/agreety --cmd sway";
@@ -43,7 +43,7 @@ in
restart = mkOption {
type = types.bool;
default = !(cfg.settings ? initial_session);
- defaultText = "!(config.services.greetd.settings ? initial_session)";
+ defaultText = literalExpression "!(config.services.greetd.settings ? initial_session)";
description = ''
Wether to restart greetd when it terminates (e.g. on failure).
This is usually desirable so a user can always log in, but should be disabled when using 'settings.initial_session' (autologin),
@@ -99,7 +99,12 @@ in
systemd.defaultUnit = "graphical.target";
- users.users.greeter.isSystemUser = true;
+ users.users.greeter = {
+ isSystemUser = true;
+ group = "greeter";
+ };
+
+ users.groups.greeter = {};
};
meta.maintainers = with maintainers; [ queezle ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/editors/emacs.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/editors/emacs.nix
index 00d9eaad9eb..e2bbd27f6e5 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/editors/emacs.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/editors/emacs.nix
@@ -66,7 +66,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.emacs;
- defaultText = "pkgs.emacs";
+ defaultText = literalExpression "pkgs.emacs";
description = ''
emacs derivation to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/editors/infinoted.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/editors/infinoted.nix
index 3eb0753194d..16fe52a232b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/editors/infinoted.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/editors/infinoted.nix
@@ -11,7 +11,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.libinfinity;
- defaultText = "pkgs.libinfinity";
+ defaultText = literalExpression "pkgs.libinfinity";
description = ''
Package providing infinoted
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/crossfire-server.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/crossfire-server.nix
new file mode 100644
index 00000000000..a33025e0c3e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/crossfire-server.nix
@@ -0,0 +1,179 @@
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let
+ cfg = config.services.crossfire-server;
+ serverPort = 13327;
+in {
+ options.services.crossfire-server = {
+ enable = mkOption {
+ type = types.bool;
+ default = false;
+ description = ''
+ If enabled, the Crossfire game server will be started at boot.
+ '';
+ };
+
+ package = mkOption {
+ type = types.package;
+ default = pkgs.crossfire-server;
+ defaultText = literalExpression "pkgs.crossfire-server";
+ description = ''
+ The package to use for the Crossfire server (and map/arch data, if you
+ don't change dataDir).
+ '';
+ };
+
+ dataDir = mkOption {
+ type = types.str;
+ default = "${cfg.package}/share/crossfire";
+ defaultText = literalExpression ''"''${config.services.crossfire.package}/share/crossfire"'';
+ description = ''
+ Where to load readonly data from -- maps, archetypes, treasure tables,
+ and the like. If you plan to edit the data on the live server (rather
+ than overlaying the crossfire-maps and crossfire-arch packages and
+ nixos-rebuilding), point this somewhere read-write and copy the data
+ there before starting the server.
+ '';
+ };
+
+ stateDir = mkOption {
+ type = types.str;
+ default = "/var/lib/crossfire";
+ description = ''
+ Where to store runtime data (save files, persistent items, etc).
+
+ If left at the default, this will be automatically created on server
+ startup if it does not already exist. If changed, it is the admin's
+ responsibility to make sure that the directory exists and is writeable
+ by the `crossfire` user.
+ '';
+ };
+
+ openFirewall = mkOption {
+ type = types.bool;
+ default = false;
+ description = ''
+ Whether to open ports in the firewall for the server.
+ '';
+ };
+
+ configFiles = mkOption {
+ type = types.attrsOf types.str;
+ description = ''
+ Text to append to the corresponding configuration files. Note that the
+ files given in the example are *not* the complete set of files available
+ to customize; look in /etc/crossfire after enabling the server to see
+ the available files, and read the comments in each file for detailed
+ documentation on the format and what settings are available.
+
+ Note that the motd, rules, and news files, if configured here, will
+ overwrite the example files that come with the server, rather than being
+ appended to them as the other configuration files are.
+ '';
+ example = literalExpression ''
+ {
+ dm_file = '''
+ admin:secret_password:localhost
+ jane:xyzzy:*
+ ''';
+ ban_file = '''
+ # Bob is a jerk
+ bob@*
+ # So is everyone on 192.168.86.255/24
+ *@192.168.86.
+ ''';
+ metaserver2 = '''
+ metaserver2_notification on
+ localhostname crossfire.example.net
+ ''';
+ motd = "Welcome to CrossFire!";
+ news = "No news yet.";
+ rules = "Don't be a jerk.";
+ settings = '''
+ # be nicer to newbies and harsher to experienced players
+ balanced_stat_loss true
+ # don't let players pick up and use admin-created items
+ real_wiz false
+ ''';
+ }
+ '';
+ default = {};
+ };
+ };
+
+ config = mkIf cfg.enable {
+ users.users.crossfire = {
+ description = "Crossfire server daemon user";
+ home = cfg.stateDir;
+ createHome = false;
+ isSystemUser = true;
+ group = "crossfire";
+ };
+ users.groups.crossfire = {};
+
+ # Merge the cfg.configFiles setting with the default files shipped with
+ # Crossfire.
+ # For most files this consists of reading ${crossfire}/etc/crossfire/${name}
+ # and appending the user setting to it; the motd, news, and rules are handled
+ # specially, with user-provided values completely replacing the original.
+ environment.etc = lib.attrsets.mapAttrs'
+ (name: value: lib.attrsets.nameValuePair "crossfire/${name}" {
+ mode = "0644";
+ text =
+ (optionalString (!elem name ["motd" "news" "rules"])
+ (fileContents "${cfg.package}/etc/crossfire/${name}"))
+ + "\n${value}";
+ }) ({
+ ban_file = "";
+ dm_file = "";
+ exp_table = "";
+ forbid = "";
+ metaserver2 = "";
+ motd = (fileContents "${cfg.package}/etc/crossfire/motd");
+ news = (fileContents "${cfg.package}/etc/crossfire/news");
+ rules = (fileContents "${cfg.package}/etc/crossfire/rules");
+ settings = "";
+ stat_bonus = "";
+ } // cfg.configFiles);
+
+ systemd.services.crossfire-server = {
+ description = "Crossfire Server Daemon";
+ wantedBy = [ "multi-user.target" ];
+ after = [ "network.target" ];
+
+ serviceConfig = mkMerge [
+ {
+ ExecStart = "${cfg.package}/bin/crossfire-server -conf /etc/crossfire -local '${cfg.stateDir}' -data '${cfg.dataDir}'";
+ Restart = "always";
+ User = "crossfire";
+ Group = "crossfire";
+ WorkingDirectory = cfg.stateDir;
+ }
+ (mkIf (cfg.stateDir == "/var/lib/crossfire") {
+ StateDirectory = "crossfire";
+ })
+ ];
+
+ # The crossfire server needs access to a bunch of files at runtime that
+ # are not created automatically at server startup; they're meant to be
+ # installed in $PREFIX/var/crossfire by `make install`. And those files
+ # need to be writeable, so we can't just point at the ones in the nix
+ # store. Instead we take the approach of copying them out of the store
+ # on first run. If `bookarch` already exists, we assume the rest of the
+ # files do as well, and copy nothing -- otherwise we risk ovewriting
+ # server state information every time the server is upgraded.
+ preStart = ''
+ if [ ! -e "${cfg.stateDir}"/bookarch ]; then
+ ${pkgs.rsync}/bin/rsync -a --chmod=u=rwX,go=rX \
+ "${cfg.package}/var/crossfire/" "${cfg.stateDir}/"
+ fi
+ '';
+ };
+
+ networking.firewall = mkIf cfg.openFirewall {
+ allowedTCPPorts = [ serverPort ];
+ };
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/deliantra-server.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/deliantra-server.nix
new file mode 100644
index 00000000000..b7011f4c354
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/deliantra-server.nix
@@ -0,0 +1,172 @@
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let
+ cfg = config.services.deliantra-server;
+ serverPort = 13327;
+in {
+ options.services.deliantra-server = {
+ enable = mkOption {
+ type = types.bool;
+ default = false;
+ description = ''
+ If enabled, the Deliantra game server will be started at boot.
+ '';
+ };
+
+ package = mkOption {
+ type = types.package;
+ default = pkgs.deliantra-server;
+ defaultText = literalExpression "pkgs.deliantra-server";
+ description = ''
+ The package to use for the Deliantra server (and map/arch data, if you
+ don't change dataDir).
+ '';
+ };
+
+ dataDir = mkOption {
+ type = types.str;
+ default = "${pkgs.deliantra-data}";
+ defaultText = literalExpression ''"''${pkgs.deliantra-data}"'';
+ description = ''
+ Where to store readonly data (maps, archetypes, sprites, etc).
+ Note that if you plan to use the live map editor (rather than editing
+ the maps offline and then nixos-rebuilding), THIS MUST BE WRITEABLE --
+ copy the deliantra-data someplace writeable (say,
+ /var/lib/deliantra/data) and update this option accordingly.
+ '';
+ };
+
+ stateDir = mkOption {
+ type = types.str;
+ default = "/var/lib/deliantra";
+ description = ''
+ Where to store runtime data (save files, persistent items, etc).
+
+ If left at the default, this will be automatically created on server
+ startup if it does not already exist. If changed, it is the admin's
+ responsibility to make sure that the directory exists and is writeable
+ by the `crossfire` user.
+ '';
+ };
+
+ openFirewall = mkOption {
+ type = types.bool;
+ default = false;
+ description = ''
+ Whether to open ports in the firewall for the server.
+ '';
+ };
+
+ configFiles = mkOption {
+ type = types.attrsOf types.str;
+ description = ''
+ Contents of the server configuration files. These will be appended to
+ the example configurations the server comes with and overwrite any
+ default settings defined therein.
+
+ The example here is not comprehensive. See the files in
+ /etc/deliantra-server after enabling this module for full documentation.
+ '';
+ example = literalExpression ''
+ {
+ dm_file = '''
+ admin:secret_password:localhost
+ jane:xyzzy:*
+ ''';
+ motd = "Welcome to Deliantra!";
+ settings = '''
+ # Settings for game mechanics.
+ stat_loss_on_death true
+ armor_max_enchant 7
+ ''';
+ config = '''
+ # Settings for the server daemon.
+ hiscore_url https://deliantra.example.net/scores/
+ max_map_reset 86400
+ ''';
+ }
+ '';
+ default = {
+ motd = "";
+ };
+ };
+ };
+
+ config = mkIf cfg.enable {
+ users.users.deliantra = {
+ description = "Deliantra server daemon user";
+ home = cfg.stateDir;
+ createHome = false;
+ isSystemUser = true;
+ group = "deliantra";
+ };
+ users.groups.deliantra = {};
+
+ # Merge the cfg.configFiles setting with the default files shipped with
+ # Deliantra.
+ # For most files this consists of reading
+ # ${deliantra}/etc/deliantra-server/${name} and appending the user setting
+ # to it.
+ environment.etc = lib.attrsets.mapAttrs'
+ (name: value: lib.attrsets.nameValuePair "deliantra-server/${name}" {
+ mode = "0644";
+ text =
+ # Deliantra doesn't come with a motd file, but respects it if present
+ # in /etc.
+ (optionalString (name != "motd")
+ (fileContents "${cfg.package}/etc/deliantra-server/${name}"))
+ + "\n${value}";
+ }) ({
+ motd = "";
+ settings = "";
+ config = "";
+ dm_file = "";
+ } // cfg.configFiles);
+
+ systemd.services.deliantra-server = {
+ description = "Deliantra Server Daemon";
+ wantedBy = [ "multi-user.target" ];
+ after = [ "network.target" ];
+
+ environment = {
+ DELIANTRA_DATADIR="${cfg.dataDir}";
+ DELIANTRA_LOCALDIR="${cfg.stateDir}";
+ DELIANTRA_CONFDIR="/etc/deliantra-server";
+ };
+
+ serviceConfig = mkMerge [
+ {
+ ExecStart = "${cfg.package}/bin/deliantra-server";
+ Restart = "always";
+ User = "deliantra";
+ Group = "deliantra";
+ WorkingDirectory = cfg.stateDir;
+ }
+ (mkIf (cfg.stateDir == "/var/lib/deliantra") {
+ StateDirectory = "deliantra";
+ })
+ ];
+
+ # The deliantra server needs access to a bunch of files at runtime that
+ # are not created automatically at server startup; they're meant to be
+ # installed in $PREFIX/var/deliantra-server by `make install`. And those
+ # files need to be writeable, so we can't just point at the ones in the
+ # nix store. Instead we take the approach of copying them out of the store
+ # on first run. If `bookarch` already exists, we assume the rest of the
+ # files do as well, and copy nothing -- otherwise we risk ovewriting
+ # server state information every time the server is upgraded.
+ preStart = ''
+ if [ ! -e "${cfg.stateDir}"/bookarch ]; then
+ ${pkgs.rsync}/bin/rsync -a --chmod=u=rwX,go=rX \
+ "${cfg.package}/var/deliantra-server/" "${cfg.stateDir}/"
+ fi
+ '';
+ };
+
+ networking.firewall = mkIf cfg.openFirewall {
+ allowedTCPPorts = [ serverPort ];
+ };
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/factorio.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/factorio.nix
index 3cb14275792..0e8860a0281 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/factorio.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/factorio.nix
@@ -86,7 +86,7 @@ in
configFile = mkOption {
type = types.path;
default = configFile;
- defaultText = "configFile";
+ defaultText = literalExpression "configFile";
description = ''
The server's configuration file.
@@ -162,8 +162,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.factorio-headless;
- defaultText = "pkgs.factorio-headless";
- example = "pkgs.factorio-headless-experimental";
+ defaultText = literalExpression "pkgs.factorio-headless";
+ example = literalExpression "pkgs.factorio-headless-experimental";
description = ''
Factorio version to use. This defaults to the stable channel.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/minecraft-server.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/minecraft-server.nix
index eb9288fca58..ddbe9508a4d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/minecraft-server.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/games/minecraft-server.nix
@@ -109,7 +109,7 @@ in {
You can use to get a
Minecraft UUID for a username.
'';
- example = literalExample ''
+ example = literalExpression ''
{
username1 = "xxxxxxxx-xxxx-xxxx-xxxx-xxxxxxxxxxxx";
username2 = "yyyyyyyy-yyyy-yyyy-yyyy-yyyyyyyyyyyy";
@@ -120,7 +120,7 @@ in {
serverProperties = mkOption {
type = with types; attrsOf (oneOf [ bool int str ]);
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
server-port = 43000;
difficulty = 3;
@@ -144,8 +144,8 @@ in {
package = mkOption {
type = types.package;
default = pkgs.minecraft-server;
- defaultText = "pkgs.minecraft-server";
- example = literalExample "pkgs.minecraft-server_1_12_2";
+ defaultText = literalExpression "pkgs.minecraft-server";
+ example = literalExpression "pkgs.minecraft-server_1_12_2";
description = "Version of minecraft-server to run.";
};
@@ -167,8 +167,10 @@ in {
description = "Minecraft server service user";
home = cfg.dataDir;
createHome = true;
- uid = config.ids.uids.minecraft;
+ isSystemUser = true;
+ group = "minecraft";
};
+ users.groups.minecraft = {};
systemd.services.minecraft-server = {
description = "Minecraft Server Service";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/acpid.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/acpid.nix
index 3e619fe32ef..883ef083003 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/acpid.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/acpid.nix
@@ -61,7 +61,7 @@ in
options = {
event = mkOption {
type = types.str;
- example = [ "button/power.*" "button/lid.*" "ac_adapter.*" "button/mute.*" "button/volumedown.*" "cd/play.*" "cd/next.*" ];
+ example = literalExpression ''"button/power.*" "button/lid.*" "ac_adapter.*" "button/mute.*" "button/volumedown.*" "cd/play.*" "cd/next.*"'';
description = "Event type.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/actkbd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/actkbd.nix
index f7770f85da3..b499de97b2c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/actkbd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/actkbd.nix
@@ -74,7 +74,7 @@ in
bindings = mkOption {
type = types.listOf (types.submodule bindingCfg);
default = [];
- example = lib.literalExample ''
+ example = lib.literalExpression ''
[ { keys = [ 113 ]; events = [ "key" ]; command = "''${pkgs.alsa-utils}/bin/amixer -q set Master toggle"; }
]
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/bluetooth.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/bluetooth.nix
index 08ad90126b1..7f75ac272d4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/bluetooth.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/bluetooth.nix
@@ -6,7 +6,7 @@ let
inherit (lib)
mkDefault mkEnableOption mkIf mkOption
mkRenamedOptionModule mkRemovedOptionModule
- concatStringsSep escapeShellArgs
+ concatStringsSep escapeShellArgs literalExpression
optional optionals optionalAttrs recursiveUpdate types;
cfgFmt = pkgs.formats.ini { };
@@ -53,8 +53,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.bluez;
- defaultText = "pkgs.bluez";
- example = "pkgs.bluezFull";
+ defaultText = literalExpression "pkgs.bluez";
+ example = literalExpression "pkgs.bluezFull";
description = ''
Which BlueZ package to use.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/freefall.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/freefall.nix
index 83f1e8c84f2..3f7b1592449 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/freefall.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/freefall.nix
@@ -21,7 +21,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.freefall;
- defaultText = "pkgs.freefall";
+ defaultText = literalExpression "pkgs.freefall";
description = ''
freefall derivation to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/fwupd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/fwupd.nix
index 51eca19dca3..e0506416ffa 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/fwupd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/fwupd.nix
@@ -80,7 +80,7 @@ in {
extraTrustedKeys = mkOption {
type = types.listOf types.path;
default = [];
- example = literalExample "[ /etc/nixos/fwupd/myfirmware.pem ]";
+ example = literalExpression "[ /etc/nixos/fwupd/myfirmware.pem ]";
description = ''
Installing a public key allows firmware signed with a matching private key to be recognized as trusted, which may require less authentication to install than for untrusted files. By default trusted firmware can be upgraded (but not downgraded) without the user or administrator password. Only very few keys are installed by default.
'';
@@ -98,6 +98,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.fwupd;
+ defaultText = literalExpression "pkgs.fwupd";
description = ''
Which fwupd package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/interception-tools.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/interception-tools.nix
index fadcb19a016..e69c05841ee 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/interception-tools.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/interception-tools.nix
@@ -15,6 +15,7 @@ in {
plugins = mkOption {
type = types.listOf types.package;
default = [ pkgs.interception-tools-plugins.caps2esc ];
+ defaultText = literalExpression "[ pkgs.interception-tools-plugins.caps2esc ]";
description = ''
A list of interception tools plugins that will be made available to use
inside the udevmon configuration.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/joycond.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/joycond.nix
new file mode 100644
index 00000000000..ffef4f8a4e1
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/joycond.nix
@@ -0,0 +1,40 @@
+{ config, lib, pkgs, ... }:
+
+let
+ cfg = config.services.joycond;
+ kernelPackages = config.boot.kernelPackages;
+in
+
+with lib;
+
+{
+ options.services.joycond = {
+ enable = mkEnableOption "support for Nintendo Pro Controllers and Joycons";
+
+ package = mkOption {
+ type = types.package;
+ default = pkgs.joycond;
+ defaultText = "pkgs.joycond";
+ description = ''
+ The joycond package to use.
+ '';
+ };
+ };
+
+ config = mkIf cfg.enable {
+ environment.systemPackages = [
+ kernelPackages.hid-nintendo
+ cfg.package
+ ];
+
+ boot.extraModulePackages = [ kernelPackages.hid-nintendo ];
+ boot.kernelModules = [ "hid_nintendo" ];
+
+ services.udev.packages = [ cfg.package ];
+
+ systemd.packages = [ cfg.package ];
+
+ # Workaround for https://github.com/NixOS/nixpkgs/issues/81138
+ systemd.services.joycond.wantedBy = [ "multi-user.target" ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/lirc.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/lirc.nix
index 826e512c75d..f970b0a095c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/lirc.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/lirc.nix
@@ -65,7 +65,7 @@ in {
unitConfig.Documentation = [ "man:lircd(8)" ];
serviceConfig = {
- RuntimeDirectory = "lirc";
+ RuntimeDirectory = ["lirc" "lirc/lock"];
# Service runtime directory and socket share same folder.
# Following hacks are necessary to get everything right:
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/pcscd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/pcscd.nix
index 4fc1e351f50..b1a5c680a02 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/pcscd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/pcscd.nix
@@ -21,8 +21,8 @@ in
plugins = mkOption {
type = types.listOf types.package;
default = [ pkgs.ccid ];
- defaultText = "[ pkgs.ccid ]";
- example = literalExample "[ pkgs.pcsc-cyberjack ]";
+ defaultText = literalExpression "[ pkgs.ccid ]";
+ example = literalExpression "[ pkgs.pcsc-cyberjack ]";
description = "Plugin packages to be used for PCSC-Lite.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/sane.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/sane.nix
index ccf726bd182..caf232e234e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/sane.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/sane.nix
@@ -73,7 +73,7 @@ in
The example contains the package for HP scanners.
'';
- example = literalExample "[ pkgs.hplipWithPlugin ]";
+ example = literalExpression "[ pkgs.hplipWithPlugin ]";
};
hardware.sane.disabledDefaultBackends = mkOption {
@@ -115,6 +115,7 @@ in
hardware.sane.drivers.scanSnap.package = mkOption {
type = types.package;
default = pkgs.sane-drivers.epjitsu;
+ defaultText = literalExpression "pkgs.sane-drivers.epjitsu";
description = ''
Epjitsu driver package to use. Useful if you want to extract the driver files yourself.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/sane_extra_backends/brscan4.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/sane_extra_backends/brscan4.nix
index a6afa01dd81..8f999810840 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/sane_extra_backends/brscan4.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/sane_extra_backends/brscan4.nix
@@ -20,7 +20,7 @@ let
the name of attribute will be used.
'';
- example = literalExample "office1";
+ example = "office1";
};
model = mkOption {
@@ -29,7 +29,7 @@ let
The model of the network device.
'';
- example = literalExample "MFC-7860DW";
+ example = "MFC-7860DW";
};
ip = mkOption {
@@ -40,7 +40,7 @@ let
provide a nodename.
'';
- example = literalExample "192.168.1.2";
+ example = "192.168.1.2";
};
nodename = mkOption {
@@ -51,7 +51,7 @@ let
provide an ip.
'';
- example = literalExample "BRW0080927AFBCE";
+ example = "BRW0080927AFBCE";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/sane_extra_backends/brscan5.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/sane_extra_backends/brscan5.nix
index 89b5ff0e028..2e4ad8cc3ba 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/sane_extra_backends/brscan5.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/sane_extra_backends/brscan5.nix
@@ -20,7 +20,7 @@ let
the name of attribute will be used.
'';
- example = literalExample "office1";
+ example = "office1";
};
model = mkOption {
@@ -29,7 +29,7 @@ let
The model of the network device.
'';
- example = literalExample "ADS-1200";
+ example = "ADS-1200";
};
ip = mkOption {
@@ -40,7 +40,7 @@ let
provide a nodename.
'';
- example = literalExample "192.168.1.2";
+ example = "192.168.1.2";
};
nodename = mkOption {
@@ -51,7 +51,7 @@ let
provide an ip.
'';
- example = literalExample "BRW0080927AFBCE";
+ example = "BRW0080927AFBCE";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/tcsd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/tcsd.nix
index 0d36bce357b..c549a677501 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/tcsd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/tcsd.nix
@@ -149,12 +149,10 @@ in
users.users = optionalAttrs (cfg.user == "tss") {
tss = {
group = "tss";
- uid = config.ids.uids.tss;
+ isSystemUser = true;
};
};
- users.groups = optionalAttrs (cfg.group == "tss") {
- tss.gid = config.ids.gids.tss;
- };
+ users.groups = optionalAttrs (cfg.group == "tss") { tss = {}; };
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/thermald.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/thermald.nix
index aa936ac09d1..3b495d00df0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/thermald.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/thermald.nix
@@ -27,7 +27,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.thermald;
- defaultText = "pkgs.thermald";
+ defaultText = literalExpression "pkgs.thermald";
description = "Which thermald package to use.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/triggerhappy.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/triggerhappy.nix
index f9f5234bdc3..4e979c4d8fa 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/triggerhappy.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/triggerhappy.nix
@@ -69,7 +69,7 @@ in
bindings = mkOption {
type = types.listOf (types.submodule bindingCfg);
default = [];
- example = lib.literalExample ''
+ example = lib.literalExpression ''
[ { keys = ["PLAYPAUSE"]; cmd = "''${pkgs.mpc_cli}/bin/mpc -q toggle"; } ]
'';
description = ''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/undervolt.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/undervolt.nix
index 9c2f78a755d..212c0227c0d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/undervolt.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/undervolt.nix
@@ -50,7 +50,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.undervolt;
- defaultText = "pkgs.undervolt";
+ defaultText = literalExpression "pkgs.undervolt";
description = ''
undervolt derivation to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/upower.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/upower.nix
index 449810b5315..92c060147bf 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/upower.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/upower.nix
@@ -30,8 +30,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.upower;
- defaultText = "pkgs.upower";
- example = lib.literalExample "pkgs.upower";
+ defaultText = literalExpression "pkgs.upower";
description = ''
Which upower package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/vdr.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/vdr.nix
index 8a6cde51b06..5ec222b805c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/vdr.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/hardware/vdr.nix
@@ -17,8 +17,8 @@ in {
package = mkOption {
type = types.package;
default = pkgs.vdr;
- defaultText = "pkgs.vdr";
- example = literalExample "pkgs.wrapVdr.override { plugins = with pkgs.vdrPlugins; [ hello ]; }";
+ defaultText = literalExpression "pkgs.vdr";
+ example = literalExpression "pkgs.wrapVdr.override { plugins = with pkgs.vdrPlugins; [ hello ]; }";
description = "Package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/SystemdJournal2Gelf.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/SystemdJournal2Gelf.nix
index f26aef7262b..f28ecab8ac2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/SystemdJournal2Gelf.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/SystemdJournal2Gelf.nix
@@ -36,6 +36,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.systemd-journal2gelf;
+ defaultText = literalExpression "pkgs.systemd-journal2gelf";
description = ''
SystemdJournal2Gelf package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/awstats.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/awstats.nix
index 896f52302ff..df0124380ff 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/awstats.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/awstats.nix
@@ -51,7 +51,7 @@ let
hostAliases = mkOption {
type = types.listOf types.str;
default = [];
- example = "[ \"www.example.org\" ]";
+ example = [ "www.example.org" ];
description = ''
List of aliases the site has.
'';
@@ -60,12 +60,12 @@ let
extraConfig = mkOption {
type = types.attrsOf types.str;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"ValidHTTPCodes" = "404";
}
'';
- description = "Extra configuration to be appendend to awstats.\${name}.conf.";
+ description = "Extra configuration to be appended to awstats.\${name}.conf.";
};
webService = {
@@ -106,7 +106,7 @@ in
configs = mkOption {
type = types.attrsOf (types.submodule configOpts);
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"mysite" = {
domain = "example.com";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/fluentd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/fluentd.nix
index 95825705d9d..dd19617a13f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/fluentd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/fluentd.nix
@@ -27,7 +27,7 @@ in {
package = mkOption {
type = types.path;
default = pkgs.fluentd;
- defaultText = "pkgs.fluentd";
+ defaultText = literalExpression "pkgs.fluentd";
description = "The fluentd package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/graylog.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/graylog.nix
index af70d27fcf9..e6a23233ba2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/graylog.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/graylog.nix
@@ -38,14 +38,13 @@ in
package = mkOption {
type = types.package;
default = pkgs.graylog;
- defaultText = "pkgs.graylog";
+ defaultText = literalExpression "pkgs.graylog";
description = "Graylog package to use.";
};
user = mkOption {
type = types.str;
default = "graylog";
- example = literalExample "graylog";
description = "User account under which graylog runs";
};
@@ -90,7 +89,7 @@ in
elasticsearchHosts = mkOption {
type = types.listOf types.str;
- example = literalExample ''[ "http://node1:9200" "http://user:password@node2:19200" ]'';
+ example = literalExpression ''[ "http://node1:9200" "http://user:password@node2:19200" ]'';
description = "List of valid URIs of the http ports of your elastic nodes. If one or more of your elasticsearch hosts require authentication, include the credentials in each node URI that requires authentication";
};
@@ -128,10 +127,12 @@ in
users.users = mkIf (cfg.user == "graylog") {
graylog = {
- uid = config.ids.uids.graylog;
+ isSystemUser = true;
+ group = "graylog";
description = "Graylog server daemon user";
};
};
+ users.groups = mkIf (cfg.user == "graylog") {};
systemd.tmpfiles.rules = [
"d '${cfg.messageJournalDir}' - ${cfg.user} - - -"
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/journalbeat.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/journalbeat.nix
index 89f53b1b245..2d98598c1be 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/journalbeat.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/journalbeat.nix
@@ -27,8 +27,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.journalbeat;
- defaultText = "pkgs.journalbeat";
- example = literalExample "pkgs.journalbeat7";
+ defaultText = literalExpression "pkgs.journalbeat";
+ example = literalExpression "pkgs.journalbeat7";
description = ''
The journalbeat package to use
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/logcheck.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/logcheck.nix
index 4296b2270c2..c8738b734f9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/logcheck.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/logcheck.nix
@@ -172,7 +172,7 @@ in
extraRulesDirs = mkOption {
default = [];
- example = "/etc/logcheck";
+ example = [ "/etc/logcheck" ];
type = types.listOf types.path;
description = ''
Directories with extra rules.
@@ -215,12 +215,16 @@ in
users.users = optionalAttrs (cfg.user == "logcheck") {
logcheck = {
- uid = config.ids.uids.logcheck;
+ group = "logcheck";
+ isSystemUser = true;
shell = "/bin/sh";
description = "Logcheck user account";
extraGroups = cfg.extraGroups;
};
};
+ users.groups = optionalAttrs (cfg.user == "logcheck") {
+ logcheck = {};
+ };
system.activationScripts.logcheck = ''
mkdir -m 700 -p /var/{lib,lock}/logcheck
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/logrotate.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/logrotate.nix
index 7d6102b8255..624b6cfb121 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/logrotate.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/logrotate.nix
@@ -111,7 +111,7 @@ in
can be controlled by the priority option
using the same semantics as `lib.mkOrder`. Smaller values have a greater priority.
'';
- example = literalExample ''
+ example = literalExpression ''
{
httpd = {
path = "/var/log/httpd/*.log";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/logstash.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/logstash.nix
index 7a2f5681612..044d5330231 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/logstash.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/logstash.nix
@@ -53,15 +53,14 @@ in
package = mkOption {
type = types.package;
default = pkgs.logstash;
- defaultText = "pkgs.logstash";
- example = literalExample "pkgs.logstash";
+ defaultText = literalExpression "pkgs.logstash";
description = "Logstash package to use.";
};
plugins = mkOption {
type = types.listOf types.path;
default = [ ];
- example = literalExample "[ pkgs.logstash-contrib ]";
+ example = literalExpression "[ pkgs.logstash-contrib ]";
description = "The paths to find other logstash plugins in.";
};
@@ -102,12 +101,14 @@ in
type = types.lines;
default = "generator { }";
description = "Logstash input configuration.";
- example = ''
- # Read from journal
- pipe {
- command => "''${pkgs.systemd}/bin/journalctl -f -o json"
- type => "syslog" codec => json {}
- }
+ example = literalExpression ''
+ '''
+ # Read from journal
+ pipe {
+ command => "''${pkgs.systemd}/bin/journalctl -f -o json"
+ type => "syslog" codec => json {}
+ }
+ '''
'';
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/promtail.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/promtail.nix
index 34211687dc1..95c83796ece 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/promtail.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/promtail.nix
@@ -7,6 +7,9 @@ let
'';
allowSystemdJournal = cfg.configuration ? scrape_configs && lib.any (v: v ? journal) cfg.configuration.scrape_configs;
+
+ allowPositionsFile = !lib.hasPrefix "/var/cache/promtail" positionsFile;
+ positionsFile = cfg.configuration.positions.filename;
in {
options.services.promtail = with types; {
enable = mkEnableOption "the Promtail ingresser";
@@ -53,6 +56,7 @@ in {
RestrictSUIDSGID = true;
PrivateMounts = true;
CacheDirectory = "promtail";
+ ReadWritePaths = lib.optional allowPositionsFile (builtins.dirOf positionsFile);
User = "promtail";
Group = "promtail";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/syslog-ng.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/syslog-ng.nix
index 35055311680..0a57bf20bd0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/syslog-ng.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/logging/syslog-ng.nix
@@ -43,7 +43,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.syslogng;
- defaultText = "pkgs.syslogng";
+ defaultText = literalExpression "pkgs.syslogng";
description = ''
The package providing syslog-ng binaries.
'';
@@ -51,7 +51,7 @@ in {
extraModulePaths = mkOption {
type = types.listOf types.str;
default = [];
- example = literalExample ''
+ example = literalExpression ''
[ "''${pkgs.syslogng_incubator}/lib/syslog-ng" ]
'';
description = ''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/davmail.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/davmail.nix
index 374a3dd75c1..e9f31e6fb39 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/davmail.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/davmail.nix
@@ -42,7 +42,7 @@ in
and
for details on supported values.
'';
- example = literalExample ''
+ example = literalExpression ''
{
davmail.allowRemote = true;
davmail.imapPort = 55555;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/dovecot.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/dovecot.nix
index f3500f46e35..223f3bef77d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/dovecot.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/dovecot.nix
@@ -289,7 +289,7 @@ in
modules = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "[ pkgs.dovecot_pigeonhole ]";
+ example = literalExpression "[ pkgs.dovecot_pigeonhole ]";
description = ''
Symlinks the contents of lib/dovecot of every given package into
/etc/dovecot/modules. This will make the given modules available
@@ -339,7 +339,7 @@ in
(list: listToAttrs (map (entry: { name = entry.name; value = removeAttrs entry ["name"]; }) list))
(attrsOf (submodule mailboxes));
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
Spam = { specialUse = "Junk"; auto = "create"; };
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/exim.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/exim.nix
index 8927d84b478..7356db2b6a6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/exim.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/exim.nix
@@ -1,7 +1,7 @@
{ config, lib, pkgs, ... }:
let
- inherit (lib) mkIf mkOption singleton types;
+ inherit (lib) literalExpression mkIf mkOption singleton types;
inherit (pkgs) coreutils;
cfg = config.services.exim;
in
@@ -60,7 +60,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.exim;
- defaultText = "pkgs.exim";
+ defaultText = literalExpression "pkgs.exim";
description = ''
The Exim derivation to use.
This can be used to enable features such as LDAP or PAM support.
@@ -104,7 +104,12 @@ in
gid = config.ids.gids.exim;
};
- security.wrappers.exim.source = "${cfg.package}/bin/exim";
+ security.wrappers.exim =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${cfg.package}/bin/exim";
+ };
systemd.services.exim = {
description = "Exim Mail Daemon";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/mail.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/mail.nix
index fed313e4738..fcc7ff6db91 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/mail.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/mail.nix
@@ -1,4 +1,4 @@
-{ config, lib, ... }:
+{ config, options, lib, ... }:
with lib;
@@ -11,6 +11,7 @@ with lib;
services.mail = {
sendmailSetuidWrapper = mkOption {
+ type = types.nullOr options.security.wrappers.type.nestedTypes.elemType;
default = null;
internal = true;
description = ''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/mailman.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/mailman.nix
index 831175d5625..0c9b38b44b2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/mailman.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/mailman.nix
@@ -87,8 +87,8 @@ in {
package = mkOption {
type = types.package;
default = pkgs.mailman;
- defaultText = "pkgs.mailman";
- example = literalExample "pkgs.mailman.override { archivers = []; }";
+ defaultText = literalExpression "pkgs.mailman";
+ example = literalExpression "pkgs.mailman.override { archivers = []; }";
description = "Mailman package to use";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/offlineimap.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/offlineimap.nix
index 294e3806f94..45147758119 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/offlineimap.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/offlineimap.nix
@@ -25,14 +25,14 @@ in {
package = mkOption {
type = types.package;
default = pkgs.offlineimap;
- defaultText = "pkgs.offlineimap";
+ defaultText = literalExpression "pkgs.offlineimap";
description = "Offlineimap derivation to use.";
};
path = mkOption {
type = types.listOf types.path;
default = [];
- example = literalExample "[ pkgs.pass pkgs.bash pkgs.notmuch ]";
+ example = literalExpression "[ pkgs.pass pkgs.bash pkgs.notmuch ]";
description = "List of derivations to put in Offlineimap's path.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/opensmtpd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/opensmtpd.nix
index c838d3b949d..e7632be2804 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/opensmtpd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/opensmtpd.nix
@@ -34,7 +34,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.opensmtpd;
- defaultText = "pkgs.opensmtpd";
+ defaultText = literalExpression "pkgs.opensmtpd";
description = "The OpenSMTPD package to use.";
};
@@ -103,12 +103,15 @@ in {
};
security.wrappers.smtpctl = {
+ owner = "root";
group = "smtpq";
+ setuid = false;
setgid = true;
source = "${cfg.package}/bin/smtpctl";
};
- services.mail.sendmailSetuidWrapper = mkIf cfg.setSendmail security.wrappers.smtpctl;
+ services.mail.sendmailSetuidWrapper = mkIf cfg.setSendmail
+ (security.wrappers.smtpctl // { program = "sendmail"; });
systemd.tmpfiles.rules = [
"d /var/spool/smtpd 711 root - - -"
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/postfix.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/postfix.nix
index 9b0a5bba2fe..6fc09682e0c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/postfix.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/postfix.nix
@@ -505,6 +505,7 @@ in
tlsTrustedAuthorities = mkOption {
type = types.str;
default = "${pkgs.cacert}/etc/ssl/certs/ca-bundle.crt";
+ defaultText = literalExpression ''"''${pkgs.cacert}/etc/ssl/certs/ca-bundle.crt"'';
description = ''
File containing trusted certification authorities (CA) to verify certificates of mailservers contacted for mail delivery. This basically sets smtp_tls_CAfile and enables opportunistic tls. Defaults to NixOS trusted certification authorities.
'';
@@ -544,7 +545,7 @@ in
type = types.lines;
default = "";
description = "
- Entries for the virtual alias map, cf. man-page virtual(8).
+ Entries for the virtual alias map, cf. man-page virtual(5).
";
};
@@ -673,6 +674,7 @@ in
services.mail.sendmailSetuidWrapper = mkIf config.services.postfix.setSendmail {
program = "sendmail";
source = "${pkgs.postfix}/bin/sendmail";
+ owner = "root";
group = setgidGroup;
setuid = false;
setgid = true;
@@ -681,6 +683,7 @@ in
security.wrappers.mailq = {
program = "mailq";
source = "${pkgs.postfix}/bin/mailq";
+ owner = "root";
group = setgidGroup;
setuid = false;
setgid = true;
@@ -689,6 +692,7 @@ in
security.wrappers.postqueue = {
program = "postqueue";
source = "${pkgs.postfix}/bin/postqueue";
+ owner = "root";
group = setgidGroup;
setuid = false;
setgid = true;
@@ -697,6 +701,7 @@ in
security.wrappers.postdrop = {
program = "postdrop";
source = "${pkgs.postfix}/bin/postdrop";
+ owner = "root";
group = setgidGroup;
setuid = false;
setgid = true;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/roundcube.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/roundcube.nix
index f9b63000473..ac192c56aa6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/roundcube.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/roundcube.nix
@@ -7,7 +7,7 @@ let
fpm = config.services.phpfpm.pools.roundcube;
localDB = cfg.database.host == "localhost";
user = cfg.database.username;
- phpWithPspell = pkgs.php74.withExtensions ({ enabled, all }: [ all.pspell ] ++ enabled);
+ phpWithPspell = pkgs.php80.withExtensions ({ enabled, all }: [ all.pspell ] ++ enabled);
in
{
options.services.roundcube = {
@@ -32,8 +32,9 @@ in
package = mkOption {
type = types.package;
default = pkgs.roundcube;
+ defaultText = literalExpression "pkgs.roundcube";
- example = literalExample ''
+ example = literalExpression ''
roundcube.withPlugins (plugins: [ plugins.persistent_login ])
'';
@@ -89,7 +90,7 @@ in
dicts = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "with pkgs.aspellDicts; [ en fr de ]";
+ example = literalExpression "with pkgs.aspellDicts; [ en fr de ]";
description = ''
List of aspell dictionnaries for spell checking. If empty, spell checking is disabled.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/rspamd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/rspamd.nix
index c78f464235a..50208cbeb00 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/rspamd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/rspamd.nix
@@ -240,7 +240,7 @@ in
description = ''
Local configuration files, written into /etc/rspamd/local.d/{name} .
'';
- example = literalExample ''
+ example = literalExpression ''
{ "redis.conf".source = "/nix/store/.../etc/dir/redis.conf";
"arc.conf".text = "allow_envfrom_empty = true;";
}
@@ -253,7 +253,7 @@ in
description = ''
Overridden configuration files, written into /etc/rspamd/override.d/{name} .
'';
- example = literalExample ''
+ example = literalExpression ''
{ "redis.conf".source = "/nix/store/.../etc/dir/redis.conf";
"arc.conf".text = "allow_envfrom_empty = true;";
}
@@ -278,7 +278,7 @@ in
normal = {};
controller = {};
};
- example = literalExample ''
+ example = literalExpression ''
{
normal = {
includes = [ "$CONFDIR/worker-normal.inc" ];
@@ -338,10 +338,6 @@ in
smtpd_milters = ["unix:/run/rspamd/rspamd-milter.sock"];
non_smtpd_milters = ["unix:/run/rspamd/rspamd-milter.sock"];
};
- example = {
- smtpd_milters = ["unix:/run/rspamd/rspamd-milter.sock"];
- non_smtpd_milters = ["unix:/run/rspamd/rspamd-milter.sock"];
- };
};
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/sympa.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/sympa.nix
index 491b6dba9aa..f3578bef96e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/sympa.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/mail/sympa.nix
@@ -153,7 +153,7 @@ in
Email domains handled by this instance. There have
to be MX records for keys of this attribute set.
'';
- example = literalExample ''
+ example = literalExpression ''
{
"lists.example.org" = {
webHost = "lists.example.org";
@@ -200,7 +200,7 @@ in
name = mkOption {
type = str;
default = if cfg.database.type == "SQLite" then "${dataDir}/sympa.sqlite" else "sympa";
- defaultText = ''if database.type == "SQLite" then "${dataDir}/sympa.sqlite" else "sympa"'';
+ defaultText = literalExpression ''if database.type == "SQLite" then "${dataDir}/sympa.sqlite" else "sympa"'';
description = ''
Database name. When using SQLite this must be an absolute
path to the database file.
@@ -279,7 +279,7 @@ in
settings = mkOption {
type = attrsOf (oneOf [ str int bool ]);
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
default_home = "lists";
viewlogs_page_size = 50;
@@ -314,7 +314,7 @@ in
config.source = mkIf (config.text != null) (mkDefault (pkgs.writeText "sympa-${baseNameOf name}" config.text));
}));
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"list_data/lists.example.org/help" = {
text = "subject This list provides help to users";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/airsonic.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/airsonic.nix
index 490f6c5a5c0..533a3d367a3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/airsonic.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/airsonic.nix
@@ -74,7 +74,7 @@ in {
transcoders = mkOption {
type = types.listOf types.path;
default = [ "${pkgs.ffmpeg.bin}/bin/ffmpeg" ];
- defaultText= [ "\${pkgs.ffmpeg.bin}/bin/ffmpeg" ];
+ defaultText = literalExpression ''[ "''${pkgs.ffmpeg.bin}/bin/ffmpeg" ]'';
description = ''
List of paths to transcoder executables that should be accessible
from Airsonic. Symlinks will be created to each executable inside
@@ -85,7 +85,7 @@ in {
jre = mkOption {
type = types.package;
default = pkgs.jre8;
- defaultText = literalExample "pkgs.jre8";
+ defaultText = literalExpression "pkgs.jre8";
description = ''
JRE package to use.
@@ -97,7 +97,7 @@ in {
war = mkOption {
type = types.path;
default = "${pkgs.airsonic}/webapps/airsonic.war";
- defaultText = "\${pkgs.airsonic}/webapps/airsonic.war";
+ defaultText = literalExpression ''"''${pkgs.airsonic}/webapps/airsonic.war"'';
description = "Airsonic war file to use.";
};
@@ -165,10 +165,12 @@ in {
users.users.airsonic = {
description = "Airsonic service user";
+ group = "airsonic";
name = cfg.user;
home = cfg.home;
createHome = true;
isSystemUser = true;
};
+ users.groups.airsonic = {};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ankisyncd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ankisyncd.nix
index 5fc19649d3d..69e471f4f57 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ankisyncd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ankisyncd.nix
@@ -33,7 +33,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.ankisyncd;
- defaultText = literalExample "pkgs.ankisyncd";
+ defaultText = literalExpression "pkgs.ankisyncd";
description = "The package to use for the ankisyncd command.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/apache-kafka.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/apache-kafka.nix
index 69dfadfe54e..d1856fff4aa 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/apache-kafka.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/apache-kafka.nix
@@ -102,14 +102,14 @@ in {
package = mkOption {
description = "The kafka package to use";
default = pkgs.apacheKafka;
- defaultText = "pkgs.apacheKafka";
+ defaultText = literalExpression "pkgs.apacheKafka";
type = types.package;
};
jre = mkOption {
description = "The JRE with which to run Kafka";
default = cfg.package.passthru.jre;
- defaultText = "pkgs.apacheKafka.passthru.jre";
+ defaultText = literalExpression "pkgs.apacheKafka.passthru.jre";
type = types.package;
};
@@ -120,10 +120,12 @@ in {
environment.systemPackages = [cfg.package];
users.users.apache-kafka = {
- uid = config.ids.uids.apache-kafka;
+ isSystemUser = true;
+ group = "apache-kafka";
description = "Apache Kafka daemon user";
home = head cfg.logDirs;
};
+ users.groups.apache-kafka = {};
systemd.tmpfiles.rules = map (logDir: "d '${logDir}' 0700 apache-kafka - - -") cfg.logDirs;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/autofs.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/autofs.nix
index 541f0d2db19..5fce990afec 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/autofs.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/autofs.nix
@@ -29,7 +29,7 @@ in
autoMaster = mkOption {
type = types.str;
- example = literalExample ''
+ example = literalExpression ''
let
mapConf = pkgs.writeText "auto" '''
kernel -ro,soft,intr ftp.kernel.org:/pub/linux
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/bees.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/bees.nix
index 6b8cae84642..cb97a86b859 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/bees.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/bees.nix
@@ -61,7 +61,7 @@ let
description = ''
Extra command-line options passed to the daemon. See upstream bees documentation.
'';
- example = literalExample ''
+ example = literalExpression ''
[ "--thread-count" "4" ]
'';
};
@@ -75,7 +75,7 @@ in
type = with types; attrsOf (submodule fsOptions);
description = "BTRFS filesystems to run block-level deduplication on.";
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
root = {
spec = "LABEL=root";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/cfdyndns.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/cfdyndns.nix
index 15af1f50da1..5885617d742 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/cfdyndns.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/cfdyndns.nix
@@ -48,7 +48,7 @@ in
description = "CloudFlare Dynamic DNS Client";
after = [ "network.target" ];
wantedBy = [ "multi-user.target" ];
- startAt = "5 minutes";
+ startAt = "*:0/5";
serviceConfig = {
Type = "simple";
User = config.ids.uids.cfdyndns;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/cgminer.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/cgminer.nix
index 662570f9451..60f75530723 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/cgminer.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/cgminer.nix
@@ -35,7 +35,7 @@ in
package = mkOption {
default = pkgs.cgminer;
- defaultText = "pkgs.cgminer";
+ defaultText = literalExpression "pkgs.cgminer";
description = "Which cgminer derivation to use.";
type = types.package;
};
@@ -86,7 +86,7 @@ in
config = mkOption {
default = {};
- type = (types.either types.bool types.int);
+ type = types.attrsOf (types.either types.bool types.int);
description = "Additional config";
example = {
auto-fan = true;
@@ -110,10 +110,14 @@ in
users.users = optionalAttrs (cfg.user == "cgminer") {
cgminer = {
- uid = config.ids.uids.cgminer;
+ isSystemUser = true;
+ group = "cgminer";
description = "Cgminer user";
};
};
+ users.groups = optionalAttrs (cfg.user == "cgminer") {
+ cgminer = {};
+ };
environment.systemPackages = [ cfg.package ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/clipcat.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/clipcat.nix
index 128bb9a89d6..8b749aa7289 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/clipcat.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/clipcat.nix
@@ -12,7 +12,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.clipcat;
- defaultText = "pkgs.clipcat";
+ defaultText = literalExpression "pkgs.clipcat";
description = "clipcat derivation to use.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/clipmenu.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/clipmenu.nix
index 3ba050044ca..ef95985f8d8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/clipmenu.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/clipmenu.nix
@@ -12,7 +12,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.clipmenu;
- defaultText = "pkgs.clipmenu";
+ defaultText = literalExpression "pkgs.clipmenu";
description = "clipmenu derivation to use.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/confd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/confd.nix
index c1ebdb3dde9..6c66786524b 100755
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/confd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/confd.nix
@@ -64,7 +64,7 @@ in {
package = mkOption {
description = "Confd package to use.";
default = pkgs.confd;
- defaultText = "pkgs.confd";
+ defaultText = literalExpression "pkgs.confd";
type = types.package;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/dictd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/dictd.nix
index 6e796a3a1fc..96e2a4e7c26 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/dictd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/dictd.nix
@@ -25,8 +25,8 @@ in
DBs = mkOption {
type = types.listOf types.package;
default = with pkgs.dictdDBs; [ wiktionary wordnet ];
- defaultText = "with pkgs.dictdDBs; [ wiktionary wordnet ]";
- example = literalExample "[ pkgs.dictdDBs.nld2eng ]";
+ defaultText = literalExpression "with pkgs.dictdDBs; [ wiktionary wordnet ]";
+ example = literalExpression "[ pkgs.dictdDBs.nld2eng ]";
description = "List of databases to make available.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/disnix.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/disnix.nix
index 24a259bb4d2..07c0613336a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/disnix.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/disnix.nix
@@ -31,7 +31,7 @@ in
type = types.path;
description = "The Disnix package";
default = pkgs.disnix;
- defaultText = "pkgs.disnix";
+ defaultText = literalExpression "pkgs.disnix";
};
enableProfilePath = mkEnableOption "exposing the Disnix profiles in the system's PATH";
@@ -39,7 +39,6 @@ in
profiles = mkOption {
type = types.listOf types.str;
default = [ "default" ];
- example = [ "default" ];
description = "Names of the Disnix profiles to expose in the system's PATH";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/docker-registry.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/docker-registry.nix
index e212f581c28..cb68a29c530 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/docker-registry.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/docker-registry.nix
@@ -151,7 +151,9 @@ in {
home = cfg.storagePath;
}
else {}) // {
+ group = "docker-registry";
isSystemUser = true;
};
+ users.groups.docker-registry = {};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/dwm-status.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/dwm-status.nix
index b98a42e6a6d..5f591b3c5d4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/dwm-status.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/dwm-status.nix
@@ -27,8 +27,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.dwm-status;
- defaultText = "pkgs.dwm-status";
- example = "pkgs.dwm-status.override { enableAlsaUtils = false; }";
+ defaultText = literalExpression "pkgs.dwm-status";
+ example = literalExpression "pkgs.dwm-status.override { enableAlsaUtils = false; }";
description = ''
Which dwm-status package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/etcd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/etcd.nix
index eb266f043eb..c4ea091a038 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/etcd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/etcd.nix
@@ -123,7 +123,7 @@ in {
'';
type = types.attrsOf types.str;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"CORS" = "*";
"NAME" = "default-name";
@@ -187,9 +187,11 @@ in {
environment.systemPackages = [ pkgs.etcd ];
users.users.etcd = {
- uid = config.ids.uids.etcd;
+ isSystemUser = true;
+ group = "etcd";
description = "Etcd daemon user";
home = cfg.dataDir;
};
+ users.groups.etcd = {};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/etebase-server.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/etebase-server.nix
index b6bd6e9fd37..dd84ac37b0d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/etebase-server.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/etebase-server.nix
@@ -97,13 +97,13 @@ in
static_root = mkOption {
type = types.str;
default = "${cfg.dataDir}/static";
- defaultText = "\${config.services.etebase-server.dataDir}/static";
+ defaultText = literalExpression ''"''${config.services.etebase-server.dataDir}/static"'';
description = "The directory for static files.";
};
media_root = mkOption {
type = types.str;
default = "${cfg.dataDir}/media";
- defaultText = "\${config.services.etebase-server.dataDir}/media";
+ defaultText = literalExpression ''"''${config.services.etebase-server.dataDir}/media"'';
description = "The media directory.";
};
};
@@ -126,7 +126,7 @@ in
name = mkOption {
type = types.str;
default = "${cfg.dataDir}/db.sqlite3";
- defaultText = "\${config.services.etebase-server.dataDir}/db.sqlite3";
+ defaultText = literalExpression ''"''${config.services.etebase-server.dataDir}/db.sqlite3"'';
description = "The database name.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/felix.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/felix.nix
index 8d438bb9eb1..0283de128af 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/felix.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/felix.nix
@@ -22,7 +22,7 @@ in
bundles = mkOption {
type = types.listOf types.package;
default = [ pkgs.felix_remoteshell ];
- defaultText = "[ pkgs.felix_remoteshell ]";
+ defaultText = literalExpression "[ pkgs.felix_remoteshell ]";
description = "List of bundles that should be activated on startup";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/freeswitch.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/freeswitch.nix
index b42f36e8663..472b0b73ff6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/freeswitch.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/freeswitch.nix
@@ -32,8 +32,8 @@ in {
configTemplate = mkOption {
type = types.path;
default = "${config.services.freeswitch.package}/share/freeswitch/conf/vanilla";
- defaultText = literalExample "\${config.services.freeswitch.package}/share/freeswitch/conf/vanilla";
- example = literalExample "\${config.services.freeswitch.package}/share/freeswitch/conf/minimal";
+ defaultText = literalExpression ''"''${config.services.freeswitch.package}/share/freeswitch/conf/vanilla"'';
+ example = literalExpression ''"''${config.services.freeswitch.package}/share/freeswitch/conf/minimal"'';
description = ''
Configuration template to use.
See available templates in FreeSWITCH repository.
@@ -43,7 +43,7 @@ in {
configDir = mkOption {
type = with types; attrsOf path;
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
"freeswitch.xml" = ./freeswitch.xml;
"dialplan/default.xml" = pkgs.writeText "dialplan-default.xml" '''
@@ -61,8 +61,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.freeswitch;
- defaultText = literalExample "pkgs.freeswitch";
- example = literalExample "pkgs.freeswitch";
+ defaultText = literalExpression "pkgs.freeswitch";
description = ''
FreeSWITCH package.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gammu-smsd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gammu-smsd.nix
index 552725f1384..d4bb58d81dd 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gammu-smsd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gammu-smsd.nix
@@ -202,8 +202,8 @@ in {
config = mkIf cfg.enable {
users.users.${cfg.user} = {
description = "gammu-smsd user";
- uid = config.ids.uids.gammu-smsd;
- extraGroups = [ "${cfg.device.group}" ];
+ isSystemUser = true;
+ group = cfg.device.group;
};
environment.systemPackages = with cfg.backend; [ gammuPackage ]
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gitea.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gitea.nix
index 8322b7c0902..c0f7661c569 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gitea.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gitea.nix
@@ -32,7 +32,7 @@ in
package = mkOption {
default = pkgs.gitea;
type = types.package;
- defaultText = "pkgs.gitea";
+ defaultText = literalExpression "pkgs.gitea";
description = "gitea derivation to use";
};
@@ -55,7 +55,7 @@ in
description = "Root path for log files.";
};
level = mkOption {
- default = "Trace";
+ default = "Info";
type = types.enum [ "Trace" "Debug" "Info" "Warn" "Error" "Critical" ];
description = "General log level.";
};
@@ -122,7 +122,7 @@ in
socket = mkOption {
type = types.nullOr types.path;
default = if (cfg.database.createDatabase && usePostgresql) then "/run/postgresql" else if (cfg.database.createDatabase && useMysql) then "/run/mysqld/mysqld.sock" else null;
- defaultText = "null";
+ defaultText = literalExpression "null";
example = "/run/mysqld/mysqld.sock";
description = "Path to the unix socket file to use for authentication.";
};
@@ -255,8 +255,9 @@ in
};
staticRootPath = mkOption {
- type = types.str;
- default = "${gitea.data}";
+ type = types.either types.str types.path;
+ default = gitea.data;
+ defaultText = literalExpression "package.data";
example = "/var/lib/gitea/data";
description = "Upper level of template and static files path.";
};
@@ -287,7 +288,7 @@ in
Gitea configuration. Refer to
for details on supported values.
'';
- example = literalExample ''
+ example = literalExpression ''
{
"cron.sync_external_users" = {
RUN_AT_START = true;
@@ -348,7 +349,7 @@ in
server = mkMerge [
{
DOMAIN = cfg.domain;
- STATIC_ROOT_PATH = cfg.staticRootPath;
+ STATIC_ROOT_PATH = toString cfg.staticRootPath;
LFS_JWT_SECRET = "#lfsjwtsecret#";
ROOT_URL = cfg.rootUrl;
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gitit.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gitit.nix
index f09565283f3..ceb186c0f04 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gitit.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gitit.nix
@@ -36,15 +36,15 @@ let
haskellPackages = mkOption {
default = pkgs.haskellPackages;
- defaultText = "pkgs.haskellPackages";
- example = literalExample "pkgs.haskell.packages.ghc784";
+ defaultText = literalExpression "pkgs.haskellPackages";
+ example = literalExpression "pkgs.haskell.packages.ghc784";
description = "haskellPackages used to build gitit and plugins.";
};
extraPackages = mkOption {
type = types.functionTo (types.listOf types.package);
default = self: [];
- example = literalExample ''
+ example = literalExpression ''
haskellPackages: [
haskellPackages.wreq
]
@@ -665,9 +665,9 @@ in
wantedBy = [ "multi-user.target" ];
path = with pkgs; [ curl ]
++ optional cfg.pdfExport texlive.combined.scheme-basic
- ++ optional (cfg.repositoryType == "darcs") darcs
- ++ optional (cfg.repositoryType == "mercurial") mercurial
- ++ optional (cfg.repositoryType == "git") git;
+ ++ optional (cfg.repositoryType == "darcs") darcs
+ ++ optional (cfg.repositoryType == "mercurial") mercurial
+ ++ optional (cfg.repositoryType == "git") git;
preStart = let
gm = "gitit@${config.networking.hostName}";
@@ -684,35 +684,35 @@ in
fi
done
cd ${repositoryPath}
- ${
- if repositoryType == "darcs" then
- ''
- if [ ! -d _darcs ]
- then
- ${pkgs.darcs}/bin/darcs initialize
- echo "${gm}" > _darcs/prefs/email
- ''
- else if repositoryType == "mercurial" then
- ''
- if [ ! -d .hg ]
- then
- ${pkgs.mercurial}/bin/hg init
- cat >> .hg/hgrc < _darcs/prefs/email
+ ''
+ else if repositoryType == "mercurial" then
+ ''
+ if [ ! -d .hg ]
+ then
+ ${pkgs.mercurial}/bin/hg init
+ cat >> .hg/hgrc < for details.
+ '';
+ };
+ };
+
extraConfig = mkOption {
type = types.attrs;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
gitlab = {
default_projects_features = {
@@ -932,6 +964,21 @@ in {
ensureUsers = singleton { name = cfg.databaseUsername; };
};
+ # Enable rotation of log files
+ services.logrotate = {
+ enable = cfg.logrotate.enable;
+ paths = {
+ gitlab = {
+ path = "${cfg.statePath}/log/*.log";
+ user = cfg.user;
+ group = cfg.group;
+ frequency = cfg.logrotate.frequency;
+ keep = cfg.logrotate.keep;
+ extraConfig = cfg.logrotate.extraConfig;
+ };
+ };
+ };
+
# The postgresql module doesn't currently support concepts like
# objects owners and extensions; for now we tack on what's needed
# here.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gitolite.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gitolite.nix
index 190ea9212d2..810ef1f21b9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gitolite.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gitolite.nix
@@ -64,11 +64,13 @@ in
extraGitoliteRc = mkOption {
type = types.lines;
default = "";
- example = literalExample ''
- $RC{UMASK} = 0027;
- $RC{SITE_INFO} = 'This is our private repository host';
- push( @{$RC{ENABLE}}, 'Kindergarten' ); # enable the command/feature
- @{$RC{ENABLE}} = grep { $_ ne 'desc' } @{$RC{ENABLE}}; # disable the command/feature
+ example = literalExpression ''
+ '''
+ $RC{UMASK} = 0027;
+ $RC{SITE_INFO} = 'This is our private repository host';
+ push( @{$RC{ENABLE}}, 'Kindergarten' ); # enable the command/feature
+ @{$RC{ENABLE}} = grep { $_ ne 'desc' } @{$RC{ENABLE}}; # disable the command/feature
+ '''
'';
description = ''
Extra configuration to append to the default ~/.gitolite.rc .
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gpsd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gpsd.nix
index fafea10daba..6494578f764 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gpsd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/gpsd.nix
@@ -88,6 +88,7 @@ in
users.users.gpsd =
{ inherit uid;
+ group = "gpsd";
description = "gpsd daemon user";
home = "/var/empty";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/greenclip.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/greenclip.nix
index 9152a782d7f..32e8d746cb5 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/greenclip.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/greenclip.nix
@@ -12,7 +12,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.haskellPackages.greenclip;
- defaultText = "pkgs.haskellPackages.greenclip";
+ defaultText = literalExpression "pkgs.haskellPackages.greenclip";
description = "greenclip derivation to use.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/home-assistant.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/home-assistant.nix
index 73ec3b9a17a..8279d075baf 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/home-assistant.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/home-assistant.nix
@@ -112,7 +112,7 @@ in {
emptyValue.value = {};
};
in valueType;
- example = literalExample ''
+ example = literalExpression ''
{
homeassistant = {
name = "Home";
@@ -152,7 +152,7 @@ in {
default = null;
type = with types; nullOr attrs;
# from https://www.home-assistant.io/lovelace/yaml-mode/
- example = literalExample ''
+ example = literalExpression ''
{
title = "My Awesome Home";
views = [ {
@@ -188,13 +188,13 @@ in {
default = pkgs.home-assistant.overrideAttrs (oldAttrs: {
doInstallCheck = false;
});
- defaultText = literalExample ''
+ defaultText = literalExpression ''
pkgs.home-assistant.overrideAttrs (oldAttrs: {
doInstallCheck = false;
})
'';
type = types.package;
- example = literalExample ''
+ example = literalExpression ''
pkgs.home-assistant.override {
extraPackages = ps: with ps; [ colorlog ];
}
@@ -310,11 +310,13 @@ in {
"serial_pm"
"sms"
"upb"
+ "usb"
"velbus"
"w800rf32"
"xbee"
"zha"
"zwave"
+ "zwave_js"
];
in {
ExecStart = "${package}/bin/hass --runner --config '${cfg.configDir}'";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ihaskell.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ihaskell.nix
index c7332b87803..9978e8a4653 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ihaskell.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ihaskell.nix
@@ -6,7 +6,7 @@ let
cfg = config.services.ihaskell;
ihaskell = pkgs.ihaskell.override {
- packages = self: cfg.extraPackages self;
+ packages = cfg.extraPackages;
};
in
@@ -22,8 +22,9 @@ in
extraPackages = mkOption {
type = types.functionTo (types.listOf types.package);
- default = self: [];
- example = literalExample ''
+ default = haskellPackages: [];
+ defaultText = literalExpression "haskellPackages: []";
+ example = literalExpression ''
haskellPackages: [
haskellPackages.wreq
haskellPackages.lens
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/jackett.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/jackett.nix
index f2dc6635df9..c2144d4a9a9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/jackett.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/jackett.nix
@@ -38,7 +38,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.jackett;
- defaultText = "pkgs.jackett";
+ defaultText = literalExpression "pkgs.jackett";
description = "Jackett package to use.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/jellyfin.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/jellyfin.nix
index 6d64acc0291..b9d54f27edc 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/jellyfin.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/jellyfin.nix
@@ -19,7 +19,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.jellyfin;
- example = literalExample "pkgs.jellyfin";
+ defaultText = literalExpression "pkgs.jellyfin";
description = ''
Jellyfin package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/klipper.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/klipper.nix
index e6b9dd234a9..7b3780b5cc9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/klipper.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/klipper.nix
@@ -19,6 +19,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.klipper;
+ defaultText = literalExpression "pkgs.klipper";
description = "The Klipper package.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/lidarr.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/lidarr.nix
index 8ff1adadcf2..20153c7e61a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/lidarr.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/lidarr.nix
@@ -19,7 +19,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.lidarr;
- defaultText = "pkgs.lidarr";
+ defaultText = literalExpression "pkgs.lidarr";
description = "The Lidarr package to use";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mame.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mame.nix
index 4b9a04be7c2..dd6c5ef9aa0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mame.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mame.nix
@@ -45,8 +45,10 @@ in
environment.systemPackages = [ pkgs.mame ];
security.wrappers."${mame}" = {
- source = "${pkgs.mame}/bin/${mame}";
+ owner = "root";
+ group = "root";
capabilities = "cap_net_admin,cap_net_raw+eip";
+ source = "${pkgs.mame}/bin/${mame}";
};
systemd.services.mame = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/matrix-appservice-discord.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/matrix-appservice-discord.nix
index 71d1227f4ff..c448614eca3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/matrix-appservice-discord.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/matrix-appservice-discord.nix
@@ -31,7 +31,7 @@ in {
botToken = "";
};
};
- example = literalExample ''
+ example = literalExpression ''
{
bridge = {
domain = "public-domain.tld";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/matrix-synapse.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/matrix-synapse.nix
index 3c734a94819..950c72c6e58 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/matrix-synapse.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/matrix-synapse.nix
@@ -122,10 +122,18 @@ in {
options = {
services.matrix-synapse = {
enable = mkEnableOption "matrix.org synapse";
+ configFile = mkOption {
+ type = types.str;
+ readOnly = true;
+ description = ''
+ Path to the configuration file on the target system. Useful to configure e.g. workers
+ that also need this.
+ '';
+ };
package = mkOption {
type = types.package;
default = pkgs.matrix-synapse;
- defaultText = "pkgs.matrix-synapse";
+ defaultText = literalExpression "pkgs.matrix-synapse";
description = ''
Overridable attribute of the matrix synapse server package to use.
'';
@@ -133,7 +141,7 @@ in {
plugins = mkOption {
type = types.listOf types.package;
default = [ ];
- example = literalExample ''
+ example = literalExpression ''
with config.services.matrix-synapse.package.plugins; [
matrix-synapse-ldap3
matrix-synapse-pam
@@ -221,9 +229,10 @@ in {
default = config.networking.hostName;
description = ''
The domain name of the server, with optional explicit port.
- This is used by remote servers to connect to this server,
- e.g. matrix.org, localhost:8080, etc.
+ This is used by remote servers to look up the server address.
This is also the last part of your UserID.
+
+ The server_name cannot be changed later so it is important to configure this correctly before you start Synapse.
'';
};
public_baseurl = mkOption {
@@ -705,6 +714,8 @@ in {
}
];
+ services.matrix-synapse.configFile = "${configFile}";
+
users.users.matrix-synapse = {
group = "matrix-synapse";
home = cfg.dataDir;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mautrix-facebook.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mautrix-facebook.nix
new file mode 100644
index 00000000000..e046c791ac0
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mautrix-facebook.nix
@@ -0,0 +1,195 @@
+{ config, pkgs, lib, ... }:
+
+with lib;
+
+let
+ cfg = config.services.mautrix-facebook;
+ settingsFormat = pkgs.formats.json {};
+ settingsFile = settingsFormat.generate "mautrix-facebook-config.json" cfg.settings;
+
+ puppetRegex = concatStringsSep
+ ".*"
+ (map
+ escapeRegex
+ (splitString
+ "{userid}"
+ cfg.settings.bridge.username_template));
+in {
+ options = {
+ services.mautrix-facebook = {
+ enable = mkEnableOption "Mautrix-Facebook, a Matrix-Facebook hybrid puppeting/relaybot bridge";
+
+ settings = mkOption rec {
+ apply = recursiveUpdate default;
+ type = settingsFormat.type;
+ default = {
+ homeserver = {
+ address = "http://localhost:8008";
+ };
+
+ appservice = rec {
+ address = "http://${hostname}:${toString port}";
+ hostname = "localhost";
+ port = 29319;
+
+ database = "postgresql://";
+
+ bot_username = "facebookbot";
+ };
+
+ metrics.enabled = false;
+ manhole.enabled = false;
+
+ bridge = {
+ encryption = {
+ allow = true;
+ default = true;
+ };
+ username_template = "facebook_{userid}";
+ };
+
+ logging = {
+ version = 1;
+ formatters.journal_fmt.format = "%(name)s: %(message)s";
+ handlers.journal = {
+ class = "systemd.journal.JournalHandler";
+ formatter = "journal_fmt";
+ SYSLOG_IDENTIFIER = "mautrix-facebook";
+ };
+ root = {
+ level = "INFO";
+ handlers = ["journal"];
+ };
+ };
+ };
+ example = literalExpression ''
+ {
+ homeserver = {
+ address = "http://localhost:8008";
+ domain = "mydomain.example";
+ };
+
+ bridge.permissions = {
+ "@admin:mydomain.example" = "admin";
+ "mydomain.example" = "user";
+ };
+ }
+ '';
+ description = ''
+ config.yaml configuration as a Nix attribute set.
+ Configuration options should match those described in
+
+ example-config.yaml.
+
+
+
+ Secret tokens should be specified using environmentFile
+ instead of this world-readable attribute set.
+ '';
+ };
+
+ environmentFile = mkOption {
+ type = types.nullOr types.path;
+ default = null;
+ description = ''
+ File containing environment variables to be passed to the mautrix-telegram service.
+
+ Any config variable can be overridden by setting MAUTRIX_FACEBOOK_SOME_KEY to override the some.key variable.
+ '';
+ };
+
+ configurePostgresql = mkOption {
+ type = types.bool;
+ default = true;
+ description = ''
+ Enable PostgreSQL and create a user and database for mautrix-facebook. The default settings reference this database, if you disable this option you must provide a database URL.
+ '';
+ };
+
+ registrationData = mkOption {
+ type = types.attrs;
+ default = {};
+ description = ''
+ Output data for appservice registration. Simply make any desired changes and serialize to JSON. Note that this data contains secrets so think twice before putting it into the nix store.
+
+ Currently as_token and hs_token need to be added as they are not known to this module.
+ '';
+ };
+ };
+ };
+
+ config = mkIf cfg.enable {
+ users.users.mautrix-facebook = {
+ group = "mautrix-facebook";
+ isSystemUser = true;
+ };
+
+ services.postgresql = mkIf cfg.configurePostgresql {
+ ensureDatabases = ["mautrix-facebook"];
+ ensureUsers = [{
+ name = "mautrix-facebook";
+ ensurePermissions = {
+ "DATABASE \"mautrix-facebook\"" = "ALL PRIVILEGES";
+ };
+ }];
+ };
+
+ systemd.services.mautrix-facebook = rec {
+ wantedBy = [ "multi-user.target" ];
+ wants = [
+ "network-online.target"
+ ] ++ optional config.services.matrix-synapse.enable "matrix-synapse.service"
+ ++ optional cfg.configurePostgresql "postgresql.service";
+ after = wants;
+
+ serviceConfig = {
+ Type = "simple";
+ Restart = "always";
+
+ User = "mautrix-facebook";
+
+ ProtectSystem = "strict";
+ ProtectHome = true;
+ ProtectKernelTunables = true;
+ ProtectKernelModules = true;
+ ProtectControlGroups = true;
+ PrivateTmp = true;
+
+ EnvironmentFile = cfg.environmentFile;
+
+ ExecStart = ''
+ ${pkgs.mautrix-facebook}/bin/mautrix-facebook --config=${settingsFile}
+ '';
+ };
+ };
+
+ services.mautrix-facebook = {
+ registrationData = {
+ id = "mautrix-facebook";
+
+ namespaces = {
+ users = [
+ {
+ exclusive = true;
+ regex = escapeRegex "@${cfg.settings.appservice.bot_username}:${cfg.settings.homeserver.domain}";
+ }
+ {
+ exclusive = true;
+ regex = "@${puppetRegex}:${escapeRegex cfg.settings.homeserver.domain}";
+ }
+ ];
+ aliases = [];
+ };
+
+ url = cfg.settings.appservice.address;
+ sender_localpart = "mautrix-facebook-sender";
+
+ rate_limited = false;
+ "de.sorunome.msc2409.push_ephemeral" = true;
+ push_ephemeral = true;
+ };
+ };
+ };
+
+ meta.maintainers = with maintainers; [ kevincox ];
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mautrix-telegram.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mautrix-telegram.nix
index 717cf7936ea..59d0b682409 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mautrix-telegram.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mautrix-telegram.nix
@@ -60,7 +60,7 @@ in {
};
};
};
- example = literalExample ''
+ example = literalExpression ''
{
homeserver = {
address = "http://localhost:8008";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mbpfan.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mbpfan.nix
index e22d1ed61f9..d80b6fafc2c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mbpfan.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mbpfan.nix
@@ -13,7 +13,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.mbpfan;
- defaultText = "pkgs.mbpfan";
+ defaultText = literalExpression "pkgs.mbpfan";
description = ''
The package used for the mbpfan daemon.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mediatomb.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mediatomb.nix
index a19b73889ce..383090575b2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mediatomb.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mediatomb.nix
@@ -216,10 +216,11 @@ in {
package = mkOption {
type = types.package;
- example = literalExample "pkgs.mediatomb";
+ example = literalExpression "pkgs.mediatomb";
default = pkgs.gerbera;
+ defaultText = literalExpression "pkgs.gerbera";
description = ''
- Underlying package to be used with the module (default: pkgs.gerbera).
+ Underlying package to be used with the module.
'';
};
@@ -325,7 +326,7 @@ in {
mediaDirectories = mkOption {
type = with types; listOf (submodule mediaDirectory);
- default = {};
+ default = [];
description = ''
Declare media directories to index.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mx-puppet-discord.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mx-puppet-discord.nix
index 11116f7c348..c34803f9722 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mx-puppet-discord.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/mx-puppet-discord.nix
@@ -45,7 +45,7 @@ in {
lineDateFormat = "MMM-D HH:mm:ss.SSS";
};
};
- example = literalExample ''
+ example = literalExpression ''
{
bridge = {
bindAddress = "localhost";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/n8n.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/n8n.nix
index 516d0f70ef0..27616e5f822 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/n8n.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/n8n.nix
@@ -66,7 +66,7 @@ in
RestrictNamespaces = "yes";
RestrictRealtime = "yes";
RestrictSUIDSGID = "yes";
- MemoryDenyWriteExecute = "yes";
+ MemoryDenyWriteExecute = "no"; # v8 JIT requires memory segments to be Writable-Executable.
LockPersonality = "yes";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nitter.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nitter.nix
index 301af76c336..0c562343d85 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nitter.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nitter.nix
@@ -79,7 +79,7 @@ in
staticDir = mkOption {
type = types.path;
default = "${pkgs.nitter}/share/nitter/public";
- defaultText = "\${pkgs.nitter}/share/nitter/public";
+ defaultText = literalExpression ''"''${pkgs.nitter}/share/nitter/public"'';
description = "Path to the static files directory.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nix-daemon.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nix-daemon.nix
index 70b27b7d3d0..d0493700477 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nix-daemon.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nix-daemon.nix
@@ -82,10 +82,19 @@ in
nix = {
+ enable = mkOption {
+ type = types.bool;
+ default = true;
+ description = ''
+ Whether to enable Nix.
+ Disabling Nix makes the system hard to modify and the Nix programs and configuration will not be made available by NixOS itself.
+ '';
+ };
+
package = mkOption {
type = types.package;
default = pkgs.nix;
- defaultText = "pkgs.nix";
+ defaultText = literalExpression "pkgs.nix";
description = ''
This option specifies the Nix package instance to use throughout the system.
'';
@@ -180,7 +189,19 @@ in
default = 0;
description = ''
Nix daemon process priority. This priority propagates to build processes.
- 0 is the default Unix process priority, 19 is the lowest.
+ 0 is the default Unix process priority, 19 is the lowest. Note that nix
+ bypasses nix-daemon when running as root and this option does not have
+ any effect in such a case.
+
+ Please note that if used on a recent Linux kernel with group scheduling,
+ setting the nice level will only have an effect relative to other threads
+ in the same task group. Therefore this option is only useful if
+ autogrouping has been disabled (see the kernel.sched_autogroup_enabled
+ sysctl) and no systemd unit uses any of the per-service CPU accounting
+ features of systemd. Otherwise the Nix daemon process may be placed in a
+ separate task group and the nice level setting will have no effect.
+ Refer to the man pages sched(7) and systemd.resource-control(5) for
+ details.
'';
};
@@ -460,7 +481,7 @@ in
flake = mkOption {
type = types.nullOr types.attrs;
default = null;
- example = literalExample "nixpkgs";
+ example = literalExpression "nixpkgs";
description = ''
The flake input to which from> is to be rewritten.
'';
@@ -499,7 +520,7 @@ in
###### implementation
- config = {
+ config = mkIf cfg.enable {
nix.binaryCachePublicKeys = [ "cache.nixos.org-1:6NCHdD59X431o0gWypbMrAURkbJ16ZPMQFGspcDShjY=" ];
nix.binaryCaches = [ "https://cache.nixos.org/" ];
@@ -534,6 +555,22 @@ in
+ "\n"
) cfg.buildMachines;
};
+ assertions =
+ let badMachine = m: m.system == null && m.systems == [];
+ in [
+ {
+ assertion = !(builtins.any badMachine cfg.buildMachines);
+ message = ''
+ At least one system type (via system or
+ systems ) must be set for every build machine.
+ Invalid machine specifications:
+ '' + " " +
+ (builtins.concatStringsSep "\n "
+ (builtins.map (m: m.hostName)
+ (builtins.filter (badMachine) cfg.buildMachines)));
+ }
+ ];
+
systemd.packages = [ nix ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nix-ssh-serve.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nix-ssh-serve.nix
index 7ce3841be2f..d5c64fdb264 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nix-ssh-serve.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nix-ssh-serve.nix
@@ -4,7 +4,7 @@ with lib;
let cfg = config.nix.sshServe;
command =
if cfg.protocol == "ssh"
- then "nix-store --serve"
+ then "nix-store --serve ${lib.optionalString cfg.write "--write"}"
else "nix-daemon --stdio";
in {
options = {
@@ -17,6 +17,12 @@ in {
description = "Whether to enable serving the Nix store as a remote store via SSH.";
};
+ write = mkOption {
+ type = types.bool;
+ default = false;
+ description = "Whether to enable writing to the Nix store as a remote store via SSH. Note: the sshServe user is named nix-ssh and is not a trusted-user. nix-ssh should be added to the nix.trustedUsers option in most use cases, such as allowing remote building of derivations.";
+ };
+
keys = mkOption {
type = types.listOf types.str;
default = [];
@@ -38,9 +44,11 @@ in {
users.users.nix-ssh = {
description = "Nix SSH store user";
- uid = config.ids.uids.nix-ssh;
+ isSystemUser = true;
+ group = "nix-ssh";
useDefaultShell = true;
};
+ users.groups.nix-ssh = {};
services.openssh.enable = true;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nzbhydra2.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nzbhydra2.nix
index c396b4b8f6e..500c40f117d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nzbhydra2.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/nzbhydra2.nix
@@ -25,7 +25,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.nzbhydra2;
- defaultText = "pkgs.nzbhydra2";
+ defaultText = literalExpression "pkgs.nzbhydra2";
description = "NZBHydra2 package to use.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/octoprint.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/octoprint.nix
index 7129ac69527..cd846d3f268 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/octoprint.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/octoprint.nix
@@ -68,8 +68,8 @@ in
plugins = mkOption {
type = types.functionTo (types.listOf types.package);
default = plugins: [];
- defaultText = "plugins: []";
- example = literalExample "plugins: with plugins; [ themeify stlviewer ]";
+ defaultText = literalExpression "plugins: []";
+ example = literalExpression "plugins: with plugins; [ themeify stlviewer ]";
description = "Additional plugins to be used. Available plugins are passed through the plugins input.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/owncast.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/owncast.nix
new file mode 100644
index 00000000000..0852335238f
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/owncast.nix
@@ -0,0 +1,98 @@
+{ lib, pkgs, config, ... }:
+with lib;
+let cfg = config.services.owncast;
+in {
+
+ options.services.owncast = {
+
+ enable = mkEnableOption "owncast";
+
+ dataDir = mkOption {
+ type = types.str;
+ default = "/var/lib/owncast";
+ description = ''
+ The directory where owncast stores its data files. If left as the default value this directory will automatically be created before the owncast server starts, otherwise the sysadmin is responsible for ensuring the directory exists with appropriate ownership and permissions.
+ '';
+ };
+
+ openFirewall = mkOption {
+ type = types.bool;
+ default = false;
+ description = ''
+ Open the appropriate ports in the firewall for owncast.
+ '';
+ };
+
+ user = mkOption {
+ type = types.str;
+ default = "owncast";
+ description = "User account under which owncast runs.";
+ };
+
+ group = mkOption {
+ type = types.str;
+ default = "owncast";
+ description = "Group under which owncast runs.";
+ };
+
+ listen = mkOption {
+ type = types.str;
+ default = "127.0.0.1";
+ example = "0.0.0.0";
+ description = "The IP address to bind the owncast web server to.";
+ };
+
+ port = mkOption {
+ type = types.port;
+ default = 8080;
+ description = ''
+ TCP port where owncast web-gui listens.
+ '';
+ };
+
+ rtmp-port = mkOption {
+ type = types.port;
+ default = 1935;
+ description = ''
+ TCP port where owncast rtmp service listens.
+ '';
+ };
+
+ };
+
+ config = mkIf cfg.enable {
+
+ systemd.services.owncast = {
+ description = "A self-hosted live video and web chat server";
+ wantedBy = [ "multi-user.target" ];
+
+ serviceConfig = mkMerge [
+ {
+ User = cfg.user;
+ Group = cfg.group;
+ WorkingDirectory = cfg.dataDir;
+ ExecStart = "${pkgs.owncast}/bin/owncast -webserverport ${toString cfg.port} -rtmpport ${toString cfg.rtmp-port} -webserverip ${cfg.listen}";
+ Restart = "on-failure";
+ }
+ (mkIf (cfg.dataDir == "/var/lib/owncast") {
+ StateDirectory = "owncast";
+ })
+ ];
+ };
+
+ users.users = mkIf (cfg.user == "owncast") {
+ owncast = {
+ isSystemUser = true;
+ group = cfg.group;
+ description = "owncast system user";
+ };
+ };
+
+ users.groups = mkIf (cfg.group == "owncast") { owncast = { }; };
+
+ networking.firewall =
+ mkIf cfg.openFirewall { allowedTCPPorts = [ cfg.rtmp-port ] ++ optional (cfg.listen != "127.0.0.1") cfg.port; };
+
+ };
+ meta = { maintainers = with lib.maintainers; [ MayNiklas ]; };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/paperless-ng.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/paperless-ng.nix
index 4b7087e17f9..db8082f072c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/paperless-ng.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/paperless-ng.nix
@@ -107,14 +107,14 @@ in
mediaDir = mkOption {
type = types.str;
default = "${cfg.dataDir}/media";
- defaultText = "\${dataDir}/consume";
+ defaultText = literalExpression ''"''${dataDir}/media"'';
description = "Directory to store the Paperless documents.";
};
consumptionDir = mkOption {
type = types.str;
default = "${cfg.dataDir}/consume";
- defaultText = "\${dataDir}/consume";
+ defaultText = literalExpression ''"''${dataDir}/consume"'';
description = "Directory from which new documents are imported.";
};
@@ -167,7 +167,7 @@ in
See the documentation
for available options.
'';
- example = literalExample ''
+ example = literalExpression ''
{
PAPERLESS_OCR_LANGUAGE = "deu+eng";
}
@@ -183,7 +183,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.paperless-ng;
- defaultText = "pkgs.paperless-ng";
+ defaultText = literalExpression "pkgs.paperless-ng";
description = "The Paperless package to use.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/plex.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/plex.nix
index 7efadf1b9bb..5f99ee866a5 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/plex.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/plex.nix
@@ -68,7 +68,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.plex;
- defaultText = "pkgs.plex";
+ defaultText = literalExpression "pkgs.plex";
description = ''
The Plex package to use. Plex subscribers may wish to use their own
package here, pointing to subscriber-only server versions.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/prowlarr.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/prowlarr.nix
new file mode 100644
index 00000000000..ef820b4022d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/prowlarr.nix
@@ -0,0 +1,41 @@
+{ config, pkgs, lib, ... }:
+
+with lib;
+
+let
+ cfg = config.services.prowlarr;
+
+in
+{
+ options = {
+ services.prowlarr = {
+ enable = mkEnableOption "Prowlarr";
+
+ openFirewall = mkOption {
+ type = types.bool;
+ default = false;
+ description = "Open ports in the firewall for the Prowlarr web interface.";
+ };
+ };
+ };
+
+ config = mkIf cfg.enable {
+ systemd.services.prowlarr = {
+ description = "Prowlarr";
+ after = [ "network.target" ];
+ wantedBy = [ "multi-user.target" ];
+
+ serviceConfig = {
+ Type = "simple";
+ DynamicUser = true;
+ StateDirectory = "prowlarr";
+ ExecStart = "${pkgs.prowlarr}/bin/Prowlarr -nobrowser -data=/var/lib/prowlarr";
+ Restart = "on-failure";
+ };
+ };
+
+ networking.firewall = mkIf cfg.openFirewall {
+ allowedTCPPorts = [ 9696 ];
+ };
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/redmine.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/redmine.nix
index 66c8e558fb0..696b8d1a25d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/redmine.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/redmine.nix
@@ -2,7 +2,7 @@
let
inherit (lib) mkBefore mkDefault mkEnableOption mkIf mkOption mkRemovedOptionModule types;
- inherit (lib) concatStringsSep literalExample mapAttrsToList;
+ inherit (lib) concatStringsSep literalExpression mapAttrsToList;
inherit (lib) optional optionalAttrs optionalString;
cfg = config.services.redmine;
@@ -54,8 +54,9 @@ in
package = mkOption {
type = types.package;
default = pkgs.redmine;
+ defaultText = literalExpression "pkgs.redmine";
description = "Which Redmine package to use.";
- example = "pkgs.redmine.override { ruby = pkgs.ruby_2_7; }";
+ example = literalExpression "pkgs.redmine.override { ruby = pkgs.ruby_2_7; }";
};
user = mkOption {
@@ -90,7 +91,7 @@ in
for details.
'';
- example = literalExample ''
+ example = literalExpression ''
{
email_delivery = {
delivery_method = "smtp";
@@ -112,7 +113,7 @@ in
See
for details.
'';
- example = literalExample ''
+ example = ''
config.logger.level = Logger::DEBUG
'';
};
@@ -121,7 +122,7 @@ in
type = types.attrsOf types.path;
default = {};
description = "Set of themes.";
- example = literalExample ''
+ example = literalExpression ''
{
dkuk-redmine_alex_skin = builtins.fetchurl {
url = "https://bitbucket.org/dkuk/redmine_alex_skin/get/1842ef675ef3.zip";
@@ -135,7 +136,7 @@ in
type = types.attrsOf types.path;
default = {};
description = "Set of plugins.";
- example = literalExample ''
+ example = literalExpression ''
{
redmine_env_auth = builtins.fetchurl {
url = "https://github.com/Intera/redmine_env_auth/archive/0.6.zip";
@@ -162,7 +163,7 @@ in
port = mkOption {
type = types.int;
default = if cfg.database.type == "postgresql" then 5432 else 3306;
- defaultText = "3306";
+ defaultText = literalExpression "3306";
description = "Database host port.";
};
@@ -194,7 +195,7 @@ in
if mysqlLocal then "/run/mysqld/mysqld.sock"
else if pgsqlLocal then "/run/postgresql"
else null;
- defaultText = "/run/mysqld/mysqld.sock";
+ defaultText = literalExpression "/run/mysqld/mysqld.sock";
example = "/run/mysqld/mysqld.sock";
description = "Path to the unix socket file to use for authentication.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ripple-data-api.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ripple-data-api.nix
index 9fab462f7e3..93eba98b7d3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ripple-data-api.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ripple-data-api.nix
@@ -187,7 +187,9 @@ in {
users.users.ripple-data-api =
{ description = "Ripple data api user";
- uid = config.ids.uids.ripple-data-api;
+ isSystemUser = true;
+ group = "ripple-data-api";
};
+ users.groups.ripple-data-api = {};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/rippled.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/rippled.nix
index 2fce3b9dc94..9c66df2fce1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/rippled.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/rippled.nix
@@ -210,7 +210,7 @@ in
description = "Which rippled package to use.";
type = types.package;
default = pkgs.rippled;
- defaultText = "pkgs.rippled";
+ defaultText = literalExpression "pkgs.rippled";
};
ports = mkOption {
@@ -407,12 +407,14 @@ in
config = mkIf cfg.enable {
- users.users.rippled =
- { description = "Ripple server user";
- uid = config.ids.uids.rippled;
+ users.users.rippled = {
+ description = "Ripple server user";
+ isSystemUser = true;
+ group = "rippled";
home = cfg.databasePath;
createHome = true;
};
+ users.groups.rippled = {};
systemd.services.rippled = {
after = [ "network.target" ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/safeeyes.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/safeeyes.nix
index 1e748195e41..638218d8bb0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/safeeyes.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/safeeyes.nix
@@ -26,12 +26,16 @@ in
config = mkIf cfg.enable {
+ environment.systemPackages = [ pkgs.safeeyes ];
+
systemd.user.services.safeeyes = {
description = "Safeeyes";
wantedBy = [ "graphical-session.target" ];
partOf = [ "graphical-session.target" ];
+ path = [ pkgs.alsa-utils ];
+
startLimitIntervalSec = 350;
startLimitBurst = 10;
serviceConfig = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/sickbeard.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/sickbeard.nix
index a32dbfa3108..8e871309c98 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/sickbeard.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/sickbeard.nix
@@ -24,7 +24,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.sickbeard;
- example = literalExample "pkgs.sickrage";
+ defaultText = literalExpression "pkgs.sickbeard";
+ example = literalExpression "pkgs.sickrage";
description =''
Enable pkgs.sickrage or pkgs.sickgear
as an alternative to SickBeard
@@ -85,7 +86,7 @@ in
serviceConfig = {
User = cfg.user;
Group = cfg.group;
- ExecStart = "${sickbeard}/SickBeard.py --datadir ${cfg.dataDir} --config ${cfg.configFile} --port ${toString cfg.port}";
+ ExecStart = "${sickbeard}/bin/${sickbeard.pname} --datadir ${cfg.dataDir} --config ${cfg.configFile} --port ${toString cfg.port}";
};
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/snapper.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/snapper.nix
index a821b9b6bf6..3c3f6c4d641 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/snapper.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/snapper.nix
@@ -9,6 +9,14 @@ in
{
options.services.snapper = {
+ snapshotRootOnBoot = mkOption {
+ type = types.bool;
+ default = false;
+ description = ''
+ Whether to snapshot root on boot
+ '';
+ };
+
snapshotInterval = mkOption {
type = types.str;
default = "hourly";
@@ -43,16 +51,18 @@ in
configs = mkOption {
default = { };
- example = literalExample {
- home = {
- subvolume = "/home";
- extraConfig = ''
- ALLOW_USERS="alice"
- TIMELINE_CREATE=yes
- TIMELINE_CLEANUP=yes
- '';
- };
- };
+ example = literalExpression ''
+ {
+ home = {
+ subvolume = "/home";
+ extraConfig = '''
+ ALLOW_USERS="alice"
+ TIMELINE_CREATE=yes
+ TIMELINE_CLEANUP=yes
+ ''';
+ };
+ }
+ '';
description = ''
Subvolume configuration
@@ -130,20 +140,22 @@ in
Type = "dbus";
BusName = "org.opensuse.Snapper";
ExecStart = "${pkgs.snapper}/bin/snapperd";
+ CapabilityBoundingSet = "CAP_DAC_OVERRIDE CAP_FOWNER CAP_CHOWN CAP_FSETID CAP_SETFCAP CAP_SYS_ADMIN CAP_SYS_MODULE CAP_IPC_LOCK CAP_SYS_NICE";
+ LockPersonality = true;
+ NoNewPrivileges = false;
+ PrivateNetwork = true;
+ ProtectHostname = true;
+ RestrictAddressFamilies = "AF_UNIX";
+ RestrictRealtime = true;
};
};
systemd.services.snapper-timeline = {
description = "Timeline of Snapper Snapshots";
inherit documentation;
+ requires = [ "local-fs.target" ];
serviceConfig.ExecStart = "${pkgs.snapper}/lib/snapper/systemd-helper --timeline";
- };
-
- systemd.timers.snapper-timeline = {
- description = "Timeline of Snapper Snapshots";
- inherit documentation;
- wantedBy = [ "basic.target" ];
- timerConfig.OnCalendar = cfg.snapshotInterval;
+ startAt = cfg.snapshotInterval;
};
systemd.services.snapper-cleanup = {
@@ -155,10 +167,21 @@ in
systemd.timers.snapper-cleanup = {
description = "Cleanup of Snapper Snapshots";
inherit documentation;
- wantedBy = [ "basic.target" ];
+ wantedBy = [ "timers.target" ];
+ requires = [ "local-fs.target" ];
timerConfig.OnBootSec = "10m";
timerConfig.OnUnitActiveSec = cfg.cleanupInterval;
};
+
+ systemd.services.snapper-boot = lib.optionalAttrs cfg.snapshotRootOnBoot {
+ description = "Take snapper snapshot of root on boot";
+ inherit documentation;
+ serviceConfig.ExecStart = "${pkgs.snapper}/bin/snapper --config root create --cleanup-algorithm number --description boot";
+ serviceConfig.type = "oneshot";
+ requires = [ "local-fs.target" ];
+ wantedBy = [ "multi-user.target" ];
+ unitConfig.ConditionPathExists = "/etc/snapper/configs/root";
+ };
+
});
}
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/sourcehut/builds.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/sourcehut/builds.nix
index e446f08284f..f806e8c51b9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/sourcehut/builds.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/sourcehut/builds.nix
@@ -54,7 +54,7 @@ in
images = mkOption {
type = types.attrsOf (types.attrsOf (types.attrsOf types.package));
default = { };
- example = lib.literalExample ''(let
+ example = lib.literalExpression ''(let
# Pinning unstable to allow usage with flakes and limit rebuilds.
pkgs_unstable = builtins.fetchGit {
url = "https://github.com/NixOS/nixpkgs";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/sourcehut/git.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/sourcehut/git.nix
index 99b9aec0612..2653d77876d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/sourcehut/git.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/sourcehut/git.nix
@@ -49,7 +49,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.git;
- example = literalExample "pkgs.gitFull";
+ defaultText = literalExpression "pkgs.git";
+ example = literalExpression "pkgs.gitFull";
description = ''
Git package for git.sr.ht. This can help silence collisions.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ssm-agent.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ssm-agent.nix
index c29d03d199b..4ae596ade17 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ssm-agent.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/ssm-agent.nix
@@ -23,7 +23,7 @@ in {
type = types.path;
description = "The SSM agent package to use";
default = pkgs.ssm-agent.override { overrideEtc = false; };
- defaultText = "pkgs.ssm-agent.override { overrideEtc = false; }";
+ defaultText = literalExpression "pkgs.ssm-agent.override { overrideEtc = false; }";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/subsonic.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/subsonic.nix
index e17a98a5e1d..98b85918ad1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/subsonic.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/subsonic.nix
@@ -93,6 +93,7 @@ let cfg = config.services.subsonic; in {
transcoders = mkOption {
type = types.listOf types.path;
default = [ "${pkgs.ffmpeg.bin}/bin/ffmpeg" ];
+ defaultText = literalExpression ''[ "''${pkgs.ffmpeg.bin}/bin/ffmpeg" ]'';
description = ''
List of paths to transcoder executables that should be accessible
from Subsonic. Symlinks will be created to each executable inside
@@ -108,7 +109,7 @@ let cfg = config.services.subsonic; in {
after = [ "network.target" ];
wantedBy = [ "multi-user.target" ];
script = ''
- ${pkgs.jre}/bin/java -Xmx${toString cfg.maxMemory}m \
+ ${pkgs.jre8}/bin/java -Xmx${toString cfg.maxMemory}m \
-Dsubsonic.home=${cfg.home} \
-Dsubsonic.host=${cfg.listenAddress} \
-Dsubsonic.port=${toString cfg.port} \
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/tautulli.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/tautulli.nix
index aded33629f1..9a972b29122 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/tautulli.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/tautulli.nix
@@ -47,7 +47,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.tautulli;
- defaultText = "pkgs.tautulli";
+ defaultText = literalExpression "pkgs.tautulli";
description = ''
The Tautulli package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/tp-auto-kbbl.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/tp-auto-kbbl.nix
new file mode 100644
index 00000000000..59018f7f81f
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/tp-auto-kbbl.nix
@@ -0,0 +1,58 @@
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let cfg = config.services.tp-auto-kbbl;
+
+in {
+ meta.maintainers = with maintainers; [ sebtm ];
+
+ options = {
+ services.tp-auto-kbbl = {
+ enable = mkEnableOption "Auto toggle keyboard back-lighting on Thinkpads (and maybe other laptops) for Linux";
+
+ package = mkOption {
+ type = types.package;
+ default = pkgs.tp-auto-kbbl;
+ defaultText = literalExpression "pkgs.tp-auto-kbbl";
+ description = "Package providing tp-auto-kbbl .";
+ };
+
+ arguments = mkOption {
+ type = types.listOf types.str;
+ default = [ ];
+ description = ''
+ List of arguments appended to ./tp-auto-kbbl --device [device] [arguments]
+ '';
+ };
+
+ device = mkOption {
+ type = types.str;
+ default = "/dev/input/event0";
+ description = "Device watched for activities.";
+ };
+
+ };
+ };
+
+ config = mkIf cfg.enable {
+ environment.systemPackages = [ cfg.package ];
+
+ systemd.services.tp-auto-kbbl = {
+ serviceConfig = {
+ ExecStart = concatStringsSep " "
+ ([ "${cfg.package}/bin/tp-auto-kbbl" "--device ${cfg.device}" ] ++ cfg.arguments);
+ Restart = "always";
+ Type = "simple";
+ };
+
+ unitConfig = {
+ Description = "Auto toggle keyboard backlight";
+ Documentation = "https://github.com/saibotd/tp-auto-kbbl";
+ After = [ "dbus.service" ];
+ };
+
+ wantedBy = [ "multi-user.target" ];
+ };
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/uhub.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/uhub.nix
index da2613e6db1..0d0a8c2a4cb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/uhub.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/uhub.nix
@@ -50,7 +50,7 @@ in {
options = {
plugin = mkOption {
type = path;
- example = literalExample
+ example = literalExpression
"$${pkgs.uhub}/plugins/mod_auth_sqlite.so";
description = "Path to plugin file.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/weechat.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/weechat.nix
index b71250f62e0..7a4c4dca2ac 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/weechat.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/weechat.nix
@@ -21,11 +21,10 @@ in
};
binary = mkOption {
type = types.path;
- description = "Binary to execute (by default \${weechat}/bin/weechat).";
- example = literalExample ''
- ''${pkgs.weechat}/bin/weechat-headless
- '';
+ description = "Binary to execute.";
default = "${pkgs.weechat}/bin/weechat";
+ defaultText = literalExpression ''"''${pkgs.weechat}/bin/weechat"'';
+ example = literalExpression ''"''${pkgs.weechat}/bin/weechat-headless"'';
};
};
@@ -52,7 +51,12 @@ in
wants = [ "network.target" ];
};
- security.wrappers.screen.source = "${pkgs.screen}/bin/screen";
+ security.wrappers.screen =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.screen}/bin/screen";
+ };
};
meta.doc = ./weechat.xml;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/xmr-stak.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/xmr-stak.nix
index a87878c31e0..9256e9ae01c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/xmr-stak.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/xmr-stak.nix
@@ -29,7 +29,7 @@ in
configFiles = mkOption {
type = types.attrsOf types.str;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"config.txt" = '''
"verbose_level" : 4,
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/zigbee2mqtt.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/zigbee2mqtt.nix
index 4458da1346b..b378d9f362f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/zigbee2mqtt.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/zigbee2mqtt.nix
@@ -25,7 +25,7 @@ in
default = pkgs.zigbee2mqtt.override {
dataDir = cfg.dataDir;
};
- defaultText = literalExample ''
+ defaultText = literalExpression ''
pkgs.zigbee2mqtt {
dataDir = services.zigbee2mqtt.dataDir
}
@@ -42,7 +42,7 @@ in
settings = mkOption {
type = format.type;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
homeassistant = config.services.home-assistant.enable;
permit_join = true;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/zoneminder.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/zoneminder.nix
index d9d34b7fac9..378da7b8744 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/zoneminder.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/zoneminder.nix
@@ -366,5 +366,5 @@ in {
};
};
- meta.maintainers = with lib.maintainers; [ peterhoeg ];
+ meta.maintainers = with lib.maintainers; [ ];
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/zookeeper.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/zookeeper.nix
index 1d12e81a9ec..3809a93a61e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/zookeeper.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/misc/zookeeper.nix
@@ -110,7 +110,7 @@ in {
package = mkOption {
description = "The zookeeper package to use";
default = pkgs.zookeeper;
- defaultText = "pkgs.zookeeper";
+ defaultText = literalExpression "pkgs.zookeeper";
type = types.package;
};
@@ -148,9 +148,11 @@ in {
};
users.users.zookeeper = {
- uid = config.ids.uids.zookeeper;
+ isSystemUser = true;
+ group = "zookeeper";
description = "Zookeeper daemon user";
home = cfg.dataDir;
};
+ users.groups.zookeeper = {};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/alerta.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/alerta.nix
index 7c6eff713cb..a73d94001f7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/alerta.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/alerta.nix
@@ -32,7 +32,6 @@ in
bind = mkOption {
type = types.str;
default = "0.0.0.0";
- example = literalExample "0.0.0.0";
description = "Address to bind to. The default is to bind to all addresses";
};
@@ -46,20 +45,17 @@ in
type = types.str;
description = "URL of the MongoDB or PostgreSQL database to connect to";
default = "mongodb://localhost";
- example = "mongodb://localhost";
};
databaseName = mkOption {
type = types.str;
description = "Name of the database instance to connect to";
default = "monitoring";
- example = "monitoring";
};
corsOrigins = mkOption {
type = types.listOf types.str;
description = "List of URLs that can access the API for Cross-Origin Resource Sharing (CORS)";
- example = [ "http://localhost" "http://localhost:5000" ];
default = [ "http://localhost" "http://localhost:5000" ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/arbtt.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/arbtt.nix
index b41a3c7b501..94eead220ae 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/arbtt.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/arbtt.nix
@@ -18,8 +18,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.haskellPackages.arbtt;
- defaultText = "pkgs.haskellPackages.arbtt";
- example = literalExample "pkgs.haskellPackages.arbtt";
+ defaultText = literalExpression "pkgs.haskellPackages.arbtt";
description = ''
The package to use for the arbtt binaries.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/bosun.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/bosun.nix
index 04e9da1c81a..4b278b9c200 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/bosun.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/bosun.nix
@@ -33,8 +33,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.bosun;
- defaultText = "pkgs.bosun";
- example = literalExample "pkgs.bosun";
+ defaultText = literalExpression "pkgs.bosun";
description = ''
bosun binary to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/collectd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/collectd.nix
index ef3663c62e0..ad0cf4735ad 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/collectd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/collectd.nix
@@ -45,7 +45,7 @@ in {
package = mkOption {
default = pkgs.collectd;
- defaultText = "pkgs.collectd";
+ defaultText = literalExpression "pkgs.collectd";
description = ''
Which collectd package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/datadog-agent.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/datadog-agent.nix
index b25a53435d0..6d9d1ef973a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/datadog-agent.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/datadog-agent.nix
@@ -51,7 +51,7 @@ in {
options.services.datadog-agent = {
enable = mkOption {
description = ''
- Whether to enable the datadog-agent v6 monitoring service
+ Whether to enable the datadog-agent v7 monitoring service
'';
default = false;
type = types.bool;
@@ -59,9 +59,9 @@ in {
package = mkOption {
default = pkgs.datadog-agent;
- defaultText = "pkgs.datadog-agent";
+ defaultText = literalExpression "pkgs.datadog-agent";
description = ''
- Which DataDog v6 agent package to use. Note that the provided
+ Which DataDog v7 agent package to use. Note that the provided
package is expected to have an overridable `pythonPackages`-attribute
which configures the Python environment with the Datadog
checks.
@@ -135,9 +135,11 @@ in {
package set must be provided.
'';
- example = {
- ntp = (pythonPackages: [ pythonPackages.ntplib ]);
- };
+ example = literalExpression ''
+ {
+ ntp = pythonPackages: [ pythonPackages.ntplib ];
+ }
+ '';
};
extraConfig = mkOption {
@@ -274,7 +276,7 @@ in {
path = [ ];
script = ''
export DD_API_KEY=$(head -n 1 ${cfg.apiKeyFile})
- ${pkgs.datadog-process-agent}/bin/agent --config /etc/datadog-agent/datadog.yaml
+ ${pkgs.datadog-process-agent}/bin/process-agent --config /etc/datadog-agent/datadog.yaml
'';
});
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/grafana-reporter.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/grafana-reporter.nix
index 893c15d568b..e40d78f538f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/grafana-reporter.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/grafana-reporter.nix
@@ -41,8 +41,9 @@ in {
templateDir = mkOption {
description = "Optional template directory to use custom tex templates";
- default = "${pkgs.grafana_reporter}";
- type = types.str;
+ default = pkgs.grafana_reporter;
+ defaultText = literalExpression "pkgs.grafana_reporter";
+ type = types.either types.str types.path;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/grafana.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/grafana.nix
index fb67bbfb842..9b21dc78b19 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/grafana.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/grafana.nix
@@ -330,13 +330,14 @@ in {
staticRootPath = mkOption {
description = "Root path for static assets.";
default = "${cfg.package}/share/grafana/public";
+ defaultText = literalExpression ''"''${package}/share/grafana/public"'';
type = types.str;
};
package = mkOption {
description = "Package to use.";
default = pkgs.grafana;
- defaultText = "pkgs.grafana";
+ defaultText = literalExpression "pkgs.grafana";
type = types.package;
};
@@ -344,7 +345,7 @@ in {
type = with types; nullOr (listOf path);
default = null;
description = "If non-null, then a list of packages containing Grafana plugins to install. If set, plugins cannot be manually installed.";
- example = literalExample "with pkgs.grafanaPlugins; [ grafana-piechart-panel ]";
+ example = literalExpression "with pkgs.grafanaPlugins; [ grafana-piechart-panel ]";
# Make sure each plugin is added only once; otherwise building
# the link farm fails, since the same path is added multiple
# times.
@@ -675,6 +676,33 @@ in {
User = "grafana";
RuntimeDirectory = "grafana";
RuntimeDirectoryMode = "0755";
+ # Hardening
+ CapabilityBoundingSet = [ "" ];
+ DeviceAllow = [ "" ];
+ LockPersonality = true;
+ MemoryDenyWriteExecute = true;
+ NoNewPrivileges = true;
+ PrivateDevices = true;
+ PrivateTmp = true;
+ PrivateUsers = true;
+ ProcSubset = "pid";
+ ProtectClock = true;
+ ProtectControlGroups = true;
+ ProtectHome = true;
+ ProtectHostname = true;
+ ProtectKernelLogs = true;
+ ProtectKernelModules = true;
+ ProtectKernelTunables = true;
+ ProtectProc = "invisible";
+ ProtectSystem = "full";
+ RemoveIPC = true;
+ RestrictAddressFamilies = [ "AF_INET" "AF_INET6" "AF_UNIX" ];
+ RestrictNamespaces = true;
+ RestrictRealtime = true;
+ RestrictSUIDSGID = true;
+ SystemCallArchitectures = "native";
+ SystemCallFilter = [ "@system-service" "~@privileged" "~@resources" ];
+ UMask = "0027";
};
preStart = ''
ln -fs ${cfg.package}/share/grafana/conf ${cfg.dataDir}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/graphite.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/graphite.nix
index 9213748d3c9..4690a252c92 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/graphite.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/graphite.nix
@@ -132,7 +132,7 @@ in {
finders = mkOption {
description = "List of finder plugins to load.";
default = [];
- example = literalExample "[ pkgs.python3Packages.influxgraph ]";
+ example = literalExpression "[ pkgs.python3Packages.influxgraph ]";
type = types.listOf types.package;
};
@@ -160,7 +160,7 @@ in {
package = mkOption {
description = "Package to use for graphite api.";
default = pkgs.python3Packages.graphite_api;
- defaultText = "pkgs.python3Packages.graphite_api";
+ defaultText = literalExpression "pkgs.python3Packages.graphite_api";
type = types.package;
};
@@ -335,7 +335,7 @@ in {
'';
type = types.attrsOf types.str;
- example = literalExample ''
+ example = literalExpression ''
{
GRAPHITE_USERNAME = "user";
GRAPHITE_PASSWORD = "pass";
@@ -561,6 +561,7 @@ in {
) {
users.users.graphite = {
uid = config.ids.uids.graphite;
+ group = "graphite";
description = "Graphite daemon user";
home = dataDir;
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/heapster.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/heapster.nix
index 0a9dfa12eaa..44f53e1890a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/heapster.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/heapster.nix
@@ -33,7 +33,7 @@ in {
package = mkOption {
description = "Package to use by heapster";
default = pkgs.heapster;
- defaultText = "pkgs.heapster";
+ defaultText = literalExpression "pkgs.heapster";
type = types.package;
};
};
@@ -50,8 +50,10 @@ in {
};
users.users.heapster = {
- uid = config.ids.uids.heapster;
+ isSystemUser = true;
+ group = "heapster";
description = "Heapster user";
};
+ users.groups.heapster = {};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/incron.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/incron.nix
index dc97af58562..2681c35d6a0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/incron.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/incron.nix
@@ -56,7 +56,7 @@ in
extraPackages = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "[ pkgs.rsync ]";
+ example = literalExpression "[ pkgs.rsync ]";
description = "Extra packages available to the system incrontab.";
};
@@ -71,7 +71,12 @@ in
environment.systemPackages = [ pkgs.incron ];
- security.wrappers.incrontab.source = "${pkgs.incron}/bin/incrontab";
+ security.wrappers.incrontab =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.incron}/bin/incrontab";
+ };
# incron won't read symlinks
environment.etc."incron.d/system" = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/kapacitor.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/kapacitor.nix
index 9b4ff3c5612..a79c647becf 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/kapacitor.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/kapacitor.nix
@@ -61,7 +61,6 @@ in
dataDir = mkOption {
type = types.path;
- example = "/var/lib/kapacitor";
default = "/var/lib/kapacitor";
description = "Location where Kapacitor stores its state";
};
@@ -75,7 +74,7 @@ in
bind = mkOption {
type = types.str;
default = "";
- example = literalExample "0.0.0.0";
+ example = "0.0.0.0";
description = "Address to bind to. The default is to bind to all addresses";
};
@@ -101,7 +100,6 @@ in
type = types.str;
description = "Specifies how often to snapshot the task state (in InfluxDB time units)";
default = "1m0s";
- example = "1m0s";
};
loadDirectory = mkOption {
@@ -136,7 +134,6 @@ in
url = mkOption {
description = "The URL to the Alerta REST API";
default = "http://localhost:5000";
- example = "http://localhost:5000";
type = types.str;
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/loki.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/loki.nix
index 51cabaa274a..ebac70c30c2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/loki.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/loki.nix
@@ -1,7 +1,7 @@
{ config, lib, pkgs, ... }:
let
- inherit (lib) escapeShellArgs literalExample mkEnableOption mkIf mkOption types;
+ inherit (lib) escapeShellArgs mkEnableOption mkIf mkOption types;
cfg = config.services.loki;
@@ -57,7 +57,7 @@ in {
extraFlags = mkOption {
type = types.listOf types.str;
default = [];
- example = literalExample [ "--server.http-listen-port=3101" ];
+ example = [ "--server.http-listen-port=3101" ];
description = ''
Specify a list of additional command line flags,
which get escaped and are then passed to Loki.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/mackerel-agent.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/mackerel-agent.nix
index 7046de9d403..aeb6247abd8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/mackerel-agent.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/mackerel-agent.nix
@@ -19,7 +19,6 @@ in {
apiKeyFile = mkOption {
type = types.path;
- default = "";
example = "/run/keys/mackerel-api-key";
description = ''
Path to file containing the Mackerel API key. The file should contain a
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/metricbeat.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/metricbeat.nix
index b285559eaa9..e75039daa10 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/metricbeat.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/metricbeat.nix
@@ -3,7 +3,7 @@
let
inherit (lib)
attrValues
- literalExample
+ literalExpression
mkEnableOption
mkIf
mkOption
@@ -24,8 +24,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.metricbeat;
- defaultText = literalExample "pkgs.metricbeat";
- example = literalExample "pkgs.metricbeat7";
+ defaultText = literalExpression "pkgs.metricbeat";
+ example = literalExpression "pkgs.metricbeat7";
description = ''
The metricbeat package to use
'';
@@ -51,7 +51,6 @@ in
module = mkOption {
type = types.str;
default = name;
- defaultText = literalExample '''';
description = ''
The name of the module.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/munin.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/munin.nix
index 1ebf7ee6a76..4fddb1e37e2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/munin.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/munin.nix
@@ -189,7 +189,7 @@ in
/bin , /usr/bin ,
/sbin , and /usr/sbin .
'';
- example = literalExample ''
+ example = literalExpression ''
{
zfs_usage_bigpool = /src/munin-contrib/plugins/zfs/zfs_usage_;
zfs_usage_smallpool = /src/munin-contrib/plugins/zfs/zfs_usage_;
@@ -220,7 +220,7 @@ in
/bin , /usr/bin ,
/sbin , and /usr/sbin .
'';
- example = literalExample ''
+ example = literalExpression ''
[
/src/munin-contrib/plugins/zfs
/src/munin-contrib/plugins/ssh
@@ -285,9 +285,11 @@ in
host for cron to succeed. See
'';
- example = ''
- [''${config.networking.hostName}]
- address localhost
+ example = literalExpression ''
+ '''
+ [''${config.networking.hostName}]
+ address localhost
+ '''
'';
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/nagios.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/nagios.nix
index 0afaefe04e1..83020d52fc8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/nagios.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/nagios.nix
@@ -41,7 +41,7 @@ let
validated = pkgs.runCommand "nagios-checked.cfg" {preferLocalBuild=true;} ''
cp ${file} nagios.cfg
# nagios checks the existence of /var/lib/nagios, but
- # it does not exists in the build sandbox, so we fake it
+ # it does not exist in the build sandbox, so we fake it
mkdir lib
lib=$(readlink -f lib)
sed -i s@=${nagiosState}@=$lib@ nagios.cfg
@@ -97,13 +97,13 @@ in
network that you want Nagios to monitor.
";
type = types.listOf types.path;
- example = literalExample "[ ./objects.cfg ]";
+ example = literalExpression "[ ./objects.cfg ]";
};
plugins = mkOption {
type = types.listOf types.package;
default = with pkgs; [ monitoring-plugins ssmtp mailutils ];
- defaultText = "[pkgs.monitoring-plugins pkgs.ssmtp pkgs.mailutils]";
+ defaultText = literalExpression "[pkgs.monitoring-plugins pkgs.ssmtp pkgs.mailutils]";
description = "
Packages to be added to the Nagios PATH .
Typically used to add plugins, but can be anything.
@@ -137,7 +137,7 @@ in
cgiConfigFile = mkOption {
type = types.package;
default = nagiosCGICfgFile;
- defaultText = "nagiosCGICfgFile";
+ defaultText = literalExpression "nagiosCGICfgFile";
description = "
Derivation for the configuration file of Nagios CGI scripts
that can be used in web servers for running the Nagios web interface.
@@ -155,7 +155,7 @@ in
virtualHost = mkOption {
type = types.submodule (import ../web-servers/apache-httpd/vhost-options.nix);
- example = literalExample ''
+ example = literalExpression ''
{ hostName = "example.org";
adminAddr = "webmaster@example.org";
enableSSL = true;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/netdata.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/netdata.nix
index 561ce3eec62..00bdd9fcda0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/netdata.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/netdata.nix
@@ -9,9 +9,9 @@ let
mkdir -p $out/libexec/netdata/plugins.d
ln -s /run/wrappers/bin/apps.plugin $out/libexec/netdata/plugins.d/apps.plugin
ln -s /run/wrappers/bin/cgroup-network $out/libexec/netdata/plugins.d/cgroup-network
- ln -s /run/wrappers/bin/freeipmi.plugin $out/libexec/netdata/plugins.d/freeipmi.plugin
ln -s /run/wrappers/bin/perf.plugin $out/libexec/netdata/plugins.d/perf.plugin
ln -s /run/wrappers/bin/slabinfo.plugin $out/libexec/netdata/plugins.d/slabinfo.plugin
+ ln -s /run/wrappers/bin/freeipmi.plugin $out/libexec/netdata/plugins.d/freeipmi.plugin
'';
plugins = [
@@ -45,7 +45,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.netdata;
- defaultText = "pkgs.netdata";
+ defaultText = literalExpression "pkgs.netdata";
description = "Netdata package to use.";
};
@@ -84,8 +84,8 @@ in {
extraPackages = mkOption {
type = types.functionTo (types.listOf types.package);
default = ps: [];
- defaultText = "ps: []";
- example = literalExample ''
+ defaultText = literalExpression "ps: []";
+ example = literalExpression ''
ps: [
ps.psycopg2
ps.docker
@@ -102,7 +102,7 @@ in {
extraPluginPaths = mkOption {
type = types.listOf types.path;
default = [ ];
- example = literalExample ''
+ example = literalExpression ''
[ "/path/to/plugins.d" ]
'';
description = ''
@@ -121,7 +121,7 @@ in {
type = types.attrsOf types.attrs;
default = {};
description = "netdata.conf configuration as nix attributes. cannot be combined with configText.";
- example = literalExample ''
+ example = literalExpression ''
global = {
"debug log" = "syslog";
"access log" = "syslog";
@@ -211,44 +211,47 @@ in {
systemd.enableCgroupAccounting = true;
- security.wrappers."apps.plugin" = {
- source = "${cfg.package}/libexec/netdata/plugins.d/apps.plugin.org";
- capabilities = "cap_dac_read_search,cap_sys_ptrace+ep";
- owner = cfg.user;
- group = cfg.group;
- permissions = "u+rx,g+x,o-rwx";
- };
+ security.wrappers = {
+ "apps.plugin" = {
+ source = "${cfg.package}/libexec/netdata/plugins.d/apps.plugin.org";
+ capabilities = "cap_dac_read_search,cap_sys_ptrace+ep";
+ owner = cfg.user;
+ group = cfg.group;
+ permissions = "u+rx,g+x,o-rwx";
+ };
- security.wrappers."cgroup-network" = {
- source = "${cfg.package}/libexec/netdata/plugins.d/cgroup-network.org";
- capabilities = "cap_setuid+ep";
- owner = cfg.user;
- group = cfg.group;
- permissions = "u+rx,g+x,o-rwx";
- };
+ "cgroup-network" = {
+ source = "${cfg.package}/libexec/netdata/plugins.d/cgroup-network.org";
+ capabilities = "cap_setuid+ep";
+ owner = cfg.user;
+ group = cfg.group;
+ permissions = "u+rx,g+x,o-rwx";
+ };
- security.wrappers."freeipmi.plugin" = {
- source = "${cfg.package}/libexec/netdata/plugins.d/freeipmi.plugin.org";
- capabilities = "cap_dac_override,cap_fowner+ep";
- owner = cfg.user;
- group = cfg.group;
- permissions = "u+rx,g+x,o-rwx";
- };
+ "perf.plugin" = {
+ source = "${cfg.package}/libexec/netdata/plugins.d/perf.plugin.org";
+ capabilities = "cap_sys_admin+ep";
+ owner = cfg.user;
+ group = cfg.group;
+ permissions = "u+rx,g+x,o-rwx";
+ };
- security.wrappers."perf.plugin" = {
- source = "${cfg.package}/libexec/netdata/plugins.d/perf.plugin.org";
- capabilities = "cap_sys_admin+ep";
- owner = cfg.user;
- group = cfg.group;
- permissions = "u+rx,g+x,o-rwx";
- };
+ "slabinfo.plugin" = {
+ source = "${cfg.package}/libexec/netdata/plugins.d/slabinfo.plugin.org";
+ capabilities = "cap_dac_override+ep";
+ owner = cfg.user;
+ group = cfg.group;
+ permissions = "u+rx,g+x,o-rwx";
+ };
- security.wrappers."slabinfo.plugin" = {
- source = "${cfg.package}/libexec/netdata/plugins.d/slabinfo.plugin.org";
- capabilities = "cap_dac_override+ep";
- owner = cfg.user;
- group = cfg.group;
- permissions = "u+rx,g+x,o-rwx";
+ } // optionalAttrs (cfg.package.withIpmi) {
+ "freeipmi.plugin" = {
+ source = "${cfg.package}/libexec/netdata/plugins.d/freeipmi.plugin.org";
+ capabilities = "cap_dac_override,cap_fowner+ep";
+ owner = cfg.user;
+ group = cfg.group;
+ permissions = "u+rx,g+x,o-rwx";
+ };
};
security.pam.loginLimits = [
@@ -258,6 +261,7 @@ in {
users.users = optionalAttrs (cfg.user == defaultUser) {
${defaultUser} = {
+ group = defaultUser;
isSystemUser = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/parsedmarc.md b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/parsedmarc.md
new file mode 100644
index 00000000000..d93134a4cc7
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/parsedmarc.md
@@ -0,0 +1,113 @@
+# parsedmarc {#module-services-parsedmarc}
+[parsedmarc](https://domainaware.github.io/parsedmarc/) is a service
+which parses incoming [DMARC](https://dmarc.org/) reports and stores
+or sends them to a downstream service for further analysis. In
+combination with Elasticsearch, Grafana and the included Grafana
+dashboard, it provides a handy overview of DMARC reports over time.
+
+## Basic usage {#module-services-parsedmarc-basic-usage}
+A very minimal setup which reads incoming reports from an external
+email address and saves them to a local Elasticsearch instance looks
+like this:
+
+```nix
+services.parsedmarc = {
+ enable = true;
+ settings.imap = {
+ host = "imap.example.com";
+ user = "alice@example.com";
+ password = "/path/to/imap_password_file";
+ watch = true;
+ };
+ provision.geoIp = false; # Not recommended!
+};
+```
+
+Note that GeoIP provisioning is disabled in the example for
+simplicity, but should be turned on for fully functional reports.
+
+## Local mail
+Instead of watching an external inbox, a local inbox can be
+automatically provisioned. The recipient's name is by default set to
+`dmarc`, but can be configured in
+[services.parsedmarc.provision.localMail.recipientName](options.html#opt-services.parsedmarc.provision.localMail.recipientName). You
+need to add an MX record pointing to the host. More concretely: for
+the example to work, an MX record needs to be set up for
+`monitoring.example.com` and the complete email address that should be
+configured in the domain's dmarc policy is
+`dmarc@monitoring.example.com`.
+
+```nix
+services.parsedmarc = {
+ enable = true;
+ provision = {
+ localMail = {
+ enable = true;
+ hostname = monitoring.example.com;
+ };
+ geoIp = false; # Not recommended!
+ };
+};
+```
+
+## Grafana and GeoIP
+The reports can be visualized and summarized with parsedmarc's
+official Grafana dashboard. For all views to work, and for the data to
+be complete, GeoIP databases are also required. The following example
+shows a basic deployment where the provisioned Elasticsearch instance
+is automatically added as a Grafana datasource, and the dashboard is
+added to Grafana as well.
+
+```nix
+services.parsedmarc = {
+ enable = true;
+ provision = {
+ localMail = {
+ enable = true;
+ hostname = url;
+ };
+ grafana = {
+ datasource = true;
+ dashboard = true;
+ };
+ };
+};
+
+# Not required, but recommended for full functionality
+services.geoipupdate = {
+ settings = {
+ AccountID = 000000;
+ LicenseKey = "/path/to/license_key_file";
+ };
+};
+
+services.grafana = {
+ enable = true;
+ addr = "0.0.0.0";
+ domain = url;
+ rootUrl = "https://" + url;
+ protocol = "socket";
+ security = {
+ adminUser = "admin";
+ adminPasswordFile = "/path/to/admin_password_file";
+ secretKeyFile = "/path/to/secret_key_file";
+ };
+};
+
+services.nginx = {
+ enable = true;
+ recommendedTlsSettings = true;
+ recommendedOptimisation = true;
+ recommendedGzipSettings = true;
+ recommendedProxySettings = true;
+ upstreams.grafana.servers."unix:/${config.services.grafana.socket}" = {};
+ virtualHosts.${url} = {
+ root = config.services.grafana.staticRootPath;
+ enableACME = true;
+ forceSSL = true;
+ locations."/".tryFiles = "$uri @grafana";
+ locations."@grafana".proxyPass = "http://grafana";
+ };
+};
+users.users.nginx.extraGroups = [ "grafana" ];
+```
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/parsedmarc.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/parsedmarc.nix
new file mode 100644
index 00000000000..eeee04b4400
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/parsedmarc.nix
@@ -0,0 +1,537 @@
+{ config, lib, pkgs, ... }:
+
+let
+ cfg = config.services.parsedmarc;
+ ini = pkgs.formats.ini {};
+in
+{
+ options.services.parsedmarc = {
+
+ enable = lib.mkEnableOption ''
+ parsedmarc, a DMARC report monitoring service
+ '';
+
+ provision = {
+ localMail = {
+ enable = lib.mkOption {
+ type = lib.types.bool;
+ default = false;
+ description = ''
+ Whether Postfix and Dovecot should be set up to receive
+ mail locally. parsedmarc will be configured to watch the
+ local inbox as the automatically created user specified in
+
+ '';
+ };
+
+ recipientName = lib.mkOption {
+ type = lib.types.str;
+ default = "dmarc";
+ description = ''
+ The DMARC mail recipient name, i.e. the name part of the
+ email address which receives DMARC reports.
+
+ A local user with this name will be set up and assigned a
+ randomized password on service start.
+ '';
+ };
+
+ hostname = lib.mkOption {
+ type = lib.types.str;
+ default = config.networking.fqdn;
+ defaultText = lib.literalExpression "config.networking.fqdn";
+ example = "monitoring.example.com";
+ description = ''
+ The hostname to use when configuring Postfix.
+
+ Should correspond to the host's fully qualified domain
+ name and the domain part of the email address which
+ receives DMARC reports. You also have to set up an MX record
+ pointing to this domain name.
+ '';
+ };
+ };
+
+ geoIp = lib.mkOption {
+ type = lib.types.bool;
+ default = true;
+ description = ''
+ Whether to enable and configure the geoipupdate
+ service to automatically fetch GeoIP databases. Not crucial,
+ but recommended for full functionality.
+
+ To finish the setup, you need to manually set the and
+
+ options.
+ '';
+ };
+
+ elasticsearch = lib.mkOption {
+ type = lib.types.bool;
+ default = true;
+ description = ''
+ Whether to set up and use a local instance of Elasticsearch.
+ '';
+ };
+
+ grafana = {
+ datasource = lib.mkOption {
+ type = lib.types.bool;
+ default = cfg.provision.elasticsearch && config.services.grafana.enable;
+ apply = x: x && cfg.provision.elasticsearch;
+ description = ''
+ Whether the automatically provisioned Elasticsearch
+ instance should be added as a grafana datasource. Has no
+ effect unless
+
+ is also enabled.
+ '';
+ };
+
+ dashboard = lib.mkOption {
+ type = lib.types.bool;
+ default = config.services.grafana.enable;
+ description = ''
+ Whether the official parsedmarc grafana dashboard should
+ be provisioned to the local grafana instance.
+ '';
+ };
+ };
+ };
+
+ settings = lib.mkOption {
+ description = ''
+ Configuration parameters to set in
+ parsedmarc.ini . For a full list of
+ available parameters, see
+ .
+ '';
+
+ type = lib.types.submodule {
+ freeformType = ini.type;
+
+ options = {
+ general = {
+ save_aggregate = lib.mkOption {
+ type = lib.types.bool;
+ default = true;
+ description = ''
+ Save aggregate report data to Elasticsearch and/or Splunk.
+ '';
+ };
+
+ save_forensic = lib.mkOption {
+ type = lib.types.bool;
+ default = true;
+ description = ''
+ Save forensic report data to Elasticsearch and/or Splunk.
+ '';
+ };
+ };
+
+ imap = {
+ host = lib.mkOption {
+ type = lib.types.str;
+ default = "localhost";
+ description = ''
+ The IMAP server hostname or IP address.
+ '';
+ };
+
+ port = lib.mkOption {
+ type = lib.types.port;
+ default = 993;
+ description = ''
+ The IMAP server port.
+ '';
+ };
+
+ ssl = lib.mkOption {
+ type = lib.types.bool;
+ default = true;
+ description = ''
+ Use an encrypted SSL/TLS connection.
+ '';
+ };
+
+ user = lib.mkOption {
+ type = with lib.types; nullOr str;
+ default = null;
+ description = ''
+ The IMAP server username.
+ '';
+ };
+
+ password = lib.mkOption {
+ type = with lib.types; nullOr path;
+ default = null;
+ description = ''
+ The path to a file containing the IMAP server password.
+ '';
+ };
+
+ watch = lib.mkOption {
+ type = lib.types.bool;
+ default = true;
+ description = ''
+ Use the IMAP IDLE command to process messages as they arrive.
+ '';
+ };
+
+ delete = lib.mkOption {
+ type = lib.types.bool;
+ default = false;
+ description = ''
+ Delete messages after processing them, instead of archiving them.
+ '';
+ };
+ };
+
+ smtp = {
+ host = lib.mkOption {
+ type = with lib.types; nullOr str;
+ default = null;
+ description = ''
+ The SMTP server hostname or IP address.
+ '';
+ };
+
+ port = lib.mkOption {
+ type = with lib.types; nullOr port;
+ default = null;
+ description = ''
+ The SMTP server port.
+ '';
+ };
+
+ ssl = lib.mkOption {
+ type = with lib.types; nullOr bool;
+ default = null;
+ description = ''
+ Use an encrypted SSL/TLS connection.
+ '';
+ };
+
+ user = lib.mkOption {
+ type = with lib.types; nullOr str;
+ default = null;
+ description = ''
+ The SMTP server username.
+ '';
+ };
+
+ password = lib.mkOption {
+ type = with lib.types; nullOr path;
+ default = null;
+ description = ''
+ The path to a file containing the SMTP server password.
+ '';
+ };
+
+ from = lib.mkOption {
+ type = with lib.types; nullOr str;
+ default = null;
+ description = ''
+ The From address to use for the
+ outgoing mail.
+ '';
+ };
+
+ to = lib.mkOption {
+ type = with lib.types; nullOr (listOf str);
+ default = null;
+ description = ''
+ The addresses to send outgoing mail to.
+ '';
+ };
+ };
+
+ elasticsearch = {
+ hosts = lib.mkOption {
+ default = [];
+ type = with lib.types; listOf str;
+ apply = x: if x == [] then null else lib.concatStringsSep "," x;
+ description = ''
+ A list of Elasticsearch hosts to push parsed reports
+ to.
+ '';
+ };
+
+ user = lib.mkOption {
+ type = with lib.types; nullOr str;
+ default = null;
+ description = ''
+ Username to use when connecting to Elasticsearch, if
+ required.
+ '';
+ };
+
+ password = lib.mkOption {
+ type = with lib.types; nullOr path;
+ default = null;
+ description = ''
+ The path to a file containing the password to use when
+ connecting to Elasticsearch, if required.
+ '';
+ };
+
+ ssl = lib.mkOption {
+ type = lib.types.bool;
+ default = false;
+ description = ''
+ Whether to use an encrypted SSL/TLS connection.
+ '';
+ };
+
+ cert_path = lib.mkOption {
+ type = lib.types.path;
+ default = "/etc/ssl/certs/ca-certificates.crt";
+ description = ''
+ The path to a TLS certificate bundle used to verify
+ the server's certificate.
+ '';
+ };
+ };
+
+ kafka = {
+ hosts = lib.mkOption {
+ default = [];
+ type = with lib.types; listOf str;
+ apply = x: if x == [] then null else lib.concatStringsSep "," x;
+ description = ''
+ A list of Apache Kafka hosts to publish parsed reports
+ to.
+ '';
+ };
+
+ user = lib.mkOption {
+ type = with lib.types; nullOr str;
+ default = null;
+ description = ''
+ Username to use when connecting to Kafka, if
+ required.
+ '';
+ };
+
+ password = lib.mkOption {
+ type = with lib.types; nullOr path;
+ default = null;
+ description = ''
+ The path to a file containing the password to use when
+ connecting to Kafka, if required.
+ '';
+ };
+
+ ssl = lib.mkOption {
+ type = with lib.types; nullOr bool;
+ default = null;
+ description = ''
+ Whether to use an encrypted SSL/TLS connection.
+ '';
+ };
+
+ aggregate_topic = lib.mkOption {
+ type = with lib.types; nullOr str;
+ default = null;
+ example = "aggregate";
+ description = ''
+ The Kafka topic to publish aggregate reports on.
+ '';
+ };
+
+ forensic_topic = lib.mkOption {
+ type = with lib.types; nullOr str;
+ default = null;
+ example = "forensic";
+ description = ''
+ The Kafka topic to publish forensic reports on.
+ '';
+ };
+ };
+
+ };
+
+ };
+ };
+
+ };
+
+ config = lib.mkIf cfg.enable {
+
+ services.elasticsearch.enable = lib.mkDefault cfg.provision.elasticsearch;
+
+ services.geoipupdate = lib.mkIf cfg.provision.geoIp {
+ enable = true;
+ settings = {
+ EditionIDs = [
+ "GeoLite2-ASN"
+ "GeoLite2-City"
+ "GeoLite2-Country"
+ ];
+ DatabaseDirectory = "/var/lib/GeoIP";
+ };
+ };
+
+ services.dovecot2 = lib.mkIf cfg.provision.localMail.enable {
+ enable = true;
+ protocols = [ "imap" ];
+ };
+
+ services.postfix = lib.mkIf cfg.provision.localMail.enable {
+ enable = true;
+ origin = cfg.provision.localMail.hostname;
+ config = {
+ myhostname = cfg.provision.localMail.hostname;
+ mydestination = cfg.provision.localMail.hostname;
+ };
+ };
+
+ services.grafana = {
+ declarativePlugins = with pkgs.grafanaPlugins;
+ lib.mkIf cfg.provision.grafana.dashboard [
+ grafana-worldmap-panel
+ grafana-piechart-panel
+ ];
+
+ provision = {
+ enable = cfg.provision.grafana.datasource || cfg.provision.grafana.dashboard;
+ datasources =
+ let
+ pkgVer = lib.getVersion config.services.elasticsearch.package;
+ esVersion =
+ if lib.versionOlder pkgVer "7" then
+ "60"
+ else if lib.versionOlder pkgVer "8" then
+ "70"
+ else
+ throw "When provisioning parsedmarc grafana datasources: unknown Elasticsearch version.";
+ in
+ lib.mkIf cfg.provision.grafana.datasource [
+ {
+ name = "dmarc-ag";
+ type = "elasticsearch";
+ access = "proxy";
+ url = "localhost:9200";
+ jsonData = {
+ timeField = "date_range";
+ inherit esVersion;
+ };
+ }
+ {
+ name = "dmarc-fo";
+ type = "elasticsearch";
+ access = "proxy";
+ url = "localhost:9200";
+ jsonData = {
+ timeField = "date_range";
+ inherit esVersion;
+ };
+ }
+ ];
+ dashboards = lib.mkIf cfg.provision.grafana.dashboard [{
+ name = "parsedmarc";
+ options.path = "${pkgs.python3Packages.parsedmarc.dashboard}";
+ }];
+ };
+ };
+
+ services.parsedmarc.settings = lib.mkMerge [
+ (lib.mkIf cfg.provision.elasticsearch {
+ elasticsearch = {
+ hosts = [ "localhost:9200" ];
+ ssl = false;
+ };
+ })
+ (lib.mkIf cfg.provision.localMail.enable {
+ imap = {
+ host = "localhost";
+ port = 143;
+ ssl = false;
+ user = cfg.provision.localMail.recipientName;
+ password = "${pkgs.writeText "imap-password" "@imap-password@"}";
+ watch = true;
+ };
+ })
+ ];
+
+ systemd.services.parsedmarc =
+ let
+ # Remove any empty attributes from the config, i.e. empty
+ # lists, empty attrsets and null. This makes it possible to
+ # list interesting options in `settings` without them always
+ # ending up in the resulting config.
+ filteredConfig = lib.converge (lib.filterAttrsRecursive (_: v: ! builtins.elem v [ null [] {} ])) cfg.settings;
+ parsedmarcConfig = ini.generate "parsedmarc.ini" filteredConfig;
+ mkSecretReplacement = file:
+ lib.optionalString (file != null) ''
+ replace-secret '${file}' '${file}' /run/parsedmarc/parsedmarc.ini
+ '';
+ in
+ {
+ wantedBy = [ "multi-user.target" ];
+ after = [ "postfix.service" "dovecot2.service" "elasticsearch.service" ];
+ path = with pkgs; [ replace-secret openssl shadow ];
+ serviceConfig = {
+ ExecStartPre = let
+ startPreFullPrivileges = ''
+ set -o errexit -o pipefail -o nounset -o errtrace
+ shopt -s inherit_errexit
+
+ umask u=rwx,g=,o=
+ cp ${parsedmarcConfig} /run/parsedmarc/parsedmarc.ini
+ chown parsedmarc:parsedmarc /run/parsedmarc/parsedmarc.ini
+ ${mkSecretReplacement cfg.settings.smtp.password}
+ ${mkSecretReplacement cfg.settings.imap.password}
+ ${mkSecretReplacement cfg.settings.elasticsearch.password}
+ ${mkSecretReplacement cfg.settings.kafka.password}
+ '' + lib.optionalString cfg.provision.localMail.enable ''
+ openssl rand -hex 64 >/run/parsedmarc/dmarc_user_passwd
+ replace-secret '@imap-password@' '/run/parsedmarc/dmarc_user_passwd' /run/parsedmarc/parsedmarc.ini
+ echo "Setting new randomized password for user '${cfg.provision.localMail.recipientName}'."
+ cat <(echo -n "${cfg.provision.localMail.recipientName}:") /run/parsedmarc/dmarc_user_passwd | chpasswd
+ '';
+ in
+ "+${pkgs.writeShellScript "parsedmarc-start-pre-full-privileges" startPreFullPrivileges}";
+ Type = "simple";
+ User = "parsedmarc";
+ Group = "parsedmarc";
+ DynamicUser = true;
+ RuntimeDirectory = "parsedmarc";
+ RuntimeDirectoryMode = 0700;
+ CapabilityBoundingSet = "";
+ PrivateDevices = true;
+ PrivateMounts = true;
+ PrivateUsers = true;
+ ProtectClock = true;
+ ProtectControlGroups = true;
+ ProtectHome = true;
+ ProtectHostname = true;
+ ProtectKernelLogs = true;
+ ProtectKernelModules = true;
+ ProtectKernelTunables = true;
+ ProtectProc = "invisible";
+ ProcSubset = "pid";
+ SystemCallFilter = [ "@system-service" "~@privileged" "~@resources" ];
+ RestrictAddressFamilies = [ "AF_UNIX" "AF_INET" "AF_INET6" ];
+ RestrictRealtime = true;
+ RestrictNamespaces = true;
+ MemoryDenyWriteExecute = true;
+ LockPersonality = true;
+ SystemCallArchitectures = "native";
+ ExecStart = "${pkgs.python3Packages.parsedmarc}/bin/parsedmarc -c /run/parsedmarc/parsedmarc.ini";
+ };
+ };
+
+ users.users.${cfg.provision.localMail.recipientName} = lib.mkIf cfg.provision.localMail.enable {
+ isNormalUser = true;
+ description = "DMARC mail recipient";
+ };
+ };
+
+ # Don't edit the docbook xml directly, edit the md and generate it:
+ # `pandoc parsedmarc.md -t docbook --top-level-division=chapter --extract-media=media -f markdown+smart > parsedmarc.xml`
+ meta.doc = ./parsedmarc.xml;
+ meta.maintainers = [ lib.maintainers.talyz ];
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/parsedmarc.xml b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/parsedmarc.xml
new file mode 100644
index 00000000000..7167b52d035
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/parsedmarc.xml
@@ -0,0 +1,125 @@
+
+ parsedmarc
+
+ parsedmarc
+ is a service which parses incoming
+ DMARC reports and
+ stores or sends them to a downstream service for further analysis.
+ In combination with Elasticsearch, Grafana and the included Grafana
+ dashboard, it provides a handy overview of DMARC reports over time.
+
+
+ Basic usage
+
+ A very minimal setup which reads incoming reports from an external
+ email address and saves them to a local Elasticsearch instance
+ looks like this:
+
+
+services.parsedmarc = {
+ enable = true;
+ settings.imap = {
+ host = "imap.example.com";
+ user = "alice@example.com";
+ password = "/path/to/imap_password_file";
+ watch = true;
+ };
+ provision.geoIp = false; # Not recommended!
+};
+
+
+ Note that GeoIP provisioning is disabled in the example for
+ simplicity, but should be turned on for fully functional reports.
+
+
+
+ Local mail
+
+ Instead of watching an external inbox, a local inbox can be
+ automatically provisioned. The recipient’s name is by default set
+ to dmarc , but can be configured in
+ services.parsedmarc.provision.localMail.recipientName.
+ You need to add an MX record pointing to the host. More
+ concretely: for the example to work, an MX record needs to be set
+ up for monitoring.example.com and the complete
+ email address that should be configured in the domain’s dmarc
+ policy is dmarc@monitoring.example.com .
+
+
+services.parsedmarc = {
+ enable = true;
+ provision = {
+ localMail = {
+ enable = true;
+ hostname = monitoring.example.com;
+ };
+ geoIp = false; # Not recommended!
+ };
+};
+
+
+
+ Grafana and GeoIP
+
+ The reports can be visualized and summarized with parsedmarc’s
+ official Grafana dashboard. For all views to work, and for the
+ data to be complete, GeoIP databases are also required. The
+ following example shows a basic deployment where the provisioned
+ Elasticsearch instance is automatically added as a Grafana
+ datasource, and the dashboard is added to Grafana as well.
+
+
+services.parsedmarc = {
+ enable = true;
+ provision = {
+ localMail = {
+ enable = true;
+ hostname = url;
+ };
+ grafana = {
+ datasource = true;
+ dashboard = true;
+ };
+ };
+};
+
+# Not required, but recommended for full functionality
+services.geoipupdate = {
+ settings = {
+ AccountID = 000000;
+ LicenseKey = "/path/to/license_key_file";
+ };
+};
+
+services.grafana = {
+ enable = true;
+ addr = "0.0.0.0";
+ domain = url;
+ rootUrl = "https://" + url;
+ protocol = "socket";
+ security = {
+ adminUser = "admin";
+ adminPasswordFile = "/path/to/admin_password_file";
+ secretKeyFile = "/path/to/secret_key_file";
+ };
+};
+
+services.nginx = {
+ enable = true;
+ recommendedTlsSettings = true;
+ recommendedOptimisation = true;
+ recommendedGzipSettings = true;
+ recommendedProxySettings = true;
+ upstreams.grafana.servers."unix:/${config.services.grafana.socket}" = {};
+ virtualHosts.${url} = {
+ root = config.services.grafana.staticRootPath;
+ enableACME = true;
+ forceSSL = true;
+ locations."/".tryFiles = "$uri @grafana";
+ locations."@grafana".proxyPass = "http://grafana";
+ };
+};
+users.users.nginx.extraGroups = [ "grafana" ];
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/alertmanager.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/alertmanager.nix
index 1b02ebf3704..1f396634ae0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/alertmanager.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/alertmanager.nix
@@ -45,7 +45,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.prometheus-alertmanager;
- defaultText = "pkgs.alertmanager";
+ defaultText = literalExpression "pkgs.alertmanager";
description = ''
Package that should be used for alertmanager.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/default.nix
index 1161d18ab14..d2b37cf688b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/default.nix
@@ -692,7 +692,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.prometheus;
- defaultText = "pkgs.prometheus";
+ defaultText = literalExpression "pkgs.prometheus";
description = ''
The prometheus package that should be used.
'';
@@ -833,7 +833,7 @@ in {
alertmanagers = mkOption {
type = types.listOf types.attrs;
- example = literalExample ''
+ example = literalExpression ''
[ {
scheme = "https";
path_prefix = "/alertmanager";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters.nix
index 9182c2f2ed8..62e90232e11 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters.nix
@@ -1,7 +1,7 @@
{ config, pkgs, lib, options, ... }:
let
- inherit (lib) concatStrings foldl foldl' genAttrs literalExample maintainers
+ inherit (lib) concatStrings foldl foldl' genAttrs literalExpression maintainers
mapAttrsToList mkDefault mkEnableOption mkIf mkMerge mkOption
optional types mkOptionDefault flip attrNames;
@@ -32,6 +32,7 @@ let
"dnsmasq"
"domain"
"dovecot"
+ "fastly"
"fritzbox"
"influxdb"
"json"
@@ -108,7 +109,7 @@ let
firewallFilter = mkOption {
type = types.nullOr types.str;
default = null;
- example = literalExample ''
+ example = literalExpression ''
"-i eth0 -p tcp -m tcp --dport ${toString port}"
'';
description = ''
@@ -184,6 +185,28 @@ let
serviceConfig.DynamicUser = mkDefault enableDynamicUser;
serviceConfig.User = mkDefault conf.user;
serviceConfig.Group = conf.group;
+ # Hardening
+ serviceConfig.CapabilityBoundingSet = mkDefault [ "" ];
+ serviceConfig.DeviceAllow = [ "" ];
+ serviceConfig.LockPersonality = true;
+ serviceConfig.MemoryDenyWriteExecute = true;
+ serviceConfig.NoNewPrivileges = true;
+ serviceConfig.PrivateDevices = true;
+ serviceConfig.ProtectClock = mkDefault true;
+ serviceConfig.ProtectControlGroups = true;
+ serviceConfig.ProtectHome = true;
+ serviceConfig.ProtectHostname = true;
+ serviceConfig.ProtectKernelLogs = true;
+ serviceConfig.ProtectKernelModules = true;
+ serviceConfig.ProtectKernelTunables = true;
+ serviceConfig.ProtectSystem = mkDefault "strict";
+ serviceConfig.RemoveIPC = true;
+ serviceConfig.RestrictAddressFamilies = [ "AF_INET" "AF_INET6" ];
+ serviceConfig.RestrictNamespaces = true;
+ serviceConfig.RestrictRealtime = true;
+ serviceConfig.RestrictSUIDSGID = true;
+ serviceConfig.SystemCallArchitectures = "native";
+ serviceConfig.UMask = "0077";
} serviceOpts ]);
};
in
@@ -203,7 +226,7 @@ in
};
description = "Prometheus exporter configuration";
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
node = {
enable = true;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/bird.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/bird.nix
index d8a526eafce..1ef264fc86e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/bird.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/bird.nix
@@ -41,6 +41,10 @@ in
-format.new=${if cfg.newMetricFormat then "true" else "false"} \
${concatStringsSep " \\\n " cfg.extraFlags}
'';
+ RestrictAddressFamilies = [
+ # Need AF_UNIX to collect data
+ "AF_UNIX"
+ ];
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/buildkite-agent.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/buildkite-agent.nix
index 7557480ac06..e9be39608fc 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/buildkite-agent.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/buildkite-agent.nix
@@ -36,7 +36,7 @@ in
queues = mkOption {
type = with types; nullOr (listOf str);
default = null;
- example = literalExample ''[ "my-queue1" "my-queue2" ]'';
+ example = literalExpression ''[ "my-queue1" "my-queue2" ]'';
description = ''
Which specific queues to process.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/dovecot.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/dovecot.nix
index 472652fe8a7..092ac6fea7d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/dovecot.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/dovecot.nix
@@ -83,6 +83,10 @@ in
--dovecot.scopes ${concatStringsSep "," cfg.scopes} \
${concatStringsSep " \\\n " cfg.extraFlags}
'';
+ RestrictAddressFamilies = [
+ # Need AF_UNIX to collect data
+ "AF_UNIX"
+ ];
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/fastly.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/fastly.nix
new file mode 100644
index 00000000000..5b35bb29a30
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/fastly.nix
@@ -0,0 +1,41 @@
+{ config, lib, pkgs, options }:
+
+with lib;
+
+let cfg = config.services.prometheus.exporters.fastly;
+in
+{
+ port = 9118;
+ extraOpts = {
+ debug = mkEnableOption "Debug logging mode for fastly-exporter";
+
+ configFile = mkOption {
+ type = types.nullOr types.path;
+ default = null;
+ description = ''
+ Path to a fastly-exporter configuration file.
+ Example one can be generated with fastly-exporter --config-file-example .
+ '';
+ example = "./fastly-exporter-config.txt";
+ };
+
+ tokenPath = mkOption {
+ type = types.nullOr types.path;
+ apply = final: if final == null then null else toString final;
+ description = ''
+ A run-time path to the token file, which is supposed to be provisioned
+ outside of Nix store.
+ '';
+ };
+ };
+ serviceOpts = {
+ script = ''
+ ${optionalString (cfg.tokenPath != null)
+ "export FASTLY_API_TOKEN=$(cat ${toString cfg.tokenPath})"}
+ ${pkgs.fastly-exporter}/bin/fastly-exporter \
+ -endpoint http://${cfg.listenAddress}:${cfg.port}/metrics
+ ${optionalString cfg.debug "-debug true"} \
+ ${optionalString cfg.configFile "-config-file ${cfg.configFile}"}
+ '';
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/flow.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/flow.nix
index 6a35f46308f..b85e5461f21 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/flow.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/flow.nix
@@ -9,7 +9,7 @@ in {
extraOpts = {
brokers = mkOption {
type = types.listOf types.str;
- example = literalExample ''[ "kafka.example.org:19092" ]'';
+ example = literalExpression ''[ "kafka.example.org:19092" ]'';
description = "List of Kafka brokers to connect to.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/kea.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/kea.nix
index 9677281f877..27aeb909624 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/kea.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/kea.nix
@@ -13,7 +13,7 @@ in {
extraOpts = {
controlSocketPaths = mkOption {
type = types.listOf types.str;
- example = literalExample ''
+ example = literalExpression ''
[
"/run/kea/kea-dhcp4.socket"
"/run/kea/kea-dhcp6.socket"
@@ -34,6 +34,10 @@ in {
${concatStringsSep " \\n" cfg.controlSocketPaths}
'';
SupplementaryGroups = [ "kea" ];
+ RestrictAddressFamilies = [
+ # Need AF_UNIX to collect data
+ "AF_UNIX"
+ ];
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/knot.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/knot.nix
index 46c28fe0a57..29e543f1013 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/knot.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/knot.nix
@@ -10,7 +10,7 @@ in {
knotLibraryPath = mkOption {
type = types.str;
default = "${pkgs.knot-dns.out}/lib/libknot.so";
- defaultText = "\${pkgs.knot-dns}/lib/libknot.so";
+ defaultText = literalExpression ''"''${pkgs.knot-dns.out}/lib/libknot.so"'';
description = ''
Path to the library of knot-dns .
'';
@@ -45,6 +45,10 @@ in {
${concatStringsSep " \\\n " cfg.extraFlags}
'';
SupplementaryGroups = [ "knot" ];
+ RestrictAddressFamilies = [
+ # Need AF_UNIX to collect data
+ "AF_UNIX"
+ ];
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/mail.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/mail.nix
index 7e196149fbb..956bd96aa45 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/mail.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/mail.nix
@@ -100,7 +100,7 @@ let
servers = mkOption {
type = types.listOf (types.submodule serverOptions);
default = [];
- example = literalExample ''
+ example = literalExpression ''
[ {
name = "testserver";
server = "smtp.domain.tld";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/mikrotik.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/mikrotik.nix
index 62c2cc56847..8f9536b702a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/mikrotik.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/mikrotik.nix
@@ -15,7 +15,7 @@ in
Path to a mikrotik exporter configuration file. Mutually exclusive with
configuration option.
'';
- example = literalExample "./mikrotik.yml";
+ example = literalExpression "./mikrotik.yml";
};
configuration = mkOption {
@@ -28,7 +28,7 @@ in
See
for the description of the configuration file format.
'';
- example = literalExample ''
+ example = literalExpression ''
{
devices = [
{
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/modemmanager.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/modemmanager.nix
index 86ea98b94e4..afd03f6c270 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/modemmanager.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/modemmanager.nix
@@ -28,6 +28,10 @@ in
-rate ${cfg.refreshRate} \
${concatStringsSep " \\\n " cfg.extraFlags}
'';
+ RestrictAddressFamilies = [
+ # Need AF_UNIX to collect data
+ "AF_UNIX"
+ ];
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/node.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/node.nix
index adc2abe0b91..5e5fc7cd552 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/node.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/node.nix
@@ -11,7 +11,7 @@ in
enabledCollectors = mkOption {
type = types.listOf types.str;
default = [];
- example = ''[ "systemd" ]'';
+ example = [ "systemd" ];
description = ''
Collectors to enable. The collectors listed here are enabled in addition to the default ones.
'';
@@ -19,7 +19,7 @@ in
disabledCollectors = mkOption {
type = types.listOf types.str;
default = [];
- example = ''[ "timex" ]'';
+ example = [ "timex" ];
description = ''
Collectors to disable which are enabled by default.
'';
@@ -35,6 +35,15 @@ in
${concatMapStringsSep " " (x: "--no-collector." + x) cfg.disabledCollectors} \
--web.listen-address ${cfg.listenAddress}:${toString cfg.port} ${concatStringsSep " " cfg.extraFlags}
'';
+ RestrictAddressFamilies = optionals (any (collector: (collector == "logind" || collector == "systemd")) cfg.enabledCollectors) [
+ # needs access to dbus via unix sockets (logind/systemd)
+ "AF_UNIX"
+ ] ++ optionals (any (collector: (collector == "network_route" || collector == "wifi")) cfg.enabledCollectors) [
+ # needs netlink sockets for wireless collector
+ "AF_NETLINK"
+ ];
+ # The timex collector needs to access clock APIs
+ ProtectClock = any (collector: collector == "timex") cfg.disabledCollectors;
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/pihole.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/pihole.nix
index 21c2e5eab4c..4bc27ebc32f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/pihole.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/pihole.nix
@@ -42,8 +42,8 @@ in
};
piholePort = mkOption {
type = types.port;
- default = "80";
- example = "443";
+ default = 80;
+ example = 443;
description = ''
The port pihole webinterface is reachable on
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/postgres.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/postgres.nix
index dd3bec8ec16..3f9a32ef399 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/postgres.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/postgres.nix
@@ -79,6 +79,10 @@ in
--web.telemetry-path ${cfg.telemetryPath} \
${concatStringsSep " \\\n " cfg.extraFlags}
'';
+ RestrictAddressFamilies = [
+ # Need AF_UNIX to collect data
+ "AF_UNIX"
+ ];
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/process.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/process.nix
index e3b3d18367f..1e9c402fb55 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/process.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/process.nix
@@ -11,14 +11,12 @@ in
extraOpts = {
settings.process_names = mkOption {
type = types.listOf types.anything;
- default = {};
- example = literalExample ''
- {
- process_names = [
- # Remove nix store path from process name
- { name = "{{.Matches.Wrapped}} {{ .Matches.Args }}"; cmdline = [ "^/nix/store[^ ]*/(?P[^ /]*) (?P.*)" ]; }
- ];
- }
+ default = [];
+ example = literalExpression ''
+ [
+ # Remove nix store path from process name
+ { name = "{{.Matches.Wrapped}} {{ .Matches.Args }}"; cmdline = [ "^/nix/store[^ ]*/(?P[^ /]*) (?P.*)" ]; }
+ ]
'';
description = ''
All settings expressed as an Nix attrset.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/rspamd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/rspamd.nix
index 994670a376e..ed985751e42 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/rspamd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/rspamd.nix
@@ -62,8 +62,8 @@ in
default = {
host = config.networking.hostName;
};
- defaultText = "{ host = config.networking.hostName; }";
- example = literalExample ''
+ defaultText = literalExpression "{ host = config.networking.hostName; }";
+ example = literalExpression ''
{
host = config.networking.hostName;
custom_label = "some_value";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/rtl_433.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/rtl_433.nix
index 01e420db389..ef829a1b7d0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/rtl_433.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/rtl_433.nix
@@ -61,6 +61,11 @@ in
serviceConfig = {
# rtl-sdr udev rules make supported USB devices +rw by plugdev.
SupplementaryGroups = "plugdev";
+ # rtl_433 needs rw access to the USB radio.
+ PrivateDevices = lib.mkForce false;
+ DeviceAllow = lib.mkForce "char-usb_device rw";
+ RestrictAddressFamilies = [ "AF_NETLINK" ];
+
ExecStart = let
matchers = (map (m:
"--channel_matcher '${m.name},${toString m.channel},${m.location}'"
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/script.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/script.nix
index 104ab859f2e..a805a0ad335 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/script.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/script.nix
@@ -30,7 +30,7 @@ in
};
};
});
- example = literalExample ''
+ example = literalExpression ''
{
scripts = [
{ name = "sleep"; script = "sleep 5"; }
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/smokeping.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/smokeping.nix
index 0a7bb9c27be..0181c341a7e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/smokeping.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/smokeping.nix
@@ -45,6 +45,7 @@ in
serviceOpts = {
serviceConfig = {
AmbientCapabilities = [ "CAP_NET_RAW" ];
+ CapabilityBoundingSet = [ "CAP_NET_RAW" ];
ExecStart = ''
${pkgs.prometheus-smokeping-prober}/bin/smokeping_prober \
--web.listen-address ${cfg.listenAddress}:${toString cfg.port} \
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/snmp.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/snmp.nix
index 01276366e97..de42663e67f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/snmp.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/snmp.nix
@@ -14,7 +14,7 @@ in
description = ''
Path to a snmp exporter configuration file. Mutually exclusive with 'configuration' option.
'';
- example = "./snmp.yml";
+ example = literalExpression "./snmp.yml";
};
configuration = mkOption {
@@ -23,16 +23,14 @@ in
description = ''
Snmp exporter configuration as nix attribute set. Mutually exclusive with 'configurationPath' option.
'';
- example = ''
- {
- "default" = {
- "version" = 2;
- "auth" = {
- "community" = "public";
- };
+ example = {
+ "default" = {
+ "version" = 2;
+ "auth" = {
+ "community" = "public";
};
};
- '';
+ };
};
logFormat = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/sql.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/sql.nix
index d9be724ebc0..3496fd9541f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/sql.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/sql.nix
@@ -99,6 +99,10 @@ in
-config.file ${configFile} \
${concatStringsSep " \\\n " cfg.extraFlags}
'';
+ RestrictAddressFamilies = [
+ # Need AF_UNIX to collect data
+ "AF_UNIX"
+ ];
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/systemd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/systemd.nix
index 0514469b8a6..c0a50f07d71 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/systemd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/systemd.nix
@@ -13,6 +13,10 @@ in {
${pkgs.prometheus-systemd-exporter}/bin/systemd_exporter \
--web.listen-address ${cfg.listenAddress}:${toString cfg.port}
'';
+ RestrictAddressFamilies = [
+ # Need AF_UNIX to collect data
+ "AF_UNIX"
+ ];
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/unbound.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/unbound.nix
index 56a559531c1..cf0efddd340 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/unbound.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/unbound.nix
@@ -49,6 +49,10 @@ in
${optionalString (cfg.controlInterface != null) "--control-interface ${cfg.controlInterface}"} \
${toString cfg.extraFlags}
'';
+ RestrictAddressFamilies = [
+ # Need AF_UNIX to collect data
+ "AF_UNIX"
+ ];
};
}] ++ [
(mkIf config.services.unbound.enable {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/wireguard.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/wireguard.nix
index 04421fc2d25..d4aa69629ec 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/wireguard.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/exporters/wireguard.nix
@@ -52,6 +52,7 @@ in {
serviceConfig = {
AmbientCapabilities = [ "CAP_NET_ADMIN" ];
+ CapabilityBoundingSet = [ "CAP_NET_ADMIN" ];
ExecStart = ''
${pkgs.prometheus-wireguard-exporter}/bin/prometheus_wireguard_exporter \
-p ${toString cfg.port} \
@@ -61,6 +62,10 @@ in {
${optionalString cfg.withRemoteIp "-r"} \
${optionalString (cfg.wireguardConfig != null) "-n ${escapeShellArg cfg.wireguardConfig}"}
'';
+ RestrictAddressFamilies = [
+ # Need AF_NETLINK to collect data
+ "AF_NETLINK"
+ ];
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/pushgateway.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/pushgateway.nix
index f8fcc3eb97e..01b99376243 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/pushgateway.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/prometheus/pushgateway.nix
@@ -26,7 +26,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.prometheus-pushgateway;
- defaultText = "pkgs.prometheus-pushgateway";
+ defaultText = literalExpression "pkgs.prometheus-pushgateway";
description = ''
Package that should be used for the prometheus pushgateway.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/scollector.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/scollector.nix
index ef535585e9b..6a6fe110f94 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/scollector.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/scollector.nix
@@ -43,8 +43,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.scollector;
- defaultText = "pkgs.scollector";
- example = literalExample "pkgs.scollector";
+ defaultText = literalExpression "pkgs.scollector";
description = ''
scollector binary to use.
'';
@@ -78,7 +77,7 @@ in {
collectors = mkOption {
type = with types; attrsOf (listOf path);
default = {};
- example = literalExample "{ \"0\" = [ \"\${postgresStats}/bin/collect-stats\" ]; }";
+ example = literalExpression ''{ "0" = [ "''${postgresStats}/bin/collect-stats" ]; }'';
description = ''
An attribute set mapping the frequency of collection to a list of
binaries that should be executed at that frequency. You can use "0"
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/teamviewer.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/teamviewer.nix
index ce9e57a187c..e2271e571c4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/teamviewer.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/teamviewer.nix
@@ -24,18 +24,21 @@ in
environment.systemPackages = [ pkgs.teamviewer ];
+ services.dbus.packages = [ pkgs.teamviewer ];
+
systemd.services.teamviewerd = {
description = "TeamViewer remote control daemon";
wantedBy = [ "multi-user.target" ];
- after = [ "NetworkManager-wait-online.service" "network.target" ];
+ after = [ "NetworkManager-wait-online.service" "network.target" "dbus.service" ];
+ requires = [ "dbus.service" ];
preStart = "mkdir -pv /var/lib/teamviewer /var/log/teamviewer";
startLimitIntervalSec = 60;
startLimitBurst = 10;
serviceConfig = {
- Type = "forking";
- ExecStart = "${pkgs.teamviewer}/bin/teamviewerd -d";
+ Type = "simple";
+ ExecStart = "${pkgs.teamviewer}/bin/teamviewerd -f";
PIDFile = "/run/teamviewerd.pid";
ExecReload = "${pkgs.coreutils}/bin/kill -HUP $MAINPID";
Restart = "on-abort";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/telegraf.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/telegraf.nix
index 4046260c164..13aae58d0f3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/telegraf.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/telegraf.nix
@@ -15,7 +15,7 @@ in {
package = mkOption {
default = pkgs.telegraf;
- defaultText = "pkgs.telegraf";
+ defaultText = literalExpression "pkgs.telegraf";
description = "Which telegraf derivation to use";
type = types.package;
};
@@ -23,7 +23,7 @@ in {
environmentFiles = mkOption {
type = types.listOf types.path;
default = [];
- example = "/run/keys/telegraf.env";
+ example = [ "/run/keys/telegraf.env" ];
description = ''
File to load as environment file. Environment variables from this file
will be interpolated into the config file using envsubst with this
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/thanos.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/thanos.nix
index 96addf392bd..da626788d82 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/thanos.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/thanos.nix
@@ -120,7 +120,7 @@ let
type = with types; nullOr str;
default = if cfg.tracing.config == null then null
else toString (toYAML "tracing.yaml" cfg.tracing.config);
- defaultText = ''
+ defaultText = literalExpression ''
if config.services.thanos..tracing.config == null then null
else toString (toYAML "tracing.yaml" config.services.thanos..tracing.config);
'';
@@ -185,7 +185,7 @@ let
type = with types; nullOr str;
default = if cfg.objstore.config == null then null
else toString (toYAML "objstore.yaml" cfg.objstore.config);
- defaultText = ''
+ defaultText = literalExpression ''
if config.services.thanos..objstore.config == null then null
else toString (toYAML "objstore.yaml" config.services.thanos..objstore.config);
'';
@@ -227,7 +227,7 @@ let
option = mkOption {
type = types.str;
default = "/var/lib/${config.services.prometheus.stateDir}/data";
- defaultText = "/var/lib/\${config.services.prometheus.stateDir}/data";
+ defaultText = literalExpression ''"/var/lib/''${config.services.prometheus.stateDir}/data"'';
description = ''
Data directory of TSDB.
'';
@@ -656,7 +656,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.thanos;
- defaultText = "pkgs.thanos";
+ defaultText = literalExpression "pkgs.thanos";
description = ''
The thanos package that should be used.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/tuptime.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/tuptime.nix
index 17c5c1f56ea..de80282559a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/tuptime.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/tuptime.nix
@@ -36,6 +36,7 @@ in {
groups._tuptime.members = [ "_tuptime" ];
users._tuptime = {
isSystemUser = true;
+ group = "_tuptime";
description = "tuptime database owner";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/unifi-poller.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/unifi-poller.nix
index 208f5e4875b..81a7b408bcc 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/unifi-poller.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/unifi-poller.nix
@@ -87,7 +87,7 @@ in {
pass = mkOption {
type = types.path;
default = pkgs.writeText "unifi-poller-influxdb-default.password" "unifipoller";
- defaultText = "unifi-poller-influxdb-default.password";
+ defaultText = literalExpression "unifi-poller-influxdb-default.password";
description = ''
Path of a file containing the password for influxdb.
This file needs to be readable by the unifi-poller user.
@@ -130,7 +130,7 @@ in {
pass = mkOption {
type = types.path;
default = pkgs.writeText "unifi-poller-unifi-default.password" "unifi";
- defaultText = "unifi-poller-unifi-default.password";
+ defaultText = literalExpression "unifi-poller-unifi-default.password";
description = ''
Path of a file containing the password for the unifi service user.
This file needs to be readable by the unifi-poller user.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/zabbix-agent.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/zabbix-agent.nix
index 7eb6449e384..c48b973f1ef 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/zabbix-agent.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/zabbix-agent.nix
@@ -4,7 +4,7 @@ let
cfg = config.services.zabbixAgent;
inherit (lib) mkDefault mkEnableOption mkIf mkMerge mkOption;
- inherit (lib) attrValues concatMapStringsSep literalExample optionalString types;
+ inherit (lib) attrValues concatMapStringsSep literalExpression optionalString types;
inherit (lib.generators) toKeyValue;
user = "zabbix-agent";
@@ -34,15 +34,15 @@ in
package = mkOption {
type = types.package;
default = pkgs.zabbix.agent;
- defaultText = "pkgs.zabbix.agent";
+ defaultText = literalExpression "pkgs.zabbix.agent";
description = "The Zabbix package to use.";
};
extraPackages = mkOption {
type = types.listOf types.package;
default = with pkgs; [ nettools ];
- defaultText = "[ nettools ]";
- example = "[ nettools mysql ]";
+ defaultText = literalExpression "with pkgs; [ nettools ]";
+ example = literalExpression "with pkgs; [ nettools mysql ]";
description = ''
Packages to be added to the Zabbix PATH .
Typically used to add executables for scripts, but can be anything.
@@ -53,7 +53,7 @@ in
type = types.attrsOf types.package;
description = "A set of modules to load.";
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"dummy.so" = pkgs.stdenv.mkDerivation {
name = "zabbix-dummy-module-''${cfg.package.version}";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/zabbix-proxy.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/zabbix-proxy.nix
index 2c8b8b92cb3..b5009f47f17 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/zabbix-proxy.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/zabbix-proxy.nix
@@ -6,7 +6,7 @@ let
mysql = config.services.mysql;
inherit (lib) mkAfter mkDefault mkEnableOption mkIf mkMerge mkOption;
- inherit (lib) attrValues concatMapStringsSep getName literalExample optional optionalAttrs optionalString types;
+ inherit (lib) attrValues concatMapStringsSep getName literalExpression optional optionalAttrs optionalString types;
inherit (lib.generators) toKeyValue;
user = "zabbix";
@@ -52,14 +52,14 @@ in
if cfg.database.type == "mysql" then pkgs.zabbix.proxy-mysql
else if cfg.database.type == "pgsql" then pkgs.zabbix.proxy-pgsql
else pkgs.zabbix.proxy-sqlite;
- defaultText = "pkgs.zabbix.proxy-pgsql";
+ defaultText = literalExpression "pkgs.zabbix.proxy-pgsql";
description = "The Zabbix package to use.";
};
extraPackages = mkOption {
type = types.listOf types.package;
default = with pkgs; [ nettools nmap traceroute ];
- defaultText = "[ nettools nmap traceroute ]";
+ defaultText = literalExpression "[ nettools nmap traceroute ]";
description = ''
Packages to be added to the Zabbix PATH .
Typically used to add executables for scripts, but can be anything.
@@ -70,7 +70,7 @@ in
type = types.attrsOf types.package;
description = "A set of modules to load.";
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"dummy.so" = pkgs.stdenv.mkDerivation {
name = "zabbix-dummy-module-''${cfg.package.version}";
@@ -109,7 +109,7 @@ in
name = mkOption {
type = types.str;
default = if cfg.database.type == "sqlite" then "${stateDir}/zabbix.db" else "zabbix";
- defaultText = "zabbix";
+ defaultText = literalExpression "zabbix";
description = "Database name.";
};
@@ -262,7 +262,12 @@ in
};
security.wrappers = {
- fping.source = "${pkgs.fping}/bin/fping";
+ fping =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.fping}/bin/fping";
+ };
};
systemd.services.zabbix-proxy = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/zabbix-server.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/zabbix-server.nix
index c8658634ecb..9b0fd9dbff1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/zabbix-server.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/monitoring/zabbix-server.nix
@@ -6,7 +6,7 @@ let
mysql = config.services.mysql;
inherit (lib) mkAfter mkDefault mkEnableOption mkIf mkMerge mkOption;
- inherit (lib) attrValues concatMapStringsSep getName literalExample optional optionalAttrs optionalString types;
+ inherit (lib) attrValues concatMapStringsSep getName literalExpression optional optionalAttrs optionalString types;
inherit (lib.generators) toKeyValue;
user = "zabbix";
@@ -44,14 +44,14 @@ in
package = mkOption {
type = types.package;
default = if cfg.database.type == "mysql" then pkgs.zabbix.server-mysql else pkgs.zabbix.server-pgsql;
- defaultText = "pkgs.zabbix.server-pgsql";
+ defaultText = literalExpression "pkgs.zabbix.server-pgsql";
description = "The Zabbix package to use.";
};
extraPackages = mkOption {
type = types.listOf types.package;
default = with pkgs; [ nettools nmap traceroute ];
- defaultText = "[ nettools nmap traceroute ]";
+ defaultText = literalExpression "[ nettools nmap traceroute ]";
description = ''
Packages to be added to the Zabbix PATH .
Typically used to add executables for scripts, but can be anything.
@@ -62,7 +62,7 @@ in
type = types.attrsOf types.package;
description = "A set of modules to load.";
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"dummy.so" = pkgs.stdenv.mkDerivation {
name = "zabbix-dummy-module-''${cfg.package.version}";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/ceph.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/ceph.nix
index d833062c473..e313589134f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/ceph.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/ceph.nix
@@ -97,6 +97,7 @@ in
mgrModulePath = mkOption {
type = types.path;
default = "${pkgs.ceph.lib}/lib/ceph/mgr";
+ defaultText = literalExpression ''"''${pkgs.ceph.lib}/lib/ceph/mgr"'';
description = ''
Path at which to find ceph-mgr modules.
'';
@@ -181,6 +182,7 @@ in
rgwMimeTypesFile = mkOption {
type = with types; nullOr path;
default = "${pkgs.mime-types}/etc/mime.types";
+ defaultText = literalExpression ''"''${pkgs.mime-types}/etc/mime.types"'';
description = ''
Path to mime types used by radosgw.
'';
@@ -190,11 +192,9 @@ in
extraConfig = mkOption {
type = with types; attrsOf str;
default = {};
- example = ''
- {
- "ms bind ipv6" = "true";
- };
- '';
+ example = {
+ "ms bind ipv6" = "true";
+ };
description = ''
Extra configuration to add to the global section. Use for setting values that are common for all daemons in the cluster.
'';
@@ -205,9 +205,7 @@ in
daemons = mkOption {
type = with types; listOf str;
default = [];
- example = ''
- [ "name1" "name2" ];
- '';
+ example = [ "name1" "name2" ];
description = ''
A list of names for manager daemons that should have a service created. The names correspond
to the id part in ceph i.e. [ "name1" ] would result in mgr.name1
@@ -227,9 +225,7 @@ in
daemons = mkOption {
type = with types; listOf str;
default = [];
- example = ''
- [ "name1" "name2" ];
- '';
+ example = [ "name1" "name2" ];
description = ''
A list of monitor daemons that should have a service created. The names correspond
to the id part in ceph i.e. [ "name1" ] would result in mon.name1
@@ -249,9 +245,7 @@ in
daemons = mkOption {
type = with types; listOf str;
default = [];
- example = ''
- [ "name1" "name2" ];
- '';
+ example = [ "name1" "name2" ];
description = ''
A list of OSD daemons that should have a service created. The names correspond
to the id part in ceph i.e. [ "name1" ] would result in osd.name1
@@ -279,9 +273,7 @@ in
daemons = mkOption {
type = with types; listOf str;
default = [];
- example = ''
- [ "name1" "name2" ];
- '';
+ example = [ "name1" "name2" ];
description = ''
A list of metadata service daemons that should have a service created. The names correspond
to the id part in ceph i.e. [ "name1" ] would result in mds.name1
@@ -301,9 +293,7 @@ in
daemons = mkOption {
type = with types; listOf str;
default = [];
- example = ''
- [ "name1" "name2" ];
- '';
+ example = [ "name1" "name2" ];
description = ''
A list of rados gateway daemons that should have a service created. The names correspond
to the id part in ceph i.e. [ "name1" ] would result in client.name1, radosgw daemons
@@ -318,7 +308,7 @@ in
extraConfig = mkOption {
type = with types; attrsOf (attrsOf str);
default = {};
- example = ''
+ example = literalExpression ''
{
# This would create a section for a radosgw daemon named node0 and related
# configuration for it
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/glusterfs.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/glusterfs.nix
index d70092999f6..bc8be05ca8c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/glusterfs.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/glusterfs.nix
@@ -113,19 +113,16 @@ in
type = types.nullOr (types.submodule {
options = {
tlsKeyPath = mkOption {
- default = null;
type = types.str;
description = "Path to the private key used for TLS.";
};
tlsPem = mkOption {
- default = null;
type = types.path;
description = "Path to the certificate used for TLS.";
};
caCert = mkOption {
- default = null;
type = types.path;
description = "Path certificate authority used to sign the cluster certificates.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/ipfs.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/ipfs.nix
index 1d5c0378749..36b72ca48b2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/ipfs.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/ipfs.nix
@@ -5,36 +5,46 @@ let
opt = options.services.ipfs;
ipfsFlags = toString ([
- (optionalString cfg.autoMount "--mount")
- (optionalString cfg.enableGC "--enable-gc")
- (optionalString (cfg.serviceFdlimit != null) "--manage-fdlimit=false")
- (optionalString (cfg.defaultMode == "offline") "--offline")
+ (optionalString cfg.autoMount "--mount")
+ (optionalString cfg.enableGC "--enable-gc")
+ (optionalString (cfg.serviceFdlimit != null) "--manage-fdlimit=false")
+ (optionalString (cfg.defaultMode == "offline") "--offline")
(optionalString (cfg.defaultMode == "norouting") "--routing=none")
] ++ cfg.extraFlags);
+ profile =
+ if cfg.localDiscovery
+ then "local-discovery"
+ else "server";
+
splitMulitaddr = addrRaw: lib.tail (lib.splitString "/" addrRaw);
- multiaddrToListenStream = addrRaw: let
+ multiaddrToListenStream = addrRaw:
+ let
addr = splitMulitaddr addrRaw;
s = builtins.elemAt addr;
- in if s 0 == "ip4" && s 2 == "tcp"
- then "${s 1}:${s 3}"
+ in
+ if s 0 == "ip4" && s 2 == "tcp"
+ then "${s 1}:${s 3}"
else if s 0 == "ip6" && s 2 == "tcp"
- then "[${s 1}]:${s 3}"
+ then "[${s 1}]:${s 3}"
else if s 0 == "unix"
- then "/${lib.concatStringsSep "/" (lib.tail addr)}"
+ then "/${lib.concatStringsSep "/" (lib.tail addr)}"
else null; # not valid for listen stream, skip
- multiaddrToListenDatagram = addrRaw: let
+ multiaddrToListenDatagram = addrRaw:
+ let
addr = splitMulitaddr addrRaw;
s = builtins.elemAt addr;
- in if s 0 == "ip4" && s 2 == "udp"
- then "${s 1}:${s 3}"
+ in
+ if s 0 == "ip4" && s 2 == "udp"
+ then "${s 1}:${s 3}"
else if s 0 == "ip6" && s 2 == "udp"
- then "[${s 1}]:${s 3}"
+ then "[${s 1}]:${s 3}"
else null; # not valid for listen datagram, skip
-in {
+in
+{
###### interface
@@ -47,7 +57,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.ipfs;
- defaultText = "pkgs.ipfs";
+ defaultText = literalExpression "pkgs.ipfs";
description = "Which IPFS package to use.";
};
@@ -65,9 +75,10 @@ in {
dataDir = mkOption {
type = types.str;
- default = if versionAtLeast config.system.stateVersion "17.09"
- then "/var/lib/ipfs"
- else "/var/lib/ipfs/.ipfs";
+ default =
+ if versionAtLeast config.system.stateVersion "17.09"
+ then "/var/lib/ipfs"
+ else "/var/lib/ipfs/.ipfs";
description = "The data dir for IPFS";
};
@@ -83,6 +94,12 @@ in {
description = "Whether IPFS should try to mount /ipfs and /ipns at startup.";
};
+ autoMigrate = mkOption {
+ type = types.bool;
+ default = true;
+ description = "Whether IPFS should try to run the fs-repo-migration at startup.";
+ };
+
ipfsMountDir = mkOption {
type = types.str;
default = "/ipfs";
@@ -137,7 +154,7 @@ in {
These are applied last, so may override configuration set by other options in this module.
Keep in mind that this configuration is stateful; i.e., unsetting anything in here does not reset the value to the default!
'';
- default = {};
+ default = { };
example = {
Datastore.StorageMax = "100GB";
Discovery.MDNS.Enabled = false;
@@ -153,7 +170,7 @@ in {
extraFlags = mkOption {
type = types.listOf types.str;
description = "Extra flags passed to the IPFS daemon";
- default = [];
+ default = [ ];
};
localDiscovery = mkOption {
@@ -161,14 +178,14 @@ in {
description = ''Whether to enable local discovery for the ipfs daemon.
This will allow ipfs to scan ports on your local network. Some hosting services will ban you if you do this.
'';
- default = true;
+ default = false;
};
serviceFdlimit = mkOption {
type = types.nullOr types.int;
default = null;
description = "The fdlimit for the IPFS systemd unit or null to have the daemon attempt to manage it";
- example = 64*1024;
+ example = 64 * 1024;
};
startWhenNeeded = mkOption {
@@ -186,6 +203,9 @@ in {
environment.systemPackages = [ cfg.package ];
environment.variables.IPFS_PATH = cfg.dataDir;
+ # https://github.com/lucas-clemente/quic-go/wiki/UDP-Receive-Buffer-Size
+ boot.kernel.sysctl."net.core.rmem_max" = mkDefault 2500000;
+
programs.fuse = mkIf cfg.autoMount {
userAllowOther = true;
};
@@ -221,38 +241,40 @@ in {
environment.IPFS_PATH = cfg.dataDir;
preStart = ''
- if [[ ! -f ${cfg.dataDir}/config ]]; then
- ipfs init ${optionalString cfg.emptyRepo "-e"} \
- ${optionalString (! cfg.localDiscovery) "--profile=server"}
+ if [[ ! -f "$IPFS_PATH/config" ]]; then
+ ipfs init ${optionalString cfg.emptyRepo "-e"} --profile=${profile}
else
- ${if cfg.localDiscovery
- then "ipfs --offline config profile apply local-discovery"
- else "ipfs --offline config profile apply server"
- }
+ # After an unclean shutdown this file may exist which will cause the config command to attempt to talk to the daemon. This will hang forever if systemd is holding our sockets open.
+ rm -vf "$IPFS_PATH/api"
+
+ ipfs --offline config profile apply ${profile}
fi
'' + optionalString cfg.autoMount ''
ipfs --offline config Mounts.FuseAllowOther --json true
ipfs --offline config Mounts.IPFS ${cfg.ipfsMountDir}
ipfs --offline config Mounts.IPNS ${cfg.ipnsMountDir}
+ '' + optionalString cfg.autoMigrate ''
+ ${pkgs.ipfs-migrator}/bin/fs-repo-migrations -y
'' + concatStringsSep "\n" (collect
- isString
- (mapAttrsRecursive
- (path: value:
- # Using heredoc below so that the value is never improperly quoted
- ''
- read value <afsdb is set to true .
'';
- example = ''
- [ { ip = "1.2.3.4"; dnsname = "first.afsdb.server.dns.fqdn.org"; }
- { ip = "2.3.4.5"; dnsname = "second.afsdb.server.dns.fqdn.org"; }
- ]
- '';
+ example = [
+ { ip = "1.2.3.4"; dnsname = "first.afsdb.server.dns.fqdn.org"; }
+ { ip = "2.3.4.5"; dnsname = "second.afsdb.server.dns.fqdn.org"; }
+ ];
};
cache = {
@@ -149,13 +148,13 @@ in
packages = {
module = mkOption {
default = config.boot.kernelPackages.openafs;
- defaultText = "config.boot.kernelPackages.openafs";
+ defaultText = literalExpression "config.boot.kernelPackages.openafs";
type = types.package;
description = "OpenAFS kernel module package. MUST match the userland package!";
};
programs = mkOption {
default = getBin pkgs.openafs;
- defaultText = "getBin pkgs.openafs";
+ defaultText = literalExpression "getBin pkgs.openafs";
type = types.package;
description = "OpenAFS programs package. MUST match the kernel module package!";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/openafs/server.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/openafs/server.nix
index 4fce650b013..c1bf83be77b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/openafs/server.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/openafs/server.nix
@@ -4,7 +4,7 @@
with import ./lib.nix { inherit config lib pkgs; };
let
- inherit (lib) concatStringsSep mkIf mkOption optionalString types;
+ inherit (lib) concatStringsSep literalExpression mkIf mkOption optionalString types;
bosConfig = pkgs.writeText "BosConfig" (''
restrictmode 1
@@ -81,7 +81,7 @@ in {
package = mkOption {
default = pkgs.openafs.server or pkgs.openafs;
- defaultText = "pkgs.openafs.server or pkgs.openafs";
+ defaultText = literalExpression "pkgs.openafs.server or pkgs.openafs";
type = types.package;
description = "OpenAFS package for the server binaries";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/orangefs/client.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/orangefs/client.nix
index b69d9e713c3..36ea5af2168 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/orangefs/client.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/orangefs/client.nix
@@ -47,7 +47,6 @@ in {
target = mkOption {
type = types.str;
- default = null;
example = "tcp://server:3334/orangefs";
description = "Target URL";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/orangefs/server.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/orangefs/server.nix
index 8eb754fe611..621c2fe8f78 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/orangefs/server.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/orangefs/server.nix
@@ -118,12 +118,10 @@ in {
servers = mkOption {
type = with types; attrsOf types.str;
default = {};
- example = ''
- {
- node1="tcp://node1:3334";
- node2="tcp://node2:3334";
- }
- '';
+ example = {
+ node1 = "tcp://node1:3334";
+ node2 = "tcp://node2:3334";
+ };
description = "URLs for storage server including port. The attribute names define the server alias.";
};
@@ -132,8 +130,7 @@ in {
These options will create the <FileSystem> sections of config file.
'';
default = { orangefs = {}; };
- defaultText = literalExample "{ orangefs = {}; }";
- example = literalExample ''
+ example = literalExpression ''
{
fs1 = {
id = 101;
@@ -193,7 +190,10 @@ in {
environment.systemPackages = [ pkgs.orangefs ];
# orangefs daemon will run as user
- users.users.orangefs.isSystemUser = true;
+ users.users.orangefs = {
+ isSystemUser = true;
+ group = "orangfs";
+ };
users.groups.orangefs = {};
# To format the file system the config file is needed.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/samba.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/samba.nix
index 78ea245cb35..9ed755d0465 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/samba.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/samba.nix
@@ -87,13 +87,20 @@ in
If you use the firewall consider adding the following:
- networking.firewall.allowedTCPPorts = [ 139 445 ];
- networking.firewall.allowedUDPPorts = [ 137 138 ];
+ services.samba.openFirewall = true;
'';
};
+ openFirewall = mkOption {
+ type = types.bool;
+ default = false;
+ description = ''
+ Whether to automatically open the necessary ports in the firewall.
+ '';
+ };
+
enableNmbd = mkOption {
type = types.bool;
default = true;
@@ -117,8 +124,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.samba;
- defaultText = "pkgs.samba";
- example = literalExample "pkgs.samba4Full";
+ defaultText = literalExpression "pkgs.samba";
+ example = literalExpression "pkgs.samba4Full";
description = ''
Defines which package should be used for the samba server.
'';
@@ -176,7 +183,7 @@ in
See man smb.conf for options.
'';
type = types.attrsOf (types.attrsOf types.unspecified);
- example = literalExample ''
+ example = literalExpression ''
{ public =
{ path = "/srv/public";
"read only" = true;
@@ -235,7 +242,10 @@ in
};
security.pam.services.samba = {};
- environment.systemPackages = [ config.services.samba.package ];
+ environment.systemPackages = [ cfg.package ];
+
+ networking.firewall.allowedTCPPorts = mkIf cfg.openFirewall [ 139 445 ];
+ networking.firewall.allowedUDPPorts = mkIf cfg.openFirewall [ 137 138 ];
})
];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/tahoe.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/tahoe.nix
index 7d75eb28610..5426463dffa 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/tahoe.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/tahoe.nix
@@ -34,9 +34,8 @@ in
};
package = mkOption {
default = pkgs.tahoelafs;
- defaultText = "pkgs.tahoelafs";
+ defaultText = literalExpression "pkgs.tahoelafs";
type = types.package;
- example = literalExample "pkgs.tahoelafs";
description = ''
The package to use for the Tahoe LAFS daemon.
'';
@@ -179,9 +178,8 @@ in
};
package = mkOption {
default = pkgs.tahoelafs;
- defaultText = "pkgs.tahoelafs";
+ defaultText = literalExpression "pkgs.tahoelafs";
type = types.package;
- example = literalExample "pkgs.tahoelafs";
description = ''
The package to use for the Tahoe LAFS daemon.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/xtreemfs.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/xtreemfs.nix
index 6cc8a05ee00..fc072311578 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/xtreemfs.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/network-filesystems/xtreemfs.nix
@@ -142,7 +142,7 @@ in
'';
};
syncMode = mkOption {
- type = types.enum [ "ASYNC" "SYNC_WRITE_METADATA" "SYNC_WRITE" "FDATASYNC" "ASYNC" ];
+ type = types.enum [ "ASYNC" "SYNC_WRITE_METADATA" "SYNC_WRITE" "FDATASYNC" "FSYNC" ];
default = "FSYNC";
example = "FDATASYNC";
description = ''
@@ -268,7 +268,7 @@ in
};
syncMode = mkOption {
default = "FSYNC";
- type = types.enum [ "ASYNC" "SYNC_WRITE_METADATA" "SYNC_WRITE" "FDATASYNC" "ASYNC" ];
+ type = types.enum [ "ASYNC" "SYNC_WRITE_METADATA" "SYNC_WRITE" "FDATASYNC" "FSYNC" ];
example = "FDATASYNC";
description = ''
The sync mode influences how operations are committed to the disk
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/3proxy.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/3proxy.nix
index 37a48657c1c..326a8671fcc 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/3proxy.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/3proxy.nix
@@ -205,7 +205,7 @@ in {
};
});
default = [ ];
- example = literalExample ''
+ example = literalExpression ''
[
{
rule = "allow";
@@ -244,7 +244,7 @@ in {
};
});
default = [ ];
- example = literalExample ''
+ example = literalExpression ''
[
{
type = "proxy";
@@ -290,17 +290,6 @@ in {
"::1"
"fc00::/7"
];
- example = [
- "0.0.0.0/8"
- "127.0.0.0/8"
- "10.0.0.0/8"
- "100.64.0.0/10"
- "172.16.0.0/12"
- "192.168.0.0/16"
- "::"
- "::1"
- "fc00::/7"
- ];
description = ''
What IP ranges to deny access when denyPrivate is set tu true.
'';
@@ -322,19 +311,17 @@ in {
nscache = mkOption {
type = types.int;
default = 65535;
- example = 65535;
description = "Set name cache size for IPv4.";
};
nscache6 = mkOption {
type = types.int;
default = 65535;
- example = 65535;
description = "Set name cache size for IPv6.";
};
nsrecord = mkOption {
type = types.attrsOf types.str;
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
"files.local" = "192.168.1.12";
"site.local" = "192.168.1.43";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/asterisk.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/asterisk.nix
index 03a2544b9a7..af091d55c01 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/asterisk.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/asterisk.nix
@@ -115,7 +115,7 @@ in
confFiles = mkOption {
default = {};
type = types.attrsOf types.str;
- example = literalExample
+ example = literalExpression
''
{
"extensions.conf" = '''
@@ -200,7 +200,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.asterisk;
- defaultText = "pkgs.asterisk";
+ defaultText = literalExpression "pkgs.asterisk";
description = "The Asterisk package to use.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/atftpd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/atftpd.nix
index e7fd48c99a8..da5e305201f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/atftpd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/atftpd.nix
@@ -28,7 +28,7 @@ in
extraOptions = mkOption {
default = [];
type = types.listOf types.str;
- example = literalExample ''
+ example = literalExpression ''
[ "--bind-address 192.168.9.1"
"--verbose=7"
]
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/avahi-daemon.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/avahi-daemon.nix
index 020a817f259..50c4ffdedce 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/avahi-daemon.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/avahi-daemon.nix
@@ -54,7 +54,7 @@ in
hostName = mkOption {
type = types.str;
default = config.networking.hostName;
- defaultText = literalExample "config.networking.hostName";
+ defaultText = literalExpression "config.networking.hostName";
description = ''
Host name advertised on the LAN. If not set, avahi will use the value
of config.networking.hostName .
@@ -87,7 +87,7 @@ in
ipv6 = mkOption {
type = types.bool;
default = config.networking.enableIPv6;
- defaultText = "config.networking.enableIPv6";
+ defaultText = literalExpression "config.networking.enableIPv6";
description = "Whether to use IPv6.";
};
@@ -134,7 +134,7 @@ in
extraServiceFiles = mkOption {
type = with types; attrsOf (either str path);
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
ssh = "''${pkgs.avahi}/etc/avahi/services/ssh.service";
smb = '''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bee.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bee.nix
index 8a77ce23ab4..d6efade0630 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bee.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bee.nix
@@ -20,8 +20,8 @@ in {
package = mkOption {
type = types.package;
default = pkgs.bee;
- defaultText = "pkgs.bee";
- example = "pkgs.bee-unstable";
+ defaultText = literalExpression "pkgs.bee";
+ example = literalExpression "pkgs.bee-unstable";
description = "The package providing the bee binary for the service.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/biboumi.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/biboumi.nix
index 66ddca93d81..3f46b95eaf0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/biboumi.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/biboumi.nix
@@ -107,6 +107,7 @@ in
options.policy_directory = mkOption {
type = types.path;
default = "${pkgs.biboumi}/etc/biboumi";
+ defaultText = literalExpression ''"''${pkgs.biboumi}/etc/biboumi"'';
description = ''
A directory that should contain the policy files,
used to customize Botan’s behaviour
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bind.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bind.nix
index 480d5a184f2..f2b2e4c4d5d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bind.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bind.nix
@@ -110,7 +110,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.bind;
- defaultText = "pkgs.bind";
+ defaultText = literalExpression "pkgs.bind";
description = "The BIND package to use.";
};
@@ -209,7 +209,7 @@ in
configFile = mkOption {
type = types.path;
default = confFile;
- defaultText = "confFile";
+ defaultText = literalExpression "confFile";
description = "
Overridable config file to use for named. By default, that
generated by nixos.
@@ -229,9 +229,11 @@ in
users.users.${bindUser} =
{
- uid = config.ids.uids.bind;
+ group = bindUser;
description = "BIND daemon user";
+ isSystemUser = true;
};
+ users.groups.${bindUser} = {};
systemd.services.bind = {
description = "BIND Domain Name Server";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bitcoind.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bitcoind.nix
index bc9aa53f49a..80033d95860 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bitcoind.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bitcoind.nix
@@ -40,7 +40,7 @@ let
package = mkOption {
type = types.package;
default = pkgs.bitcoind;
- defaultText = "pkgs.bitcoind";
+ defaultText = literalExpression "pkgs.bitcoind";
description = "The package providing bitcoin binaries.";
};
@@ -88,7 +88,7 @@ let
};
users = mkOption {
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
alice.passwordHMAC = "f7efda5c189b999524f151318c0c86$d5b51b3beffbc02b724e5d095828e0bc8b2456e9ac8757ae3211a5d9b16a22ae";
bob.passwordHMAC = "b2dd077cb54591a2f3139e69a897ac$4e71f08d48b4347cf8eff3815c0e25ae2e9a4340474079f55705f40574f4ec99";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bitlbee.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bitlbee.nix
index 59ad9e54686..8bf04e3a1a2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bitlbee.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/bitlbee.nix
@@ -16,7 +16,6 @@ let
''
[settings]
RunMode = Daemon
- User = bitlbee
ConfigDir = ${cfg.configDir}
DaemonInterface = ${cfg.interface}
DaemonPort = ${toString cfg.portNumber}
@@ -109,7 +108,7 @@ in
plugins = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "[ pkgs.bitlbee-facebook ]";
+ example = literalExpression "[ pkgs.bitlbee-facebook ]";
description = ''
The list of bitlbee plugins to install.
'';
@@ -118,7 +117,7 @@ in
libpurple_plugins = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "[ pkgs.purple-matrix ]";
+ example = literalExpression "[ pkgs.purple-matrix ]";
description = ''
The list of libpurple plugins to install.
'';
@@ -166,24 +165,17 @@ in
config = mkMerge [
(mkIf config.services.bitlbee.enable {
- users.users.bitlbee = {
- uid = bitlbeeUid;
- description = "BitlBee user";
- home = "/var/lib/bitlbee";
- createHome = true;
- };
-
- users.groups.bitlbee = {
- gid = config.ids.gids.bitlbee;
- };
-
systemd.services.bitlbee = {
environment.PURPLE_PLUGIN_PATH = purple_plugin_path;
description = "BitlBee IRC to other chat networks gateway";
after = [ "network.target" ];
wantedBy = [ "multi-user.target" ];
- serviceConfig.User = "bitlbee";
- serviceConfig.ExecStart = "${bitlbeePkg}/sbin/bitlbee -F -n -c ${bitlbeeConfig}";
+
+ serviceConfig = {
+ DynamicUser = true;
+ StateDirectory = "bitlbee";
+ ExecStart = "${bitlbeePkg}/sbin/bitlbee -F -n -c ${bitlbeeConfig}";
+ };
};
environment.systemPackages = [ bitlbeePkg ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/blockbook-frontend.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/blockbook-frontend.nix
index ca323e495ec..eeea521c8d5 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/blockbook-frontend.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/blockbook-frontend.nix
@@ -15,6 +15,7 @@ let
package = mkOption {
type = types.package;
default = pkgs.blockbook;
+ defaultText = literalExpression "pkgs.blockbook";
description = "Which blockbook package to use.";
};
@@ -50,7 +51,6 @@ let
coinName = mkOption {
type = types.str;
default = "Bitcoin";
- example = "Bitcoin";
description = ''
See
for current of coins supported in master (Note: may differ from release).
@@ -60,7 +60,8 @@ let
cssDir = mkOption {
type = types.path;
default = "${config.package}/share/css/";
- example = "${config.dataDir}/static/css/";
+ defaultText = literalExpression ''"''${package}/share/css/"'';
+ example = literalExpression ''"''${dataDir}/static/css/"'';
description = ''
Location of the dir with main.css CSS file.
By default, the one shipped with the package is used.
@@ -82,21 +83,18 @@ let
internal = mkOption {
type = types.nullOr types.str;
default = ":9030";
- example = ":9030";
description = "Internal http server binding [address]:port .";
};
messageQueueBinding = mkOption {
type = types.str;
default = "tcp://127.0.0.1:38330";
- example = "tcp://127.0.0.1:38330";
description = "Message Queue Binding address:port .";
};
public = mkOption {
type = types.nullOr types.str;
default = ":9130";
- example = ":9130";
description = "Public http server binding [address]:port .";
};
@@ -116,14 +114,12 @@ let
user = mkOption {
type = types.str;
default = "rpc";
- example = "rpc";
description = "Username for JSON-RPC connections.";
};
password = mkOption {
type = types.str;
default = "rpc";
- example = "rpc";
description = ''
RPC password for JSON-RPC connections.
Warning: this is stored in cleartext in the Nix store!!!
@@ -150,14 +146,15 @@ let
templateDir = mkOption {
type = types.path;
default = "${config.package}/share/templates/";
- example = "${config.dataDir}/templates/static/";
+ defaultText = literalExpression ''"''${package}/share/templates/"'';
+ example = literalExpression ''"''${dataDir}/templates/static/"'';
description = "Location of the HTML templates. By default, ones shipped with the package are used.";
};
extraConfig = mkOption {
type = types.attrs;
default = {};
- example = literalExample '' {
+ example = literalExpression '' {
"alternative_estimate_fee" = "whatthefee-disabled";
"alternative_estimate_fee_params" = "{\"url\": \"https://whatthefee.io/data.json\", \"periodSeconds\": 60}";
"fiat_rates" = "coingecko";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/cjdns.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/cjdns.nix
index ca95d00c2ff..0d97d379e90 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/cjdns.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/cjdns.nix
@@ -150,7 +150,7 @@ in
connectTo = mkOption {
type = types.attrsOf ( types.submodule ( connectToSubmodule ) );
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
"192.168.1.1:27313" = {
hostname = "homer.hype";
@@ -197,7 +197,7 @@ in
connectTo = mkOption {
type = types.attrsOf ( types.submodule ( connectToSubmodule ) );
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
"01:02:03:04:05:06" = {
hostname = "homer.hype";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/connman.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/connman.nix
index 608672c6446..8886e7a30f1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/connman.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/connman.nix
@@ -77,10 +77,11 @@ in {
};
package = mkOption {
- type = types.path;
+ type = types.package;
description = "The connman package / build flavor";
default = connman;
- example = literalExample "pkgs.connmanFull";
+ defaultText = literalExpression "pkgs.connman";
+ example = literalExpression "pkgs.connmanFull";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/consul.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/consul.nix
index ae7998913ee..792b2e7f5df 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/consul.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/consul.nix
@@ -34,7 +34,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.consul;
- defaultText = "pkgs.consul";
+ defaultText = literalExpression "pkgs.consul";
description = ''
The package used for the Consul agent and CLI.
'';
@@ -121,7 +121,7 @@ in
package = mkOption {
description = "Package to use for consul-alerts.";
default = pkgs.consul-alerts;
- defaultText = "pkgs.consul-alerts";
+ defaultText = literalExpression "pkgs.consul-alerts";
type = types.package;
};
@@ -159,10 +159,12 @@ in
users.users.consul = {
description = "Consul agent daemon user";
- uid = config.ids.uids.consul;
+ isSystemUser = true;
+ group = "consul";
# The shell is needed for health checks
shell = "/run/current-system/sw/bin/bash";
};
+ users.groups.consul = {};
environment = {
etc."consul.json".text = builtins.toJSON configOptions;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/coredns.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/coredns.nix
index afb2b547a46..88615d8e610 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/coredns.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/coredns.nix
@@ -22,7 +22,7 @@ in {
package = mkOption {
default = pkgs.coredns;
- defaultText = "pkgs.coredns";
+ defaultText = literalExpression "pkgs.coredns";
type = types.package;
description = "Coredns package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/corerad.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/corerad.nix
index e76ba9a2d00..9d79d5d7686 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/corerad.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/corerad.nix
@@ -14,7 +14,7 @@ in {
settings = mkOption {
type = settingsFormat.type;
- example = literalExample ''
+ example = literalExpression ''
{
interfaces = [
# eth0 is an upstream interface monitoring for IPv6 router advertisements.
@@ -44,13 +44,13 @@ in {
configFile = mkOption {
type = types.path;
- example = literalExample "\"\${pkgs.corerad}/etc/corerad/corerad.toml\"";
+ example = literalExpression ''"''${pkgs.corerad}/etc/corerad/corerad.toml"'';
description = "Path to CoreRAD TOML configuration file.";
};
package = mkOption {
default = pkgs.corerad;
- defaultText = literalExample "pkgs.corerad";
+ defaultText = literalExpression "pkgs.corerad";
type = types.package;
description = "CoreRAD package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/coturn.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/coturn.nix
index 5f7d2893ae2..610754e9bd3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/coturn.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/coturn.nix
@@ -68,7 +68,7 @@ in {
alt-listening-port = mkOption {
type = types.int;
default = cfg.listening-port + 1;
- defaultText = "listening-port + 1";
+ defaultText = literalExpression "listening-port + 1";
description = ''
Alternative listening port for UDP and TCP listeners;
default (or zero) value means "listening port plus one".
@@ -83,7 +83,7 @@ in {
alt-tls-listening-port = mkOption {
type = types.int;
default = cfg.tls-listening-port + 1;
- defaultText = "tls-listening-port + 1";
+ defaultText = literalExpression "tls-listening-port + 1";
description = ''
Alternative listening port for TLS and DTLS protocols.
'';
@@ -311,6 +311,7 @@ in {
{
users.users.turnserver =
{ uid = config.ids.uids.turnserver;
+ group = "turnserver";
description = "coturn TURN server user";
};
users.groups.turnserver =
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dhcpd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dhcpd.nix
index 8966deac76c..54e4f900285 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dhcpd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dhcpd.nix
@@ -212,9 +212,11 @@ in
users = {
users.dhcpd = {
- uid = config.ids.uids.dhcpd;
+ isSystemUser = true;
+ group = "dhcpd";
description = "DHCP daemon user";
};
+ groups.dhcpd = {};
};
systemd.services = dhcpdService "4" cfg4 // dhcpdService "6" cfg6;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnscache.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnscache.nix
index d06032daecc..7452210de47 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnscache.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnscache.nix
@@ -61,7 +61,7 @@ in {
Table of {hostname: server} pairs to use as authoritative servers for hosts (and subhosts).
If entry for @ is not specified predefined list of root servers is used.
'';
- example = literalExample ''
+ example = literalExpression ''
{
"@" = ["8.8.8.8" "8.8.4.4"];
"example.com" = ["192.168.100.100"];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnscrypt-proxy2.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnscrypt-proxy2.nix
index 72965c267a8..dc6a019e9b7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnscrypt-proxy2.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnscrypt-proxy2.nix
@@ -13,7 +13,7 @@ in
Attrset that is converted and passed as TOML config file.
For available params, see:
'';
- example = literalExample ''
+ example = literalExpression ''
{
sources.public-resolvers = {
urls = [ "https://download.dnscrypt.info/resolvers-list/v2/public-resolvers.md" ];
@@ -29,7 +29,7 @@ in
upstreamDefaults = mkOption {
description = ''
- Whether to base the config declared in services.dnscrypt-proxy2.settings on the upstream example config ( )
+ Whether to base the config declared in services.dnscrypt-proxy2.settings on the upstream example config ( )
Disable this if you want to declare your dnscrypt config from scratch.
'';
@@ -56,7 +56,7 @@ in
''}
${pkgs.remarshal}/bin/json2toml < config.json > $out
'';
- defaultText = literalExample "TOML file generated from services.dnscrypt-proxy2.settings";
+ defaultText = literalDocBook "TOML file generated from services.dnscrypt-proxy2.settings ";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnscrypt-wrapper.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnscrypt-wrapper.nix
index 89360f4bf37..400d6e67044 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnscrypt-wrapper.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnscrypt-wrapper.nix
@@ -217,6 +217,7 @@ in {
home = "${dataDir}";
createHome = true;
isSystemUser = true;
+ group = "dnscrypt-wrapper";
};
users.groups.dnscrypt-wrapper = { };
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnsmasq.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnsmasq.nix
index 377d7bc5705..59a3ca2f28e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnsmasq.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/dnsmasq.nix
@@ -87,9 +87,11 @@ in
services.dbus.packages = [ dnsmasq ];
users.users.dnsmasq = {
- uid = config.ids.uids.dnsmasq;
+ isSystemUser = true;
+ group = "dnsmasq";
description = "Dnsmasq daemon user";
};
+ users.groups.dnsmasq = {};
networking.resolvconf = mkIf cfg.resolveLocalQueries {
useLocalResolver = mkDefault true;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/doh-proxy-rust.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/doh-proxy-rust.nix
index 0e55bc38665..efd492e23f8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/doh-proxy-rust.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/doh-proxy-rust.nix
@@ -15,7 +15,7 @@ in {
flags = mkOption {
type = types.listOf types.str;
default = [];
- example = literalExample [ "--server-address=9.9.9.9:53" ];
+ example = [ "--server-address=9.9.9.9:53" ];
description = ''
A list of command-line flags to pass to doh-proxy. For details on the
available options, see .
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ejabberd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ejabberd.nix
index a5af25b983b..daf8d5c4247 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ejabberd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ejabberd.nix
@@ -32,7 +32,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.ejabberd;
- defaultText = "pkgs.ejabberd";
+ defaultText = literalExpression "pkgs.ejabberd";
description = "ejabberd server package to use";
};
@@ -76,7 +76,7 @@ in {
type = types.listOf types.path;
default = [];
description = "Configuration dumps that should be loaded on the first startup";
- example = literalExample "[ ./myejabberd.dump ]";
+ example = literalExpression "[ ./myejabberd.dump ]";
};
imagemagick = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/epmd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/epmd.nix
index 3899d164f16..75d78476e57 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/epmd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/epmd.nix
@@ -20,6 +20,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.erlang;
+ defaultText = literalExpression "pkgs.erlang";
description = ''
The Erlang package to use to get epmd binary. That way you can re-use
an Erlang runtime that is already installed for other purposes.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ferm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ferm.nix
index 07338ccf4d9..8e03f30efc0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ferm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ferm.nix
@@ -30,14 +30,14 @@ in {
config = mkOption {
description = "Verbatim ferm.conf configuration.";
default = "";
- defaultText = "empty firewall, allows any traffic";
+ defaultText = literalDocBook "empty firewall, allows any traffic";
type = types.lines;
};
package = mkOption {
description = "The ferm package.";
type = types.package;
default = pkgs.ferm;
- defaultText = "pkgs.ferm";
+ defaultText = literalExpression "pkgs.ferm";
};
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/firefox/sync-server.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/firefox/sync-server.nix
index 24f76864953..1ad573abfca 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/firefox/sync-server.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/firefox/sync-server.nix
@@ -119,7 +119,7 @@ in
password, and the syncserver.secret setting is used by the server to
generate cryptographically-signed authentication tokens.
- If this file does not exists, then it is created with a generated
+ If this file does not exist, then it is created with a generated
syncserver.secret settings.
'';
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/firewall.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/firewall.nix
index f982621e232..b5b46fe6042 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/firewall.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/firewall.nix
@@ -325,8 +325,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.iptables;
- defaultText = "pkgs.iptables";
- example = literalExample "pkgs.iptables-nftables-compat";
+ defaultText = literalExpression "pkgs.iptables";
+ example = literalExpression "pkgs.iptables-nftables-compat";
description =
''
The iptables package to use for running the firewall service."
@@ -500,7 +500,7 @@ in
extraPackages = mkOption {
type = types.listOf types.package;
default = [ ];
- example = literalExample "[ pkgs.ipset ]";
+ example = literalExpression "[ pkgs.ipset ]";
description =
''
Additional packages to be included in the environment of the system
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/flannel.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/flannel.nix
index 32a7eb3ed69..b15339870ee 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/flannel.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/flannel.nix
@@ -20,7 +20,7 @@ in {
description = "Package to use for flannel";
type = types.package;
default = pkgs.flannel;
- defaultText = "pkgs.flannel";
+ defaultText = literalExpression "pkgs.flannel";
};
publicIp = mkOption {
@@ -164,7 +164,7 @@ in {
path = [ pkgs.iptables ];
preStart = optionalString (cfg.storageBackend == "etcd") ''
echo "setting network configuration"
- until ${pkgs.etcdctl}/bin/etcdctl set /coreos.com/network/config '${builtins.toJSON networkConfig}'
+ until ${pkgs.etcd}/bin/etcdctl set /coreos.com/network/config '${builtins.toJSON networkConfig}'
do
echo "setting network configuration, retry"
sleep 1
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ghostunnel.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ghostunnel.nix
index 58a51df6cca..7a62d378e2c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ghostunnel.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ghostunnel.nix
@@ -5,7 +5,7 @@ let
concatMap
concatStringsSep
escapeShellArg
- literalExample
+ literalExpression
mapAttrs'
mkDefault
mkEnableOption
@@ -219,7 +219,7 @@ in
description = "The ghostunnel package to use.";
type = types.package;
default = pkgs.ghostunnel;
- defaultText = literalExample ''pkgs.ghostunnel'';
+ defaultText = literalExpression "pkgs.ghostunnel";
};
services.ghostunnel.servers = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/git-daemon.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/git-daemon.nix
index 98f80dd4bc4..6be72505c21 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/git-daemon.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/git-daemon.nix
@@ -107,6 +107,7 @@ in
users.users = optionalAttrs (cfg.user == "git") {
git = {
uid = config.ids.uids.git;
+ group = "git";
description = "Git daemon user";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/globalprotect-vpn.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/globalprotect-vpn.nix
index 367a42687e1..976fdf2b962 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/globalprotect-vpn.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/globalprotect-vpn.nix
@@ -21,7 +21,7 @@ in
as described at
'';
default = null;
- example = literalExample "\${pkgs.openconnect}/libexec/openconnect/hipreport.sh";
+ example = literalExpression ''"''${pkgs.openconnect}/libexec/openconnect/hipreport.sh"'';
type = types.nullOr types.path;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/gnunet.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/gnunet.nix
index 69d4ed04775..5c41967d279 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/gnunet.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/gnunet.nix
@@ -6,12 +6,15 @@ let
cfg = config.services.gnunet;
- homeDir = "/var/lib/gnunet";
+ stateDir = "/var/lib/gnunet";
- configFile = with cfg; pkgs.writeText "gnunetd.conf"
+ configFile = with cfg;
''
[PATHS]
- SERVICEHOME = ${homeDir}
+ GNUNET_HOME = ${stateDir}
+ GNUNET_RUNTIME_DIR = /run/gnunet
+ GNUNET_USER_RUNTIME_DIR = /run/gnunet
+ GNUNET_DATA_HOME = ${stateDir}/data
[ats]
WAN_QUOTA_IN = ${toString load.maxNetDownBandwidth} b
@@ -112,9 +115,9 @@ in
package = mkOption {
type = types.package;
default = pkgs.gnunet;
- defaultText = "pkgs.gnunet";
+ defaultText = literalExpression "pkgs.gnunet";
description = "Overridable attribute of the gnunet package to use.";
- example = literalExample "pkgs.gnunet_git";
+ example = literalExpression "pkgs.gnunet_git";
};
extraOptions = mkOption {
@@ -137,8 +140,6 @@ in
users.users.gnunet = {
group = "gnunet";
description = "GNUnet User";
- home = homeDir;
- createHome = true;
uid = config.ids.uids.gnunet;
};
@@ -148,17 +149,20 @@ in
# so install them globally.
environment.systemPackages = [ cfg.package ];
+ environment.etc."gnunet.conf".text = configFile;
+
systemd.services.gnunet = {
description = "GNUnet";
after = [ "network.target" ];
wantedBy = [ "multi-user.target" ];
+ restartTriggers = [ configFile ];
path = [ cfg.package pkgs.miniupnpc ];
- environment.TMPDIR = "/tmp";
- serviceConfig.PrivateTmp = true;
- serviceConfig.ExecStart = "${cfg.package}/lib/gnunet/libexec/gnunet-service-arm -c ${configFile}";
+ serviceConfig.ExecStart = "${cfg.package}/lib/gnunet/libexec/gnunet-service-arm -c /etc/gnunet.conf";
serviceConfig.User = "gnunet";
serviceConfig.UMask = "0007";
- serviceConfig.WorkingDirectory = homeDir;
+ serviceConfig.WorkingDirectory = stateDir;
+ serviceConfig.RuntimeDirectory = "gnunet";
+ serviceConfig.StateDirectory = "gnunet";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/gobgpd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/gobgpd.nix
index d3b03471f4e..29ef9a5cf1e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/gobgpd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/gobgpd.nix
@@ -18,7 +18,7 @@ in {
for details on supported values.
'';
- example = literalExample ''
+ example = literalExpression ''
{
global = {
config = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/hans.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/hans.nix
index 84147db00f6..2639b4b6800 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/hans.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/hans.nix
@@ -27,7 +27,7 @@ in
where name is the name of the
corresponding attribute name.
'';
- example = literalExample ''
+ example = literalExpression ''
{
foo = {
server = "192.0.2.1";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/hylafax/options.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/hylafax/options.nix
index 74960e69b9a..8e59c68054d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/hylafax/options.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/hylafax/options.nix
@@ -2,7 +2,7 @@
let
- inherit (lib.options) literalExample mkEnableOption mkOption;
+ inherit (lib.options) literalExpression mkEnableOption mkOption;
inherit (lib.types) bool enum ints lines attrsOf nullOr path str submodule;
inherit (lib.modules) mkDefault mkIf mkMerge;
@@ -197,7 +197,7 @@ in
sendmailPath = mkOption {
type = path;
- example = literalExample "''${pkgs.postfix}/bin/sendmail";
+ example = literalExpression ''"''${pkgs.postfix}/bin/sendmail"'';
# '' ; # fix vim
description = ''
Path to sendmail program.
@@ -344,7 +344,7 @@ in
faxqclean.doneqMinutes = mkOption {
type = ints.positive;
default = 15;
- example = literalExample "24*60";
+ example = literalExpression "24*60";
description = ''
Set the job
age threshold (in minutes) that controls how long
@@ -354,7 +354,7 @@ in
faxqclean.docqMinutes = mkOption {
type = ints.positive;
default = 60;
- example = literalExample "24*60";
+ example = literalExpression "24*60";
description = ''
Set the document
age threshold (in minutes) that controls how long
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/i2pd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/i2pd.nix
index fba0d817006..17828ca44ff 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/i2pd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/i2pd.nix
@@ -481,7 +481,7 @@ in
exploratory.inbound = i2cpOpts "exploratory";
exploratory.outbound = i2cpOpts "exploratory";
- ntcp2.enable = mkEnableTrueOption "NTCP2.";
+ ntcp2.enable = mkEnableTrueOption "NTCP2";
ntcp2.published = mkEnableOption "NTCP2 publication";
ntcp2.port = mkOption {
type = types.int;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/icecream/daemon.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/icecream/daemon.nix
index 2975696f9c2..8593c94e34d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/icecream/daemon.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/icecream/daemon.nix
@@ -101,7 +101,7 @@ in {
package = mkOption {
default = pkgs.icecream;
- defaultText = "pkgs.icecream";
+ defaultText = literalExpression "pkgs.icecream";
type = types.package;
description = "Icecream package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/icecream/scheduler.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/icecream/scheduler.nix
index 4ccbf27015d..14fbc966b98 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/icecream/scheduler.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/icecream/scheduler.nix
@@ -56,7 +56,7 @@ in {
package = mkOption {
default = pkgs.icecream;
- defaultText = "pkgs.icecream";
+ defaultText = literalExpression "pkgs.icecream";
type = types.package;
description = "Icecream package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/inspircd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/inspircd.nix
index 8cb2b406ee2..81c367ec8f7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/inspircd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/inspircd.nix
@@ -17,8 +17,8 @@ in {
package = lib.mkOption {
type = lib.types.package;
default = pkgs.inspircd;
- defaultText = lib.literalExample "pkgs.inspircd";
- example = lib.literalExample "pkgs.inspircdMinimal";
+ defaultText = lib.literalExpression "pkgs.inspircd";
+ example = lib.literalExpression "pkgs.inspircdMinimal";
description = ''
The InspIRCd package to use. This is mainly useful
to specify an overridden version of the
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/iodine.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/iodine.nix
index 46051d7044b..e241afe3269 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/iodine.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/iodine.nix
@@ -36,7 +36,7 @@ in
where name is the name of the
corresponding attribute name.
'';
- example = literalExample ''
+ example = literalExpression ''
{
foo = {
server = "tunnel.mdomain.com";
@@ -190,6 +190,7 @@ in
users.users.${iodinedUser} = {
uid = config.ids.uids.iodined;
+ group = "iodined";
description = "Iodine daemon user";
};
users.groups.iodined.gid = config.ids.gids.iodined;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ircd-hybrid/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ircd-hybrid/default.nix
index 1f5636e4e3a..f659f3f3e8c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ircd-hybrid/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ircd-hybrid/default.nix
@@ -64,7 +64,7 @@ in
rsaKey = mkOption {
default = null;
- example = literalExample "/root/certificates/irc.key";
+ example = literalExpression "/root/certificates/irc.key";
type = types.nullOr types.path;
description = "
IRCD server RSA key.
@@ -73,7 +73,7 @@ in
certificate = mkOption {
default = null;
- example = literalExample "/root/certificates/irc.pem";
+ example = literalExpression "/root/certificates/irc.pem";
type = types.nullOr types.path;
description = "
IRCD server SSL certificate. There are some limitations - read manual.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/iscsi/initiator.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/iscsi/initiator.nix
index cbc919a2f76..051c9c7bff3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/iscsi/initiator.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/iscsi/initiator.nix
@@ -23,7 +23,7 @@ in
type = package;
description = "openiscsi package to use";
default = pkgs.openiscsi;
- defaultText = "pkgs.openiscsi";
+ defaultText = literalExpression "pkgs.openiscsi";
};
extraConfig = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/iscsi/root-initiator.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/iscsi/root-initiator.nix
index 3274878c4fa..c12aca1bc24 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/iscsi/root-initiator.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/iscsi/root-initiator.nix
@@ -64,6 +64,12 @@ in
default = false;
};
+ extraIscsiCommands = mkOption {
+ description = "Extra iscsi commands to run in the initrd.";
+ default = "";
+ type = lines;
+ };
+
extraConfig = mkOption {
description = "Extra lines to append to /etc/iscsid.conf";
default = null;
@@ -162,6 +168,9 @@ in
'' else ''
iscsiadm --mode node --targetname ${escapeShellArg cfg.target} --login
''}
+
+ ${cfg.extraIscsiCommands}
+
pkill -9 iscsid
'';
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/jicofo.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/jicofo.nix
index 160a5fea91a..647119b9039 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/jicofo.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/jicofo.nix
@@ -70,7 +70,7 @@ in
config = mkOption {
type = attrsOf str;
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
"org.jitsi.jicofo.auth.URL" = "XMPP:jitsi-meet.example.com";
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/jitsi-videobridge.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/jitsi-videobridge.nix
index 80f35d56e2d..dd06ad98a97 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/jitsi-videobridge.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/jitsi-videobridge.nix
@@ -56,7 +56,7 @@ in
config = mkOption {
type = attrs;
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
videobridge = {
ice.udp.port = 5000;
@@ -82,7 +82,7 @@ in
See for more information.
'';
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
"localhost" = {
hostName = "localhost";
@@ -199,7 +199,7 @@ in
Needed for monitoring jitsi.
'';
default = [];
- example = literalExample "[ \"colibri\" \"rest\" ]";
+ example = literalExpression "[ \"colibri\" \"rest\" ]";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/keepalived/vrrp-instance-options.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/keepalived/vrrp-instance-options.nix
index 85b9bc33772..e96dde5fa89 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/keepalived/vrrp-instance-options.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/keepalived/vrrp-instance-options.nix
@@ -102,9 +102,7 @@ with lib;
inherit lib;
}));
default = [];
- example = literalExample ''
- TODO: Example
- '';
+ # TODO: example
description = "Declarative vhost config";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/keepalived/vrrp-script-options.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/keepalived/vrrp-script-options.nix
index a3f794c40a8..df7a89cff8c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/keepalived/vrrp-script-options.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/keepalived/vrrp-script-options.nix
@@ -7,7 +7,7 @@ with lib.types;
script = mkOption {
type = str;
- example = "\${pkgs.curl} -f http://localhost:80";
+ example = literalExpression ''"''${pkgs.curl} -f http://localhost:80"'';
description = "(Path of) Script command to execute followed by args, i.e. cmd [args]...";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/kippo.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/kippo.nix
deleted file mode 100644
index 6fedb0a270f..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/kippo.nix
+++ /dev/null
@@ -1,117 +0,0 @@
-# NixOS module for kippo honeypot ssh server
-# See all the options for configuration details.
-#
-# Default port is 2222. Recommend using something like this for port redirection to default SSH port:
-# networking.firewall.extraCommands = ''
-# iptables -t nat -A PREROUTING -i IN_IFACE -p tcp --dport 22 -j REDIRECT --to-port 2222'';
-#
-# Lastly: use this service at your own risk. I am working on a way to run this inside a VM.
-{ config, lib, pkgs, ... }:
-with lib;
-let
- cfg = config.services.kippo;
-in
-{
- options = {
- services.kippo = {
- enable = mkOption {
- default = false;
- type = types.bool;
- description = "Enable the kippo honeypot ssh server.";
- };
- port = mkOption {
- default = 2222;
- type = types.int;
- description = "TCP port number for kippo to bind to.";
- };
- hostname = mkOption {
- default = "nas3";
- type = types.str;
- description = "Hostname for kippo to present to SSH login";
- };
- varPath = mkOption {
- default = "/var/lib/kippo";
- type = types.path;
- description = "Path of read/write files needed for operation and configuration.";
- };
- logPath = mkOption {
- default = "/var/log/kippo";
- type = types.path;
- description = "Path of log files needed for operation and configuration.";
- };
- pidPath = mkOption {
- default = "/run/kippo";
- type = types.path;
- description = "Path of pid files needed for operation.";
- };
- extraConfig = mkOption {
- default = "";
- type = types.lines;
- description = "Extra verbatim configuration added to the end of kippo.cfg.";
- };
- };
-
- };
- config = mkIf cfg.enable {
- environment.systemPackages = with pkgs.pythonPackages; [
- python pkgs.kippo.twisted pycrypto pyasn1 ];
-
- environment.etc."kippo.cfg".text = ''
- # Automatically generated by NixOS.
- # See ${pkgs.kippo}/src/kippo.cfg for details.
- [honeypot]
- log_path = ${cfg.logPath}
- download_path = ${cfg.logPath}/dl
- filesystem_file = ${cfg.varPath}/honeyfs
- filesystem_file = ${cfg.varPath}/fs.pickle
- data_path = ${cfg.varPath}/data
- txtcmds_path = ${cfg.varPath}/txtcmds
- public_key = ${cfg.varPath}/keys/public.key
- private_key = ${cfg.varPath}/keys/private.key
- ssh_port = ${toString cfg.port}
- hostname = ${cfg.hostname}
- ${cfg.extraConfig}
- '';
-
- users.users.kippo = {
- description = "kippo web server privilege separation user";
- uid = 108; # why does config.ids.uids.kippo give an error?
- };
- users.groups.kippo.gid = 108;
-
- systemd.services.kippo = with pkgs; {
- description = "Kippo Web Server";
- after = [ "network.target" ];
- wantedBy = [ "multi-user.target" ];
- environment.PYTHONPATH = "${pkgs.kippo}/src/:${pkgs.pythonPackages.pycrypto}/lib/python2.7/site-packages/:${pkgs.pythonPackages.pyasn1}/lib/python2.7/site-packages/:${pkgs.pythonPackages.python}/lib/python2.7/site-packages/:${pkgs.kippo.twisted}/lib/python2.7/site-packages/:.";
- preStart = ''
- if [ ! -d ${cfg.varPath}/ ] ; then
- mkdir -p ${cfg.logPath}/tty
- mkdir -p ${cfg.logPath}/dl
- mkdir -p ${cfg.varPath}/keys
- cp ${pkgs.kippo}/src/honeyfs ${cfg.varPath} -r
- cp ${pkgs.kippo}/src/fs.pickle ${cfg.varPath}/fs.pickle
- cp ${pkgs.kippo}/src/data ${cfg.varPath} -r
- cp ${pkgs.kippo}/src/txtcmds ${cfg.varPath} -r
-
- chmod u+rw ${cfg.varPath} -R
- chown kippo.kippo ${cfg.varPath} -R
- chown kippo.kippo ${cfg.logPath} -R
- chmod u+rw ${cfg.logPath} -R
- fi
- if [ ! -d ${cfg.pidPath}/ ] ; then
- mkdir -p ${cfg.pidPath}
- chmod u+rw ${cfg.pidPath}
- chown kippo.kippo ${cfg.pidPath}
- fi
- '';
-
- serviceConfig.ExecStart = "${pkgs.kippo.twisted}/bin/twistd -y ${pkgs.kippo}/src/kippo.tac --syslog --rundir=${cfg.varPath}/ --pidfile=${cfg.pidPath}/kippo.pid --prefix=kippo -n";
- serviceConfig.PermissionsStartOnly = true;
- serviceConfig.User = "kippo";
- serviceConfig.Group = "kippo";
- };
-};
-}
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/knot.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/knot.nix
index 12ff89fe849..67eadbd7670 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/knot.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/knot.nix
@@ -71,7 +71,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.knot-dns;
- defaultText = "pkgs.knot-dns";
+ defaultText = literalExpression "pkgs.knot-dns";
description = ''
Which Knot DNS package to use
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/kresd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/kresd.nix
index 6882a315f61..3a36ac7e667 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/kresd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/kresd.nix
@@ -62,8 +62,8 @@ in {
knot-resolver package to use.
";
default = pkgs.knot-resolver;
- defaultText = "pkgs.knot-resolver";
- example = literalExample "pkgs.knot-resolver.override { extraFeatures = true; }";
+ defaultText = literalExpression "pkgs.knot-resolver";
+ example = literalExpression "pkgs.knot-resolver.override { extraFeatures = true; }";
};
extraConfig = mkOption {
type = types.lines;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/lambdabot.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/lambdabot.nix
index b7c8bd008fe..3005e582455 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/lambdabot.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/lambdabot.nix
@@ -27,7 +27,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.lambdabot;
- defaultText = "pkgs.lambdabot";
+ defaultText = literalExpression "pkgs.lambdabot";
description = "Used lambdabot package";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/libreswan.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/libreswan.nix
index 1f0423ac3d8..429167aed9d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/libreswan.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/libreswan.nix
@@ -66,7 +66,7 @@ in
connections = mkOption {
type = types.attrsOf types.lines;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{ myconnection = '''
auto=add
left=%defaultroute
@@ -85,7 +85,7 @@ in
policies = mkOption {
type = types.attrsOf types.lines;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{ private-or-clear = '''
# Attempt opportunistic IPsec for the entire Internet
0.0.0.0/0
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/minidlna.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/minidlna.nix
index c580ba47dad..c860f63efa6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/minidlna.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/minidlna.nix
@@ -39,7 +39,7 @@ in
services.minidlna.friendlyName = mkOption {
type = types.str;
default = "${config.networking.hostName} MiniDLNA";
- defaultText = "$HOSTNAME MiniDLNA";
+ defaultText = literalExpression ''"''${config.networking.hostName} MiniDLNA"'';
example = "rpi3";
description =
''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/miredo.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/miredo.nix
index 2c8393fb5b4..b7f657efb71 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/miredo.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/miredo.nix
@@ -25,7 +25,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.miredo;
- defaultText = "pkgs.miredo";
+ defaultText = literalExpression "pkgs.miredo";
description = ''
The package to use for the miredo daemon's binary.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/morty.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/morty.nix
index e110a5c8610..dff2f482ca6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/morty.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/morty.nix
@@ -23,7 +23,6 @@ in
type = types.bool;
default = true;
description = "Allow IPv6 HTTP requests?";
- defaultText = "Allow IPv6 HTTP requests.";
};
key = mkOption {
@@ -33,21 +32,20 @@ in
HMAC url validation key (hexadecimal encoded).
Leave blank to disable. Without validation key, anyone can
submit proxy requests. Leave blank to disable.
+ Generate with printf %s somevalue | openssl dgst -sha1 -hmac somekey
'';
- defaultText = "No HMAC url validation. Generate with echo -n somevalue | openssl dgst -sha1 -hmac somekey";
};
timeout = mkOption {
type = types.int;
default = 2;
description = "Request timeout in seconds.";
- defaultText = "A resource now gets 2 seconds to respond.";
};
package = mkOption {
type = types.package;
default = pkgs.morty;
- defaultText = "pkgs.morty";
+ defaultText = literalExpression "pkgs.morty";
description = "morty package to use.";
};
@@ -61,7 +59,6 @@ in
type = types.str;
default = "127.0.0.1";
description = "The address on which the service listens";
- defaultText = "127.0.0.1 (localhost)";
};
};
@@ -77,7 +74,9 @@ in
createHome = true;
home = "/var/lib/morty";
isSystemUser = true;
+ group = "morty";
};
+ users.groups.morty = {};
systemd.services.morty =
{
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/mosquitto.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/mosquitto.nix
index 8e814ffd0b9..b0fbfc19408 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/mosquitto.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/mosquitto.nix
@@ -56,7 +56,6 @@ in
port = mkOption {
default = 1883;
- example = 1883;
type = types.int;
description = ''
Port on which to listen without SSL.
@@ -95,7 +94,6 @@ in
port = mkOption {
default = 8883;
- example = 8883;
type = types.int;
description = ''
Port on which to listen with SSL.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/multipath.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/multipath.nix
new file mode 100644
index 00000000000..1cc2ad1fc84
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/multipath.nix
@@ -0,0 +1,572 @@
+{ config, lib, pkgs, ... }: with lib;
+
+# See http://christophe.varoqui.free.fr/usage.html and
+# https://github.com/opensvc/multipath-tools/blob/master/multipath/multipath.conf.5
+
+let
+ cfg = config.services.multipath;
+
+ indentLines = n: str: concatStringsSep "\n" (
+ map (line: "${fixedWidthString n " " " "}${line}") (
+ filter ( x: x != "" ) ( splitString "\n" str )
+ )
+ );
+
+ addCheckDesc = desc: elemType: check: types.addCheck elemType check
+ // { description = "${elemType.description} (with check: ${desc})"; };
+ hexChars = stringToCharacters "0123456789abcdef";
+ isHexString = s: all (c: elem c hexChars) (stringToCharacters (toLower s));
+ hexStr = addCheckDesc "hexadecimal string" types.str isHexString;
+
+in {
+
+ options.services.multipath = with types; {
+
+ enable = mkEnableOption "the device mapper multipath (DM-MP) daemon";
+
+ package = mkOption {
+ type = package;
+ description = "multipath-tools package to use";
+ default = pkgs.multipath-tools;
+ defaultText = "pkgs.multipath-tools";
+ };
+
+ devices = mkOption {
+ default = [ ];
+ example = literalExpression ''
+ [
+ {
+ vendor = "\"COMPELNT\"";
+ product = "\"Compellent Vol\"";
+ path_checker = "tur";
+ no_path_retry = "queue";
+ max_sectors_kb = 256;
+ }, ...
+ ]
+ '';
+ description = ''
+ This option allows you to define arrays for use in multipath
+ groups.
+ '';
+ type = listOf (submodule {
+ options = {
+
+ vendor = mkOption {
+ type = str;
+ example = "COMPELNT";
+ description = "Regular expression to match the vendor name";
+ };
+
+ product = mkOption {
+ type = str;
+ example = "Compellent Vol";
+ description = "Regular expression to match the product name";
+ };
+
+ revision = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "Regular expression to match the product revision";
+ };
+
+ product_blacklist = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "Products with the given vendor matching this string are blacklisted";
+ };
+
+ alias_prefix = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "The user_friendly_names prefix to use for this device type, instead of the default mpath";
+ };
+
+ vpd_vendor = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "The vendor specific vpd page information, using the vpd page abbreviation";
+ };
+
+ hardware_handler = mkOption {
+ type = nullOr (enum [ "emc" "rdac" "hp_sw" "alua" "ana" ]);
+ default = null;
+ description = "The hardware handler to use for this device type";
+ };
+
+ # Optional arguments
+ path_grouping_policy = mkOption {
+ type = nullOr (enum [ "failover" "multibus" "group_by_serial" "group_by_prio" "group_by_node_name" ]);
+ default = null; # real default: "failover"
+ description = "The default path grouping policy to apply to unspecified multipaths";
+ };
+
+ uid_attribute = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "The udev attribute providing a unique path identifier (WWID)";
+ };
+
+ getuid_callout = mkOption {
+ type = nullOr str;
+ default = null;
+ description = ''
+ (Superseded by uid_attribute) The default program and args to callout
+ to obtain a unique path identifier. Should be specified with an absolute path.
+ '';
+ };
+
+ path_selector = mkOption {
+ type = nullOr (enum [
+ ''"round-robin 0"''
+ ''"queue-length 0"''
+ ''"service-time 0"''
+ ''"historical-service-time 0"''
+ ]);
+ default = null; # real default: "service-time 0"
+ description = "The default path selector algorithm to use; they are offered by the kernel multipath target";
+ };
+
+ path_checker = mkOption {
+ type = enum [ "readsector0" "tur" "emc_clariion" "hp_sw" "rdac" "directio" "cciss_tur" "none" ];
+ default = "tur";
+ description = "The default method used to determine the paths state";
+ };
+
+ prio = mkOption {
+ type = nullOr (enum [
+ "none" "const" "sysfs" "emc" "alua" "ontap" "rdac" "hp_sw" "hds"
+ "random" "weightedpath" "path_latency" "ana" "datacore" "iet"
+ ]);
+ default = null; # real default: "const"
+ description = "The name of the path priority routine";
+ };
+
+ prio_args = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "Arguments to pass to to the prio function";
+ };
+
+ features = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "Specify any device-mapper features to be used";
+ };
+
+ failback = mkOption {
+ type = nullOr str;
+ default = null; # real default: "manual"
+ description = "Tell multipathd how to manage path group failback. Quote integers as strings";
+ };
+
+ rr_weight = mkOption {
+ type = nullOr (enum [ "priorities" "uniform" ]);
+ default = null; # real default: "uniform"
+ description = ''
+ If set to priorities the multipath configurator will assign path weights
+ as "path prio * rr_min_io".
+ '';
+ };
+
+ no_path_retry = mkOption {
+ type = nullOr str;
+ default = null; # real default: "fail"
+ description = "Specify what to do when all paths are down. Quote integers as strings";
+ };
+
+ rr_min_io = mkOption {
+ type = nullOr int;
+ default = null; # real default: 1000
+ description = ''
+ Number of I/O requests to route to a path before switching to the next in the
+ same path group. This is only for Block I/O (BIO) based multipath and
+ only apply to round-robin path_selector.
+ '';
+ };
+
+ rr_min_io_rq = mkOption {
+ type = nullOr int;
+ default = null; # real default: 1
+ description = ''
+ Number of I/O requests to route to a path before switching to the next in the
+ same path group. This is only for Request based multipath and
+ only apply to round-robin path_selector.
+ '';
+ };
+
+ fast_io_fail_tmo = mkOption {
+ type = nullOr str;
+ default = null; # real default: 5
+ description = ''
+ Specify the number of seconds the SCSI layer will wait after a problem has been
+ detected on a FC remote port before failing I/O to devices on that remote port.
+ This should be smaller than dev_loss_tmo. Setting this to "off" will disable
+ the timeout. Quote integers as strings.
+ '';
+ };
+
+ dev_loss_tmo = mkOption {
+ type = nullOr str;
+ default = null; # real default: 600
+ description = ''
+ Specify the number of seconds the SCSI layer will wait after a problem has
+ been detected on a FC remote port before removing it from the system. This
+ can be set to "infinity" which sets it to the max value of 2147483647
+ seconds, or 68 years. It will be automatically adjusted to the overall
+ retry interval no_path_retry * polling_interval
+ if a number of retries is given with no_path_retry and the
+ overall retry interval is longer than the specified dev_loss_tmo value.
+ The Linux kernel will cap this value to 600 if fast_io_fail_tmo
+ is not set.
+ '';
+ };
+
+ flush_on_last_del = mkOption {
+ type = nullOr (enum [ "yes" "no" ]);
+ default = null; # real default: "no"
+ description = ''
+ If set to "yes" multipathd will disable queueing when the last path to a
+ device has been deleted.
+ '';
+ };
+
+ user_friendly_names = mkOption {
+ type = nullOr (enum [ "yes" "no" ]);
+ default = null; # real default: "no"
+ description = ''
+ If set to "yes", using the bindings file /etc/multipath/bindings
+ to assign a persistent and unique alias to the multipath, in the
+ form of mpath. If set to "no" use the WWID as the alias. In either
+ case this be will be overridden by any specific aliases in the
+ multipaths section.
+ '';
+ };
+
+ retain_attached_hw_handler = mkOption {
+ type = nullOr (enum [ "yes" "no" ]);
+ default = null; # real default: "yes"
+ description = ''
+ (Obsolete for kernels >= 4.3) If set to "yes" and the SCSI layer has
+ already attached a hardware_handler to the device, multipath will not
+ force the device to use the hardware_handler specified by mutipath.conf.
+ If the SCSI layer has not attached a hardware handler, multipath will
+ continue to use its configured hardware handler.
+
+ Important Note: Linux kernel 4.3 or newer always behaves as if
+ "retain_attached_hw_handler yes" was set.
+ '';
+ };
+
+ detect_prio = mkOption {
+ type = nullOr (enum [ "yes" "no" ]);
+ default = null; # real default: "yes"
+ description = ''
+ If set to "yes", multipath will try to detect if the device supports
+ SCSI-3 ALUA. If so, the device will automatically use the sysfs
+ prioritizer if the required sysf attributes access_state and
+ preferred_path are supported, or the alua prioritizer if not. If set
+ to "no", the prioritizer will be selected as usual.
+ '';
+ };
+
+ detect_checker = mkOption {
+ type = nullOr (enum [ "yes" "no" ]);
+ default = null; # real default: "yes"
+ description = ''
+ If set to "yes", multipath will try to detect if the device supports
+ SCSI-3 ALUA. If so, the device will automatically use the tur checker.
+ If set to "no", the checker will be selected as usual.
+ '';
+ };
+
+ deferred_remove = mkOption {
+ type = nullOr (enum [ "yes" "no" ]);
+ default = null; # real default: "no"
+ description = ''
+ If set to "yes", multipathd will do a deferred remove instead of a
+ regular remove when the last path device has been deleted. This means
+ that if the multipath device is still in use, it will be freed when
+ the last user closes it. If path is added to the multipath device
+ before the last user closes it, the deferred remove will be canceled.
+ '';
+ };
+
+ san_path_err_threshold = mkOption {
+ type = nullOr str;
+ default = null;
+ description = ''
+ If set to a value greater than 0, multipathd will watch paths and check
+ how many times a path has been failed due to errors.If the number of
+ failures on a particular path is greater then the san_path_err_threshold,
+ then the path will not reinstate till san_path_err_recovery_time. These
+ path failures should occur within a san_path_err_forget_rate checks, if
+ not we will consider the path is good enough to reinstantate.
+ '';
+ };
+
+ san_path_err_forget_rate = mkOption {
+ type = nullOr str;
+ default = null;
+ description = ''
+ If set to a value greater than 0, multipathd will check whether the path
+ failures has exceeded the san_path_err_threshold within this many checks
+ i.e san_path_err_forget_rate. If so we will not reinstante the path till
+ san_path_err_recovery_time.
+ '';
+ };
+
+ san_path_err_recovery_time = mkOption {
+ type = nullOr str;
+ default = null;
+ description = ''
+ If set to a value greater than 0, multipathd will make sure that when
+ path failures has exceeded the san_path_err_threshold within
+ san_path_err_forget_rate then the path will be placed in failed state
+ for san_path_err_recovery_time duration. Once san_path_err_recovery_time
+ has timeout we will reinstante the failed path. san_path_err_recovery_time
+ value should be in secs.
+ '';
+ };
+
+ marginal_path_err_sample_time = mkOption {
+ type = nullOr int;
+ default = null;
+ description = "One of the four parameters of supporting path check based on accounting IO error such as intermittent error";
+ };
+
+ marginal_path_err_rate_threshold = mkOption {
+ type = nullOr int;
+ default = null;
+ description = "The error rate threshold as a permillage (1/1000)";
+ };
+
+ marginal_path_err_recheck_gap_time = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "One of the four parameters of supporting path check based on accounting IO error such as intermittent error";
+ };
+
+ marginal_path_double_failed_time = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "One of the four parameters of supporting path check based on accounting IO error such as intermittent error";
+ };
+
+ delay_watch_checks = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "This option is deprecated, and mapped to san_path_err_forget_rate";
+ };
+
+ delay_wait_checks = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "This option is deprecated, and mapped to san_path_err_recovery_time";
+ };
+
+ skip_kpartx = mkOption {
+ type = nullOr (enum [ "yes" "no" ]);
+ default = null; # real default: "no"
+ description = "If set to yes, kpartx will not automatically create partitions on the device";
+ };
+
+ max_sectors_kb = mkOption {
+ type = nullOr int;
+ default = null;
+ description = "Sets the max_sectors_kb device parameter on all path devices and the multipath device to the specified value";
+ };
+
+ ghost_delay = mkOption {
+ type = nullOr int;
+ default = null;
+ description = "Sets the number of seconds that multipath will wait after creating a device with only ghost paths before marking it ready for use in systemd";
+ };
+
+ all_tg_pt = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "Set the 'all targets ports' flag when registering keys with mpathpersist";
+ };
+
+ };
+ });
+ };
+
+ defaults = mkOption {
+ type = nullOr str;
+ default = null;
+ description = ''
+ This section defines default values for attributes which are used
+ whenever no values are given in the appropriate device or multipath
+ sections.
+ '';
+ };
+
+ blacklist = mkOption {
+ type = nullOr str;
+ default = null;
+ description = ''
+ This section defines which devices should be excluded from the
+ multipath topology discovery.
+ '';
+ };
+
+ blacklist_exceptions = mkOption {
+ type = nullOr str;
+ default = null;
+ description = ''
+ This section defines which devices should be included in the
+ multipath topology discovery, despite being listed in the
+ blacklist section.
+ '';
+ };
+
+ overrides = mkOption {
+ type = nullOr str;
+ default = null;
+ description = ''
+ This section defines values for attributes that should override the
+ device-specific settings for all devices.
+ '';
+ };
+
+ extraConfig = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "Lines to append to default multipath.conf";
+ };
+
+ extraConfigFile = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "Append an additional file's contents to /etc/multipath.conf";
+ };
+
+ pathGroups = mkOption {
+ example = literalExpression ''
+ [
+ {
+ wwid = "360080e500043b35c0123456789abcdef";
+ alias = 10001234;
+ array = "bigarray.example.com";
+ fsType = "zfs"; # optional
+ options = "ro"; # optional
+ }, ...
+ ]
+ '';
+ description = ''
+ This option allows you to define multipath groups as described
+ in http://christophe.varoqui.free.fr/usage.html.
+ '';
+ type = listOf (submodule {
+ options = {
+
+ alias = mkOption {
+ type = int;
+ example = 1001234;
+ description = "The name of the multipath device";
+ };
+
+ wwid = mkOption {
+ type = hexStr;
+ example = "360080e500043b35c0123456789abcdef";
+ description = "The identifier for the multipath device";
+ };
+
+ array = mkOption {
+ type = str;
+ default = null;
+ example = "bigarray.example.com";
+ description = "The DNS name of the storage array";
+ };
+
+ fsType = mkOption {
+ type = nullOr str;
+ default = null;
+ example = "zfs";
+ description = "Type of the filesystem";
+ };
+
+ options = mkOption {
+ type = nullOr str;
+ default = null;
+ example = "ro";
+ description = "Options used to mount the file system";
+ };
+
+ };
+ });
+ };
+
+ };
+
+ config = mkIf cfg.enable {
+ environment.etc."multipath.conf".text =
+ let
+ inherit (cfg) defaults blacklist blacklist_exceptions overrides;
+
+ mkDeviceBlock = cfg: let
+ nonNullCfg = lib.filterAttrs (k: v: v != null) cfg;
+ attrs = lib.mapAttrsToList (name: value: " ${name} ${toString value}") nonNullCfg;
+ in ''
+ device {
+ ${lib.concatStringsSep "\n" attrs}
+ }
+ '';
+ devices = lib.concatMapStringsSep "\n" mkDeviceBlock cfg.devices;
+
+ mkMultipathBlock = m: ''
+ multipath {
+ wwid ${m.wwid}
+ alias ${toString m.alias}
+ }
+ '';
+ multipaths = lib.concatMapStringsSep "\n" mkMultipathBlock cfg.pathGroups;
+
+ in ''
+ devices {
+ ${indentLines 2 devices}
+ }
+
+ ${optionalString (!isNull defaults) ''
+ defaults {
+ ${indentLines 2 defaults}
+ multipath_dir ${cfg.package}/lib/multipath
+ }
+ ''}
+ ${optionalString (!isNull blacklist) ''
+ blacklist {
+ ${indentLines 2 blacklist}
+ }
+ ''}
+ ${optionalString (!isNull blacklist_exceptions) ''
+ blacklist_exceptions {
+ ${indentLines 2 blacklist_exceptions}
+ }
+ ''}
+ ${optionalString (!isNull overrides) ''
+ overrides {
+ ${indentLines 2 overrides}
+ }
+ ''}
+ multipaths {
+ ${indentLines 2 multipaths}
+ }
+ '';
+
+ systemd.packages = [ cfg.package ];
+
+ environment.systemPackages = [ cfg.package ];
+ boot.kernelModules = [ "dm-multipath" "dm-service-time" ];
+
+ # We do not have systemd in stage-1 boot so must invoke `multipathd`
+ # with the `-1` argument which disables systemd calls. Invoke `multipath`
+ # to display the multipath mappings in the output of `journalctl -b`.
+ boot.initrd.kernelModules = [ "dm-multipath" "dm-service-time" ];
+ boot.initrd.postDeviceCommands = ''
+ modprobe -a dm-multipath dm-service-time
+ multipathd -s
+ (set -x && sleep 1 && multipath -ll)
+ '';
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/murmur.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/murmur.nix
index f8bb878ec65..bbbe1e181bb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/murmur.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/murmur.nix
@@ -112,7 +112,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.murmur;
- defaultText = "pkgs.murmur";
+ defaultText = literalExpression "pkgs.murmur";
description = "Overridable attribute of the murmur package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/mxisd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/mxisd.nix
index f29d190c626..803f0689d1f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/mxisd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/mxisd.nix
@@ -42,7 +42,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.ma1sd;
- defaultText = "pkgs.ma1sd";
+ defaultText = literalExpression "pkgs.ma1sd";
description = "The mxisd/ma1sd package to use";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nat.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nat.nix
index 45eb500fe8c..2e58cd699b2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nat.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nat.nix
@@ -247,7 +247,7 @@ in
loopbackIPs = mkOption {
type = types.listOf types.str;
default = [];
- example = literalExample ''[ "55.1.2.3" ]'';
+ example = literalExpression ''[ "55.1.2.3" ]'';
description = "Public IPs for NAT reflection; for connections to `loopbackip:sourcePort' from the host itself and from other hosts behind NAT";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nats.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nats.nix
index eb0c65bc656..3e86a4f07bc 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nats.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nats.nix
@@ -43,7 +43,6 @@ in {
port = mkOption {
default = 4222;
- example = 4222;
type = types.port;
description = ''
Port on which to listen.
@@ -67,7 +66,7 @@ in {
settings = mkOption {
default = { };
type = format.type;
- example = literalExample ''
+ example = literalExpression ''
{
jetstream = {
max_mem = "1G";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ncdns.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ncdns.nix
index d30fe0f6f6d..af17fc0814b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ncdns.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ncdns.nix
@@ -164,7 +164,7 @@ in
settings = mkOption {
type = configType;
default = { };
- example = literalExample ''
+ example = literalExpression ''
{ # enable webserver
ncdns.httplistenaddr = ":8202";
@@ -245,8 +245,10 @@ in
users.users.ncdns = {
isSystemUser = true;
+ group = "ncdns";
description = "ncdns daemon user";
};
+ users.groups.ncdns = {};
systemd.services.ncdns = {
description = "ncdns daemon";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ndppd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ndppd.nix
index 77e979a8a42..6046ac860cf 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ndppd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ndppd.nix
@@ -142,7 +142,7 @@ in {
messages, and respond to them according to a set of rules.
'';
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
eth0.rules."1111::/64" = {};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nebula.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nebula.nix
index e7ebfe1b4db..de4439415cf 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nebula.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nebula.nix
@@ -30,7 +30,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.nebula;
- defaultText = "pkgs.nebula";
+ defaultText = literalExpression "pkgs.nebula";
description = "Nebula derivation to use.";
};
@@ -59,9 +59,7 @@ in
The static host map defines a set of hosts with fixed IP addresses on the internet (or any network).
A host can have multiple fixed IP addresses defined here, and nebula will try each when establishing a tunnel.
'';
- example = literalExample ''
- { "192.168.100.1" = [ "100.64.22.11:4242" ]; }
- '';
+ example = { "192.168.100.1" = [ "100.64.22.11:4242" ]; };
};
isLighthouse = mkOption {
@@ -77,7 +75,7 @@ in
List of IPs of lighthouse hosts this node should report to and query from. This should be empty on lighthouse
nodes. The IPs should be the lighthouse's Nebula IPs, not their external IPs.
'';
- example = ''[ "192.168.100.1" ]'';
+ example = [ "192.168.100.1" ];
};
listen.host = mkOption {
@@ -110,14 +108,14 @@ in
type = types.listOf types.attrs;
default = [];
description = "Firewall rules for outbound traffic.";
- example = ''[ { port = "any"; proto = "any"; host = "any"; } ]'';
+ example = [ { port = "any"; proto = "any"; host = "any"; } ];
};
firewall.inbound = mkOption {
type = types.listOf types.attrs;
default = [];
description = "Firewall rules for inbound traffic.";
- example = ''[ { port = "any"; proto = "any"; host = "any"; } ]'';
+ example = [ { port = "any"; proto = "any"; host = "any"; } ];
};
settings = mkOption {
@@ -128,7 +126,7 @@ in
for details on supported values.
'';
- example = literalExample ''
+ example = literalExpression ''
{
lighthouse.dns = {
host = "0.0.0.0";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/networkmanager.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/networkmanager.nix
index c8861171dd6..2a826e0f087 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/networkmanager.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/networkmanager.nix
@@ -353,7 +353,7 @@ in {
};
});
default = [];
- example = literalExample ''
+ example = literalExpression ''
[ {
source = pkgs.writeText "upHook" '''
@@ -464,6 +464,7 @@ in {
users.users = {
nm-openvpn = {
uid = config.ids.uids.nm-openvpn;
+ group = "nm-openvpn";
extraGroups = [ "networkmanager" ];
};
nm-iodine = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nftables.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nftables.nix
index 72f37c32253..eb74d373b0a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nftables.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nftables.nix
@@ -32,6 +32,7 @@ in
};
networking.nftables.ruleset = mkOption {
type = types.lines;
+ default = "";
example = ''
# Check out https://wiki.nftables.org/ for better documentation.
# Table for both IPv4 and IPv6.
@@ -86,6 +87,7 @@ in
name = "nftables-rules";
text = cfg.ruleset;
};
+ defaultText = literalDocBook ''a file with the contents of networking.nftables.ruleset '';
description =
''
The ruleset file to be used with nftables. Should be in a format that
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ngircd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ngircd.nix
index 4b2fa779592..c0b9c98fb4b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ngircd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ngircd.nix
@@ -34,7 +34,7 @@ in {
type = types.package;
default = pkgs.ngircd;
- defaultText = "pkgs.ngircd";
+ defaultText = literalExpression "pkgs.ngircd";
};
};
};
@@ -52,8 +52,11 @@ in {
};
users.users.ngircd = {
- uid = config.ids.uids.ngircd;
+ isSystemUser = true;
+ group = "ngircd";
description = "ngircd user.";
};
+ users.groups.ngircd = {};
+
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nixops-dns.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nixops-dns.nix
index 2bb1263b7fa..5e33d872ea4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nixops-dns.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nixops-dns.nix
@@ -34,7 +34,6 @@ in
For example "ops" will resolve "vm.ops".
'';
- example = "ops";
default = "ops";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nntp-proxy.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nntp-proxy.nix
index cc061bf6e3b..a5973cd5933 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nntp-proxy.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nntp-proxy.nix
@@ -6,8 +6,6 @@ let
inherit (pkgs) nntp-proxy;
- proxyUser = "nntp-proxy";
-
cfg = config.services.nntp-proxy;
configBool = b: if b then "TRUE" else "FALSE";
@@ -161,7 +159,6 @@ in
options = {
username = mkOption {
type = types.str;
- default = null;
description = ''
Username
'';
@@ -169,7 +166,6 @@ in
passwordHash = mkOption {
type = types.str;
- default = null;
example = "$6$GtzE7FrpE$wwuVgFYU.TZH4Rz.Snjxk9XGua89IeVwPQ/fEUD8eujr40q5Y021yhn0aNcsQ2Ifw.BLclyzvzgegopgKcneL0";
description = ''
SHA-512 password hash (can be generated by
@@ -191,15 +187,17 @@ in
'';
default = {};
- example = literalExample ''
- "user1" = {
- passwordHash = "$6$1l0t5Kn2Dk$appzivc./9l/kjq57eg5UCsBKlcfyCr0zNWYNerKoPsI1d7eAwiT0SVsOVx/CTgaBNT/u4fi2vN.iGlPfv1ek0";
- maxConnections = 5;
- };
- "anotheruser" = {
- passwordHash = "$6$6lwEsWB.TmsS$W7m1riUx4QrA8pKJz8hvff0dnF1NwtZXgdjmGqA1Dx2MDPj07tI9GNcb0SWlMglE.2/hBgynDdAd/XqqtRqVQ0";
- maxConnections = 7;
- };
+ example = literalExpression ''
+ {
+ "user1" = {
+ passwordHash = "$6$1l0t5Kn2Dk$appzivc./9l/kjq57eg5UCsBKlcfyCr0zNWYNerKoPsI1d7eAwiT0SVsOVx/CTgaBNT/u4fi2vN.iGlPfv1ek0";
+ maxConnections = 5;
+ };
+ "anotheruser" = {
+ passwordHash = "$6$6lwEsWB.TmsS$W7m1riUx4QrA8pKJz8hvff0dnF1NwtZXgdjmGqA1Dx2MDPj07tI9GNcb0SWlMglE.2/hBgynDdAd/XqqtRqVQ0";
+ maxConnections = 7;
+ };
+ }
'';
};
};
@@ -210,16 +208,18 @@ in
config = mkIf cfg.enable {
- users.users.${proxyUser} =
- { uid = config.ids.uids.nntp-proxy;
- description = "NNTP-Proxy daemon user";
- };
+ users.users.nntp-proxy = {
+ isSystemUser = true;
+ group = "nntp-proxy";
+ description = "NNTP-Proxy daemon user";
+ };
+ users.groups.nntp-proxy = {};
systemd.services.nntp-proxy = {
description = "NNTP proxy";
after = [ "network.target" "nss-lookup.target" ];
wantedBy = [ "multi-user.target" ];
- serviceConfig = { User="${proxyUser}"; };
+ serviceConfig = { User="nntp-proxy"; };
serviceConfig.ExecStart = "${nntp-proxy}/bin/nntp-proxy ${confFile}";
preStart = ''
if [ ! \( -f ${cfg.sslCert} -a -f ${cfg.sslKey} \) ]; then
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nomad.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nomad.nix
index 48689f1195c..3bd15bd5c80 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nomad.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nomad.nix
@@ -13,7 +13,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.nomad;
- defaultText = "pkgs.nomad";
+ defaultText = literalExpression "pkgs.nomad";
description = ''
The package used for the Nomad agent and CLI.
'';
@@ -25,7 +25,7 @@ in
description = ''
Extra packages to add to PATH for the Nomad agent process.
'';
- example = literalExample ''
+ example = literalExpression ''
with pkgs; [ cni-plugins ]
'';
};
@@ -55,7 +55,7 @@ in
description = ''
Additional settings paths used to configure nomad. These can be files or directories.
'';
- example = literalExample ''
+ example = literalExpression ''
[ "/etc/nomad-mutable.json" "/run/keys/nomad-with-secrets.json" "/etc/nomad/config.d" ]
'';
};
@@ -81,7 +81,7 @@ in
the DynamicUser feature of systemd which directly
manages and operates on StateDirectory .
'';
- example = literalExample ''
+ example = literalExpression ''
{
# A minimal config example:
server = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nsd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nsd.nix
index 2ac0a8c7922..893995165b9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nsd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/nsd.nix
@@ -260,7 +260,6 @@ let
data = mkOption {
type = types.lines;
default = "";
- example = "";
description = ''
The actual zone data. This is the content of your zone file.
Use imports or pkgs.lib.readFile if you don't want this data in your config file.
@@ -397,7 +396,6 @@ let
requestXFR = mkOption {
type = types.listOf types.str;
default = [];
- example = [];
description = ''
Format: [AXFR|UDP] <ip-address> <key-name | NOKEY>
'';
@@ -726,7 +724,7 @@ in
};
});
default = {};
- example = literalExample ''
+ example = literalExpression ''
{ "tsig.example.org" = {
algorithm = "hmac-md5";
keyFile = "/path/to/my/key";
@@ -861,7 +859,7 @@ in
zones = mkOption {
type = types.attrsOf zoneOptions;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{ "serverGroup1" = {
provideXFR = [ "10.1.2.3 NOKEY" ];
children = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ntp/chrony.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ntp/chrony.nix
index ed61c178c68..d414936a2c2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ntp/chrony.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ntp/chrony.nix
@@ -44,7 +44,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.chrony;
- defaultText = "pkgs.chrony";
+ defaultText = literalExpression "pkgs.chrony";
description = ''
Which chrony package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ntp/ntpd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ntp/ntpd.nix
index 861b0db01a4..ce4802ce024 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ntp/ntpd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ntp/ntpd.nix
@@ -10,8 +10,6 @@ let
stateDir = "/var/lib/ntp";
- ntpUser = "ntp";
-
configFile = pkgs.writeText "ntp.conf" ''
driftfile ${stateDir}/ntp.drift
@@ -27,7 +25,7 @@ let
${cfg.extraConfig}
'';
- ntpFlags = "-c ${configFile} -u ${ntpUser}:nogroup ${toString cfg.extraFlags}";
+ ntpFlags = "-c ${configFile} -u ntp:ntp ${toString cfg.extraFlags}";
in
@@ -99,7 +97,7 @@ in
extraFlags = mkOption {
type = types.listOf types.str;
description = "Extra flags passed to the ntpd command.";
- example = literalExample ''[ "--interface=eth0" ]'';
+ example = literalExpression ''[ "--interface=eth0" ]'';
default = [];
};
@@ -119,11 +117,13 @@ in
systemd.services.systemd-timedated.environment = { SYSTEMD_TIMEDATED_NTP_SERVICES = "ntpd.service"; };
- users.users.${ntpUser} =
- { uid = config.ids.uids.ntp;
+ users.users.ntp =
+ { isSystemUser = true;
+ group = "ntp";
description = "NTP daemon user";
home = stateDir;
};
+ users.groups.ntp = {};
systemd.services.ntpd =
{ description = "NTP Daemon";
@@ -135,7 +135,7 @@ in
preStart =
''
mkdir -m 0755 -p ${stateDir}
- chown ${ntpUser} ${stateDir}
+ chown ntp ${stateDir}
'';
serviceConfig = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ntp/openntpd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ntp/openntpd.nix
index 67a04d48d30..9f3892e3b53 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ntp/openntpd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ntp/openntpd.nix
@@ -61,10 +61,12 @@ in
environment.etc."ntpd.conf".text = configFile;
users.users.ntp = {
- uid = config.ids.uids.ntp;
+ isSystemUser = true;
+ group = "ntp";
description = "OpenNTP daemon user";
home = "/var/empty";
};
+ users.groups.ntp = {};
systemd.services.openntpd = {
description = "OpenNTP Server";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ofono.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ofono.nix
index 40ef9433de0..460b06443c4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ofono.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ofono.nix
@@ -24,7 +24,7 @@ in
plugins = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "[ pkgs.modem-manager-gui ]";
+ example = literalExpression "[ pkgs.modem-manager-gui ]";
description = ''
The list of plugins to install.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/onedrive.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/onedrive.nix
index c52f920bae2..0256a6a4111 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/onedrive.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/onedrive.nix
@@ -35,8 +35,7 @@ in {
package = lib.mkOption {
type = lib.types.package;
default = pkgs.onedrive;
- defaultText = "pkgs.onedrive";
- example = lib.literalExample "pkgs.onedrive";
+ defaultText = lib.literalExpression "pkgs.onedrive";
description = ''
OneDrive package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/openvpn.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/openvpn.nix
index b4c2c944b6e..cf3f79fc578 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/openvpn.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/openvpn.nix
@@ -84,7 +84,7 @@ in
services.openvpn.servers = mkOption {
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
server = {
config = '''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ostinato.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ostinato.nix
index 5e8cce5b89a..4da11984b9f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ostinato.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ostinato.nix
@@ -65,7 +65,7 @@ in
include = mkOption {
type = types.listOf types.str;
default = [];
- example = ''[ "eth*" "lo*" ]'';
+ example = [ "eth*" "lo*" ];
description = ''
For a port to pass the filter and appear on the port list managed
by drone, it be allowed by this include list.
@@ -74,7 +74,7 @@ in
exclude = mkOption {
type = types.listOf types.str;
default = [];
- example = ''[ "usbmon*" "eth0" ]'';
+ example = [ "usbmon*" "eth0" ];
description = ''
A list of ports does not appear on the port list managed by drone.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pdns-recursor.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pdns-recursor.nix
index a326eccfd65..0579d314a9b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pdns-recursor.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pdns-recursor.nix
@@ -127,7 +127,7 @@ in {
settings = mkOption {
type = configType;
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
loglevel = 8;
log-common-errors = true;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pleroma.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pleroma.nix
index bd75083a4a7..2f32faf387c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pleroma.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pleroma.nix
@@ -9,6 +9,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.pleroma;
+ defaultText = literalExpression "pkgs.pleroma";
description = "Pleroma package to use.";
};
@@ -74,7 +75,7 @@ in {
users."${cfg.user}" = {
description = "Pleroma user";
home = cfg.stateDir;
- extraGroups = [ cfg.group ];
+ group = cfg.group;
isSystemUser = true;
};
groups."${cfg.group}" = {};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pleroma.xml b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pleroma.xml
index 9ab0be3d947..ad0a481af28 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pleroma.xml
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pleroma.xml
@@ -4,129 +4,185 @@
version="5.0"
xml:id="module-services-pleroma">
Pleroma
- Pleroma is a lightweight activity pub server.
-
- Quick Start
- To get quickly started, you can use this sample NixOS configuration and adapt it to your use case.
-
- {
- security.acme = {
- email = "root@tld";
- acceptTerms = true;
- certs = {
- "social.tld.com" = {
- webroot = "/var/www/social.tld.com";
- email = "root@tld";
- group = "nginx";
- };
- };
- };
- services = {
- pleroma = {
- enable = true;
- secretConfigFile = "/var/lib/pleroma/secrets.exs";
- configs = [
- ''
- import Config
-
- config :pleroma, Pleroma.Web.Endpoint,
- url: [host: "social.tld.com", scheme: "https", port: 443],
- http: [ip: {127, 0, 0, 1}, port: 4000]
-
- config :pleroma, :instance,
- name: "NixOS test pleroma server",
- email: "pleroma@social.tld.com",
- notify_email: "pleroma@social.tld.com",
- limit: 5000,
- registrations_open: true
-
- config :pleroma, :media_proxy,
- enabled: false,
- redirect_on_failure: true
- #base_url: "https://cache.pleroma.social"
-
- config :pleroma, Pleroma.Repo,
- adapter: Ecto.Adapters.Postgres,
- username: "pleroma",
- password: "${test-db-passwd}",
- database: "pleroma",
- hostname: "localhost",
- pool_size: 10,
- prepare: :named,
- parameters: [
- plan_cache_mode: "force_custom_plan"
- ]
-
- config :pleroma, :database, rum_enabled: false
- config :pleroma, :instance, static_dir: "/var/lib/pleroma/static"
- config :pleroma, Pleroma.Uploaders.Local, uploads: "/var/lib/pleroma/uploads"
- config :pleroma, configurable_from_database: false
- ''
- ];
- };
- postgresql = {
- enable = true;
- package = pkgs.postgresql_12;
- };
- nginx = {
- enable = true;
- addSSL = true;
- sslCertificate = "/var/lib/acme/social.tld.com/fullchain.pem";
- sslCertificateKey = "/var/lib/acme/social.tld.com/key.pem";
- root = "/var/www/social.tld.com";
- # ACME endpoint
- locations."/.well-known/acme-challenge" = {
- root = "/var/www/social.tld.com/";
- };
- virtualHosts."social.tld.com" = {
- addSSL = true;
- locations."/" = {
- proxyPass = "http://127.0.0.1:4000";
- extraConfig = ''
- add_header 'Access-Control-Allow-Origin' '*' always;
- add_header 'Access-Control-Allow-Methods' 'POST, PUT, DELETE, GET, PATCH, OPTIONS' always;
- add_header 'Access-Control-Allow-Headers' 'Authorization, Content-Type, Idempotency-Key' always;
- add_header 'Access-Control-Expose-Headers' 'Link, X-RateLimit-Reset, X-RateLimit-Limit, X-RateLimit-Remaining, X-Request-Id' always;
- if ($request_method = OPTIONS) {
- return 204;
- }
- add_header X-XSS-Protection "1; mode=block";
- add_header X-Permitted-Cross-Domain-Policies none;
- add_header X-Frame-Options DENY;
- add_header X-Content-Type-Options nosniff;
- add_header Referrer-Policy same-origin;
- add_header X-Download-Options noopen;
- proxy_http_version 1.1;
- proxy_set_header Upgrade $http_upgrade;
- proxy_set_header Connection "upgrade";
- proxy_set_header Host $host;
- client_max_body_size 16m;
- '';
- };
- };
- };
- };
- };
-
- Note that you'll need to seed your database and upload your pleroma secrets to the path pointed by config.pleroma.secretConfigFile . You can find more informations about how to do that in the next section.
-
+
+ Pleroma is a lightweight activity pub server.
- Generating the Pleroma Config and Seed the Database
-
- Before using this service, you'll need to generate your
-server configuration and its associated database seed. The
-pleroma_ctl CLI utility can help you with that. You
-can start with pleroma_ctl instance gen --output config.exs
---output-psql setup.psql , this will prompt you some
-questions and will generate both your config file and database initial
-migration.
-For more details about this configuration format, please have a look at the upstream documentation.
-To seed your database, you can use the setup.psql file you just generated by running
+ Generating the Pleroma config
+ The pleroma_ctl CLI utility will prompt you some questions and it will generate an initial config file. This is an example of usage
+
+$ mkdir tmp-pleroma
+$ cd tmp-pleroma
+$ nix-shell -p pleroma-otp
+$ pleroma_ctl instance gen --output config.exs --output-psql setup.psql
+
+
+ The config.exs file can be further customized following the instructions on the upstream documentation. Many refinements can be applied also after the service is running.
+
+
+ Initializing the database
+ First, the Postgresql service must be enabled in the NixOS configuration
+
+services.postgresql = {
+ enable = true;
+ package = pkgs.postgresql_13;
+};
+
+and activated with the usual
+
+$ nixos-rebuild switch
+
+
+ Then you can create and seed the database, using the setup.psql file that you generated in the previous section, by running
+
+$ sudo -u postgres psql -f setup.psql
+
+
+
+
+ Enabling the Pleroma service locally
+ In this section we will enable the Pleroma service only locally, so its configurations can be improved incrementally.
+ This is an example of configuration, where services.pleroma.configs option contains the content of the file config.exs , generated in the first section, but with the secrets (database password, endpoint secret key, salts, etc.) removed. Removing secrets is important, because otherwise they will be stored publicly in the Nix store.
+
+services.pleroma = {
+ enable = true;
+ secretConfigFile = "/var/lib/pleroma/secrets.exs";
+ configs = [
+ ''
+ import Config
+
+ config :pleroma, Pleroma.Web.Endpoint,
+ url: [host: "pleroma.example.net", scheme: "https", port: 443],
+ http: [ip: {127, 0, 0, 1}, port: 4000]
+
+ config :pleroma, :instance,
+ name: "Test",
+ email: "admin@example.net",
+ notify_email: "admin@example.net",
+ limit: 5000,
+ registrations_open: true
+
+ config :pleroma, :media_proxy,
+ enabled: false,
+ redirect_on_failure: true
+
+ config :pleroma, Pleroma.Repo,
+ adapter: Ecto.Adapters.Postgres,
+ username: "pleroma",
+ database: "pleroma",
+ hostname: "localhost"
+
+ # Configure web push notifications
+ config :web_push_encryption, :vapid_details,
+ subject: "mailto:admin@example.net"
+
+ # ... TO CONTINUE ...
+ ''
+ ];
+};
+
+
+ Secrets must be moved into a file pointed by services.pleroma.secretConfigFile, in our case /var/lib/pleroma/secrets.exs . This file can be created copying the previously generated config.exs file and then removing all the settings, except the secrets. This is an example
+
+# Pleroma instance passwords
+
+import Config
+
+config :pleroma, Pleroma.Web.Endpoint,
+ secret_key_base: "<the secret generated by pleroma_ctl>",
+ signing_salt: "<the secret generated by pleroma_ctl>"
+
+config :pleroma, Pleroma.Repo,
+ password: "<the secret generated by pleroma_ctl>"
+
+# Configure web push notifications
+config :web_push_encryption, :vapid_details,
+ public_key: "<the secret generated by pleroma_ctl>",
+ private_key: "<the secret generated by pleroma_ctl>"
+
+# ... TO CONTINUE ...
+
+ Note that the lines of the same configuration group are comma separated (i.e. all the lines end with a comma, except the last one), so when the lines with passwords are added or removed, commas must be adjusted accordingly.
+
+ The service can be enabled with the usual
+
+$ nixos-rebuild switch
+
+
+ The service is accessible only from the local 127.0.0.1:4000 port. It can be tested using a port forwarding like this
+
+$ ssh -L 4000:localhost:4000 myuser@example.net
+
+and then accessing http://localhost:4000 from a web browser.
+
+
+ Creating the admin user
+ After Pleroma service is running, all Pleroma administration utilities can be used. In particular an admin user can be created with
+
+$ pleroma_ctl user new <nickname> <email> --admin --moderator --password <password>
+
+
+
+
+ Configuring Nginx
+ In this configuration, Pleroma is listening only on the local port 4000. Nginx can be configured as a Reverse Proxy, for forwarding requests from public ports to the Pleroma service. This is an example of configuration, using
+ Let's Encrypt for the TLS certificates
- sudo -u postgres psql -f setup.psql
-
- In regard of the pleroma service configuration you also just generated, you'll need to split it in two parts. The "public" part, which do not contain any secrets and thus can be safely stored in the Nix store and its "private" counterpart containing some secrets (database password, endpoint secret key, salts, etc.).
+security.acme = {
+ email = "root@example.net";
+ acceptTerms = true;
+};
- The public part will live in your NixOS machine configuration in the services.pleroma.configs option. However, it's up to you to upload the secret pleroma configuration to the path pointed by services.pleroma.secretConfigFile. You can do that manually or rely on a third party tool such as Morph or NixOps.
+services.nginx = {
+ enable = true;
+ addSSL = true;
+
+ recommendedTlsSettings = true;
+ recommendedOptimisation = true;
+ recommendedGzipSettings = true;
+
+ recommendedProxySettings = false;
+ # NOTE: if enabled, the NixOS proxy optimizations will override the Pleroma
+ # specific settings, and they will enter in conflict.
+
+ virtualHosts = {
+ "pleroma.example.net" = {
+ http2 = true;
+ enableACME = true;
+ forceSSL = true;
+
+ locations."/" = {
+ proxyPass = "http://127.0.0.1:4000";
+
+ extraConfig = ''
+ etag on;
+ gzip on;
+
+ add_header 'Access-Control-Allow-Origin' '*' always;
+ add_header 'Access-Control-Allow-Methods' 'POST, PUT, DELETE, GET, PATCH, OPTIONS' always;
+ add_header 'Access-Control-Allow-Headers' 'Authorization, Content-Type, Idempotency-Key' always;
+ add_header 'Access-Control-Expose-Headers' 'Link, X-RateLimit-Reset, X-RateLimit-Limit, X-RateLimit-Remaining, X-Request-Id' always;
+ if ($request_method = OPTIONS) {
+ return 204;
+ }
+ add_header X-XSS-Protection "1; mode=block";
+ add_header X-Permitted-Cross-Domain-Policies none;
+ add_header X-Frame-Options DENY;
+ add_header X-Content-Type-Options nosniff;
+ add_header Referrer-Policy same-origin;
+ add_header X-Download-Options noopen;
+ proxy_http_version 1.1;
+ proxy_set_header Upgrade $http_upgrade;
+ proxy_set_header Connection "upgrade";
+ proxy_set_header Host $host;
+
+ client_max_body_size 16m;
+ # NOTE: increase if users need to upload very big files
+ '';
+ };
+ };
+ };
+};
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pppd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pppd.nix
index 37f44f07ac4..d1ed25b0238 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pppd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/pppd.nix
@@ -16,7 +16,7 @@ in
package = mkOption {
default = pkgs.ppp;
- defaultText = "pkgs.ppp";
+ defaultText = literalExpression "pkgs.ppp";
type = types.package;
description = "pppd package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/privoxy.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/privoxy.nix
index df818baa465..7bc964d5f34 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/privoxy.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/privoxy.nix
@@ -164,7 +164,7 @@ in
};
};
default = {};
- example = literalExample ''
+ example = literalExpression ''
{ # Listen on IPv6 only
listen-address = "[::]:8118";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/prosody.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/prosody.nix
index e7a7aa700be..42596ccfefd 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/prosody.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/prosody.nix
@@ -500,8 +500,8 @@ in
type = types.package;
description = "Prosody package to use";
default = pkgs.prosody;
- defaultText = "pkgs.prosody";
- example = literalExample ''
+ defaultText = literalExpression "pkgs.prosody";
+ example = literalExpression ''
pkgs.prosody.override {
withExtraLibs = [ pkgs.luaPackages.lpty ];
withCommunityModules = [ "auth_external" ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/quassel.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/quassel.nix
index bfbd3b46ab4..22940ef7a13 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/quassel.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/quassel.nix
@@ -37,11 +37,10 @@ in
package = mkOption {
type = types.package;
default = pkgs.quasselDaemon;
- defaultText = "pkgs.quasselDaemon";
+ defaultText = literalExpression "pkgs.quasselDaemon";
description = ''
The package of the quassel daemon.
'';
- example = literalExample "pkgs.quasselDaemon";
};
interfaces = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/quorum.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/quorum.nix
index 2f612c9db68..50148dc314d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/quorum.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/quorum.nix
@@ -1,7 +1,7 @@
{ config, pkgs, lib, ... }:
let
- inherit (lib) mkEnableOption mkIf mkOption literalExample types optionalString;
+ inherit (lib) mkEnableOption mkIf mkOption literalExpression types optionalString;
cfg = config.services.quorum;
dataDir = "/var/lib/quorum";
@@ -130,7 +130,7 @@ in {
genesis = mkOption {
type = types.nullOr types.attrs;
default = null;
- example = literalExample '' {
+ example = literalExpression '' {
alloc = {
a47385db68718bdcbddc2d2bb7c54018066ec111 = {
balance = "1000000000000000000000000000";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/radicale.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/radicale.nix
index 8c632c319d3..c121008d529 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/radicale.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/radicale.nix
@@ -33,7 +33,7 @@ in {
# warnings about incompatible configuration and storage formats.
type = with types; nullOr package // { inherit (package) description; };
default = null;
- defaultText = "pkgs.radicale";
+ defaultText = literalExpression "pkgs.radicale";
};
config = mkOption {
@@ -55,7 +55,7 @@ in {
.
This option is mutually exclusive with config .
'';
- example = literalExample ''
+ example = literalExpression ''
server = {
hosts = [ "0.0.0.0:5232" "[::]:5232" ];
};
@@ -80,7 +80,7 @@ in {
settings.rights.file to approriate values.
'';
default = { };
- example = literalExample ''
+ example = literalExpression ''
root = {
user = ".+";
collection = "";
@@ -140,9 +140,12 @@ in {
environment.systemPackages = [ pkg ];
- users.users.radicale.uid = config.ids.uids.radicale;
+ users.users.radicale = {
+ isSystemUser = true;
+ group = "radicale";
+ };
- users.groups.radicale.gid = config.ids.gids.radicale;
+ users.groups.radicale = {};
systemd.services.radicale = {
description = "A Simple Calendar and Contact Server";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/radvd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/radvd.nix
index 53fac4b7b72..6e8db55bbf0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/radvd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/radvd.nix
@@ -55,9 +55,12 @@ in
config = mkIf cfg.enable {
users.users.radvd =
- { uid = config.ids.uids.radvd;
+ {
+ isSystemUser = true;
+ group = "radvd";
description = "Router Advertisement Daemon User";
};
+ users.groups.radvd = {};
systemd.services.radvd =
{ description = "IPv6 Router Advertisement Daemon";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/rdnssd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/rdnssd.nix
index 469504c4317..fd04bb8108f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/rdnssd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/rdnssd.nix
@@ -72,8 +72,10 @@ in
users.users.rdnssd = {
description = "RDNSSD Daemon User";
- uid = config.ids.uids.rdnssd;
+ isSystemUser = true;
+ group = "rdnssd";
};
+ users.groups.rdnssd = {};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/searx.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/searx.nix
index 04f7d7e31f4..9fb06af7442 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/searx.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/searx.nix
@@ -68,7 +68,7 @@ in
settings = mkOption {
type = types.attrsOf settingType;
default = { };
- example = literalExample ''
+ example = literalExpression ''
{ server.port = 8080;
server.bind_address = "0.0.0.0";
server.secret_key = "@SEARX_SECRET_KEY@";
@@ -116,7 +116,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.searx;
- defaultText = "pkgs.searx";
+ defaultText = literalExpression "pkgs.searx";
description = "searx package to use.";
};
@@ -138,7 +138,7 @@ in
uwsgiConfig = mkOption {
type = options.services.uwsgi.instance.type;
default = { http = ":8080"; };
- example = literalExample ''
+ example = literalExpression ''
{
disable-logging = true;
http = ":8080"; # serve via HTTP...
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shadowsocks.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shadowsocks.nix
index d2541f9a6df..7bea269a9ed 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shadowsocks.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shadowsocks.nix
@@ -98,7 +98,7 @@ in
plugin = mkOption {
type = types.nullOr types.str;
default = null;
- example = "\${pkgs.shadowsocks-v2ray-plugin}/bin/v2ray-plugin";
+ example = literalExpression ''"''${pkgs.shadowsocks-v2ray-plugin}/bin/v2ray-plugin"'';
description = ''
SIP003 plugin for shadowsocks
'';
@@ -116,11 +116,9 @@ in
extraConfig = mkOption {
type = types.attrs;
default = {};
- example = ''
- {
- nameserver = "8.8.8.8";
- }
- '';
+ example = {
+ nameserver = "8.8.8.8";
+ };
description = ''
Additional configuration for shadowsocks that is not covered by the
provided options. The provided attrset will be serialized to JSON and
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shellhub-agent.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shellhub-agent.nix
index 4ce4b8250bc..a45ef148544 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shellhub-agent.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shellhub-agent.nix
@@ -23,7 +23,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.shellhub-agent;
- defaultText = "pkgs.shellhub-agent";
+ defaultText = literalExpression "pkgs.shellhub-agent";
description = ''
Which ShellHub Agent package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shorewall.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shorewall.nix
index 16383be2530..ac732d4b12e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shorewall.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shorewall.nix
@@ -22,7 +22,7 @@ in {
package = lib.mkOption {
type = types.package;
default = pkgs.shorewall;
- defaultText = "pkgs.shorewall";
+ defaultText = lib.literalExpression "pkgs.shorewall";
description = "The shorewall package to use.";
};
configs = lib.mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shorewall6.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shorewall6.nix
index e081aedc6c3..4235c74a3f8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shorewall6.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shorewall6.nix
@@ -22,7 +22,7 @@ in {
package = lib.mkOption {
type = types.package;
default = pkgs.shorewall;
- defaultText = "pkgs.shorewall";
+ defaultText = lib.literalExpression "pkgs.shorewall";
description = "The shorewall package to use.";
};
configs = lib.mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shout.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shout.nix
index 405808491ea..cca03a8f88a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shout.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/shout.nix
@@ -83,11 +83,13 @@ in {
config = mkIf cfg.enable {
users.users.shout = {
- uid = config.ids.uids.shout;
+ isSystemUser = true;
+ group = "shout";
description = "Shout daemon user";
home = shoutHome;
createHome = true;
};
+ users.groups.shout = {};
systemd.services.shout = {
description = "Shout web IRC client";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/skydns.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/skydns.nix
index ea466de9327..c4e959b57bb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/skydns.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/skydns.nix
@@ -56,7 +56,7 @@ in {
package = mkOption {
default = pkgs.skydns;
- defaultText = "pkgs.skydns";
+ defaultText = literalExpression "pkgs.skydns";
type = types.package;
description = "Skydns package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/smartdns.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/smartdns.nix
index f84c727f034..7f9df42ce9c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/smartdns.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/smartdns.nix
@@ -32,7 +32,7 @@ in {
type =
let atom = oneOf [ str int bool ];
in attrsOf (coercedTo atom toList (listOf atom));
- example = literalExample ''
+ example = literalExpression ''
{
bind = ":5353 -no-rule -group example";
cache-size = 4096;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/smokeping.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/smokeping.nix
index 4470c18fd53..021368488a3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/smokeping.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/smokeping.nix
@@ -60,7 +60,7 @@ in
to = root@localhost
from = smokeping@localhost
'';
- example = literalExample ''
+ example = ''
to = alertee@address.somewhere
from = smokealert@company.xy
@@ -75,7 +75,7 @@ in
cgiUrl = mkOption {
type = types.str;
default = "http://${cfg.hostName}:${toString cfg.port}/smokeping.cgi";
- defaultText = "http://\${hostName}:\${toString port}/smokeping.cgi";
+ defaultText = literalExpression ''"http://''${hostName}:''${toString port}/smokeping.cgi"'';
example = "https://somewhere.example.com/smokeping.cgi";
description = "URL to the smokeping cgi.";
};
@@ -100,7 +100,7 @@ in
MIN 0.5 144 720
'';
- example = literalExample ''
+ example = ''
# near constant pings.
step = 30
pings = 20
@@ -125,14 +125,14 @@ in
hostName = mkOption {
type = types.str;
default = config.networking.fqdn;
- defaultText = "\${config.networking.fqdn}";
+ defaultText = literalExpression "config.networking.fqdn";
example = "somewhere.example.com";
description = "DNS name for the urls generated in the cgi.";
};
imgUrl = mkOption {
type = types.str;
default = "http://${cfg.hostName}:${toString cfg.port}/cache";
- defaultText = "http://\${hostName}:\${toString port}/cache";
+ defaultText = literalExpression ''"http://''${hostName}:''${toString port}/cache"'';
example = "https://somewhere.example.com/cache";
description = "Base url for images generated in the cgi.";
};
@@ -157,20 +157,19 @@ in
ownerEmail = mkOption {
type = types.str;
default = "no-reply@${cfg.hostName}";
- defaultText = "no-reply@\${hostName}";
+ defaultText = literalExpression ''"no-reply@''${hostName}"'';
example = "no-reply@yourdomain.com";
description = "Email contact for owner";
};
package = mkOption {
type = types.package;
default = pkgs.smokeping;
- defaultText = "pkgs.smokeping";
+ defaultText = literalExpression "pkgs.smokeping";
description = "Specify a custom smokeping package";
};
port = mkOption {
type = types.int;
default = 8081;
- example = 8081;
description = "TCP port to use for the web server.";
};
presentationConfig = mkOption {
@@ -217,6 +216,7 @@ in
presentationTemplate = mkOption {
type = types.str;
default = "${pkgs.smokeping}/etc/basepage.html.dist";
+ defaultText = literalExpression ''"''${pkgs.smokeping}/etc/basepage.html.dist"'';
description = "Default page layout for the web UI.";
};
probeConfig = mkOption {
@@ -236,6 +236,7 @@ in
smokeMailTemplate = mkOption {
type = types.str;
default = "${cfg.package}/etc/smokemail.dist";
+ defaultText = literalExpression ''"''${package}/etc/smokemail.dist"'';
description = "Specify the smokemail template for alerts.";
};
targetConfig = mkOption {
@@ -259,7 +260,7 @@ in
user = mkOption {
type = types.str;
default = "smokeping";
- description = "User that runs smokeping and (optionally) thttpd";
+ description = "User that runs smokeping and (optionally) thttpd. A group of the same name will be created as well.";
};
webService = mkOption {
type = types.bool;
@@ -278,18 +279,23 @@ in
}
];
security.wrappers = {
- fping.source = "${pkgs.fping}/bin/fping";
- fping6.source = "${pkgs.fping}/bin/fping6";
+ fping =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.fping}/bin/fping";
+ };
};
environment.systemPackages = [ pkgs.fping ];
users.users.${cfg.user} = {
isNormalUser = false;
isSystemUser = true;
- uid = config.ids.uids.smokeping;
+ group = cfg.user;
description = "smokeping daemon user";
home = smokepingHome;
createHome = true;
};
+ users.groups.${cfg.user} = {};
systemd.services.smokeping = {
wantedBy = [ "multi-user.target"];
serviceConfig = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/sniproxy.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/sniproxy.nix
index 0345c12d3af..28c201f0565 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/sniproxy.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/sniproxy.nix
@@ -34,7 +34,7 @@ in
type = types.lines;
default = "";
description = "sniproxy.conf configuration excluding the daemon username and pid file.";
- example = literalExample ''
+ example = ''
error_log {
filename /var/log/sniproxy/error.log
}
@@ -47,7 +47,7 @@ in
table {
example.com 192.0.2.10
example.net 192.0.2.20
- }
+ }
'';
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/softether.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/softether.nix
index 2dc73d81b25..5405f56871e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/softether.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/softether.nix
@@ -21,7 +21,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.softether;
- defaultText = "pkgs.softether";
+ defaultText = literalExpression "pkgs.softether";
description = ''
softether derivation to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/soju.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/soju.nix
new file mode 100644
index 00000000000..68a33e9dccb
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/soju.nix
@@ -0,0 +1,113 @@
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let
+ cfg = config.services.soju;
+ stateDir = "/var/lib/soju";
+ listenCfg = concatMapStringsSep "\n" (l: "listen ${l}") cfg.listen;
+ tlsCfg = optionalString (cfg.tlsCertificate != null)
+ "tls ${cfg.tlsCertificate} ${cfg.tlsCertificateKey}";
+ logCfg = optionalString cfg.enableMessageLogging
+ "log fs ${stateDir}/logs";
+
+ configFile = pkgs.writeText "soju.conf" ''
+ ${listenCfg}
+ hostname ${cfg.hostName}
+ ${tlsCfg}
+ db sqlite3 ${stateDir}/soju.db
+ ${logCfg}
+ http-origin ${concatStringsSep " " cfg.httpOrigins}
+ accept-proxy-ip ${concatStringsSep " " cfg.acceptProxyIP}
+
+ ${cfg.extraConfig}
+ '';
+in
+{
+ ###### interface
+
+ options.services.soju = {
+ enable = mkEnableOption "soju";
+
+ listen = mkOption {
+ type = types.listOf types.str;
+ default = [ ":6697" ];
+ description = ''
+ Where soju should listen for incoming connections. See the
+ listen directive in
+ soju
+ 1 .
+ '';
+ };
+
+ hostName = mkOption {
+ type = types.str;
+ default = config.networking.hostName;
+ description = "Server hostname.";
+ };
+
+ tlsCertificate = mkOption {
+ type = types.nullOr types.path;
+ example = "/var/host.cert";
+ description = "Path to server TLS certificate.";
+ };
+
+ tlsCertificateKey = mkOption {
+ type = types.nullOr types.path;
+ example = "/var/host.key";
+ description = "Path to server TLS certificate key.";
+ };
+
+ enableMessageLogging = mkOption {
+ type = types.bool;
+ default = true;
+ description = "Whether to enable message logging.";
+ };
+
+ httpOrigins = mkOption {
+ type = types.listOf types.str;
+ default = [];
+ description = ''
+ List of allowed HTTP origins for WebSocket listeners. The parameters are
+ interpreted as shell patterns, see
+ glob
+ 7 .
+ '';
+ };
+
+ acceptProxyIP = mkOption {
+ type = types.listOf types.str;
+ default = [];
+ description = ''
+ Allow the specified IPs to act as a proxy. Proxys have the ability to
+ overwrite the remote and local connection addresses (via the X-Forwarded-\*
+ HTTP header fields). The special name "localhost" accepts the loopback
+ addresses 127.0.0.0/8 and ::1/128. By default, all IPs are rejected.
+ '';
+ };
+
+ extraConfig = mkOption {
+ type = types.lines;
+ default = "";
+ description = "Lines added verbatim to the configuration file.";
+ };
+ };
+
+ ###### implementation
+
+ config = mkIf cfg.enable {
+ systemd.services.soju = {
+ description = "soju IRC bouncer";
+ wantedBy = [ "multi-user.target" ];
+ after = [ "network-online.target" ];
+ serviceConfig = {
+ DynamicUser = true;
+ Restart = "always";
+ ExecStart = "${pkgs.soju}/bin/soju -config ${configFile}";
+ StateDirectory = "soju";
+ };
+ };
+ };
+
+ meta.maintainers = with maintainers; [ malvo ];
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/spacecookie.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/spacecookie.nix
index e0bef9e9628..400f3e26cc9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/spacecookie.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/spacecookie.nix
@@ -30,8 +30,8 @@ in {
package = mkOption {
type = types.package;
default = pkgs.spacecookie;
- defaultText = literalExample "pkgs.spacecookie";
- example = literalExample "pkgs.haskellPackages.spacecookie";
+ defaultText = literalExpression "pkgs.spacecookie";
+ example = literalExpression "pkgs.haskellPackages.spacecookie";
description = ''
The spacecookie derivation to use. This can be used to
override the used package or to use another version.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/spiped.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/spiped.nix
index e60d9abf42a..3c229ecfc72 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/spiped.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/spiped.nix
@@ -138,7 +138,7 @@ in
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
pipe1 =
{ keyfile = "/var/lib/spiped/pipe1.key";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ssh/sshd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ssh/sshd.nix
index 225aee51605..004b4f99670 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ssh/sshd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ssh/sshd.nix
@@ -401,9 +401,12 @@ in
config = mkIf cfg.enable {
users.users.sshd =
- { isSystemUser = true;
+ {
+ isSystemUser = true;
+ group = "sshd";
description = "SSH privilege separation user";
};
+ users.groups.sshd = {};
services.openssh.moduliFile = mkDefault "${cfgc.package}/etc/ssh/moduli";
services.openssh.sftpServerExecutable = mkDefault "${cfgc.package}/libexec/sftp-server";
@@ -436,7 +439,7 @@ in
mkdir -m 0755 -p /etc/ssh
${flip concatMapStrings cfg.hostKeys (k: ''
- if ! [ -f "${k.path}" ]; then
+ if ! [ -s "${k.path}" ]; then
ssh-keygen \
-t "${k.type}" \
${if k ? bits then "-b ${toString k.bits}" else ""} \
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/strongswan-swanctl/module.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/strongswan-swanctl/module.nix
index 6e619f22546..9287943fcde 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/strongswan-swanctl/module.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/strongswan-swanctl/module.nix
@@ -13,7 +13,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.strongswan;
- defaultText = "pkgs.strongswan";
+ defaultText = literalExpression "pkgs.strongswan";
description = ''
The strongswan derivation to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/strongswan.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/strongswan.nix
index 401f7be4028..e3a97207be7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/strongswan.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/strongswan.nix
@@ -4,7 +4,7 @@ let
inherit (builtins) toFile;
inherit (lib) concatMapStringsSep concatStringsSep mapAttrsToList
- mkIf mkEnableOption mkOption types literalExample;
+ mkIf mkEnableOption mkOption types literalExpression;
cfg = config.services.strongswan;
@@ -79,7 +79,7 @@ in
connections = mkOption {
type = types.attrsOf (types.attrsOf types.str);
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"%default" = {
keyexchange = "ikev2";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/stunnel.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/stunnel.nix
index fe1616f411f..70d0a7d3c12 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/stunnel.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/stunnel.nix
@@ -69,6 +69,7 @@ let
CAFile = mkOption {
type = types.nullOr types.path;
default = "${pkgs.cacert}/etc/ssl/certs/ca-bundle.crt";
+ defaultText = literalExpression ''"''${pkgs.cacert}/etc/ssl/certs/ca-bundle.crt"'';
description = "Path to a file containing certificates to validate against.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/supplicant.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/supplicant.nix
index 4f4b5cef374..eb24130e519 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/supplicant.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/supplicant.nix
@@ -73,7 +73,7 @@ in
path = mkOption {
type = types.nullOr types.path;
default = null;
- example = literalExample "/etc/wpa_supplicant.conf";
+ example = literalExpression "/etc/wpa_supplicant.conf";
description = ''
External wpa_supplicant.conf configuration file.
The configuration options defined declaratively within networking.supplicant have
@@ -170,7 +170,7 @@ in
default = { };
- example = literalExample ''
+ example = literalExpression ''
{ "wlan0 wlan1" = {
configFile.path = "/etc/wpa_supplicant.conf";
userControlled.group = "network";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/supybot.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/supybot.nix
index 332c3ced06f..94b79c7e247 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/supybot.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/supybot.nix
@@ -24,7 +24,7 @@ in
default = if versionAtLeast config.system.stateVersion "20.09"
then "/var/lib/supybot"
else "/home/supybot";
- defaultText = "/var/lib/supybot";
+ defaultText = literalExpression "/var/lib/supybot";
description = "The root directory, logs and plugins are stored here";
};
@@ -49,7 +49,7 @@ in
Please note that you still need to add the plugins to the config
file (or with !load ) using their attribute name.
'';
- example = literalExample ''
+ example = literalExpression ''
let
plugins = pkgs.fetchzip {
url = "https://github.com/ProgVal/Supybot-plugins/archive/57c2450c.zip";
@@ -66,12 +66,13 @@ in
extraPackages = mkOption {
type = types.functionTo (types.listOf types.package);
default = p: [];
+ defaultText = literalExpression "p: []";
description = ''
Extra Python packages available to supybot plugins. The
value must be a function which receives the attrset defined
in python3Packages as the sole argument.
'';
- example = literalExample "p: [ p.lxml p.requests ]";
+ example = literalExpression "p: [ p.lxml p.requests ]";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/syncthing.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/syncthing.nix
index 1a1b12f979c..8c44687a382 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/syncthing.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/syncthing.nix
@@ -37,7 +37,7 @@ let
do sleep 1; done
curl() {
- ${pkgs.curl}/bin/curl -sS -H "X-API-Key: $api_key" \
+ ${pkgs.curl}/bin/curl -sSLk -H "X-API-Key: $api_key" \
--retry 1000 --retry-delay 1 --retry-all-errors \
"$@"
}
@@ -182,7 +182,7 @@ in {
will be reverted on restart if overrideDevices
is enabled.
'';
- example = literalExample ''
+ example = literalExpression ''
{
"/home/user/sync" = {
id = "syncme";
@@ -243,7 +243,7 @@ in {
There are 4 different types of versioning with different parameters.
See .
'';
- example = literalExample ''
+ example = literalExpression ''
[
{
versioning = {
@@ -430,8 +430,8 @@ in {
description = ''
The path where the settings and keys will exist.
'';
- default = cfg.dataDir + (optionalString cond "/.config/syncthing");
- defaultText = literalExample "dataDir${optionalString cond " + \"/.config/syncthing\""}";
+ default = cfg.dataDir + optionalString cond "/.config/syncthing";
+ defaultText = literalExpression "dataDir${optionalString cond " + \"/.config/syncthing\""}";
};
extraFlags = mkOption {
@@ -461,7 +461,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.syncthing;
- defaultText = literalExample "pkgs.syncthing";
+ defaultText = literalExpression "pkgs.syncthing";
description = ''
The Syncthing package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tailscale.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tailscale.nix
index 3f88ff53dff..3f41646bf01 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tailscale.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tailscale.nix
@@ -24,7 +24,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.tailscale;
- defaultText = "pkgs.tailscale";
+ defaultText = literalExpression "pkgs.tailscale";
description = "The package to use for tailscale";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tedicross.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tedicross.nix
index 0716975f594..c7830289dca 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tedicross.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tedicross.nix
@@ -18,7 +18,7 @@ in {
config = mkOption {
type = types.attrs;
# from https://github.com/TediCross/TediCross/blob/master/example.settings.yaml
- example = literalExample ''
+ example = literalExpression ''
{
telegram = {
useFirstNameInsteadOfUsername = false;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/thelounge.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/thelounge.nix
index a1b06703484..b9449163916 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/thelounge.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/thelounge.nix
@@ -32,7 +32,7 @@ in {
extraConfig = mkOption {
default = {};
type = types.attrs;
- example = literalExample ''{
+ example = literalExpression ''{
reverseProxy = true;
defaults = {
name = "Your Network";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tinc.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tinc.nix
index 22caf9f4ec5..1d77503d68b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tinc.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tinc.nix
@@ -226,7 +226,7 @@ in
hostSettings = mkOption {
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
host1 = {
addresses = [
@@ -282,7 +282,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.tinc_pre;
- defaultText = "pkgs.tinc_pre";
+ defaultText = literalExpression "pkgs.tinc_pre";
description = ''
The package to use for the tinc daemon's binary.
'';
@@ -302,7 +302,7 @@ in
settings = mkOption {
default = { };
type = types.submodule { freeformType = tincConfType; };
- example = literalExample ''
+ example = literalExpression ''
{
Interface = "custom.interface";
DirectOnly = true;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tinydns.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tinydns.nix
index 79507b2ebcd..2c44ad49296 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tinydns.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tinydns.nix
@@ -32,7 +32,11 @@ with lib;
config = mkIf config.services.tinydns.enable {
environment.systemPackages = [ pkgs.djbdns ];
- users.users.tinydns.isSystemUser = true;
+ users.users.tinydns = {
+ isSystemUser = true;
+ group = "tinydns";
+ };
+ users.groups.tinydns = {};
systemd.services.tinydns = {
description = "djbdns tinydns server";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tox-bootstrapd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tox-bootstrapd.nix
index f88e34827d0..7c13724e084 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tox-bootstrapd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tox-bootstrapd.nix
@@ -3,15 +3,15 @@
with lib;
let
- home = "/var/lib/tox-bootstrapd";
- PIDFile = "${home}/pid";
+ WorkingDirectory = "/var/lib/tox-bootstrapd";
+ PIDFile = "${WorkingDirectory}/pid";
pkg = pkgs.libtoxcore;
cfg = config.services.toxBootstrapd;
cfgFile = builtins.toFile "tox-bootstrapd.conf"
''
port = ${toString cfg.port}
- keys_file_path = "${home}/keys"
+ keys_file_path = "${WorkingDirectory}/keys"
pid_file_path = "${PIDFile}"
${cfg.extraConfig}
'';
@@ -36,7 +36,7 @@ in
keysFile = mkOption {
type = types.str;
- default = "${home}/keys";
+ default = "${WorkingDirectory}/keys";
description = "Node key file.";
};
@@ -56,13 +56,6 @@ in
config = mkIf config.services.toxBootstrapd.enable {
- users.users.tox-bootstrapd =
- { uid = config.ids.uids.tox-bootstrapd;
- description = "Tox bootstrap daemon user";
- inherit home;
- createHome = true;
- };
-
systemd.services.tox-bootstrapd = {
description = "Tox DHT bootstrap daemon";
after = [ "network.target" ];
@@ -70,8 +63,10 @@ in
serviceConfig =
{ ExecStart = "${pkg}/bin/tox-bootstrapd --config=${cfgFile}";
Type = "forking";
- inherit PIDFile;
- User = "tox-bootstrapd";
+ inherit PIDFile WorkingDirectory;
+ AmbientCapabilities = ["CAP_NET_BIND_SERVICE"];
+ DynamicUser = true;
+ StateDirectory = "tox-bootstrapd";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/toxvpn.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/toxvpn.nix
index 9e97faeebc1..18cf7672d5f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/toxvpn.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/toxvpn.nix
@@ -22,7 +22,7 @@ with lib;
auto_add_peers = mkOption {
type = types.listOf types.str;
default = [];
- example = ''[ "toxid1" "toxid2" ]'';
+ example = [ "toxid1" "toxid2" ];
description = "peers to automatically connect to on startup";
};
};
@@ -59,10 +59,12 @@ with lib;
users.users = {
toxvpn = {
- uid = config.ids.uids.toxvpn;
+ isSystemUser = true;
+ group = "toxvpn";
home = "/var/lib/toxvpn";
createHome = true;
};
};
+ users.groups.toxvpn = {};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/trickster.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/trickster.nix
index 49c945adb80..e48bba8fa58 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/trickster.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/trickster.nix
@@ -20,7 +20,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.trickster;
- defaultText = "pkgs.trickster";
+ defaultText = literalExpression "pkgs.trickster";
description = ''
Package that should be used for trickster.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tvheadend.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tvheadend.nix
index ccf87999663..19a10a03bd9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tvheadend.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/tvheadend.nix
@@ -29,8 +29,10 @@ in
description = "Tvheadend Service user";
home = "/var/lib/tvheadend";
createHome = true;
- uid = config.ids.uids.tvheadend;
+ isSystemUser = true;
+ group = "tvheadend";
};
+ users.groups.tvheadend = {};
systemd.services.tvheadend = {
description = "Tvheadend TV streaming server";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ucarp.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ucarp.nix
index 9b19a19687b..189e4f99cef 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ucarp.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/ucarp.nix
@@ -91,10 +91,10 @@ in {
Command to run after become master, the interface name, virtual address
and optional extra parameters are passed as arguments.
'';
- example = ''
+ example = literalExpression ''
pkgs.writeScript "upscript" '''
#!/bin/sh
- $\{pkgs.iproute2\}/bin/ip addr add "$2"/24 dev "$1"
+ ''${pkgs.iproute2}/bin/ip addr add "$2"/24 dev "$1"
''';
'';
};
@@ -105,10 +105,10 @@ in {
Command to run after become backup, the interface name, virtual address
and optional extra parameters are passed as arguments.
'';
- example = ''
+ example = literalExpression ''
pkgs.writeScript "downscript" '''
#!/bin/sh
- $\{pkgs.iproute2\}/bin/ip addr del "$2"/24 dev "$1"
+ ''${pkgs.iproute2}/bin/ip addr del "$2"/24 dev "$1"
''';
'';
};
@@ -152,7 +152,7 @@ in {
upstream updates for a long time and can be considered as unmaintained.
'';
default = pkgs.ucarp;
- defaultText = "pkgs.ucarp";
+ defaultText = literalExpression "pkgs.ucarp";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/unbound.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/unbound.nix
index 6d7178047ea..f6e96349092 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/unbound.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/unbound.nix
@@ -45,7 +45,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.unbound-with-systemd;
- defaultText = "pkgs.unbound-with-systemd";
+ defaultText = literalExpression "pkgs.unbound-with-systemd";
description = "The unbound package to use";
};
@@ -128,7 +128,7 @@ in {
};
};
};
- example = literalExample ''
+ example = literalExpression ''
{
server = {
interface = [ "127.0.0.1" ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/unifi.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/unifi.nix
index 2e320378cc9..caf89c84397 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/unifi.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/unifi.nix
@@ -44,7 +44,7 @@ in
services.unifi.jrePackage = mkOption {
type = types.package;
default = pkgs.jre8;
- defaultText = "pkgs.jre8";
+ defaultText = literalExpression "pkgs.jre8";
description = ''
The JRE package to use. Check the release notes to ensure it is supported.
'';
@@ -53,7 +53,7 @@ in
services.unifi.unifiPackage = mkOption {
type = types.package;
default = pkgs.unifiLTS;
- defaultText = "pkgs.unifiLTS";
+ defaultText = literalExpression "pkgs.unifiLTS";
description = ''
The unifi package to use.
'';
@@ -62,7 +62,7 @@ in
services.unifi.mongodbPackage = mkOption {
type = types.package;
default = pkgs.mongodb;
- defaultText = "pkgs.mongodb";
+ defaultText = literalExpression "pkgs.mongodb";
description = ''
The mongodb package to use.
'';
@@ -115,10 +115,12 @@ in
config = mkIf cfg.enable {
users.users.unifi = {
- uid = config.ids.uids.unifi;
+ isSystemUser = true;
+ group = "unifi";
description = "UniFi controller daemon user";
home = "${stateDir}";
};
+ users.groups.unifi = {};
networking.firewall = mkIf cfg.openPorts {
# https://help.ubnt.com/hc/en-us/articles/218506997
@@ -170,9 +172,15 @@ in
ExecStart = "${(removeSuffix "\n" cmd)} start";
ExecStop = "${(removeSuffix "\n" cmd)} stop";
Restart = "on-failure";
+ TimeoutSec = "5min";
User = "unifi";
UMask = "0077";
WorkingDirectory = "${stateDir}";
+ # the stop command exits while the main process is still running, and unifi
+ # wants to manage its own child processes. this means we have to set KillSignal
+ # to something the main process ignores, otherwise every stop will have unifi.service
+ # fail with SIGTERM status.
+ KillSignal = "SIGCONT";
# Hardening
AmbientCapabilities = "";
@@ -213,5 +221,5 @@ in
};
- meta.maintainers = with lib.maintainers; [ erictapen ];
+ meta.maintainers = with lib.maintainers; [ erictapen pennae ];
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/v2ray.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/v2ray.nix
index 0b8b5b56e25..95e8761ba5c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/v2ray.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/v2ray.nix
@@ -16,6 +16,15 @@ with lib;
'';
};
+ package = mkOption {
+ type = types.package;
+ default = pkgs.v2ray;
+ defaultText = literalExpression "pkgs.v2ray";
+ description = ''
+ Which v2ray package to use.
+ '';
+ };
+
configFile = mkOption {
type = types.nullOr types.str;
default = null;
@@ -62,7 +71,7 @@ with lib;
name = "v2ray.json";
text = builtins.toJSON cfg.config;
checkPhase = ''
- ${pkgs.v2ray}/bin/v2ray -test -config $out
+ ${cfg.package}/bin/v2ray -test -config $out
'';
};
@@ -78,10 +87,9 @@ with lib;
description = "v2ray Daemon";
after = [ "network.target" ];
wantedBy = [ "multi-user.target" ];
- path = [ pkgs.v2ray ];
- script = ''
- exec v2ray -config ${configFile}
- '';
+ serviceConfig = {
+ ExecStart = "${cfg.package}/bin/v2ray -config ${configFile}";
+ };
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/vsftpd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/vsftpd.nix
index c57994533c1..710c2d9ca17 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/vsftpd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/vsftpd.nix
@@ -159,7 +159,7 @@ in
userlistFile = mkOption {
type = types.path;
default = pkgs.writeText "userlist" (concatMapStrings (x: "${x}\n") cfg.userlist);
- defaultText = "pkgs.writeText \"userlist\" (concatMapStrings (x: \"\${x}\n\") cfg.userlist)";
+ defaultText = literalExpression ''pkgs.writeText "userlist" (concatMapStrings (x: "''${x}\n") cfg.userlist)'';
description = ''
Newline separated list of names to be allowed/denied if userlistEnable
is true . Meaning see userlistDeny .
@@ -282,7 +282,8 @@ in
users.users = {
"vsftpd" = {
- uid = config.ids.uids.vsftpd;
+ group = "vsftpd";
+ isSystemUser = true;
description = "VSFTPD user";
home = if cfg.localRoot != null
then cfg.localRoot # <= Necessary for virtual users.
@@ -297,6 +298,7 @@ in
};
};
+ users.groups.vsftpd = {};
users.groups.ftp.gid = config.ids.gids.ftp;
# If you really have to access root via FTP use mkOverride or userlistDeny
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wakeonlan.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wakeonlan.nix
deleted file mode 100644
index c6291366b0f..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wakeonlan.nix
+++ /dev/null
@@ -1,70 +0,0 @@
-{ config, lib, pkgs, ... }:
-
-with lib;
-
-let
- interfaces = config.services.wakeonlan.interfaces;
-
- ethtool = "${pkgs.ethtool}/sbin/ethtool";
-
- passwordParameter = password : if (password == "") then "" else
- "sopass ${password}";
-
- methodParameter = {method, password} :
- if method == "magicpacket" then "wol g"
- else if method == "password" then "wol s so ${passwordParameter password}"
- else throw "Wake-On-Lan method not supported";
-
- line = { interface, method ? "magicpacket", password ? "" }: ''
- ${ethtool} -s ${interface} ${methodParameter {inherit method password;}}
- '';
-
- concatStrings = foldr (x: y: x + y) "";
- lines = concatStrings (map (l: line l) interfaces);
-
-in
-{
-
- ###### interface
-
- options = {
-
- services.wakeonlan.interfaces = mkOption {
- default = [ ];
- type = types.listOf (types.submodule { options = {
- interface = mkOption {
- type = types.str;
- description = "Interface to enable for Wake-On-Lan.";
- };
- method = mkOption {
- type = types.enum [ "magicpacket" "password"];
- description = "Wake-On-Lan method for this interface.";
- };
- password = mkOption {
- type = types.strMatching "[a-fA-F0-9]{2}:([a-fA-F0-9]{2}:){4}[a-fA-F0-9]{2}";
- description = "The password has the shape of six bytes in hexadecimal separated by a colon each.";
- };
- };});
- example = [
- {
- interface = "eth0";
- method = "password";
- password = "00:11:22:33:44:55";
- }
- ];
- description = ''
- Interfaces where to enable Wake-On-LAN, and how. Two methods available:
- "magicpacket" and "password". The password has the shape of six bytes
- in hexadecimal separated by a colon each. For more information,
- check the ethtool manual.
- '';
- };
-
- };
-
-
- ###### implementation
-
- config.powerManagement.powerUpCommands = lines;
-
-}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/websockify.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/websockify.nix
index 27cb47be12f..f7e014e03ef 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/websockify.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/websockify.nix
@@ -21,7 +21,7 @@ let cfg = config.services.networking.websockify; in {
sslKey = mkOption {
description = "Path to the SSL key.";
default = cfg.sslCert;
- defaultText = "config.services.networking.websockify.sslCert";
+ defaultText = literalExpression "config.services.networking.websockify.sslCert";
type = types.path;
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wg-quick.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wg-quick.nix
index 3b76de58548..414775fc357 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wg-quick.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wg-quick.nix
@@ -56,9 +56,7 @@ let
};
preUp = mkOption {
- example = literalExample ''
- ${pkgs.iproute2}/bin/ip netns add foo
- '';
+ example = literalExpression ''"''${pkgs.iproute2}/bin/ip netns add foo"'';
default = "";
type = with types; coercedTo (listOf str) (concatStringsSep "\n") lines;
description = ''
@@ -67,9 +65,7 @@ let
};
preDown = mkOption {
- example = literalExample ''
- ${pkgs.iproute2}/bin/ip netns del foo
- '';
+ example = literalExpression ''"''${pkgs.iproute2}/bin/ip netns del foo"'';
default = "";
type = with types; coercedTo (listOf str) (concatStringsSep "\n") lines;
description = ''
@@ -78,9 +74,7 @@ let
};
postUp = mkOption {
- example = literalExample ''
- ${pkgs.iproute2}/bin/ip netns add foo
- '';
+ example = literalExpression ''"''${pkgs.iproute2}/bin/ip netns add foo"'';
default = "";
type = with types; coercedTo (listOf str) (concatStringsSep "\n") lines;
description = ''
@@ -89,9 +83,7 @@ let
};
postDown = mkOption {
- example = literalExample ''
- ${pkgs.iproute2}/bin/ip netns del foo
- '';
+ example = literalExpression ''"''${pkgs.iproute2}/bin/ip netns del foo"'';
default = "";
type = with types; coercedTo (listOf str) (concatStringsSep "\n") lines;
description = ''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wicd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wicd.nix
deleted file mode 100644
index aa10a50f876..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wicd.nix
+++ /dev/null
@@ -1,40 +0,0 @@
-{ config, lib, pkgs, ... }:
-
-with lib;
-
-{
-
- ###### interface
-
- options = {
-
- networking.wicd.enable = mkOption {
- type = types.bool;
- default = false;
- description = ''
- Whether to start wicd . Wired and
- wireless network configurations can then be managed by
- wicd-client.
- '';
- };
- };
-
-
- ###### implementation
-
- config = mkIf config.networking.wicd.enable {
-
- environment.systemPackages = [pkgs.wicd];
-
- systemd.services.wicd = {
- after = [ "network-pre.target" ];
- before = [ "network.target" ];
- wants = [ "network.target" ];
- wantedBy = [ "multi-user.target" ];
- script = "${pkgs.wicd}/sbin/wicd -f";
- };
-
- services.dbus.enable = true;
- services.dbus.packages = [pkgs.wicd];
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wireguard.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wireguard.nix
index 2b51770a5aa..55b84935b6c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wireguard.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wireguard.nix
@@ -62,9 +62,7 @@ let
};
preSetup = mkOption {
- example = literalExample ''
- ${pkgs.iproute2}/bin/ip netns add foo
- '';
+ example = literalExpression ''"''${pkgs.iproute2}/bin/ip netns add foo"'';
default = "";
type = with types; coercedTo (listOf str) (concatStringsSep "\n") lines;
description = ''
@@ -73,8 +71,8 @@ let
};
postSetup = mkOption {
- example = literalExample ''
- printf "nameserver 10.200.100.1" | ${pkgs.openresolv}/bin/resolvconf -a wg0 -m 0
+ example = literalExpression ''
+ '''printf "nameserver 10.200.100.1" | ''${pkgs.openresolv}/bin/resolvconf -a wg0 -m 0'''
'';
default = "";
type = with types; coercedTo (listOf str) (concatStringsSep "\n") lines;
@@ -82,7 +80,7 @@ let
};
postShutdown = mkOption {
- example = literalExample "${pkgs.openresolv}/bin/resolvconf -d wg0";
+ example = literalExpression ''"''${pkgs.openresolv}/bin/resolvconf -d wg0"'';
default = "";
type = with types; coercedTo (listOf str) (concatStringsSep "\n") lines;
description = "Commands called after shutting down the interface.";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wpa_supplicant.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wpa_supplicant.nix
index 155c6fdd0ab..4aa350d21a2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wpa_supplicant.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/wpa_supplicant.nix
@@ -20,10 +20,16 @@ let
++ optional cfg.scanOnLowSignal ''bgscan="simple:30:-70:3600"''
++ optional (cfg.extraConfig != "") cfg.extraConfig);
+ configIsGenerated = with cfg;
+ networks != {} || extraConfig != "" || userControlled.enable;
+
+ # the original configuration file
configFile =
- if cfg.networks != {} || cfg.extraConfig != "" || cfg.userControlled.enable
+ if configIsGenerated
then pkgs.writeText "wpa_supplicant.conf" generatedConfig
else "/etc/wpa_supplicant.conf";
+ # the config file with environment variables replaced
+ finalConfig = ''"$RUNTIME_DIRECTORY"/wpa_supplicant.conf'';
# Creates a network block for wpa_supplicant.conf
mkNetwork = ssid: opts:
@@ -56,8 +62,8 @@ let
let
deviceUnit = optional (iface != null) "sys-subsystem-net-devices-${utils.escapeSystemdPath iface}.device";
configStr = if cfg.allowAuxiliaryImperativeNetworks
- then "-c /etc/wpa_supplicant.conf -I ${configFile}"
- else "-c ${configFile}";
+ then "-c /etc/wpa_supplicant.conf -I ${finalConfig}"
+ else "-c ${finalConfig}";
in {
description = "WPA Supplicant instance" + optionalString (iface != null) " for interface ${iface}";
@@ -69,12 +75,25 @@ let
stopIfChanged = false;
path = [ package ];
+ serviceConfig.RuntimeDirectory = "wpa_supplicant";
+ serviceConfig.RuntimeDirectoryMode = "700";
+ serviceConfig.EnvironmentFile = mkIf (cfg.environmentFile != null)
+ (builtins.toString cfg.environmentFile);
script =
''
- if [ -f /etc/wpa_supplicant.conf -a "/etc/wpa_supplicant.conf" != "${configFile}" ]; then
- echo >&2 "<3>/etc/wpa_supplicant.conf present but ignored. Generated ${configFile} is used instead."
- fi
+ ${optionalString configIsGenerated ''
+ if [ -f /etc/wpa_supplicant.conf ]; then
+ echo >&2 "<3>/etc/wpa_supplicant.conf present but ignored. Generated ${configFile} is used instead."
+ fi
+ ''}
+
+ # substitute environment variables
+ ${pkgs.gawk}/bin/awk '{
+ for(varname in ENVIRON)
+ gsub("@"varname"@", ENVIRON[varname])
+ print
+ }' "${configFile}" > "${finalConfig}"
iface_args="-s ${optionalString cfg.dbusControlled "-u"} -D${cfg.driver} ${configStr}"
@@ -155,6 +174,44 @@ in {
'';
};
+ environmentFile = mkOption {
+ type = types.nullOr types.path;
+ default = null;
+ example = "/run/secrets/wireless.env";
+ description = ''
+ File consisting of lines of the form varname=value
+ to define variables for the wireless configuration.
+
+ See section "EnvironmentFile=" in
+ systemd.exec 5
+ for a syntax reference.
+
+ Secrets (PSKs, passwords, etc.) can be provided without adding them to
+ the world-readable Nix store by defining them in the environment file and
+ referring to them in option networking.wireless.networks
+ with the syntax @varname@ . Example:
+
+
+ # content of /run/secrets/wireless.env
+ PSK_HOME=mypassword
+ PASS_WORK=myworkpassword
+
+
+
+ # wireless-related configuration
+ networking.wireless.environmentFile = "/run/secrets/wireless.env";
+ networking.wireless.networks = {
+ home.psk = "@PSK_HOME@";
+ work.auth = '''
+ eap=PEAP
+ identity="my-user@example.com"
+ password="@PASS_WORK@"
+ ''';
+ };
+
+ '';
+ };
+
networks = mkOption {
type = types.attrsOf (types.submodule {
options = {
@@ -165,10 +222,14 @@ in {
The network's pre-shared key in plaintext defaulting
to being a network without any authentication.
- Be aware that these will be written to the nix store
- in plaintext!
+
+ Be aware that this will be written to the nix store
+ in plaintext! Use an environment variable instead.
+
- Mutually exclusive with pskRaw .
+
+ Mutually exclusive with pskRaw .
+
'';
};
@@ -179,7 +240,14 @@ in {
The network's pre-shared key in hex defaulting
to being a network without any authentication.
- Mutually exclusive with psk .
+
+ Be aware that this will be written to the nix store
+ in plaintext! Use an environment variable instead.
+
+
+
+ Mutually exclusive with psk .
+
'';
};
@@ -231,7 +299,7 @@ in {
example = ''
eap=PEAP
identity="user@example.com"
- password="secret"
+ password="@EXAMPLE_PASSWORD@"
'';
description = ''
Use this option to configure advanced authentication methods like EAP.
@@ -242,7 +310,15 @@ in {
for example configurations.
- Mutually exclusive with psk and pskRaw .
+
+ Be aware that this will be written to the nix store
+ in plaintext! Use an environment variable for secrets.
+
+
+
+ Mutually exclusive with psk and
+ pskRaw .
+
'';
};
@@ -252,7 +328,7 @@ in {
description = ''
Set this to true if the SSID of the network is hidden.
'';
- example = literalExample ''
+ example = literalExpression ''
{ echelon = {
hidden = true;
psk = "abcdefgh";
@@ -301,13 +377,19 @@ in {
/etc/wpa_supplicant.conf as the configuration file.
'';
default = {};
- example = literalExample ''
+ example = literalExpression ''
{ echelon = { # SSID with no spaces or special characters
- psk = "abcdefgh";
+ psk = "abcdefgh"; # (password will be written to /nix/store!)
};
+
+ echelon = { # safe version of the above: read PSK from the
+ psk = "@PSK_ECHELON@"; # variable PSK_ECHELON, defined in environmentFile,
+ }; # this won't leak into /nix/store
+
"echelon's AP" = { # SSID with spaces and/or special characters
- psk = "ijklmnop";
+ psk = "ijklmnop"; # (password will be written to /nix/store!)
};
+
"free.wifi" = {}; # Public wireless network
}
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/x2goserver.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/x2goserver.nix
index 48020fc1cec..d4adf6c5650 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/x2goserver.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/x2goserver.nix
@@ -42,7 +42,6 @@ in {
nxagentDefaultOptions = mkOption {
type = types.listOf types.str;
default = [ "-extension GLX" "-nolisten tcp" ];
- example = [ "-extension GLX" "-nolisten tcp" ];
description = ''
List of default nx agent options.
'';
@@ -55,12 +54,14 @@ in {
x2goserver.conf ini configuration as nix attributes. See
`x2goserver.conf(5)` for details
'';
- example = literalExample ''
- superenicer = {
- "enable" = "yes";
- "idle-nice-level" = 19;
- };
- telekinesis = { "enable" = "no"; };
+ example = literalExpression ''
+ {
+ superenicer = {
+ "enable" = "yes";
+ "idle-nice-level" = 19;
+ };
+ telekinesis = { "enable" = "no"; };
+ }
'';
};
};
@@ -88,12 +89,14 @@ in {
source = "${pkgs.x2goserver}/lib/x2go/libx2go-server-db-sqlite3-wrapper.pl";
owner = "x2go";
group = "x2go";
+ setuid = false;
setgid = true;
};
security.wrappers.x2goprintWrapper = {
source = "${pkgs.x2goserver}/bin/x2goprint";
owner = "x2go";
group = "x2go";
+ setuid = false;
setgid = true;
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/xandikos.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/xandikos.nix
index 3c40bb956f5..4bd45a76e67 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/xandikos.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/xandikos.nix
@@ -14,7 +14,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.xandikos;
- defaultText = "pkgs.xandikos";
+ defaultText = literalExpression "pkgs.xandikos";
description = "The Xandikos package to use.";
};
@@ -45,7 +45,7 @@ in
extraOptions = mkOption {
default = [];
type = types.listOf types.str;
- example = literalExample ''
+ example = literalExpression ''
[ "--autocreate"
"--defaults"
"--current-user-principal user"
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/xrdp.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/xrdp.nix
index 9be7c3233e2..c4f828f3c5a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/xrdp.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/xrdp.nix
@@ -47,7 +47,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.xrdp;
- defaultText = "pkgs.xrdp";
+ defaultText = literalExpression "pkgs.xrdp";
description = ''
The package to use for the xrdp daemon's binary.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/yggdrasil.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/yggdrasil.nix
index 47a7152f6fe..99c18ae6919 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/yggdrasil.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/yggdrasil.nix
@@ -99,7 +99,7 @@ in {
package = mkOption {
type = package;
default = pkgs.yggdrasil;
- defaultText = "pkgs.yggdrasil";
+ defaultText = literalExpression "pkgs.yggdrasil";
description = "Yggdrasil package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/zeronet.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/zeronet.nix
index a34b2d87154..3370390a4c6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/zeronet.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/zeronet.nix
@@ -1,7 +1,7 @@
{ config, lib, pkgs, ... }:
let
- inherit (lib) generators literalExample mkEnableOption mkIf mkOption recursiveUpdate types;
+ inherit (lib) generators literalExpression mkEnableOption mkIf mkOption recursiveUpdate types;
cfg = config.services.zeronet;
dataDir = "/var/lib/zeronet";
configFile = pkgs.writeText "zeronet.conf" (generators.toINI {} (recursiveUpdate defaultSettings cfg.settings));
@@ -22,7 +22,7 @@ in with lib; {
settings = mkOption {
type = with types; attrsOf (oneOf [ str int bool (listOf str) ]);
default = {};
- example = literalExample "global.tor = enable;";
+ example = literalExpression "{ global.tor = enable; }";
description = ''
zeronet.conf configuration. Refer to
@@ -34,7 +34,6 @@ in with lib; {
port = mkOption {
type = types.port;
default = 43110;
- example = 43110;
description = "Optional zeronet web UI port.";
};
@@ -43,7 +42,6 @@ in with lib; {
# read-only config file and crashes
type = types.port;
default = 12261;
- example = 12261;
description = "Zeronet fileserver port.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/zerotierone.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/zerotierone.nix
index cf39ed065a7..3bc7d3ac0db 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/zerotierone.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/zerotierone.nix
@@ -19,7 +19,6 @@ in
options.services.zerotierone.port = mkOption {
default = 9993;
- example = 9993;
type = types.int;
description = ''
Network port used by ZeroTier.
@@ -28,7 +27,7 @@ in
options.services.zerotierone.package = mkOption {
default = pkgs.zerotierone;
- defaultText = "pkgs.zerotierone";
+ defaultText = literalExpression "pkgs.zerotierone";
type = types.package;
description = ''
ZeroTier One package to use.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/znc/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/znc/default.nix
index b872b99976c..a98f92d2d71 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/znc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/znc/default.nix
@@ -125,7 +125,7 @@ in
config = mkOption {
type = semanticTypes.zncConf;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
LoadModule = [ "webadmin" "adminlog" ];
User.paul = {
@@ -180,7 +180,7 @@ in
configFile = mkOption {
type = types.path;
- example = "~/.znc/configs/znc.conf";
+ example = literalExpression "~/.znc/configs/znc.conf";
description = ''
Configuration file for ZNC. It is recommended to use the
config option instead.
@@ -195,7 +195,7 @@ in
modulePackages = mkOption {
type = types.listOf types.package;
default = [ ];
- example = literalExample "[ pkgs.zncModules.fish pkgs.zncModules.push ]";
+ example = literalExpression "[ pkgs.zncModules.fish pkgs.zncModules.push ]";
description = ''
A list of global znc module packages to add to znc.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/znc/options.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/znc/options.nix
index be9dc78c86d..0db051126e8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/znc/options.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/networking/znc/options.nix
@@ -44,7 +44,7 @@ let
modules = mkOption {
type = types.listOf types.str;
default = [ "simple_away" ];
- example = literalExample ''[ "simple_away" "sasl" ]'';
+ example = literalExpression ''[ "simple_away" "sasl" ]'';
description = ''
ZNC network modules to load.
'';
@@ -148,7 +148,7 @@ in
description = ''
IRC networks to connect the user to.
'';
- example = literalExample ''
+ example = literalExpression ''
{
"libera" = {
server = "irc.libera.chat";
@@ -170,7 +170,7 @@ in
};
passBlock = mkOption {
- example = literalExample ''
+ example = ''
<Pass password>
Method = sha256
Hash = e2ce303c7ea75c571d80d8540a8699b46535be6a085be3414947d638e48d9e93
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/printing/cupsd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/printing/cupsd.nix
index d2b36d9e754..53091d8e2a0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/printing/cupsd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/printing/cupsd.nix
@@ -270,7 +270,7 @@ in
drivers = mkOption {
type = types.listOf types.path;
default = [];
- example = literalExample "with pkgs; [ gutenprint hplip splix ]";
+ example = literalExpression "with pkgs; [ gutenprint hplip splix ]";
description = ''
CUPS drivers to use. Drivers provided by CUPS, cups-filters,
Ghostscript and Samba are added unconditionally. If this list contains
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/scheduling/atd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/scheduling/atd.nix
index 37f6651ec4c..9bb0191ee46 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/scheduling/atd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/scheduling/atd.nix
@@ -58,7 +58,9 @@ in
security.pam.services.atd = {};
users.users.atd =
- { uid = config.ids.uids.atd;
+ {
+ uid = config.ids.uids.atd;
+ group = "atd";
description = "atd user";
home = "/var/empty";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/scheduling/cron.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/scheduling/cron.nix
index 3bc31832946..1fac54003cb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/scheduling/cron.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/scheduling/cron.nix
@@ -52,7 +52,7 @@ in
systemCronJobs = mkOption {
type = types.listOf types.str;
default = [];
- example = literalExample ''
+ example = literalExpression ''
[ "* * * * * test ls -l / > /tmp/cronout 2>&1"
"* * * * * eelco echo Hello World > /home/eelco/cronout"
]
@@ -93,7 +93,12 @@ in
{ services.cron.enable = mkDefault (allFiles != []); }
(mkIf (config.services.cron.enable) {
- security.wrappers.crontab.source = "${cronNixosPkg}/bin/crontab";
+ security.wrappers.crontab =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${cronNixosPkg}/bin/crontab";
+ };
environment.systemPackages = [ cronNixosPkg ];
environment.etc.crontab =
{ source = pkgs.runCommand "crontabs" { inherit allFiles; preferLocalBuild = true; }
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/scheduling/fcron.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/scheduling/fcron.nix
index 42bed21bf25..acaa995f739 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/scheduling/fcron.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/scheduling/fcron.nix
@@ -136,10 +136,13 @@ in
owner = "fcron";
group = "fcron";
setgid = true;
+ setuid = false;
};
fcronsighup = {
source = "${pkgs.fcron}/bin/fcronsighup";
+ owner = "root";
group = "fcron";
+ setuid = true;
};
};
systemd.services.fcron = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/elasticsearch.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/elasticsearch.nix
index 91d8f544e16..6df147be0c4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/elasticsearch.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/elasticsearch.nix
@@ -5,14 +5,16 @@ with lib;
let
cfg = config.services.elasticsearch;
- es6 = builtins.compareVersions cfg.package.version "6" >= 0;
+ es7 = builtins.compareVersions cfg.package.version "7" >= 0;
esConfig = ''
network.host: ${cfg.listenAddress}
cluster.name: ${cfg.cluster_name}
+ ${lib.optionalString cfg.single_node "discovery.type: single-node"}
+ ${lib.optionalString (cfg.single_node && es7) "gateway.auto_import_dangling_indices: true"}
http.port: ${toString cfg.port}
- transport.tcp.port: ${toString cfg.tcp_port}
+ transport.port: ${toString cfg.tcp_port}
${cfg.extraConf}
'';
@@ -36,7 +38,8 @@ let
postBuild = "${pkgs.coreutils}/bin/mkdir -p $out/plugins";
};
-in {
+in
+{
###### interface
@@ -50,7 +53,7 @@ in {
package = mkOption {
description = "Elasticsearch package to use.";
default = pkgs.elasticsearch;
- defaultText = "pkgs.elasticsearch";
+ defaultText = literalExpression "pkgs.elasticsearch";
type = types.package;
};
@@ -78,6 +81,12 @@ in {
type = types.str;
};
+ single_node = mkOption {
+ description = "Start a single-node cluster";
+ default = true;
+ type = types.bool;
+ };
+
extraConf = mkOption {
description = "Extra configuration for elasticsearch.";
default = "";
@@ -116,22 +125,22 @@ in {
extraCmdLineOptions = mkOption {
description = "Extra command line options for the elasticsearch launcher.";
- default = [];
+ default = [ ];
type = types.listOf types.str;
};
extraJavaOptions = mkOption {
description = "Extra command line options for Java.";
- default = [];
+ default = [ ];
type = types.listOf types.str;
example = [ "-Djava.net.preferIPv4Stack=true" ];
};
plugins = mkOption {
description = "Extra elasticsearch plugins";
- default = [];
+ default = [ ];
type = types.listOf types.package;
- example = lib.literalExample "[ pkgs.elasticsearchPlugins.discovery-ec2 ]";
+ example = lib.literalExpression "[ pkgs.elasticsearchPlugins.discovery-ec2 ]";
};
};
@@ -146,9 +155,7 @@ in {
path = [ pkgs.inetutils ];
environment = {
ES_HOME = cfg.dataDir;
- ES_JAVA_OPTS = toString ( optional (!es6) [ "-Des.path.conf=${configDir}" ]
- ++ cfg.extraJavaOptions);
- } // optionalAttrs es6 {
+ ES_JAVA_OPTS = toString cfg.extraJavaOptions;
ES_PATH_CONF = configDir;
};
serviceConfig = {
@@ -187,10 +194,20 @@ in {
rm -f "${configDir}/logging.yml"
cp ${loggingConfigFile} ${configDir}/${loggingConfigFilename}
mkdir -p ${configDir}/scripts
- ${optionalString es6 "cp ${cfg.package}/config/jvm.options ${configDir}/jvm.options"}
+ cp ${cfg.package}/config/jvm.options ${configDir}/jvm.options
+ # redirect jvm logs to the data directory
+ mkdir -m 0700 -p ${cfg.dataDir}/logs
+ ${pkgs.sd}/bin/sd 'logs/gc.log' '${cfg.dataDir}/logs/gc.log' ${configDir}/jvm.options \
if [ "$(id -u)" = 0 ]; then chown -R elasticsearch:elasticsearch ${cfg.dataDir}; fi
'';
+ postStart = ''
+ # Make sure elasticsearch is up and running before dependents
+ # are started
+ while ! ${pkgs.curl}/bin/curl -sS -f http://localhost:${toString cfg.port} 2>/dev/null; do
+ sleep 1
+ done
+ '';
};
environment.systemPackages = [ cfg.package ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/hound.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/hound.nix
index 7a44489efe6..ef62175b0a3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/hound.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/hound.nix
@@ -50,7 +50,7 @@ in {
package = mkOption {
default = pkgs.hound;
- defaultText = "pkgs.hound";
+ defaultText = literalExpression "pkgs.hound";
type = types.package;
description = ''
Package for running hound.
@@ -63,16 +63,18 @@ in {
The full configuration of the Hound daemon. Note the dbpath
should be an absolute path to a writable location on disk.
'';
- example = ''
- {
- "max-concurrent-indexers" : 2,
- "dbpath" : "''${services.hound.home}/data",
- "repos" : {
- "nixpkgs": {
- "url" : "https://www.github.com/NixOS/nixpkgs.git"
- }
- }
- }
+ example = literalExpression ''
+ '''
+ {
+ "max-concurrent-indexers" : 2,
+ "dbpath" : "''${services.hound.home}/data",
+ "repos" : {
+ "nixpkgs": {
+ "url" : "https://www.github.com/NixOS/nixpkgs.git"
+ }
+ }
+ }
+ '''
'';
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/kibana.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/kibana.nix
index 2beb265ee5d..381f5156ceb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/kibana.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/kibana.nix
@@ -149,8 +149,7 @@ in {
package = mkOption {
description = "Kibana package to use";
default = pkgs.kibana;
- defaultText = "pkgs.kibana";
- example = "pkgs.kibana";
+ defaultText = literalExpression "pkgs.kibana";
type = types.package;
};
@@ -199,10 +198,12 @@ in {
environment.systemPackages = [ cfg.package ];
users.users.kibana = {
- uid = config.ids.uids.kibana;
+ isSystemUser = true;
description = "Kibana service user";
home = cfg.dataDir;
createHome = true;
+ group = "kibana";
};
+ users.groups.kibana = {};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/meilisearch.md b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/meilisearch.md
new file mode 100644
index 00000000000..98e7c542cb9
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/meilisearch.md
@@ -0,0 +1,39 @@
+# Meilisearch {#module-services-meilisearch}
+
+Meilisearch is a lightweight, fast and powerful search engine. Think elastic search with a much smaller footprint.
+
+## Quickstart
+
+the minimum to start meilisearch is
+
+```nix
+services.meilisearch.enable = true;
+```
+
+this will start the http server included with meilisearch on port 7700.
+
+test with `curl -X GET 'http://localhost:7700/health'`
+
+## Usage
+
+you first need to add documents to an index before you can search for documents.
+
+### Add a documents to the `movies` index
+
+`curl -X POST 'http://127.0.0.1:7700/indexes/movies/documents' --data '[{"id": "123", "title": "Superman"}, {"id": 234, "title": "Batman"}]'`
+
+### Search documents in the `movies` index
+
+`curl 'http://127.0.0.1:7700/indexes/movies/search' --data '{ "q": "botman" }'` (note the typo is intentional and there to demonstrate the typo tolerant capabilities)
+
+## Defaults
+
+- The default nixos package doesn't come with the [dashboard](https://docs.meilisearch.com/learn/getting_started/quick_start.html#search), since the dashboard features makes some assets downloads at compile time.
+
+- Anonimized Analytics sent to meilisearch are disabled by default.
+
+- Default deployment is development mode. It doesn't require a secret master key. All routes are not protected and accessible.
+
+## Missing
+
+- the snapshot feature is not yet configurable from the module, it's just a matter of adding the relevant environment variables.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/meilisearch.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/meilisearch.nix
new file mode 100644
index 00000000000..f6210f6f16e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/meilisearch.nix
@@ -0,0 +1,132 @@
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let
+ cfg = config.services.meilisearch;
+
+in
+{
+
+ meta.maintainers = with maintainers; [ Br1ght0ne happysalada ];
+ # Don't edit the docbook xml directly, edit the md and generate it:
+ # `pandoc meilisearch.md -t docbook --top-level-division=chapter --extract-media=media -f markdown+smart > meilisearch.xml`
+ meta.doc = ./meilisearch.xml;
+
+ ###### interface
+
+ options.services.meilisearch = {
+ enable = mkEnableOption "MeiliSearch - a RESTful search API";
+
+ package = mkOption {
+ description = "The package to use for meilisearch. Use this if you require specific features to be enabled. The default package has no features.";
+ default = pkgs.meilisearch;
+ defaultText = "pkgs.meilisearch";
+ type = types.package;
+ };
+
+ listenAddress = mkOption {
+ description = "MeiliSearch listen address.";
+ default = "127.0.0.1";
+ type = types.str;
+ };
+
+ listenPort = mkOption {
+ description = "MeiliSearch port to listen on.";
+ default = 7700;
+ type = types.port;
+ };
+
+ environment = mkOption {
+ description = "Defines the running environment of MeiliSearch.";
+ default = "development";
+ type = types.enum [ "development" "production" ];
+ };
+
+ # TODO change this to LoadCredentials once possible
+ masterKeyEnvironmentFile = mkOption {
+ description = ''
+ Path to file which contains the master key.
+ By doing so, all routes will be protected and will require a key to be accessed.
+ If no master key is provided, all routes can be accessed without requiring any key.
+ The format is the following:
+ MEILI_MASTER_KEY=my_secret_key
+ '';
+ default = null;
+ type = with types; nullOr path;
+ };
+
+ noAnalytics = mkOption {
+ description = ''
+ Deactivates analytics.
+ Analytics allow MeiliSearch to know how many users are using MeiliSearch,
+ which versions and which platforms are used.
+ This process is entirely anonymous.
+ '';
+ default = true;
+ type = types.bool;
+ };
+
+ logLevel = mkOption {
+ description = ''
+ Defines how much detail should be present in MeiliSearch's logs.
+ MeiliSearch currently supports four log levels, listed in order of increasing verbosity:
+ - 'ERROR': only log unexpected events indicating MeiliSearch is not functioning as expected
+ - 'WARN:' log all unexpected events, regardless of their severity
+ - 'INFO:' log all events. This is the default value
+ - 'DEBUG': log all events and including detailed information on MeiliSearch's internal processes.
+ Useful when diagnosing issues and debugging
+ '';
+ default = "INFO";
+ type = types.str;
+ };
+
+ maxIndexSize = mkOption {
+ description = ''
+ Sets the maximum size of the index.
+ Value must be given in bytes or explicitly stating a base unit.
+ For example, the default value can be written as 107374182400, '107.7Gb', or '107374 Mb'.
+ Default is 100 GiB
+ '';
+ default = "107374182400";
+ type = types.str;
+ };
+
+ payloadSizeLimit = mkOption {
+ description = ''
+ Sets the maximum size of accepted JSON payloads.
+ Value must be given in bytes or explicitly stating a base unit.
+ For example, the default value can be written as 107374182400, '107.7Gb', or '107374 Mb'.
+ Default is ~ 100 MB
+ '';
+ default = "104857600";
+ type = types.str;
+ };
+
+ };
+
+ ###### implementation
+
+ config = mkIf cfg.enable {
+ systemd.services.meilisearch = {
+ description = "MeiliSearch daemon";
+ wantedBy = [ "multi-user.target" ];
+ after = [ "network.target" ];
+ environment = {
+ MEILI_DB_PATH = "/var/lib/meilisearch";
+ MEILI_HTTP_ADDR = "${cfg.listenAddress}:${toString cfg.listenPort}";
+ MEILI_NO_ANALYTICS = toString cfg.noAnalytics;
+ MEILI_ENV = cfg.environment;
+ MEILI_DUMPS_DIR = "/var/lib/meilisearch/dumps";
+ MEILI_LOG_LEVEL = cfg.logLevel;
+ MEILI_MAX_INDEX_SIZE = cfg.maxIndexSize;
+ };
+ serviceConfig = {
+ ExecStart = "${cfg.package}/bin/meilisearch";
+ DynamicUser = true;
+ StateDirectory = "meilisearch";
+ EnvironmentFile = mkIf (cfg.masterKeyEnvironmentFile != null) cfg.masterKeyEnvironmentFile;
+ };
+ };
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/meilisearch.xml b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/meilisearch.xml
new file mode 100644
index 00000000000..c1a73f358c2
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/meilisearch.xml
@@ -0,0 +1,85 @@
+
+ Meilisearch
+
+ Meilisearch is a lightweight, fast and powerful search engine. Think
+ elastic search with a much smaller footprint.
+
+
+ Quickstart
+
+ the minimum to start meilisearch is
+
+
+services.meilisearch.enable = true;
+
+
+ this will start the http server included with meilisearch on port
+ 7700.
+
+
+ test with
+ curl -X GET 'http://localhost:7700/health'
+
+
+
+ Usage
+
+ you first need to add documents to an index before you can search
+ for documents.
+
+
+ Add a documents to the movies
+ index
+
+ curl -X POST 'http://127.0.0.1:7700/indexes/movies/documents' --data '[{"id": "123", "title": "Superman"}, {"id": 234, "title": "Batman"}]'
+
+
+
+ Search documents in the movies
+ index
+
+ curl 'http://127.0.0.1:7700/indexes/movies/search' --data '{ "q": "botman" }'
+ (note the typo is intentional and there to demonstrate the typo
+ tolerant capabilities)
+
+
+
+
+ Defaults
+
+
+
+ The default nixos package doesn’t come with the
+ dashboard,
+ since the dashboard features makes some assets downloads at
+ compile time.
+
+
+
+
+ Anonimized Analytics sent to meilisearch are disabled by
+ default.
+
+
+
+
+ Default deployment is development mode. It doesn’t require a
+ secret master key. All routes are not protected and
+ accessible.
+
+
+
+
+
+ Missing
+
+
+
+ the snapshot feature is not yet configurable from the module,
+ it’s just a matter of adding the relevant environment
+ variables.
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/solr.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/solr.nix
index a8615a20a1c..ea76bfc9298 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/solr.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/search/solr.nix
@@ -16,7 +16,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.solr;
- defaultText = "pkgs.solr";
+ defaultText = literalExpression "pkgs.solr";
description = "Which Solr package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/certmgr.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/certmgr.nix
index 94c0ba14117..d302a4e0002 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/certmgr.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/certmgr.nix
@@ -40,7 +40,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.certmgr;
- defaultText = "pkgs.certmgr";
+ defaultText = literalExpression "pkgs.certmgr";
description = "Which certmgr package to use in the service.";
};
@@ -76,7 +76,7 @@ in
specs = mkOption {
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
exampleCert =
let
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/cfssl.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/cfssl.nix
index ee6d5d91fe1..e5bed0a9987 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/cfssl.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/cfssl.nix
@@ -27,13 +27,13 @@ in {
};
ca = mkOption {
- defaultText = "\${cfg.dataDir}/ca.pem";
+ defaultText = literalExpression ''"''${cfg.dataDir}/ca.pem"'';
type = types.str;
description = "CA used to sign the new certificate -- accepts '[file:]fname' or 'env:varname'.";
};
caKey = mkOption {
- defaultText = "file:\${cfg.dataDir}/ca-key.pem";
+ defaultText = literalExpression ''"file:''${cfg.dataDir}/ca-key.pem"'';
type = types.str;
description = "CA private key -- accepts '[file:]fname' or 'env:varname'.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/fail2ban.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/fail2ban.nix
index 499d3466750..67e1026dcef 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/fail2ban.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/fail2ban.nix
@@ -55,22 +55,24 @@ in
package = mkOption {
default = pkgs.fail2ban;
+ defaultText = literalExpression "pkgs.fail2ban";
type = types.package;
- example = "pkgs.fail2ban_0_11";
+ example = literalExpression "pkgs.fail2ban_0_11";
description = "The fail2ban package to use for running the fail2ban service.";
};
packageFirewall = mkOption {
default = pkgs.iptables;
+ defaultText = literalExpression "pkgs.iptables";
type = types.package;
- example = "pkgs.nftables";
+ example = literalExpression "pkgs.nftables";
description = "The firewall package used by fail2ban service.";
};
extraPackages = mkOption {
default = [];
type = types.listOf types.package;
- example = lib.literalExample "[ pkgs.ipset ]";
+ example = lib.literalExpression "[ pkgs.ipset ]";
description = ''
Extra packages to be made available to the fail2ban service. The example contains
the packages needed by the `iptables-ipset-proto6` action.
@@ -202,7 +204,7 @@ in
jails = mkOption {
default = { };
- example = literalExample ''
+ example = literalExpression ''
{ apache-nohome-iptables = '''
# Block an IP address if it accesses a non-existent
# home directory more than 5 times in 10 minutes,
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/fprintd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/fprintd.nix
index fe0fba5b45d..87c3f1f6f9e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/fprintd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/fprintd.nix
@@ -23,7 +23,7 @@ in
package = mkOption {
type = types.package;
default = fprintdPkg;
- defaultText = "if cfg.tod.enable then pkgs.fprintd-tod else pkgs.fprintd";
+ defaultText = literalExpression "if config.services.fprintd.tod.enable then pkgs.fprintd-tod else pkgs.fprintd";
description = ''
fprintd package to use.
'';
@@ -35,7 +35,7 @@ in
driver = mkOption {
type = types.package;
- example = literalExample "pkgs.libfprint-2-tod1-goodix";
+ example = literalExpression "pkgs.libfprint-2-tod1-goodix";
description = ''
Touch OEM Drivers (TOD) package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/haka.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/haka.nix
index 618e689924f..2cfc05f3033 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/haka.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/haka.nix
@@ -59,7 +59,7 @@ in
package = mkOption {
default = pkgs.haka;
- defaultText = "pkgs.haka";
+ defaultText = literalExpression "pkgs.haka";
type = types.package;
description = "
Which Haka derivation to use.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/hockeypuck.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/hockeypuck.nix
index 686634c8add..d0e152934f5 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/hockeypuck.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/hockeypuck.nix
@@ -18,7 +18,7 @@ in {
settings = lib.mkOption {
type = settingsFormat.type;
default = { };
- example = lib.literalExample ''
+ example = lib.literalExpression ''
{
hockeypuck = {
loglevel = "INFO";
@@ -82,8 +82,10 @@ in {
users.users.hockeypuck = {
isSystemUser = true;
+ group = "hockeypuck";
description = "Hockeypuck user";
};
+ users.groups.hockeypuck = {};
systemd.services.hockeypuck = {
description = "Hockeypuck OpenPGP Key Server";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/nginx-sso.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/nginx-sso.nix
index 50d250fc4d7..b4de1d36edd 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/nginx-sso.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/nginx-sso.nix
@@ -13,7 +13,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.nginx-sso;
- defaultText = "pkgs.nginx-sso";
+ defaultText = literalExpression "pkgs.nginx-sso";
description = ''
The nginx-sso package that should be used.
'';
@@ -22,7 +22,7 @@ in {
configuration = mkOption {
type = types.attrsOf types.unspecified;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
listen = { addr = "127.0.0.1"; port = 8080; };
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/oauth2_proxy.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/oauth2_proxy.nix
index e85fd4b75df..4d356242417 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/oauth2_proxy.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/oauth2_proxy.nix
@@ -91,7 +91,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.oauth2-proxy;
- defaultText = "pkgs.oauth2-proxy";
+ defaultText = literalExpression "pkgs.oauth2-proxy";
description = ''
The package that provides oauth2-proxy.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/opensnitch.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/opensnitch.nix
new file mode 100644
index 00000000000..919346cf2bb
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/opensnitch.nix
@@ -0,0 +1,24 @@
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let
+ name = "opensnitch";
+ cfg = config.services.opensnitch;
+in {
+ options = {
+ services.opensnitch = {
+ enable = mkEnableOption "Opensnitch application firewall";
+ };
+ };
+
+ config = mkIf cfg.enable {
+
+ systemd = {
+ packages = [ pkgs.opensnitch ];
+ services.opensnitchd.wantedBy = [ "multi-user.target" ];
+ };
+
+ };
+}
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/physlock.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/physlock.nix
index da5c22a90a0..760e80f147f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/physlock.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/physlock.nix
@@ -38,9 +38,6 @@ in
setuid wrapper to allow any user to start physlock as root, which
is a minor security risk. Call the physlock binary to use this instead
of using the systemd service.
-
- Note that you might need to relog to have the correct binary in your
- PATH upon changing this option.
'';
};
@@ -129,7 +126,12 @@ in
(mkIf cfg.allowAnyUser {
- security.wrappers.physlock = { source = "${pkgs.physlock}/bin/physlock"; user = "root"; };
+ security.wrappers.physlock =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.physlock}/bin/physlock";
+ };
})
]);
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/privacyidea.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/privacyidea.nix
index 63271848e94..05f4995cc41 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/privacyidea.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/privacyidea.nix
@@ -169,7 +169,6 @@ in
configFile = mkOption {
type = types.path;
- default = "";
description = ''
Path to PrivacyIDEA LDAP Proxy configuration (proxy.ini).
'';
@@ -228,7 +227,7 @@ in
path = with pkgs; [ openssl ];
environment.PRIVACYIDEA_CONFIGFILE = "${cfg.stateDir}/privacyidea.cfg";
preStart = let
- pi-manage = "${pkgs.sudo}/bin/sudo -u privacyidea -HE ${penv}/bin/pi-manage";
+ pi-manage = "${config.security.sudo.package}/bin/sudo -u privacyidea -HE ${penv}/bin/pi-manage";
pgsu = config.services.postgresql.superUser;
psql = config.services.postgresql.package;
in ''
@@ -239,8 +238,8 @@ in
-i "${piCfgFile}"
chown ${cfg.user}:${cfg.group} ${cfg.stateDir}/privacyidea.cfg
if ! test -e "${cfg.stateDir}/db-created"; then
- ${pkgs.sudo}/bin/sudo -u ${pgsu} ${psql}/bin/createuser --no-superuser --no-createdb --no-createrole ${cfg.user}
- ${pkgs.sudo}/bin/sudo -u ${pgsu} ${psql}/bin/createdb --owner ${cfg.user} privacyidea
+ ${config.security.sudo.package}/bin/sudo -u ${pgsu} ${psql}/bin/createuser --no-superuser --no-createdb --no-createrole ${cfg.user}
+ ${config.security.sudo.package}/bin/sudo -u ${pgsu} ${psql}/bin/createdb --owner ${cfg.user} privacyidea
${pi-manage} create_enckey
${pi-manage} create_audit_keys
${pi-manage} createdb
@@ -273,7 +272,7 @@ in
(mkIf cfg.ldap-proxy.enable {
systemd.services.privacyidea-ldap-proxy = let
- ldap-proxy-env = pkgs.python2.withPackages (ps: [ ps.privacyidea-ldap-proxy ]);
+ ldap-proxy-env = pkgs.python3.withPackages (ps: [ ps.privacyidea-ldap-proxy ]);
in {
description = "privacyIDEA LDAP proxy";
wantedBy = [ "multi-user.target" ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/shibboleth-sp.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/shibboleth-sp.nix
index 5908f727d53..fea2a855e20 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/shibboleth-sp.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/shibboleth-sp.nix
@@ -14,7 +14,7 @@ in {
configFile = mkOption {
type = types.path;
- example = "${pkgs.shibboleth-sp}/etc/shibboleth/shibboleth2.xml";
+ example = literalExpression ''"''${pkgs.shibboleth-sp}/etc/shibboleth/shibboleth2.xml"'';
description = "Path to shibboleth config file";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/sks.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/sks.nix
index a91060dc659..f4911597564 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/sks.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/sks.nix
@@ -23,7 +23,7 @@ in {
package = mkOption {
default = pkgs.sks;
- defaultText = "pkgs.sks";
+ defaultText = literalExpression "pkgs.sks";
type = types.package;
description = "Which SKS derivation to use.";
};
@@ -74,7 +74,7 @@ in {
webroot = mkOption {
type = types.nullOr types.path;
default = "${sksPkg.webSamples}/OpenPKG";
- defaultText = "\${pkgs.sks.webSamples}/OpenPKG";
+ defaultText = literalExpression ''"''${package.webSamples}/OpenPKG"'';
description = ''
Source directory (will be symlinked, if not null) for the files the
built-in webserver should serve. SKS (''${pkgs.sks.webSamples})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/step-ca.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/step-ca.nix
index 64eee11f588..2eccc30e405 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/step-ca.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/step-ca.nix
@@ -13,6 +13,7 @@ in
package = lib.mkOption {
type = lib.types.package;
default = pkgs.step-ca;
+ defaultText = lib.literalExpression "pkgs.step-ca";
description = "Which step-ca package to use.";
};
address = lib.mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/tor.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/tor.nix
index 9e8f18e93c8..c94b248d5f1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/tor.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/tor.nix
@@ -232,8 +232,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.tor;
- defaultText = "pkgs.tor";
- example = literalExample "pkgs.tor";
+ defaultText = literalExpression "pkgs.tor";
description = "Tor package to use.";
};
@@ -1022,7 +1021,7 @@ in
ProtectKernelTunables = true;
ProtectSystem = "strict";
RemoveIPC = true;
- RestrictAddressFamilies = [ "AF_UNIX" "AF_INET" "AF_INET6" ];
+ RestrictAddressFamilies = [ "AF_UNIX" "AF_INET" "AF_INET6" "AF_NETLINK" ];
RestrictNamespaces = true;
RestrictRealtime = true;
RestrictSUIDSGID = true;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/usbguard.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/usbguard.nix
index 4cdb3a041b5..201b37f17ba 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/usbguard.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/usbguard.nix
@@ -44,7 +44,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.usbguard;
- defaultText = "pkgs.usbguard";
+ defaultText = literalExpression "pkgs.usbguard";
description = ''
The usbguard package to use. If you do not need the Qt GUI, use
pkgs.usbguard-nox to save disk space.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/vault.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/vault.nix
index 5a20f6413b1..b0ade62d97c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/vault.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/vault.nix
@@ -42,7 +42,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.vault;
- defaultText = "pkgs.vault";
+ defaultText = literalExpression "pkgs.vault";
description = "This option specifies the vault package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/vaultwarden/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/vaultwarden/default.nix
index d28ea61e66a..5b951bc85ec 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/vaultwarden/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/vaultwarden/default.nix
@@ -60,7 +60,7 @@ in {
config = mkOption {
type = attrsOf (nullOr (oneOf [ bool int str ]));
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
domain = "https://bw.domain.tld:8443";
signupsAllowed = true;
@@ -106,14 +106,14 @@ in {
package = mkOption {
type = package;
default = pkgs.vaultwarden;
- defaultText = "pkgs.vaultwarden";
+ defaultText = literalExpression "pkgs.vaultwarden";
description = "Vaultwarden package to use.";
};
webVaultPackage = mkOption {
type = package;
default = pkgs.vaultwarden-vault;
- defaultText = "pkgs.vaultwarden-vault";
+ defaultText = literalExpression "pkgs.vaultwarden-vault";
description = "Web vault package to use.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/yubikey-agent.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/yubikey-agent.nix
index 2972c64a364..8a2f98d0412 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/yubikey-agent.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/security/yubikey-agent.nix
@@ -33,7 +33,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.yubikey-agent;
- defaultText = "pkgs.yubikey-agent";
+ defaultText = literalExpression "pkgs.yubikey-agent";
description = ''
The package used for the yubikey-agent daemon.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/earlyoom.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/earlyoom.nix
index e29bdbe264c..452efc73643 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/earlyoom.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/earlyoom.nix
@@ -106,6 +106,7 @@ in
path = optional ecfg.enableNotifications pkgs.dbus;
serviceConfig = {
StandardOutput = "null";
+ StandardError = "journal";
ExecStart = ''
${pkgs.earlyoom}/bin/earlyoom \
-m ${toString ecfg.freeMemThreshold} \
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/kerberos/heimdal.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/kerberos/heimdal.nix
index f0e56c7951a..837c59caa56 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/kerberos/heimdal.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/kerberos/heimdal.nix
@@ -27,7 +27,7 @@ in
{
# No documentation about correct triggers, so guessing at them.
- config = mkIf (cfg.enable && kerberos == pkgs.heimdalFull) {
+ config = mkIf (cfg.enable && kerberos == pkgs.heimdal) {
systemd.services.kadmind = {
description = "Kerberos Administration Daemon";
wantedBy = [ "multi-user.target" ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/localtime.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/localtime.nix
index bb99e5e36ff..8f23454af9d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/localtime.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/localtime.nix
@@ -37,7 +37,9 @@ in {
users.users.localtimed = {
description = "localtime daemon";
isSystemUser = true;
+ group = "localtimed";
};
+ users.groups.localtimed = {};
systemd.services.localtime = {
wantedBy = [ "multi-user.target" ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/saslauthd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/saslauthd.nix
index 8fcf4fb91fc..466b0ca60a7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/saslauthd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/system/saslauthd.nix
@@ -20,7 +20,7 @@ in
package = mkOption {
default = pkgs.cyrus_sasl.bin;
- defaultText = "pkgs.cyrus_sasl.bin";
+ defaultText = literalExpression "pkgs.cyrus_sasl.bin";
type = types.package;
description = "Cyrus SASL package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/deluge.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/deluge.nix
index 151a1dd638d..cb0da9e83b4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/deluge.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/deluge.nix
@@ -50,7 +50,7 @@ in {
config = mkOption {
type = types.attrs;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
download_location = "/srv/torrents/";
max_upload_speed = "1000.0";
@@ -149,7 +149,7 @@ in {
package = mkOption {
type = types.package;
- example = literalExample "pkgs.deluge-2_x";
+ example = literalExpression "pkgs.deluge-2_x";
description = ''
Deluge package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/flexget.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/flexget.nix
index 6ac85f8fa17..e500e02d861 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/flexget.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/flexget.nix
@@ -39,7 +39,7 @@ in {
systemScheduler = mkOption {
default = true;
- example = "false";
+ example = false;
type = types.bool;
description = "When true, execute the runs via the flexget-runner.timer. If false, you have to specify the settings yourself in the YML file.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/magnetico.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/magnetico.nix
index 7465c10e002..3dd7b1ece76 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/magnetico.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/magnetico.nix
@@ -111,7 +111,7 @@ in {
web.credentials = mkOption {
type = types.attrsOf types.str;
default = {};
- example = lib.literalExample ''
+ example = lib.literalExpression ''
{
myuser = "$2y$12$YE01LZ8jrbQbx6c0s2hdZO71dSjn2p/O9XsYJpz.5968yCysUgiaG";
}
@@ -172,8 +172,10 @@ in {
users.users.magnetico = {
description = "Magnetico daemons user";
+ group = "magnetico";
isSystemUser = true;
};
+ users.groups.magnetico = {};
systemd.services.magneticod = {
description = "Magnetico DHT crawler";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/opentracker.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/opentracker.nix
index 74f443381d9..d76d61dfe85 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/opentracker.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/opentracker.nix
@@ -13,7 +13,7 @@ in {
opentracker package to use
'';
default = pkgs.opentracker;
- defaultText = "pkgs.opentracker";
+ defaultText = literalExpression "pkgs.opentracker";
};
extraOptions = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/peerflix.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/peerflix.nix
index a74f6598432..3e5f80960dc 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/peerflix.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/peerflix.nix
@@ -60,6 +60,10 @@ in {
};
};
- users.users.peerflix.uid = config.ids.uids.peerflix;
+ users.users.peerflix = {
+ isSystemUser = true;
+ group = "peerflix";
+ };
+ users.groups.peerflix = {};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/rtorrent.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/rtorrent.nix
index be57c03b172..dd7df623c73 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/rtorrent.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/rtorrent.nix
@@ -45,7 +45,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.rtorrent;
- defaultText = "pkgs.rtorrent";
+ defaultText = literalExpression "pkgs.rtorrent";
description = ''
The rtorrent package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/transmission.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/transmission.nix
index 34a5219c959..b8cfcf39121 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/transmission.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/torrent/transmission.nix
@@ -152,6 +152,8 @@ in
install -d -m '${cfg.downloadDirPermissions}' -o '${cfg.user}' -g '${cfg.group}' '${cfg.settings.download-dir}'
'' + optionalString cfg.settings.incomplete-dir-enabled ''
install -d -m '${cfg.downloadDirPermissions}' -o '${cfg.user}' -g '${cfg.group}' '${cfg.settings.incomplete-dir}'
+ '' + optionalString cfg.settings.watch-dir-enabled ''
+ install -d -m '${cfg.downloadDirPermissions}' -o '${cfg.user}' -g '${cfg.group}' '${cfg.settings.watch-dir}'
'';
assertions = [
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/ttys/getty.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/ttys/getty.nix
index 7cf2ff87da2..8c5b6e5e0cb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/ttys/getty.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/ttys/getty.nix
@@ -42,6 +42,7 @@ in
loginProgram = mkOption {
type = types.path;
default = "${pkgs.shadow}/bin/login";
+ defaultText = literalExpression ''"''${pkgs.shadow}/bin/login"'';
description = ''
Path to the login binary executed by agetty.
'';
@@ -131,6 +132,14 @@ in
restartIfChanged = false;
};
+ systemd.services."autovt@" =
+ { serviceConfig.ExecStart = [
+ "" # override upstream default with an empty ExecStart
+ (gettyCmd "--noclear %I $TERM")
+ ];
+ restartIfChanged = false;
+ };
+
systemd.services."container-getty@" =
{ serviceConfig.ExecStart = [
"" # override upstream default with an empty ExecStart
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/epgstation/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/epgstation/default.nix
index b13393c8983..e34b6e0510a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/epgstation/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/epgstation/default.nix
@@ -126,6 +126,7 @@ in
passwordFile = mkOption {
type = types.path;
default = pkgs.writeText "epgstation-password" defaultPassword;
+ defaultText = literalDocBook ''a file containing ${defaultPassword} '';
example = "/run/keys/epgstation-password";
description = ''
A file containing the password for basicAuth.user .
@@ -145,6 +146,7 @@ in
passwordFile = mkOption {
type = types.path;
default = pkgs.writeText "epgstation-db-password" defaultPassword;
+ defaultText = literalDocBook ''a file containing ${defaultPassword} '';
example = "/run/keys/epgstation-db-password";
description = ''
A file containing the password for the database named
@@ -189,14 +191,33 @@ in
type = with types; listOf attrs;
description = "Encoding presets for recorded videos.";
default = [
- { name = "H264";
+ {
+ name = "H264";
cmd = "${pkgs.epgstation}/libexec/enc.sh main";
suffix = ".mp4";
- default = true; }
- { name = "H264-sub";
+ default = true;
+ }
+ {
+ name = "H264-sub";
cmd = "${pkgs.epgstation}/libexec/enc.sh sub";
- suffix = "-sub.mp4"; }
+ suffix = "-sub.mp4";
+ }
];
+ defaultText = literalExpression ''
+ [
+ {
+ name = "H264";
+ cmd = "''${pkgs.epgstation}/libexec/enc.sh main";
+ suffix = ".mp4";
+ default = true;
+ }
+ {
+ name = "H264-sub";
+ cmd = "''${pkgs.epgstation}/libexec/enc.sh sub";
+ suffix = "-sub.mp4";
+ }
+ ]
+ '';
};
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/mirakurun.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/mirakurun.nix
index 6ea73fa5c67..16efb56cfd6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/mirakurun.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/mirakurun.nix
@@ -72,7 +72,7 @@ in
serverSettings = mkOption {
type = settingsFmt.type;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
highWaterMark = 25165824;
overflowTimeLimit = 30000;
@@ -89,7 +89,7 @@ in
tunerSettings = mkOption {
type = with types; nullOr settingsFmt.type;
default = null;
- example = literalExample ''
+ example = literalExpression ''
[
{
name = "tuner-name";
@@ -110,7 +110,7 @@ in
channelSettings = mkOption {
type = with types; nullOr settingsFmt.type;
default = null;
- example = literalExample ''
+ example = literalExpression ''
[
{
name = "channel";
@@ -173,7 +173,7 @@ in
wantedBy = [ "multi-user.target" ];
after = [ "network.target" ];
serviceConfig = {
- ExecStart = "${mirakurun}/bin/mirakurun";
+ ExecStart = "${mirakurun}/bin/mirakurun-start";
User = username;
Group = groupname;
RuntimeDirectory="mirakurun";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/replay-sorcery.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/replay-sorcery.nix
index d78e782c796..abe7202a4a8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/replay-sorcery.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/replay-sorcery.nix
@@ -26,7 +26,7 @@ in
type = attrsOf (oneOf [ str int ]);
default = {};
description = "System-wide configuration for ReplaySorcery (/etc/replay-sorcery.conf).";
- example = literalExample ''
+ example = literalExpression ''
{
videoInput = "hwaccel"; # requires `services.replay-sorcery.enableSysAdminCapability = true`
videoFramerate = 60;
@@ -44,8 +44,10 @@ in
security.wrappers = mkIf cfg.enableSysAdminCapability {
replay-sorcery = {
- source = "${pkgs.replay-sorcery}/bin/replay-sorcery";
+ owner = "root";
+ group = "root";
capabilities = "cap_sys_admin+ep";
+ source = "${pkgs.replay-sorcery}/bin/replay-sorcery";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/unifi-video.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/unifi-video.nix
index d4c0268ed66..17971b23db8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/unifi-video.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/video/unifi-video.nix
@@ -104,7 +104,7 @@ in
jrePackage = mkOption {
type = types.package;
default = pkgs.jre8;
- defaultText = "pkgs.jre8";
+ defaultText = literalExpression "pkgs.jre8";
description = ''
The JRE package to use. Check the release notes to ensure it is supported.
'';
@@ -113,7 +113,7 @@ in
unifiVideoPackage = mkOption {
type = types.package;
default = pkgs.unifi-video;
- defaultText = "pkgs.unifi-video";
+ defaultText = literalExpression "pkgs.unifi-video";
description = ''
The unifi-video package to use.
'';
@@ -122,7 +122,7 @@ in
mongodbPackage = mkOption {
type = types.package;
default = pkgs.mongodb-4_0;
- defaultText = "pkgs.mongodb";
+ defaultText = literalExpression "pkgs.mongodb";
description = ''
The mongodb package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/wayland/cage.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/wayland/cage.nix
index bd97a674eb8..273693a3b2f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/wayland/cage.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/wayland/cage.nix
@@ -18,7 +18,7 @@ in {
options.services.cage.extraArguments = mkOption {
type = types.listOf types.str;
default = [];
- defaultText = "[]";
+ defaultText = literalExpression "[]";
description = "Additional command line arguments to pass to Cage.";
example = ["-d"];
};
@@ -26,6 +26,7 @@ in {
options.services.cage.program = mkOption {
type = types.path;
default = "${pkgs.xterm}/bin/xterm";
+ defaultText = literalExpression ''"''${pkgs.xterm}/bin/xterm"'';
description = ''
Program to run in cage.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/atlassian/confluence.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/atlassian/confluence.nix
index 59185fdbd36..2d809c17ff0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/atlassian/confluence.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/atlassian/confluence.nix
@@ -128,14 +128,14 @@ in
package = mkOption {
type = types.package;
default = pkgs.atlassian-confluence;
- defaultText = "pkgs.atlassian-confluence";
+ defaultText = literalExpression "pkgs.atlassian-confluence";
description = "Atlassian Confluence package to use.";
};
jrePackage = mkOption {
type = types.package;
default = pkgs.oraclejre8;
- defaultText = "pkgs.oraclejre8";
+ defaultText = literalExpression "pkgs.oraclejre8";
description = "Note that Atlassian only support the Oracle JRE (JRASERVER-46152).";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/atlassian/crowd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/atlassian/crowd.nix
index ceab656b15e..a8b2482d5a9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/atlassian/crowd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/atlassian/crowd.nix
@@ -96,14 +96,14 @@ in
package = mkOption {
type = types.package;
default = pkgs.atlassian-crowd;
- defaultText = "pkgs.atlassian-crowd";
+ defaultText = literalExpression "pkgs.atlassian-crowd";
description = "Atlassian Crowd package to use.";
};
jrePackage = mkOption {
type = types.package;
default = pkgs.oraclejre8;
- defaultText = "pkgs.oraclejre8";
+ defaultText = literalExpression "pkgs.oraclejre8";
description = "Note that Atlassian only support the Oracle JRE (JRASERVER-46152).";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/atlassian/jira.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/atlassian/jira.nix
index ce04982e8a9..d7a26838d6f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/atlassian/jira.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/atlassian/jira.nix
@@ -134,14 +134,14 @@ in
package = mkOption {
type = types.package;
default = pkgs.atlassian-jira;
- defaultText = "pkgs.atlassian-jira";
+ defaultText = literalExpression "pkgs.atlassian-jira";
description = "Atlassian JIRA package to use.";
};
jrePackage = mkOption {
type = types.package;
default = pkgs.oraclejre8;
- defaultText = "pkgs.oraclejre8";
+ defaultText = literalExpression "pkgs.oraclejre8";
description = "Note that Atlassian only support the Oracle JRE (JRASERVER-46152).";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/bookstack.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/bookstack.nix
index 34a31af9c9d..74eeb2faa4a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/bookstack.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/bookstack.nix
@@ -91,7 +91,7 @@ in {
user = mkOption {
type = types.str;
default = user;
- defaultText = "\${user}";
+ defaultText = literalExpression "user";
description = "Database username.";
};
passwordFile = mkOption {
@@ -187,14 +187,16 @@ in {
(import ../web-servers/nginx/vhost-options.nix { inherit config lib; }) {}
);
default = {};
- example = {
- serverAliases = [
- "bookstack.\${config.networking.domain}"
- ];
- # To enable encryption and let let's encrypt take care of certificate
- forceSSL = true;
- enableACME = true;
- };
+ example = literalExpression ''
+ {
+ serverAliases = [
+ "bookstack.''${config.networking.domain}"
+ ];
+ # To enable encryption and let let's encrypt take care of certificate
+ forceSSL = true;
+ enableACME = true;
+ }
+ '';
description = ''
With this option, you can customize the nginx virtualHost settings.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/cryptpad.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/cryptpad.nix
index 69a89107d31..e6772de768e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/cryptpad.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/cryptpad.nix
@@ -11,7 +11,7 @@ in
package = mkOption {
default = pkgs.cryptpad;
- defaultText = "pkgs.cryptpad";
+ defaultText = literalExpression "pkgs.cryptpad";
type = types.package;
description = "
Cryptpad package to use.
@@ -21,7 +21,7 @@ in
configFile = mkOption {
type = types.path;
default = "${cfg.package}/lib/node_modules/cryptpad/config/config.example.js";
- defaultText = "\${cfg.package}/lib/node_modules/cryptpad/config/config.example.js";
+ defaultText = literalExpression ''"''${package}/lib/node_modules/cryptpad/config/config.example.js"'';
description = ''
Path to the JavaScript configuration file.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/dex.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/dex.nix
new file mode 100644
index 00000000000..f08dd65bdb0
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/dex.nix
@@ -0,0 +1,115 @@
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let
+ cfg = config.services.dex;
+ fixClient = client: if client ? secretFile then ((builtins.removeAttrs client [ "secretFile" ]) // { secret = client.secretFile; }) else client;
+ filteredSettings = mapAttrs (n: v: if n == "staticClients" then (builtins.map fixClient v) else v) cfg.settings;
+ secretFiles = flatten (builtins.map (c: if c ? secretFile then [ c.secretFile ] else []) (cfg.settings.staticClients or []));
+
+ settingsFormat = pkgs.formats.yaml {};
+ configFile = settingsFormat.generate "config.yaml" filteredSettings;
+
+ startPreScript = pkgs.writeShellScript "dex-start-pre" (''
+ '' + (concatStringsSep "\n" (builtins.map (file: ''
+ ${pkgs.replace-secret}/bin/replace-secret '${file}' '${file}' /run/dex/config.yaml
+ '') secretFiles)));
+in
+{
+ options.services.dex = {
+ enable = mkEnableOption "the OpenID Connect and OAuth2 identity provider";
+
+ settings = mkOption {
+ type = settingsFormat.type;
+ default = {};
+ example = literalExpression ''
+ {
+ # External url
+ issuer = "http://127.0.0.1:5556/dex";
+ storage = {
+ type = "postgres";
+ config.host = "/var/run/postgres";
+ };
+ web = {
+ http = "127.0.0.1:5556";
+ };
+ enablePasswordDB = true;
+ staticClients = [
+ {
+ id = "oidcclient";
+ name = "Client";
+ redirectURIs = [ "https://example.com/callback" ];
+ secretFile = "/etc/dex/oidcclient"; # The content of `secretFile` will be written into to the config as `secret`.
+ }
+ ];
+ }
+ '';
+ description = ''
+ The available options can be found in
+ the example configuration.
+ '';
+ };
+ };
+
+ config = mkIf cfg.enable {
+ systemd.services.dex = {
+ description = "dex identity provider";
+ wantedBy = [ "multi-user.target" ];
+ after = [ "networking.target" ] ++ (optional (cfg.settings.storage.type == "postgres") "postgresql.service");
+
+ serviceConfig = {
+ ExecStart = "${pkgs.dex-oidc}/bin/dex serve /run/dex/config.yaml";
+ ExecStartPre = [
+ "${pkgs.coreutils}/bin/install -m 600 ${configFile} /run/dex/config.yaml"
+ "+${startPreScript}"
+ ];
+ RuntimeDirectory = "dex";
+
+ AmbientCapabilities = "CAP_NET_BIND_SERVICE";
+ BindReadOnlyPaths = [
+ "/nix/store"
+ "-/etc/resolv.conf"
+ "-/etc/nsswitch.conf"
+ "-/etc/hosts"
+ "-/etc/localtime"
+ "-/etc/dex"
+ ];
+ BindPaths = optional (cfg.settings.storage.type == "postgres") "/var/run/postgresql";
+ CapabilityBoundingSet = "CAP_NET_BIND_SERVICE";
+ # ProtectClock= adds DeviceAllow=char-rtc r
+ DeviceAllow = "";
+ DynamicUser = true;
+ LockPersonality = true;
+ MemoryDenyWriteExecute = true;
+ NoNewPrivileges = true;
+ PrivateDevices = true;
+ PrivateMounts = true;
+ # Port needs to be exposed to the host network
+ #PrivateNetwork = true;
+ PrivateTmp = true;
+ PrivateUsers = true;
+ ProcSubset = "pid";
+ ProtectClock = true;
+ ProtectHome = true;
+ ProtectHostname = true;
+ # Would re-mount paths ignored by temporary root
+ #ProtectSystem = "strict";
+ ProtectControlGroups = true;
+ ProtectKernelLogs = true;
+ ProtectKernelModules = true;
+ ProtectKernelTunables = true;
+ ProtectProc = "invisible";
+ RestrictAddressFamilies = [ "AF_INET" "AF_INET6" "AF_UNIX" ];
+ RestrictNamespaces = true;
+ RestrictRealtime = true;
+ RestrictSUIDSGID = true;
+ SystemCallArchitectures = "native";
+ SystemCallFilter = [ "@system-service" "~@privileged @resources @setuid @keyring" ];
+ TemporaryFileSystem = "/:ro";
+ # Does not work well with the temporary root
+ #UMask = "0066";
+ };
+ };
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/discourse.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/discourse.nix
index 050e4ee3d32..c4fb7e2b316 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/discourse.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/discourse.nix
@@ -33,7 +33,7 @@ in
apply = p: p.override {
plugins = lib.unique (p.enabledPlugins ++ cfg.plugins);
};
- defaultText = "pkgs.discourse";
+ defaultText = lib.literalExpression "pkgs.discourse";
description = ''
The discourse package to use.
'';
@@ -45,7 +45,7 @@ in
config.networking.fqdn
else
config.networking.hostName;
- defaultText = "config.networking.fqdn";
+ defaultText = lib.literalExpression "config.networking.fqdn";
example = "discourse.example.com";
description = ''
The hostname to serve Discourse on.
@@ -99,7 +99,10 @@ in
enableACME = lib.mkOption {
type = lib.types.bool;
default = cfg.sslCertificate == null && cfg.sslCertificateKey == null;
- defaultText = "true, unless services.discourse.sslCertificate and services.discourse.sslCertificateKey are set.";
+ defaultText = lib.literalDocBook ''
+ true , unless services.discourse.sslCertificate
+ and services.discourse.sslCertificateKey are set.
+ '';
description = ''
Whether an ACME certificate should be used to secure
connections to the server.
@@ -109,7 +112,7 @@ in
backendSettings = lib.mkOption {
type = with lib.types; attrsOf (nullOr (oneOf [ str int bool float ]));
default = {};
- example = lib.literalExample ''
+ example = lib.literalExpression ''
{
max_reqs_per_ip_per_minute = 300;
max_reqs_per_ip_per_10_seconds = 60;
@@ -134,7 +137,7 @@ in
siteSettings = lib.mkOption {
type = json.type;
default = {};
- example = lib.literalExample ''
+ example = lib.literalExpression ''
{
required = {
title = "My Cats";
@@ -172,6 +175,15 @@ in
};
admin = {
+ skipCreate = lib.mkOption {
+ type = lib.types.bool;
+ default = false;
+ description = ''
+ Do not create the admin account, instead rely on other
+ existing admin accounts.
+ '';
+ };
+
email = lib.mkOption {
type = lib.types.str;
example = "admin@example.com";
@@ -325,10 +337,8 @@ in
notificationEmailAddress = lib.mkOption {
type = lib.types.str;
default = "${if cfg.mail.incoming.enable then "notifications" else "noreply"}@${cfg.hostname}";
- defaultText = ''
- "notifications@`config.services.discourse.hostname`" if
- config.services.discourse.mail.incoming.enable is "true",
- otherwise "noreply`config.services.discourse.hostname`"
+ defaultText = lib.literalExpression ''
+ "''${if config.services.discourse.mail.incoming.enable then "notifications" else "noreply"}@''${config.services.discourse.hostname}"
'';
description = ''
The from: email address used when
@@ -439,7 +449,7 @@ in
replyEmailAddress = lib.mkOption {
type = lib.types.str;
default = "%{reply_key}@${cfg.hostname}";
- defaultText = "%{reply_key}@`config.services.discourse.hostname`";
+ defaultText = lib.literalExpression ''"%{reply_key}@''${config.services.discourse.hostname}"'';
description = ''
Template for reply by email incoming email address, for
example: %{reply_key}@reply.example.com or
@@ -450,7 +460,7 @@ in
mailReceiverPackage = lib.mkOption {
type = lib.types.package;
default = pkgs.discourse-mail-receiver;
- defaultText = "pkgs.discourse-mail-receiver";
+ defaultText = lib.literalExpression "pkgs.discourse-mail-receiver";
description = ''
The discourse-mail-receiver package to use.
'';
@@ -475,7 +485,7 @@ in
plugins = lib.mkOption {
type = lib.types.listOf lib.types.package;
default = [];
- example = lib.literalExample ''
+ example = lib.literalExpression ''
with config.services.discourse.package.plugins; [
discourse-canned-replies
discourse-github
@@ -721,12 +731,24 @@ in
lib.optionalString (file != null) ''
replace-secret '${file}' '${file}' /run/discourse/config/discourse.conf
'';
+
+ mkAdmin = ''
+ export ADMIN_EMAIL="${cfg.admin.email}"
+ export ADMIN_NAME="${cfg.admin.fullName}"
+ export ADMIN_USERNAME="${cfg.admin.username}"
+ ADMIN_PASSWORD="$(<${cfg.admin.passwordFile})"
+ export ADMIN_PASSWORD
+ discourse-rake admin:create_noninteractively
+ '';
+
in ''
set -o errexit -o pipefail -o nounset -o errtrace
shopt -s inherit_errexit
umask u=rwx,g=rx,o=
+ rm -rf /var/lib/discourse/tmp/*
+
cp -r ${cfg.package}/share/discourse/config.dist/* /run/discourse/config/
cp -r ${cfg.package}/share/discourse/public.dist/* /run/discourse/public/
ln -sf /var/lib/discourse/uploads /run/discourse/public/uploads
@@ -748,14 +770,9 @@ in
)
discourse-rake db:migrate >>/var/log/discourse/db_migration.log
- chmod -R u+w /run/discourse/tmp/
+ chmod -R u+w /var/lib/discourse/tmp/
- export ADMIN_EMAIL="${cfg.admin.email}"
- export ADMIN_NAME="${cfg.admin.fullName}"
- export ADMIN_USERNAME="${cfg.admin.username}"
- ADMIN_PASSWORD="$(<${cfg.admin.passwordFile})"
- export ADMIN_PASSWORD
- discourse-rake admin:create_noninteractively
+ ${lib.optionalString (!cfg.admin.skipCreate) mkAdmin}
discourse-rake themes:update
discourse-rake uploads:regenerate_missing_optimized
@@ -768,7 +785,6 @@ in
RuntimeDirectory = map (p: "discourse/" + p) [
"config"
"home"
- "tmp"
"assets/javascripts/plugins"
"public"
"sockets"
@@ -777,6 +793,7 @@ in
StateDirectory = map (p: "discourse/" + p) [
"uploads"
"backups"
+ "tmp"
];
StateDirectoryMode = 0750;
LogsDirectory = "discourse";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/documize.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/documize.nix
index a5f48e744fd..7f2ed82ee33 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/documize.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/documize.nix
@@ -26,6 +26,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.documize-community;
+ defaultText = literalExpression "pkgs.documize-community";
description = ''
Which package to use for documize.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/dokuwiki.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/dokuwiki.nix
index 685cb496703..bc5b1a8be54 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/dokuwiki.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/dokuwiki.nix
@@ -1,16 +1,21 @@
-{ config, lib, pkgs, ... }:
+{ config, pkgs, lib, ... }:
let
+ inherit (lib) mkDefault mkEnableOption mkForce mkIf mkMerge mkOption types maintainers recursiveUpdate;
+ inherit (lib) any attrValues concatMapStrings concatMapStringsSep flatten literalExpression;
+ inherit (lib) filterAttrs mapAttrs mapAttrs' mapAttrsToList nameValuePair optional optionalAttrs optionalString;
- inherit (lib) mkEnableOption mkForce mkIf mkMerge mkOption optionalAttrs recursiveUpdate types maintainers;
- inherit (lib) concatMapStringsSep flatten mapAttrs mapAttrs' mapAttrsToList nameValuePair concatMapStringSep;
-
- eachSite = config.services.dokuwiki;
-
+ cfg = migrateOldAttrs config.services.dokuwiki;
+ eachSite = cfg.sites;
user = "dokuwiki";
- group = config.services.nginx.group;
+ webserver = config.services.${cfg.webserver};
+ stateDir = hostName: "/var/lib/dokuwiki/${hostName}/data";
+
+ # Migrate config.services.dokuwiki. to config.services.dokuwiki.sites.
+ oldSites = filterAttrs (o: _: o != "sites" && o != "webserver");
+ migrateOldAttrs = cfg: cfg // { sites = cfg.sites // oldSites cfg; };
- dokuwikiAclAuthConfig = cfg: pkgs.writeText "acl.auth.php" ''
+ dokuwikiAclAuthConfig = hostName: cfg: pkgs.writeText "acl.auth-${hostName}.php" ''
# acl.auth.php
#
#
@@ -19,7 +24,7 @@ let
${toString cfg.acl}
'';
- dokuwikiLocalConfig = cfg: pkgs.writeText "local.php" ''
+ dokuwikiLocalConfig = hostName: cfg: pkgs.writeText "local-${hostName}.php" ''
- Mutually exclusive with services.dokuwiki.aclFile
- Set this to a value other than null to take precedence over aclFile option.
-
- Warning: Consider using aclFile instead if you do not
- want to store the ACL in the world-readable Nix store.
- '';
- };
+ stateDir = mkOption {
+ type = types.path;
+ default = "/var/lib/dokuwiki/${name}/data";
+ description = "Location of the DokuWiki state directory.";
+ };
- aclFile = mkOption {
- type = with types; nullOr str;
- default = if (config.aclUse && config.acl == null) then "/var/lib/dokuwiki/${name}/acl.auth.php" else null;
- description = ''
- Location of the dokuwiki acl rules. Mutually exclusive with services.dokuwiki.acl
- Mutually exclusive with services.dokuwiki.acl which is preferred.
- Consult documentation for further instructions.
- Example:
- '';
- example = "/var/lib/dokuwiki/${name}/acl.auth.php";
- };
+ acl = mkOption {
+ type = types.nullOr types.lines;
+ default = null;
+ example = "* @ALL 8";
+ description = ''
+ Access Control Lists: see
+ Mutually exclusive with services.dokuwiki.aclFile
+ Set this to a value other than null to take precedence over aclFile option.
+
+ Warning: Consider using aclFile instead if you do not
+ want to store the ACL in the world-readable Nix store.
+ '';
+ };
- aclUse = mkOption {
- type = types.bool;
- default = true;
- description = ''
- Necessary for users to log in into the system.
- Also limits anonymous users. When disabled,
- everyone is able to create and edit content.
- '';
- };
+ aclFile = mkOption {
+ type = with types; nullOr str;
+ default = if (config.aclUse && config.acl == null) then "/var/lib/dokuwiki/${name}/acl.auth.php" else null;
+ description = ''
+ Location of the dokuwiki acl rules. Mutually exclusive with services.dokuwiki.acl
+ Mutually exclusive with services.dokuwiki.acl which is preferred.
+ Consult documentation for further instructions.
+ Example:
+ '';
+ example = "/var/lib/dokuwiki/${name}/acl.auth.php";
+ };
- pluginsConfig = mkOption {
- type = types.lines;
- default = ''
- $plugins['authad'] = 0;
- $plugins['authldap'] = 0;
- $plugins['authmysql'] = 0;
- $plugins['authpgsql'] = 0;
- '';
- description = ''
- List of the dokuwiki (un)loaded plugins.
- '';
- };
+ aclUse = mkOption {
+ type = types.bool;
+ default = true;
+ description = ''
+ Necessary for users to log in into the system.
+ Also limits anonymous users. When disabled,
+ everyone is able to create and edit content.
+ '';
+ };
- superUser = mkOption {
- type = types.nullOr types.str;
- default = "@admin";
- description = ''
- You can set either a username, a list of usernames (“admin1,admin2”),
- or the name of a group by prepending an @ char to the groupname
- Consult documentation for further instructions.
- '';
- };
+ pluginsConfig = mkOption {
+ type = types.lines;
+ default = ''
+ $plugins['authad'] = 0;
+ $plugins['authldap'] = 0;
+ $plugins['authmysql'] = 0;
+ $plugins['authpgsql'] = 0;
+ '';
+ description = ''
+ List of the dokuwiki (un)loaded plugins.
+ '';
+ };
- usersFile = mkOption {
- type = with types; nullOr str;
- default = if config.aclUse then "/var/lib/dokuwiki/${name}/users.auth.php" else null;
- description = ''
- Location of the dokuwiki users file. List of users. Format:
- login:passwordhash:Real Name:email:groups,comma,separated
- Create passwordHash easily by using:$ mkpasswd -5 password `pwgen 8 1`
- Example:
+ superUser = mkOption {
+ type = types.nullOr types.str;
+ default = "@admin";
+ description = ''
+ You can set either a username, a list of usernames (“admin1,admin2”),
+ or the name of a group by prepending an @ char to the groupname
+ Consult documentation for further instructions.
'';
- example = "/var/lib/dokuwiki/${name}/users.auth.php";
- };
+ };
- disableActions = mkOption {
- type = types.nullOr types.str;
- default = "";
- example = "search,register";
- description = ''
- Disable individual action modes. Refer to
-
- for details on supported values.
- '';
- };
+ usersFile = mkOption {
+ type = with types; nullOr str;
+ default = if config.aclUse then "/var/lib/dokuwiki/${name}/users.auth.php" else null;
+ description = ''
+ Location of the dokuwiki users file. List of users. Format:
+ login:passwordhash:Real Name:email:groups,comma,separated
+ Create passwordHash easily by using:$ mkpasswd -5 password `pwgen 8 1`
+ Example:
+ '';
+ example = "/var/lib/dokuwiki/${name}/users.auth.php";
+ };
- extraConfig = mkOption {
- type = types.nullOr types.lines;
- default = null;
- example = ''
- $conf['title'] = 'My Wiki';
- $conf['userewrite'] = 1;
- '';
- description = ''
- DokuWiki configuration. Refer to
-
- for details on supported values.
- '';
- };
+ disableActions = mkOption {
+ type = types.nullOr types.str;
+ default = "";
+ example = "search,register";
+ description = ''
+ Disable individual action modes. Refer to
+
+ for details on supported values.
+ '';
+ };
- plugins = mkOption {
- type = types.listOf types.path;
- default = [];
- description = ''
- List of path(s) to respective plugin(s) which are copied from the 'plugin' directory.
- These plugins need to be packaged before use, see example.
- '';
- example = ''
- # Let's package the icalevents plugin
- plugin-icalevents = pkgs.stdenv.mkDerivation {
- name = "icalevents";
- # Download the plugin from the dokuwiki site
- src = pkgs.fetchurl {
- url = "https://github.com/real-or-random/dokuwiki-plugin-icalevents/releases/download/2017-06-16/dokuwiki-plugin-icalevents-2017-06-16.zip";
- sha256 = "e40ed7dd6bbe7fe3363bbbecb4de481d5e42385b5a0f62f6a6ce6bf3a1f9dfa8";
- };
- sourceRoot = ".";
- # We need unzip to build this package
- nativeBuildInputs = [ pkgs.unzip ];
- # Installing simply means copying all files to the output directory
- installPhase = "mkdir -p $out; cp -R * $out/";
- };
-
- # And then pass this theme to the plugin list like this:
- plugins = [ plugin-icalevents ];
- '';
- };
+ plugins = mkOption {
+ type = types.listOf types.path;
+ default = [];
+ description = ''
+ List of path(s) to respective plugin(s) which are copied from the 'plugin' directory.
+ These plugins need to be packaged before use, see example.
+ '';
+ example = literalExpression ''
+ let
+ # Let's package the icalevents plugin
+ plugin-icalevents = pkgs.stdenv.mkDerivation {
+ name = "icalevents";
+ # Download the plugin from the dokuwiki site
+ src = pkgs.fetchurl {
+ url = "https://github.com/real-or-random/dokuwiki-plugin-icalevents/releases/download/2017-06-16/dokuwiki-plugin-icalevents-2017-06-16.zip";
+ sha256 = "e40ed7dd6bbe7fe3363bbbecb4de481d5e42385b5a0f62f6a6ce6bf3a1f9dfa8";
+ };
+ sourceRoot = ".";
+ # We need unzip to build this package
+ buildInputs = [ pkgs.unzip ];
+ # Installing simply means copying all files to the output directory
+ installPhase = "mkdir -p $out; cp -R * $out/";
+ };
+ # And then pass this theme to the plugin list like this:
+ in [ plugin-icalevents ]
+ '';
+ };
- templates = mkOption {
- type = types.listOf types.path;
- default = [];
- description = ''
- List of path(s) to respective template(s) which are copied from the 'tpl' directory.
- These templates need to be packaged before use, see example.
- '';
- example = ''
- # Let's package the bootstrap3 theme
- template-bootstrap3 = pkgs.stdenv.mkDerivation {
- name = "bootstrap3";
- # Download the theme from the dokuwiki site
- src = pkgs.fetchurl {
- url = "https://github.com/giterlizzi/dokuwiki-template-bootstrap3/archive/v2019-05-22.zip";
- sha256 = "4de5ff31d54dd61bbccaf092c9e74c1af3a4c53e07aa59f60457a8f00cfb23a6";
- };
- # We need unzip to build this package
- nativeBuildInputs = [ pkgs.unzip ];
- # Installing simply means copying all files to the output directory
- installPhase = "mkdir -p $out; cp -R * $out/";
- };
-
- # And then pass this theme to the template list like this:
- templates = [ template-bootstrap3 ];
- '';
- };
+ templates = mkOption {
+ type = types.listOf types.path;
+ default = [];
+ description = ''
+ List of path(s) to respective template(s) which are copied from the 'tpl' directory.
+ These templates need to be packaged before use, see example.
+ '';
+ example = literalExpression ''
+ let
+ # Let's package the bootstrap3 theme
+ template-bootstrap3 = pkgs.stdenv.mkDerivation {
+ name = "bootstrap3";
+ # Download the theme from the dokuwiki site
+ src = pkgs.fetchurl {
+ url = "https://github.com/giterlizzi/dokuwiki-template-bootstrap3/archive/v2019-05-22.zip";
+ sha256 = "4de5ff31d54dd61bbccaf092c9e74c1af3a4c53e07aa59f60457a8f00cfb23a6";
+ };
+ # We need unzip to build this package
+ buildInputs = [ pkgs.unzip ];
+ # Installing simply means copying all files to the output directory
+ installPhase = "mkdir -p $out; cp -R * $out/";
+ };
+ # And then pass this theme to the template list like this:
+ in [ template-bootstrap3 ]
+ '';
+ };
- poolConfig = mkOption {
- type = with types; attrsOf (oneOf [ str int bool ]);
- default = {
- "pm" = "dynamic";
- "pm.max_children" = 32;
- "pm.start_servers" = 2;
- "pm.min_spare_servers" = 2;
- "pm.max_spare_servers" = 4;
- "pm.max_requests" = 500;
+ poolConfig = mkOption {
+ type = with types; attrsOf (oneOf [ str int bool ]);
+ default = {
+ "pm" = "dynamic";
+ "pm.max_children" = 32;
+ "pm.start_servers" = 2;
+ "pm.min_spare_servers" = 2;
+ "pm.max_spare_servers" = 4;
+ "pm.max_requests" = 500;
+ };
+ description = ''
+ Options for the DokuWiki PHP pool. See the documentation on php-fpm.conf
+ for details on configuration directives.
+ '';
};
- description = ''
- Options for the dokuwiki PHP pool. See the documentation on php-fpm.conf
- for details on configuration directives.
- '';
- };
- nginx = mkOption {
- type = types.submodule (
- recursiveUpdate
- (import ../web-servers/nginx/vhost-options.nix { inherit config lib; }) {}
- );
- default = {};
- example = {
- serverAliases = [
- "wiki.\${config.networking.domain}"
- ];
- # To enable encryption and let let's encrypt take care of certificate
- forceSSL = true;
- enableACME = true;
+ extraConfig = mkOption {
+ type = types.nullOr types.lines;
+ default = null;
+ example = ''
+ $conf['title'] = 'My Wiki';
+ $conf['userewrite'] = 1;
+ '';
+ description = ''
+ DokuWiki configuration. Refer to
+
+ for details on supported values.
+ '';
};
- description = ''
- With this option, you can customize the nginx virtualHost settings.
- '';
+
};
+
};
- };
in
{
# interface
options = {
services.dokuwiki = mkOption {
- type = types.attrsOf (types.submodule siteOpts);
+ type = types.submodule {
+ # Used to support old interface
+ freeformType = types.attrsOf (types.submodule siteOpts);
+
+ # New interface
+ options.sites = mkOption {
+ type = types.attrsOf (types.submodule siteOpts);
+ default = {};
+ description = "Specification of one or more DokuWiki sites to serve";
+ };
+
+ options.webserver = mkOption {
+ type = types.enum [ "nginx" "caddy" ];
+ default = "nginx";
+ description = ''
+ Whether to use nginx or caddy for virtual host management.
+
+ Further nginx configuration can be done by adapting services.nginx.virtualHosts.<name> .
+ See for further information.
+
+ Further apache2 configuration can be done by adapting services.httpd.virtualHosts.<name> .
+ See for further information.
+ '';
+ };
+ };
default = {};
- description = "Sepcification of one or more dokuwiki sites to serve.";
+ description = "DokuWiki configuration";
};
+
};
# implementation
-
- config = mkIf (eachSite != {}) {
-
- warnings = mapAttrsToList (hostName: cfg: mkIf (cfg.superUser == null) "Not setting services.dokuwiki.${hostName} superUser will impair your ability to administer DokuWiki") eachSite;
+ config = mkIf (eachSite != {}) (mkMerge [{
assertions = flatten (mapAttrsToList (hostName: cfg:
[{
assertion = cfg.aclUse -> (cfg.acl != null || cfg.aclFile != null);
- message = "Either services.dokuwiki.${hostName}.acl or services.dokuwiki.${hostName}.aclFile is mandatory if aclUse true";
+ message = "Either services.dokuwiki.sites.${hostName}.acl or services.dokuwiki.sites.${hostName}.aclFile is mandatory if aclUse true";
}
{
assertion = cfg.usersFile != null -> cfg.aclUse != false;
- message = "services.dokuwiki.${hostName}.aclUse must must be true if usersFile is not null";
+ message = "services.dokuwiki.sites.${hostName}.aclUse must must be true if usersFile is not null";
}
]) eachSite);
+ warnings = mapAttrsToList (hostName: _: ''services.dokuwiki."${hostName}" is deprecated use services.dokuwiki.sites."${hostName}"'') (oldSites cfg);
+
services.phpfpm.pools = mapAttrs' (hostName: cfg: (
nameValuePair "dokuwiki-${hostName}" {
inherit user;
- inherit group;
+ group = webserver.group;
+
phpEnv = {
- DOKUWIKI_LOCAL_CONFIG = "${dokuwikiLocalConfig cfg}";
- DOKUWIKI_PLUGINS_LOCAL_CONFIG = "${dokuwikiPluginsLocalConfig cfg}";
+ DOKUWIKI_LOCAL_CONFIG = "${dokuwikiLocalConfig hostName cfg}";
+ DOKUWIKI_PLUGINS_LOCAL_CONFIG = "${dokuwikiPluginsLocalConfig hostName cfg}";
} // optionalAttrs (cfg.usersFile != null) {
DOKUWIKI_USERS_AUTH_CONFIG = "${cfg.usersFile}";
} //optionalAttrs (cfg.aclUse) {
- DOKUWIKI_ACL_AUTH_CONFIG = if (cfg.acl != null) then "${dokuwikiAclAuthConfig cfg}" else "${toString cfg.aclFile}";
+ DOKUWIKI_ACL_AUTH_CONFIG = if (cfg.acl != null) then "${dokuwikiAclAuthConfig hostName cfg}" else "${toString cfg.aclFile}";
};
settings = {
- "listen.mode" = "0660";
- "listen.owner" = user;
- "listen.group" = group;
+ "listen.owner" = webserver.user;
+ "listen.group" = webserver.group;
} // cfg.poolConfig;
- })) eachSite;
-
- services.nginx = {
- enable = true;
- virtualHosts = mapAttrs (hostName: cfg: mkMerge [ cfg.nginx {
- root = mkForce "${pkg hostName cfg}/share/dokuwiki";
- extraConfig = lib.optionalString (cfg.nginx.addSSL || cfg.nginx.forceSSL || cfg.nginx.onlySSL || cfg.nginx.enableACME) "fastcgi_param HTTPS on;";
-
- locations."~ /(conf/|bin/|inc/|install.php)" = {
- extraConfig = "deny all;";
- };
+ }
+ )) eachSite;
- locations."~ ^/data/" = {
- root = "${cfg.stateDir}";
- extraConfig = "internal;";
- };
+ }
- locations."~ ^/lib.*\\.(js|css|gif|png|ico|jpg|jpeg)$" = {
- extraConfig = "expires 365d;";
- };
+ {
+ systemd.tmpfiles.rules = flatten (mapAttrsToList (hostName: cfg: [
+ "d ${stateDir hostName}/attic 0750 ${user} ${webserver.group} - -"
+ "d ${stateDir hostName}/cache 0750 ${user} ${webserver.group} - -"
+ "d ${stateDir hostName}/index 0750 ${user} ${webserver.group} - -"
+ "d ${stateDir hostName}/locks 0750 ${user} ${webserver.group} - -"
+ "d ${stateDir hostName}/media 0750 ${user} ${webserver.group} - -"
+ "d ${stateDir hostName}/media_attic 0750 ${user} ${webserver.group} - -"
+ "d ${stateDir hostName}/media_meta 0750 ${user} ${webserver.group} - -"
+ "d ${stateDir hostName}/meta 0750 ${user} ${webserver.group} - -"
+ "d ${stateDir hostName}/pages 0750 ${user} ${webserver.group} - -"
+ "d ${stateDir hostName}/tmp 0750 ${user} ${webserver.group} - -"
+ ] ++ lib.optional (cfg.aclFile != null) "C ${cfg.aclFile} 0640 ${user} ${webserver.group} - ${pkg hostName cfg}/share/dokuwiki/conf/acl.auth.php.dist"
+ ++ lib.optional (cfg.usersFile != null) "C ${cfg.usersFile} 0640 ${user} ${webserver.group} - ${pkg hostName cfg}/share/dokuwiki/conf/users.auth.php.dist"
+ ) eachSite);
- locations."/" = {
- priority = 1;
- index = "doku.php";
- extraConfig = "try_files $uri $uri/ @dokuwiki;";
- };
+ users.users.${user} = {
+ group = webserver.group;
+ isSystemUser = true;
+ };
+ }
- locations."@dokuwiki" = {
- extraConfig = ''
+ (mkIf (cfg.webserver == "nginx") {
+ services.nginx = {
+ enable = true;
+ virtualHosts = mapAttrs (hostName: cfg: {
+ serverName = mkDefault hostName;
+ root = "${pkg hostName cfg}/share/dokuwiki";
+
+ locations = {
+ "~ /(conf/|bin/|inc/|install.php)" = {
+ extraConfig = "deny all;";
+ };
+
+ "~ ^/data/" = {
+ root = "${stateDir hostName}";
+ extraConfig = "internal;";
+ };
+
+ "~ ^/lib.*\.(js|css|gif|png|ico|jpg|jpeg)$" = {
+ extraConfig = "expires 365d;";
+ };
+
+ "/" = {
+ priority = 1;
+ index = "doku.php";
+ extraConfig = ''try_files $uri $uri/ @dokuwiki;'';
+ };
+
+ "@dokuwiki" = {
+ extraConfig = ''
# rewrites "doku.php/" out of the URLs if you set the userwrite setting to .htaccess in dokuwiki config page
rewrite ^/_media/(.*) /lib/exe/fetch.php?media=$1 last;
rewrite ^/_detail/(.*) /lib/exe/detail.php?media=$1 last;
rewrite ^/_export/([^/]+)/(.*) /doku.php?do=export_$1&id=$2 last;
rewrite ^/(.*) /doku.php?id=$1&$args last;
- '';
- };
+ '';
+ };
- locations."~ \\.php$" = {
- extraConfig = ''
+ "~ \\.php$" = {
+ extraConfig = ''
try_files $uri $uri/ /doku.php;
include ${pkgs.nginx}/conf/fastcgi_params;
fastcgi_param SCRIPT_FILENAME $document_root$fastcgi_script_name;
fastcgi_param REDIRECT_STATUS 200;
fastcgi_pass unix:${config.services.phpfpm.pools."dokuwiki-${hostName}".socket};
- ${lib.optionalString (cfg.nginx.addSSL || cfg.nginx.forceSSL || cfg.nginx.onlySSL || cfg.nginx.enableACME) "fastcgi_param HTTPS on;"}
- '';
+ '';
+ };
+
};
- }]) eachSite;
+ }) eachSite;
};
+ })
- systemd.tmpfiles.rules = flatten (mapAttrsToList (hostName: cfg: [
- "d ${cfg.stateDir}/attic 0750 ${user} ${group} - -"
- "d ${cfg.stateDir}/cache 0750 ${user} ${group} - -"
- "d ${cfg.stateDir}/index 0750 ${user} ${group} - -"
- "d ${cfg.stateDir}/locks 0750 ${user} ${group} - -"
- "d ${cfg.stateDir}/media 0750 ${user} ${group} - -"
- "d ${cfg.stateDir}/media_attic 0750 ${user} ${group} - -"
- "d ${cfg.stateDir}/media_meta 0750 ${user} ${group} - -"
- "d ${cfg.stateDir}/meta 0750 ${user} ${group} - -"
- "d ${cfg.stateDir}/pages 0750 ${user} ${group} - -"
- "d ${cfg.stateDir}/tmp 0750 ${user} ${group} - -"
- ] ++ lib.optional (cfg.aclFile != null) "C ${cfg.aclFile} 0640 ${user} ${group} - ${pkg hostName cfg}/share/dokuwiki/conf/acl.auth.php.dist"
- ++ lib.optional (cfg.usersFile != null) "C ${cfg.usersFile} 0640 ${user} ${group} - ${pkg hostName cfg}/share/dokuwiki/conf/users.auth.php.dist"
- ) eachSite);
+ (mkIf (cfg.webserver == "caddy") {
+ services.caddy = {
+ enable = true;
+ virtualHosts = mapAttrs' (hostName: cfg: (
+ nameValuePair "http://${hostName}" {
+ extraConfig = ''
+ root * ${pkg hostName cfg}/share/dokuwiki
+ file_server
- users.users.${user} = {
- group = group;
- isSystemUser = true;
+ encode zstd gzip
+ php_fastcgi unix/${config.services.phpfpm.pools."dokuwiki-${hostName}".socket}
+
+ @restrict_files {
+ path /data/* /conf/* /bin/* /inc/* /vendor/* /install.php
+ }
+
+ respond @restrict_files 404
+
+ @allow_media {
+ path_regexp path ^/_media/(.*)$
+ }
+ rewrite @allow_media /lib/exe/fetch.php?media=/{http.regexp.path.1}
+
+ @allow_detail {
+ path /_detail*
+ }
+ rewrite @allow_detail /lib/exe/detail.php?media={path}
+
+ @allow_export {
+ path /_export*
+ path_regexp export /([^/]+)/(.*)
+ }
+ rewrite @allow_export /doku.php?do=export_{http.regexp.export.1}&id={http.regexp.export.2}
+
+ try_files {path} {path}/ /doku.php?id={path}&{query}
+ '';
+ }
+ )) eachSite;
};
- };
+ })
- meta.maintainers = with maintainers; [ _1000101 ];
+ ]);
+ meta.maintainers = with maintainers; [
+ _1000101
+ onny
+ ];
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/engelsystem.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/engelsystem.nix
index b87fecae65f..06c3c6dfc3d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/engelsystem.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/engelsystem.nix
@@ -1,7 +1,7 @@
{ config, lib, pkgs, utils, ... }:
let
- inherit (lib) mkDefault mkEnableOption mkIf mkOption types literalExample;
+ inherit (lib) mkDefault mkEnableOption mkIf mkOption types literalExpression;
cfg = config.services.engelsystem;
in {
options = {
@@ -24,9 +24,9 @@ in {
package = mkOption {
type = types.package;
- example = literalExample "pkgs.engelsystem";
description = "Engelsystem package used for the service.";
default = pkgs.engelsystem;
+ defaultText = literalExpression "pkgs.engelsystem";
};
createDatabase = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/fluidd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/fluidd.nix
index c632b8ff719..6ac1acc9d03 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/fluidd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/fluidd.nix
@@ -12,7 +12,7 @@ in
type = types.package;
description = "Fluidd package to be used in the module";
default = pkgs.fluidd;
- defaultText = "pkgs.fluidd";
+ defaultText = literalExpression "pkgs.fluidd";
};
hostName = mkOption {
@@ -25,9 +25,11 @@ in
type = types.submodule
(import ../web-servers/nginx/vhost-options.nix { inherit config lib; });
default = { };
- example = {
- serverAliases = [ "fluidd.\${config.networking.domain}" ];
- };
+ example = literalExpression ''
+ {
+ serverAliases = [ "fluidd.''${config.networking.domain}" ];
+ }
+ '';
description = "Extra configuration for the nginx virtual host of fluidd.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/galene.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/galene.nix
index dd63857a55c..db9dfeb4749 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/galene.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/galene.nix
@@ -80,6 +80,7 @@ in
staticDir = mkOption {
type = types.str;
default = "${cfg.package.static}/static";
+ defaultText = literalExpression ''"''${package.static}/static"'';
example = "/var/lib/galene/static";
description = "Web server directory.";
};
@@ -107,7 +108,7 @@ in
package = mkOption {
default = pkgs.galene;
- defaultText = "pkgs.galene";
+ defaultText = literalExpression "pkgs.galene";
type = types.package;
description = ''
Package for running Galene.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/gerrit.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/gerrit.nix
index 864587aea56..9ee9dbf1aa4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/gerrit.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/gerrit.nix
@@ -64,13 +64,14 @@ in
package = mkOption {
type = types.package;
default = pkgs.gerrit;
+ defaultText = literalExpression "pkgs.gerrit";
description = "Gerrit package to use";
};
jvmPackage = mkOption {
type = types.package;
default = pkgs.jre_headless;
- defaultText = "pkgs.jre_headless";
+ defaultText = literalExpression "pkgs.jre_headless";
description = "Java Runtime Environment package to use";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/hedgedoc.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/hedgedoc.nix
index d940f3d3dae..e0c00fe67ea 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/hedgedoc.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/hedgedoc.nix
@@ -73,7 +73,7 @@ in
port = mkOption {
type = types.int;
default = 3000;
- example = "80";
+ example = 80;
description = ''
Port to listen on.
'';
@@ -135,7 +135,7 @@ in
csp = mkOption {
type = types.nullOr types.attrs;
default = null;
- example = literalExample ''
+ example = literalExpression ''
{
enable = true;
directives = {
@@ -222,7 +222,7 @@ in
db = mkOption {
type = types.attrs;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
dialect = "sqlite";
storage = "/var/lib/${name}/db.${name}.sqlite";
@@ -313,7 +313,7 @@ in
errorPath = mkOption {
type = types.nullOr types.str;
default = null;
- defaultText = "./public/views/error.ejs";
+ defaultText = literalExpression "./public/views/error.ejs";
description = ''
Path to the error template file.
(Non-canonical paths are relative to HedgeDoc's base directory)
@@ -322,7 +322,7 @@ in
prettyPath = mkOption {
type = types.nullOr types.str;
default = null;
- defaultText = "./public/views/pretty.ejs";
+ defaultText = literalExpression "./public/views/pretty.ejs";
description = ''
Path to the pretty template file.
(Non-canonical paths are relative to HedgeDoc's base directory)
@@ -331,7 +331,7 @@ in
slidePath = mkOption {
type = types.nullOr types.str;
default = null;
- defaultText = "./public/views/slide.hbs";
+ defaultText = literalExpression "./public/views/slide.hbs";
description = ''
Path to the slide template file.
(Non-canonical paths are relative to HedgeDoc's base directory)
@@ -340,7 +340,7 @@ in
uploadsPath = mkOption {
type = types.str;
default = "${cfg.workDir}/uploads";
- defaultText = "/var/lib/${name}/uploads";
+ defaultText = literalExpression "/var/lib/${name}/uploads";
description = ''
Path under which uploaded files are saved.
'';
@@ -539,6 +539,69 @@ in
Specify the OAuth token URL.
'';
};
+ baseURL = mkOption {
+ type = with types; nullOr str;
+ default = null;
+ description = ''
+ Specify the OAuth base URL.
+ '';
+ };
+ userProfileURL = mkOption {
+ type = with types; nullOr str;
+ default = null;
+ description = ''
+ Specify the OAuth userprofile URL.
+ '';
+ };
+ userProfileUsernameAttr = mkOption {
+ type = with types; nullOr str;
+ default = null;
+ description = ''
+ Specify the name of the attribute for the username from the claim.
+ '';
+ };
+ userProfileDisplayNameAttr = mkOption {
+ type = with types; nullOr str;
+ default = null;
+ description = ''
+ Specify the name of the attribute for the display name from the claim.
+ '';
+ };
+ userProfileEmailAttr = mkOption {
+ type = with types; nullOr str;
+ default = null;
+ description = ''
+ Specify the name of the attribute for the email from the claim.
+ '';
+ };
+ scope = mkOption {
+ type = with types; nullOr str;
+ default = null;
+ description = ''
+ Specify the OAuth scope.
+ '';
+ };
+ providerName = mkOption {
+ type = with types; nullOr str;
+ default = null;
+ description = ''
+ Specify the name to be displayed for this strategy.
+ '';
+ };
+ rolesClaim = mkOption {
+ type = with types; nullOr str;
+ default = null;
+ description = ''
+ Specify the role claim name.
+ '';
+ };
+ accessRole = mkOption {
+ type = with types; nullOr str;
+ default = null;
+ description = ''
+ Specify role which should be included in the ID token roles claim to grant access
+ '';
+ };
clientID = mkOption {
type = types.str;
description = ''
@@ -925,6 +988,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.hedgedoc;
+ defaultText = literalExpression "pkgs.hedgedoc";
description = ''
Package that provides HedgeDoc.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/hledger-web.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/hledger-web.nix
index a69767194c3..9c66589dffd 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/hledger-web.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/hledger-web.nix
@@ -20,7 +20,7 @@ in {
port = mkOption {
type = types.port;
default = 5000;
- example = "80";
+ example = 80;
description = ''
Port to listen on.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/icingaweb2/icingaweb2.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/icingaweb2/icingaweb2.nix
index f8f0854f1bc..b9761061aaa 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/icingaweb2/icingaweb2.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/icingaweb2/icingaweb2.nix
@@ -59,7 +59,7 @@ in {
modulePackages = mkOption {
type = attrsOf package;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"snow" = icingaweb2Modules.theme-snow;
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/isso.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/isso.nix
index d05a99a3eed..4c01781a6a2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/isso.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/isso.nix
@@ -1,7 +1,7 @@
{ config, lib, pkgs, ... }:
let
- inherit (lib) mkEnableOption mkIf mkOption types literalExample;
+ inherit (lib) mkEnableOption mkIf mkOption types literalExpression;
cfg = config.services.isso;
@@ -31,7 +31,7 @@ in {
freeformType = settingsFormat.type;
};
- example = literalExample ''
+ example = literalExpression ''
{
general = {
host = "http://localhost";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/jirafeau.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/jirafeau.nix
index 4f181257ef7..83cf224f7d2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/jirafeau.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/jirafeau.nix
@@ -84,18 +84,19 @@ in
type = types.submodule
(import ../web-servers/nginx/vhost-options.nix { inherit config lib; });
default = {};
- example = {
- serverAliases = [ "wiki.\${config.networking.domain}" ];
- };
+ example = literalExpression ''
+ {
+ serverAliases = [ "wiki.''${config.networking.domain}" ];
+ }
+ '';
description = "Extra configuration for the nginx virtual host of Jirafeau.";
};
package = mkOption {
type = types.package;
default = pkgs.jirafeau;
- defaultText = "pkgs.jirafeau";
+ defaultText = literalExpression "pkgs.jirafeau";
description = "Jirafeau package to use";
- example = "pkgs.jirafeau";
};
poolConfig = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/jitsi-meet.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/jitsi-meet.nix
index 997604754e4..2eacd87ae6f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/jitsi-meet.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/jitsi-meet.nix
@@ -37,6 +37,7 @@ let
focus = "focus.${cfg.hostName}";
};
bosh = "//${cfg.hostName}/http-bind";
+ websocket = "wss://${cfg.hostName}/xmpp-websocket";
};
in
{
@@ -54,7 +55,7 @@ in
config = mkOption {
type = attrs;
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
enableWelcomePage = false;
defaultLang = "fi";
@@ -81,7 +82,7 @@ in
interfaceConfig = mkOption {
type = attrs;
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
SHOW_JITSI_WATERMARK = false;
SHOW_WATERMARK_FOR_GUESTS = false;
@@ -143,6 +144,8 @@ in
'';
};
+ caddy.enable = mkEnableOption "Whether to enablle caddy reverse proxy to expose jitsi-meet";
+
prosody.enable = mkOption {
type = bool;
default = true;
@@ -163,7 +166,9 @@ in
ping = mkDefault true;
roster = mkDefault true;
saslauth = mkDefault true;
+ smacks = mkDefault true;
tls = mkDefault true;
+ websocket = mkDefault true;
};
muc = [
{
@@ -185,12 +190,17 @@ in
#-- muc_room_cache_size = 1000
}
];
- extraModules = [ "pubsub" ];
+ extraModules = [ "pubsub" "smacks" ];
extraPluginPaths = [ "${pkgs.jitsi-meet-prosody}/share/prosody-plugins" ];
- extraConfig = mkAfter ''
+ extraConfig = lib.mkMerge [ (mkAfter ''
Component "focus.${cfg.hostName}" "client_proxy"
target_address = "focus@auth.${cfg.hostName}"
- '';
+ '')
+ (mkBefore ''
+ cross_domain_websocket = true;
+ consider_websocket_secure = true;
+ '')
+ ];
virtualHosts.${cfg.hostName} = {
enabled = true;
domain = cfg.hostName;
@@ -198,6 +208,10 @@ in
authentication = "anonymous"
c2s_require_encryption = false
admins = { "focus@auth.${cfg.hostName}" }
+ smacks_max_unacked_stanzas = 5
+ smacks_hibernation_time = 60
+ smacks_max_hibernated_sessions = 1
+ smacks_max_old_sessions = 1
'';
ssl = {
cert = "/var/lib/jitsi-meet/jitsi-meet.crt";
@@ -286,6 +300,11 @@ in
rewrite ^/(.*)$ / break;
'';
locations."~ ^/([^/\\?&:'\"]+)$".tryFiles = "$uri @root_path";
+ locations."^~ /xmpp-websocket" = {
+ priority = 100;
+ proxyPass = "http://localhost:5280/xmpp-websocket";
+ proxyWebsockets = true;
+ };
locations."=/http-bind" = {
proxyPass = "http://localhost:5280/http-bind";
extraConfig = ''
@@ -305,6 +324,42 @@ in
};
};
+ services.caddy = mkIf cfg.caddy.enable {
+ enable = mkDefault true;
+ virtualHosts.${cfg.hostName} = {
+ extraConfig =
+ let
+ templatedJitsiMeet = pkgs.runCommand "templated-jitsi-meet" {} ''
+ cp -R ${pkgs.jitsi-meet}/* .
+ for file in *.html **/*.html ; do
+ ${pkgs.sd}/bin/sd '' '{{ include "$1" }}' $file
+ done
+ rm config.js
+ rm interface_config.js
+ cp -R . $out
+ cp ${overrideJs "${pkgs.jitsi-meet}/config.js" "config" (recursiveUpdate defaultCfg cfg.config) cfg.extraConfig} $out/config.js
+ cp ${overrideJs "${pkgs.jitsi-meet}/interface_config.js" "interfaceConfig" cfg.interfaceConfig ""} $out/interface_config.js
+ cp ./libs/external_api.min.js $out/external_api.js
+ '';
+ in ''
+ handle /http-bind {
+ header Host ${cfg.hostName}
+ reverse_proxy 127.0.0.1:5280
+ }
+ handle /xmpp-websocket {
+ reverse_proxy 127.0.0.1:5280
+ }
+ handle {
+ templates
+ root * ${templatedJitsiMeet}
+ try_files {path} {path}
+ try_files {path} /index.html
+ file_server
+ }
+ '';
+ };
+ };
+
services.jitsi-videobridge = mkIf cfg.videobridge.enable {
enable = true;
xmppConfigs."localhost" = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/keycloak.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/keycloak.nix
index b1bea222c7f..df8c7114102 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/keycloak.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/keycloak.nix
@@ -210,6 +210,7 @@ in
package = lib.mkOption {
type = lib.types.package;
default = pkgs.keycloak;
+ defaultText = lib.literalExpression "pkgs.keycloak";
description = ''
Keycloak package to use.
'';
@@ -228,7 +229,7 @@ in
extraConfig = lib.mkOption {
type = lib.types.attrs;
default = { };
- example = lib.literalExample ''
+ example = lib.literalExpression ''
{
"subsystem=keycloak-server" = {
"spi=hostname" = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/lemmy.md b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/lemmy.md
new file mode 100644
index 00000000000..e6599cd843e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/lemmy.md
@@ -0,0 +1,34 @@
+# Lemmy {#module-services-lemmy}
+
+Lemmy is a federated alternative to reddit in rust.
+
+## Quickstart {#module-services-lemmy-quickstart}
+
+the minimum to start lemmy is
+
+```nix
+services.lemmy = {
+ enable = true;
+ settings = {
+ hostname = "lemmy.union.rocks";
+ database.createLocally = true;
+ };
+ jwtSecretPath = "/run/secrets/lemmyJwt";
+ caddy.enable = true;
+}
+```
+
+(note that you can use something like agenix to get your secret jwt to the specified path)
+
+this will start the backend on port 8536 and the frontend on port 1234.
+It will expose your instance with a caddy reverse proxy to the hostname you've provided.
+Postgres will be initialized on that same instance automatically.
+
+## Usage {#module-services-lemmy-usage}
+
+On first connection you will be asked to define an admin user.
+
+## Missing {#module-services-lemmy-missing}
+
+- Exposing with nginx is not implemented yet.
+- This has been tested using a local database with a unix socket connection. Using different database settings will likely require modifications
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/lemmy.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/lemmy.nix
new file mode 100644
index 00000000000..ae7d0d02c89
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/lemmy.nix
@@ -0,0 +1,238 @@
+{ lib, pkgs, config, ... }:
+with lib;
+let
+ cfg = config.services.lemmy;
+ settingsFormat = pkgs.formats.json { };
+in
+{
+ meta.maintainers = with maintainers; [ happysalada ];
+ # Don't edit the docbook xml directly, edit the md and generate it:
+ # `pandoc lemmy.md -t docbook --top-level-division=chapter --extract-media=media -f markdown+smart > lemmy.xml`
+ meta.doc = ./lemmy.xml;
+
+ options.services.lemmy = {
+
+ enable = mkEnableOption "lemmy a federated alternative to reddit in rust";
+
+ jwtSecretPath = mkOption {
+ type = types.path;
+ description = "Path to read the jwt secret from.";
+ };
+
+ ui = {
+ port = mkOption {
+ type = types.port;
+ default = 1234;
+ description = "Port where lemmy-ui should listen for incoming requests.";
+ };
+ };
+
+ caddy.enable = mkEnableOption "exposing lemmy with the caddy reverse proxy";
+
+ settings = mkOption {
+ default = { };
+ description = "Lemmy configuration";
+
+ type = types.submodule {
+ freeformType = settingsFormat.type;
+
+ options.hostname = mkOption {
+ type = types.str;
+ default = null;
+ description = "The domain name of your instance (eg 'lemmy.ml').";
+ };
+
+ options.port = mkOption {
+ type = types.port;
+ default = 8536;
+ description = "Port where lemmy should listen for incoming requests.";
+ };
+
+ options.federation = {
+ enabled = mkEnableOption "activitypub federation";
+ };
+
+ options.captcha = {
+ enabled = mkOption {
+ type = types.bool;
+ default = true;
+ description = "Enable Captcha.";
+ };
+ difficulty = mkOption {
+ type = types.enum [ "easy" "medium" "hard" ];
+ default = "medium";
+ description = "The difficultly of the captcha to solve.";
+ };
+ };
+
+ options.database.createLocally = mkEnableOption "creation of database on the instance";
+
+ };
+ };
+
+ };
+
+ config =
+ let
+ localPostgres = (cfg.settings.database.host == "localhost" || cfg.settings.database.host == "/run/postgresql");
+ in
+ lib.mkIf cfg.enable {
+ services.lemmy.settings = (mapAttrs (name: mkDefault)
+ {
+ bind = "127.0.0.1";
+ tls_enabled = true;
+ pictrs_url = with config.services.pict-rs; "http://${address}:${toString port}";
+ actor_name_max_length = 20;
+
+ rate_limit.message = 180;
+ rate_limit.message_per_second = 60;
+ rate_limit.post = 6;
+ rate_limit.post_per_second = 600;
+ rate_limit.register = 3;
+ rate_limit.register_per_second = 3600;
+ rate_limit.image = 6;
+ rate_limit.image_per_second = 3600;
+ } // {
+ database = mapAttrs (name: mkDefault) {
+ user = "lemmy";
+ host = "/run/postgresql";
+ port = 5432;
+ database = "lemmy";
+ pool_size = 5;
+ };
+ });
+
+ services.postgresql = mkIf localPostgres {
+ enable = mkDefault true;
+ };
+
+ services.pict-rs.enable = true;
+
+ services.caddy = mkIf cfg.caddy.enable {
+ enable = mkDefault true;
+ virtualHosts."${cfg.settings.hostname}" = {
+ extraConfig = ''
+ handle_path /static/* {
+ root * ${pkgs.lemmy-ui}/dist
+ file_server
+ }
+ @for_backend {
+ path /api/* /pictrs/* feeds/* nodeinfo/*
+ }
+ handle @for_backend {
+ reverse_proxy 127.0.0.1:${toString cfg.settings.port}
+ }
+ @post {
+ method POST
+ }
+ handle @post {
+ reverse_proxy 127.0.0.1:${toString cfg.settings.port}
+ }
+ @jsonld {
+ header Accept "application/activity+json"
+ header Accept "application/ld+json; profile=\"https://www.w3.org/ns/activitystreams\""
+ }
+ handle @jsonld {
+ reverse_proxy 127.0.0.1:${toString cfg.settings.port}
+ }
+ handle {
+ reverse_proxy 127.0.0.1:${toString cfg.ui.port}
+ }
+ '';
+ };
+ };
+
+ assertions = [{
+ assertion = cfg.settings.database.createLocally -> localPostgres;
+ message = "if you want to create the database locally, you need to use a local database";
+ }];
+
+ systemd.services.lemmy = {
+ description = "Lemmy server";
+
+ environment = {
+ LEMMY_CONFIG_LOCATION = "/run/lemmy/config.hjson";
+
+ # Verify how this is used, and don't put the password in the nix store
+ LEMMY_DATABASE_URL = with cfg.settings.database;"postgres:///${database}?host=${host}";
+ };
+
+ documentation = [
+ "https://join-lemmy.org/docs/en/administration/from_scratch.html"
+ "https://join-lemmy.org/docs"
+ ];
+
+ wantedBy = [ "multi-user.target" ];
+
+ after = [ "pict-rs.service " ] ++ lib.optionals cfg.settings.database.createLocally [ "lemmy-postgresql.service" ];
+
+ requires = lib.optionals cfg.settings.database.createLocally [ "lemmy-postgresql.service" ];
+
+ # script is needed here since loadcredential is not accessible on ExecPreStart
+ script = ''
+ ${pkgs.coreutils}/bin/install -m 600 ${settingsFormat.generate "config.hjson" cfg.settings} /run/lemmy/config.hjson
+ jwtSecret="$(< $CREDENTIALS_DIRECTORY/jwt_secret )"
+ ${pkgs.jq}/bin/jq ".jwt_secret = \"$jwtSecret\"" /run/lemmy/config.hjson | ${pkgs.moreutils}/bin/sponge /run/lemmy/config.hjson
+ ${pkgs.lemmy-server}/bin/lemmy_server
+ '';
+
+ serviceConfig = {
+ DynamicUser = true;
+ RuntimeDirectory = "lemmy";
+ LoadCredential = "jwt_secret:${cfg.jwtSecretPath}";
+ };
+ };
+
+ systemd.services.lemmy-ui = {
+ description = "Lemmy ui";
+
+ environment = {
+ LEMMY_UI_HOST = "127.0.0.1:${toString cfg.ui.port}";
+ LEMMY_INTERNAL_HOST = "127.0.0.1:${toString cfg.settings.port}";
+ LEMMY_EXTERNAL_HOST = cfg.settings.hostname;
+ LEMMY_HTTPS = "false";
+ };
+
+ documentation = [
+ "https://join-lemmy.org/docs/en/administration/from_scratch.html"
+ "https://join-lemmy.org/docs"
+ ];
+
+ wantedBy = [ "multi-user.target" ];
+
+ after = [ "lemmy.service" ];
+
+ requires = [ "lemmy.service" ];
+
+ serviceConfig = {
+ DynamicUser = true;
+ WorkingDirectory = "${pkgs.lemmy-ui}";
+ ExecStart = "${pkgs.nodejs}/bin/node ${pkgs.lemmy-ui}/dist/js/server.js";
+ };
+ };
+
+ systemd.services.lemmy-postgresql = mkIf cfg.settings.database.createLocally {
+ description = "Lemmy postgresql db";
+ after = [ "postgresql.service" ];
+ bindsTo = [ "postgresql.service" ];
+ requiredBy = [ "lemmy.service" ];
+ partOf = [ "lemmy.service" ];
+ script = with cfg.settings.database; ''
+ PSQL() {
+ ${config.services.postgresql.package}/bin/psql --port=${toString cfg.settings.database.port} "$@"
+ }
+ # check if the database already exists
+ if ! PSQL -lqt | ${pkgs.coreutils}/bin/cut -d \| -f 1 | ${pkgs.gnugrep}/bin/grep -qw ${database} ; then
+ PSQL -tAc "CREATE ROLE ${user} WITH LOGIN;"
+ PSQL -tAc "CREATE DATABASE ${database} WITH OWNER ${user};"
+ fi
+ '';
+ serviceConfig = {
+ User = config.services.postgresql.superUser;
+ Type = "oneshot";
+ RemainAfterExit = true;
+ };
+ };
+ };
+
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/lemmy.xml b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/lemmy.xml
new file mode 100644
index 00000000000..0be9fb8aefa
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/lemmy.xml
@@ -0,0 +1,56 @@
+
+ Lemmy
+
+ Lemmy is a federated alternative to reddit in rust.
+
+
+ Quickstart
+
+ the minimum to start lemmy is
+
+
+services.lemmy = {
+ enable = true;
+ settings = {
+ hostname = "lemmy.union.rocks";
+ database.createLocally = true;
+ };
+ jwtSecretPath = "/run/secrets/lemmyJwt";
+ caddy.enable = true;
+}
+
+
+ (note that you can use something like agenix to get your secret
+ jwt to the specified path)
+
+
+ this will start the backend on port 8536 and the frontend on port
+ 1234. It will expose your instance with a caddy reverse proxy to
+ the hostname you’ve provided. Postgres will be initialized on that
+ same instance automatically.
+
+
+
+ Usage
+
+ On first connection you will be asked to define an admin user.
+
+
+
+ Missing
+
+
+
+ Exposing with nginx is not implemented yet.
+
+
+
+
+ This has been tested using a local database with a unix socket
+ connection. Using different database settings will likely
+ require modifications
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/limesurvey.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/limesurvey.nix
index 56265e80957..5ccd742a303 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/limesurvey.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/limesurvey.nix
@@ -3,7 +3,7 @@
let
inherit (lib) mkDefault mkEnableOption mkForce mkIf mkMerge mkOption;
- inherit (lib) literalExample mapAttrs optional optionalString types;
+ inherit (lib) literalExpression mapAttrs optional optionalString types;
cfg = config.services.limesurvey;
fpm = config.services.phpfpm.pools.limesurvey;
@@ -51,7 +51,7 @@ in
port = mkOption {
type = types.int;
default = if cfg.database.type == "pgsql" then 5442 else 3306;
- defaultText = "3306";
+ defaultText = literalExpression "3306";
description = "Database host port.";
};
@@ -84,14 +84,14 @@ in
else if pgsqlLocal then "/run/postgresql"
else null
;
- defaultText = "/run/mysqld/mysqld.sock";
+ defaultText = literalExpression "/run/mysqld/mysqld.sock";
description = "Path to the unix socket file to use for authentication.";
};
createLocally = mkOption {
type = types.bool;
default = cfg.database.type == "mysql";
- defaultText = "true";
+ defaultText = literalExpression "true";
description = ''
Create the database and database user locally.
This currently only applies if database type "mysql" is selected.
@@ -101,7 +101,7 @@ in
virtualHost = mkOption {
type = types.submodule (import ../web-servers/apache-httpd/vhost-options.nix);
- example = literalExample ''
+ example = literalExpression ''
{
hostName = "survey.example.org";
adminAddr = "webmaster@example.org";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/mastodon.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/mastodon.nix
index 5e24bd06ffd..d3790d8b176 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/mastodon.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/mastodon.nix
@@ -9,6 +9,13 @@ let
RAILS_ENV = "production";
NODE_ENV = "production";
+ # mastodon-web concurrency.
+ WEB_CONCURRENCY = toString cfg.webProcesses;
+ MAX_THREADS = toString cfg.webThreads;
+
+ # mastodon-streaming concurrency.
+ STREAMING_CLUSTER_NUM = toString cfg.streamingProcesses;
+
DB_USER = cfg.database.user;
REDIS_HOST = cfg.redis.host;
@@ -146,18 +153,41 @@ in {
type = lib.types.port;
default = 55000;
};
+ streamingProcesses = lib.mkOption {
+ description = ''
+ Processes used by the mastodon-streaming service.
+ Defaults to the number of CPU cores minus one.
+ '';
+ type = lib.types.nullOr lib.types.int;
+ default = null;
+ };
webPort = lib.mkOption {
description = "TCP port used by the mastodon-web service.";
type = lib.types.port;
default = 55001;
};
+ webProcesses = lib.mkOption {
+ description = "Processes used by the mastodon-web service.";
+ type = lib.types.int;
+ default = 2;
+ };
+ webThreads = lib.mkOption {
+ description = "Threads per process used by the mastodon-web service.";
+ type = lib.types.int;
+ default = 5;
+ };
sidekiqPort = lib.mkOption {
- description = "TCP port used by the mastodon-sidekiq service";
+ description = "TCP port used by the mastodon-sidekiq service.";
type = lib.types.port;
default = 55002;
};
+ sidekiqThreads = lib.mkOption {
+ description = "Worker threads used by the mastodon-sidekiq service.";
+ type = lib.types.int;
+ default = 25;
+ };
vapidPublicKeyFile = lib.mkOption {
description = ''
@@ -369,7 +399,7 @@ in {
package = lib.mkOption {
type = lib.types.package;
default = pkgs.mastodon;
- defaultText = "pkgs.mastodon";
+ defaultText = lib.literalExpression "pkgs.mastodon";
description = "Mastodon package to use.";
};
@@ -524,9 +554,10 @@ in {
wantedBy = [ "multi-user.target" ];
environment = env // {
PORT = toString(cfg.sidekiqPort);
+ DB_POOL = toString cfg.sidekiqThreads;
};
serviceConfig = {
- ExecStart = "${cfg.package}/bin/sidekiq -c 25 -r ${cfg.package}";
+ ExecStart = "${cfg.package}/bin/sidekiq -c ${toString cfg.sidekiqThreads} -r ${cfg.package}";
Restart = "always";
RestartSec = 20;
EnvironmentFile = "/var/lib/mastodon/.secrets_env";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/matomo.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/matomo.nix
index 79a0354e22b..b0d281cfb6e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/matomo.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/matomo.nix
@@ -48,7 +48,7 @@ in {
as they don't get backported if they are not security-relevant.
'';
default = pkgs.matomo;
- defaultText = "pkgs.matomo";
+ defaultText = literalExpression "pkgs.matomo";
};
webServerUser = mkOption {
@@ -100,13 +100,15 @@ in {
)
);
default = null;
- example = {
- serverAliases = [
- "matomo.\${config.networking.domain}"
- "stats.\${config.networking.domain}"
- ];
- enableACME = false;
- };
+ example = literalExpression ''
+ {
+ serverAliases = [
+ "matomo.''${config.networking.domain}"
+ "stats.''${config.networking.domain}"
+ ];
+ enableACME = false;
+ }
+ '';
description = ''
With this option, you can customize an nginx virtualHost which already has sensible defaults for Matomo.
Either this option or the webServerUser option is mandatory.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/mediawiki.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/mediawiki.nix
index 1db1652022a..977b6f60b23 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/mediawiki.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/mediawiki.nix
@@ -3,7 +3,7 @@
let
inherit (lib) mkDefault mkEnableOption mkForce mkIf mkMerge mkOption;
- inherit (lib) concatStringsSep literalExample mapAttrsToList optional optionals optionalString types;
+ inherit (lib) concatStringsSep literalExpression mapAttrsToList optional optionals optionalString types;
cfg = config.services.mediawiki;
fpm = config.services.phpfpm.pools.mediawiki;
@@ -176,6 +176,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.mediawiki;
+ defaultText = literalExpression "pkgs.mediawiki";
description = "Which MediaWiki package to use.";
};
@@ -219,7 +220,7 @@ in
Use null instead of path to enable extensions that are part of MediaWiki.
'';
- example = literalExample ''
+ example = literalExpression ''
{
Matomo = pkgs.fetchzip {
url = "https://github.com/DaSchTour/matomo-mediawiki-extension/archive/v4.0.1.tar.gz";
@@ -286,14 +287,14 @@ in
socket = mkOption {
type = types.nullOr types.path;
default = if cfg.database.createLocally then "/run/mysqld/mysqld.sock" else null;
- defaultText = "/run/mysqld/mysqld.sock";
+ defaultText = literalExpression "/run/mysqld/mysqld.sock";
description = "Path to the unix socket file to use for authentication.";
};
createLocally = mkOption {
type = types.bool;
default = cfg.database.type == "mysql";
- defaultText = "true";
+ defaultText = literalExpression "true";
description = ''
Create the database and database user locally.
This currently only applies if database type "mysql" is selected.
@@ -303,7 +304,7 @@ in
virtualHost = mkOption {
type = types.submodule (import ../web-servers/apache-httpd/vhost-options.nix);
- example = literalExample ''
+ example = literalExpression ''
{
hostName = "mediawiki.example.org";
adminAddr = "webmaster@example.org";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/miniflux.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/miniflux.nix
index 1bbadafa207..026bde2a92d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/miniflux.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/miniflux.nix
@@ -35,7 +35,7 @@ in
config = mkOption {
type = types.attrsOf types.str;
- example = literalExample ''
+ example = literalExpression ''
{
CLEANUP_FREQUENCY = "48";
LISTEN_ADDR = "localhost:8080";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/moinmoin.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/moinmoin.nix
index 7a54255a46e..efb73124a23 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/moinmoin.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/moinmoin.nix
@@ -151,7 +151,7 @@ in
webHost = mkDefault name;
};
}));
- example = literalExample ''
+ example = literalExpression ''
{
"mywiki" = {
siteName = "Example Wiki";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/moodle.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/moodle.nix
index c854e084e14..6f5cfa2e348 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/moodle.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/moodle.nix
@@ -2,7 +2,7 @@
let
inherit (lib) mkDefault mkEnableOption mkForce mkIf mkMerge mkOption types;
- inherit (lib) concatStringsSep literalExample mapAttrsToList optional optionalString;
+ inherit (lib) concatStringsSep literalExpression mapAttrsToList optional optionalString;
cfg = config.services.moodle;
fpm = config.services.phpfpm.pools.moodle;
@@ -67,7 +67,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.moodle;
- defaultText = "pkgs.moodle";
+ defaultText = literalExpression "pkgs.moodle";
description = "The Moodle package to use.";
};
@@ -100,7 +100,7 @@ in
mysql = 3306;
pgsql = 5432;
}.${cfg.database.type};
- defaultText = "3306";
+ defaultText = literalExpression "3306";
};
name = mkOption {
@@ -131,7 +131,7 @@ in
if mysqlLocal then "/run/mysqld/mysqld.sock"
else if pgsqlLocal then "/run/postgresql"
else null;
- defaultText = "/run/mysqld/mysqld.sock";
+ defaultText = literalExpression "/run/mysqld/mysqld.sock";
description = "Path to the unix socket file to use for authentication.";
};
@@ -144,7 +144,7 @@ in
virtualHost = mkOption {
type = types.submodule (import ../web-servers/apache-httpd/vhost-options.nix);
- example = literalExample ''
+ example = literalExpression ''
{
hostName = "moodle.example.org";
adminAddr = "webmaster@example.org";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/nextcloud.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/nextcloud.nix
index 14c3e20b9f2..b07bf3c57b8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/nextcloud.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/nextcloud.nix
@@ -6,7 +6,9 @@ let
cfg = config.services.nextcloud;
fpm = config.services.phpfpm.pools.nextcloud;
- phpPackage = pkgs.php74.buildEnv {
+ inherit (cfg) datadir;
+
+ phpPackage = cfg.phpPackage.buildEnv {
extensions = { enabled, all }:
(with all;
enabled
@@ -40,7 +42,7 @@ let
if [[ "$USER" != nextcloud ]]; then
sudo='exec /run/wrappers/bin/sudo -u nextcloud --preserve-env=NEXTCLOUD_CONFIG_DIR --preserve-env=OC_PASS'
fi
- export NEXTCLOUD_CONFIG_DIR="${cfg.home}/config"
+ export NEXTCLOUD_CONFIG_DIR="${datadir}/config"
$sudo \
${phpPackage}/bin/php \
occ "$@"
@@ -51,6 +53,12 @@ let
in {
imports = [
+ (mkRemovedOptionModule [ "services" "nextcloud" "config" "adminpass" ] ''
+ Please use `services.nextcloud.config.adminpassFile' instead!
+ '')
+ (mkRemovedOptionModule [ "services" "nextcloud" "config" "dbpass" ] ''
+ Please use `services.nextcloud.config.dbpassFile' instead!
+ '')
(mkRemovedOptionModule [ "services" "nextcloud" "nginx" "enable" ] ''
The nextcloud module supports `nginx` as reverse-proxy by default and doesn't
support other reverse-proxies officially.
@@ -79,11 +87,62 @@ in {
default = "/var/lib/nextcloud";
description = "Storage path of nextcloud.";
};
+<<<<<<< HEAD
dataHome = mkOption {
type = types.str;
- default = "/datacore/cloud";
description = "Storage path of nextcloud.";
+ defaultText = "config.services.nextcloud.home";
+ description = ''
+ Data storage path of nextcloud. Will be by default.
+ This folder will be populated with a config.php and data folder which contains the state of the instance (excl the database).";
+ '';
+ example = "/mnt/nextcloud-file";
+ };
+ extraApps = mkOption {
+ type = types.attrsOf types.package;
+ default = { };
+ description = ''
+ Extra apps to install. Should be an attrSet of appid to packages generated by fetchNextcloudApp.
+ The appid must be identical to the "id" value in the apps appinfo/info.xml.
+ Using this will disable the appstore to prevent Nextcloud from updating these apps (see ).
+ '';
+ example = literalExpression ''
+ {
+ maps = pkgs.fetchNextcloudApp {
+ name = "maps";
+ sha256 = "007y80idqg6b6zk6kjxg4vgw0z8fsxs9lajnv49vv1zjy6jx2i1i";
+ url = "https://github.com/nextcloud/maps/releases/download/v0.1.9/maps-0.1.9.tar.gz";
+ version = "0.1.9";
+ };
+ phonetrack = pkgs.fetchNextcloudApp {
+ name = "phonetrack";
+ sha256 = "0qf366vbahyl27p9mshfma1as4nvql6w75zy2zk5xwwbp343vsbc";
+ url = "https://gitlab.com/eneiluj/phonetrack-oc/-/wikis/uploads/931aaaf8dca24bf31a7e169a83c17235/phonetrack-0.6.9.tar.gz";
+ version = "0.6.9";
+ };
+ }
+ '';
};
+ extraAppsEnable = mkOption {
+ type = types.bool;
+ default = true;
+ description = ''
+ Automatically enable the apps in every time nextcloud starts.
+ If set to false, apps need to be enabled in the Nextcloud user interface or with nextcloud-occ app:enable.
+ '';
+ };
+ appstoreEnable = mkOption {
+ type = types.nullOr types.bool;
+ default = null;
+ example = true;
+ description = ''
+ Allow the installation of apps and app updates from the store.
+ Enabled by default unless there are packages in .
+ Set to true to force enable the store even if is used.
+ Set to false to disable the installation of apps from the global appstore. App management is always enabled regardless of this setting.
+ '';
+ };
+>>>>>>> 34ad3ffe08adfca17fcb4e4a47bb5f3b113687be
logLevel = mkOption {
type = types.ints.between 0 4;
default = 2;
@@ -99,6 +158,14 @@ in {
description = "Which package to use for the Nextcloud instance.";
relatedPackages = [ "nextcloud20" "nextcloud21" "nextcloud22" ];
};
+ phpPackage = mkOption {
+ type = types.package;
+ relatedPackages = [ "php74" "php80" ];
+ defaultText = "pkgs.php";
+ description = ''
+ PHP package to use for Nextcloud.
+ '';
+ };
maxUploadSize = mkOption {
default = "512M";
@@ -131,14 +198,14 @@ in {
phpExtraExtensions = mkOption {
type = with types; functionTo (listOf package);
default = all: [];
- defaultText = "all: []";
+ defaultText = literalExpression "all: []";
description = ''
Additional PHP extensions to use for nextcloud.
By default, only extensions necessary for a vanilla nextcloud installation are enabled,
but you may choose from the list of available extensions and add further ones.
This is sometimes necessary to be able to install a certain nextcloud app that has additional requirements.
'';
- example = literalExample ''
+ example = literalExpression ''
all: [ all.pdlib all.bz2 ]
'';
};
@@ -203,14 +270,6 @@ in {
default = "nextcloud";
description = "Database user.";
};
- dbpass = mkOption {
- type = types.nullOr types.str;
- default = null;
- description = ''
- Database password. Use dbpassFile to avoid this
- being world-readable in the /nix/store .
- '';
- };
dbpassFile = mkOption {
type = types.nullOr types.str;
default = null;
@@ -243,17 +302,8 @@ in {
default = "root";
description = "Admin username.";
};
- adminpass = mkOption {
- type = types.nullOr types.str;
- default = null;
- description = ''
- Admin password. Use adminpassFile to avoid this
- being world-readable in the /nix/store .
- '';
- };
adminpassFile = mkOption {
- type = types.nullOr types.str;
- default = null;
+ type = types.str;
description = ''
The full path to a file that contains the admin's password. Must be
readable by user nextcloud .
@@ -309,14 +359,98 @@ in {
phone-numbers.
'';
};
+
+ objectstore = {
+ s3 = {
+ enable = mkEnableOption ''
+ S3 object storage as primary storage.
+
+ This mounts a bucket on an Amazon S3 object storage or compatible
+ implementation into the virtual filesystem.
+
+ Further details about this feature can be found in the
+ upstream documentation.
+ '';
+ bucket = mkOption {
+ type = types.str;
+ example = "nextcloud";
+ description = ''
+ The name of the S3 bucket.
+ '';
+ };
+ autocreate = mkOption {
+ type = types.bool;
+ description = ''
+ Create the objectstore if it does not exist.
+ '';
+ };
+ key = mkOption {
+ type = types.str;
+ example = "EJ39ITYZEUH5BGWDRUFY";
+ description = ''
+ The access key for the S3 bucket.
+ '';
+ };
+ secretFile = mkOption {
+ type = types.str;
+ example = "/var/nextcloud-objectstore-s3-secret";
+ description = ''
+ The full path to a file that contains the access secret. Must be
+ readable by user nextcloud .
+ '';
+ };
+ hostname = mkOption {
+ type = types.nullOr types.str;
+ default = null;
+ example = "example.com";
+ description = ''
+ Required for some non-Amazon implementations.
+ '';
+ };
+ port = mkOption {
+ type = types.nullOr types.port;
+ default = null;
+ description = ''
+ Required for some non-Amazon implementations.
+ '';
+ };
+ useSsl = mkOption {
+ type = types.bool;
+ default = true;
+ description = ''
+ Use SSL for objectstore access.
+ '';
+ };
+ region = mkOption {
+ type = types.nullOr types.str;
+ default = null;
+ example = "REGION";
+ description = ''
+ Required for some non-Amazon implementations.
+ '';
+ };
+ usePathStyle = mkOption {
+ type = types.bool;
+ default = false;
+ description = ''
+ Required for some non-Amazon S3 implementations.
+
+ Ordinarily, requests will be made with
+ http://bucket.hostname.domain/ , but with path style
+ enabled requests are made with
+ http://hostname.domain/bucket instead.
+ '';
+ };
+ };
+ };
};
enableImagemagick = mkEnableOption ''
- Whether to load the ImageMagick module into PHP.
+ the ImageMagick module for PHP.
This is used by the theming app and for generating previews of certain images (e.g. SVG and HEIF).
You may want to disable it for increased security. In that case, previews will still be available
for some images (e.g. JPEG and PNG).
- See https://github.com/nextcloud/server/issues/13099
+ See .
'' // {
default = true;
};
@@ -377,13 +511,6 @@ in {
config = mkIf cfg.enable (mkMerge [
{ assertions = let acfg = cfg.config; in [
- { assertion = !(acfg.dbpass != null && acfg.dbpassFile != null);
- message = "Please specify no more than one of dbpass or dbpassFile";
- }
- { assertion = ((acfg.adminpass != null || acfg.adminpassFile != null)
- && !(acfg.adminpass != null && acfg.adminpassFile != null));
- message = "Please specify exactly one of adminpass or adminpassFile";
- }
{ assertion = versionOlder cfg.package.version "21" -> cfg.config.defaultPhoneRegion == null;
message = "The `defaultPhoneRegion'-setting is only supported for Nextcloud >=21!";
}
@@ -404,13 +531,39 @@ in {
The package can be upgraded by explicitly declaring the service-option
`services.nextcloud.package`.
'';
+
+ # FIXME(@Ma27) remove as soon as nextcloud properly supports
+ # mariadb >=10.6.
+ isUnsupportedMariadb =
+ # All currently supported Nextcloud versions are affected.
+ (versionOlder cfg.package.version "23")
+ # This module uses mysql
+ && (cfg.config.dbtype == "mysql")
+ # MySQL is managed via NixOS
+ && config.services.mysql.enable
+ # We're using MariaDB
+ && (getName config.services.mysql.package) == "mariadb-server"
+ # MariaDB is at least 10.6 and thus not supported
+ && (versionAtLeast (getVersion config.services.mysql.package) "10.6");
+
in (optional (cfg.poolConfig != null) ''
Using config.services.nextcloud.poolConfig is deprecated and will become unsupported in a future release.
Please migrate your configuration to config.services.nextcloud.poolSettings.
'')
++ (optional (versionOlder cfg.package.version "20") (upgradeWarning 19 "21.05"))
++ (optional (versionOlder cfg.package.version "21") (upgradeWarning 20 "21.05"))
- ++ (optional (versionOlder cfg.package.version "22") (upgradeWarning 21 "21.11"));
+ ++ (optional (versionOlder cfg.package.version "22") (upgradeWarning 21 "21.11"))
+ ++ (optional isUnsupportedMariadb ''
+ You seem to be using MariaDB at an unsupported version (i.e. at least 10.6)!
+ Please note that this isn't supported officially by Nextcloud. You can either
+
+ * Switch to `pkgs.mysql`
+ * Downgrade MariaDB to at least 10.5
+ * Work around Nextcloud's problems by specifying `innodb_read_only_compressed=0`
+
+ For further context, please read
+ https://help.nextcloud.com/t/update-to-next-cloud-21-0-2-has-get-an-error/117028/15
+ '');
services.nextcloud.package = with pkgs;
mkDefault (
@@ -428,6 +581,12 @@ in {
else if versionOlder stateVersion "21.11" then nextcloud21
else nextcloud22
);
+
+ services.nextcloud.datadir = mkOptionDefault config.services.nextcloud.home;
+
+ services.nextcloud.phpPackage =
+ if versionOlder cfg.package.version "21" then pkgs.php74
+ else pkgs.php80;
}
{ systemd.timers.nextcloud-cron = {
@@ -446,14 +605,39 @@ in {
nextcloud-setup = let
c = cfg.config;
writePhpArrary = a: "[${concatMapStringsSep "," (val: ''"${toString val}"'') a}]";
+ requiresReadSecretFunction = c.dbpassFile != null || c.objectstore.s3.enable;
+ objectstoreConfig = let s3 = c.objectstore.s3; in optionalString s3.enable ''
+ 'objectstore' => [
+ 'class' => '\\OC\\Files\\ObjectStore\\S3',
+ 'arguments' => [
+ 'bucket' => '${s3.bucket}',
+ 'autocreate' => ${boolToString s3.autocreate},
+ 'key' => '${s3.key}',
+ 'secret' => nix_read_secret('${s3.secretFile}'),
+ ${optionalString (s3.hostname != null) "'hostname' => '${s3.hostname}',"}
+ ${optionalString (s3.port != null) "'port' => ${toString s3.port},"}
+ 'use_ssl' => ${boolToString s3.useSsl},
+ ${optionalString (s3.region != null) "'region' => '${s3.region}',"}
+ 'use_path_style' => ${boolToString s3.usePathStyle},
+ ],
+ ]
+ '';
+
+ showAppStoreSetting = cfg.appstoreEnable != null || cfg.extraApps != {};
+ renderedAppStoreSetting =
+ let
+ x = cfg.appstoreEnable;
+ in
+ if x == null then "false"
+ else boolToString x;
+
overrideConfig = pkgs.writeText "nextcloud-config.php" ''
[
+ ${optionalString (cfg.extraApps != { }) "[ 'path' => '${cfg.home}/nix-apps', 'url' => '/nix-apps', 'writable' => false ],"}
[ 'path' => '${cfg.home}/apps', 'url' => '/apps', 'writable' => false ],
[ 'path' => '${cfg.home}/store-apps', 'url' => '/store-apps', 'writable' => true ],
],
'datadirectory' => '${cfg.dataHome}',
+>>>>>>> 34ad3ffe08adfca17fcb4e4a47bb5f3b113687be
'skeletondirectory' => '${cfg.skeletonDirectory}',
${optionalString cfg.caching.apcu "'memcache.local' => '\\OC\\Memcache\\APCu',"}
'log_type' => 'syslog',
@@ -479,23 +665,26 @@ in {
${optionalString (c.dbport != null) "'dbport' => '${toString c.dbport}',"}
${optionalString (c.dbuser != null) "'dbuser' => '${c.dbuser}',"}
${optionalString (c.dbtableprefix != null) "'dbtableprefix' => '${toString c.dbtableprefix}',"}
- ${optionalString (c.dbpass != null) "'dbpassword' => '${c.dbpass}',"}
- ${optionalString (c.dbpassFile != null) "'dbpassword' => nix_read_pwd(),"}
+ ${optionalString (c.dbpassFile != null) "'dbpassword' => nix_read_secret('${c.dbpassFile}'),"}
'dbtype' => '${c.dbtype}',
'trusted_domains' => ${writePhpArrary ([ cfg.hostName ] ++ c.extraTrustedDomains)},
'trusted_proxies' => ${writePhpArrary (c.trustedProxies)},
${optionalString (c.defaultPhoneRegion != null) "'default_phone_region' => '${c.defaultPhoneRegion}',"}
+ ${objectstoreConfig}
];
'';
occInstallCmd = let
- dbpass = if c.dbpassFile != null
- then ''"$(<"${toString c.dbpassFile}")"''
- else if c.dbpass != null
- then ''"${toString c.dbpass}"''
- else ''""'';
- adminpass = if c.adminpassFile != null
- then ''"$(<"${toString c.adminpassFile}")"''
- else ''"${toString c.adminpass}"'';
+ mkExport = { arg, value }: "export ${arg}=${value}";
+ dbpass = {
+ arg = "DBPASS";
+ value = if c.dbpassFile != null
+ then ''"$(<"${toString c.dbpassFile}")"''
+ else ''""'';
+ };
+ adminpass = {
+ arg = "ADMINPASS";
+ value = ''"$(<"${toString c.adminpassFile}")"'';
+ };
installFlags = concatStringsSep " \\\n "
(mapAttrsToList (k: v: "${k} ${toString v}") {
"--database" = ''"${c.dbtype}"'';
@@ -506,12 +695,16 @@ in {
${if c.dbhost != null then "--database-host" else null} = ''"${c.dbhost}"'';
${if c.dbport != null then "--database-port" else null} = ''"${toString c.dbport}"'';
${if c.dbuser != null then "--database-user" else null} = ''"${c.dbuser}"'';
- "--database-pass" = dbpass;
+ "--database-pass" = "\$${dbpass.arg}";
"--admin-user" = ''"${c.adminuser}"'';
+<<<<<<< HEAD
"--admin-pass" = adminpass;
- "--data-dir" = ''"${cfg.dataHome}"'';
+||||||| 8a2ec31e224
+>>>>>>> 34ad3ffe08adfca17fcb4e4a47bb5f3b113687be
});
in ''
+ ${mkExport dbpass}
+ ${mkExport adminpass}
${occ}/bin/nextcloud-occ maintenance:install \
${installFlags}
'';
@@ -538,19 +731,23 @@ in {
exit 1
fi
''}
- ${optionalString (c.adminpassFile != null) ''
- if [ ! -r "${c.adminpassFile}" ]; then
- echo "adminpassFile ${c.adminpassFile} is not readable by nextcloud:nextcloud! Aborting..."
- exit 1
- fi
- if [ -z "$(<${c.adminpassFile})" ]; then
- echo "adminpassFile ${c.adminpassFile} is empty!"
- exit 1
- fi
- ''}
+ if [ ! -r "${c.adminpassFile}" ]; then
+ echo "adminpassFile ${c.adminpassFile} is not readable by nextcloud:nextcloud! Aborting..."
+ exit 1
+ fi
+ if [ -z "$(<${c.adminpassFile})" ]; then
+ echo "adminpassFile ${c.adminpassFile} is empty!"
+ exit 1
+ fi
ln -sf ${cfg.package}/apps ${cfg.home}/
+ # Install extra apps
+ ln -sfT \
+ ${pkgs.linkFarm "nix-apps"
+ (mapAttrsToList (name: path: { inherit name path; }) cfg.extraApps)} \
+ ${cfg.home}/nix-apps
+
# create nextcloud directories.
# if the directories exist already with wrong permissions, we fix that
for dir in ${cfg.home}/config ${cfg.dataHome} ${cfg.home}/store-apps; do
@@ -561,23 +758,29 @@ in {
fi
done
- ln -sf ${overrideConfig} ${cfg.home}/config/override.config.php
+ ln -sf ${overrideConfig} ${datadir}/config/override.config.php
# Do not install if already installed
- if [[ ! -e ${cfg.home}/config/config.php ]]; then
+ if [[ ! -e ${datadir}/config/config.php ]]; then
${occInstallCmd}
fi
${occ}/bin/nextcloud-occ upgrade
${occ}/bin/nextcloud-occ config:system:delete trusted_domains
+
+ ${optionalString (cfg.extraAppsEnable && cfg.extraApps != { }) ''
+ # Try to enable apps (don't fail when one of them cannot be enabled , eg. due to incompatible version)
+ ${occ}/bin/nextcloud-occ app:enable ${concatStringsSep " " (attrNames cfg.extraApps)}
+ ''}
+
${occSetTrustedDomainsCmd}
'';
serviceConfig.Type = "oneshot";
serviceConfig.User = "nextcloud";
};
nextcloud-cron = {
- environment.NEXTCLOUD_CONFIG_DIR = "${cfg.home}/config";
+ environment.NEXTCLOUD_CONFIG_DIR = "${datadir}/config";
serviceConfig.Type = "oneshot";
serviceConfig.User = "nextcloud";
serviceConfig.ExecStart = "${phpPackage}/bin/php -f ${cfg.package}/cron.php";
@@ -596,7 +799,7 @@ in {
group = "nextcloud";
phpPackage = phpPackage;
phpEnv = {
- NEXTCLOUD_CONFIG_DIR = "${cfg.home}/config";
+ NEXTCLOUD_CONFIG_DIR = "${datadir}/config";
PATH = "/run/wrappers/bin:/nix/var/nix/profiles/default/bin:/run/current-system/sw/bin:/usr/bin:/bin";
};
settings = mapAttrs (name: mkDefault) {
@@ -646,6 +849,10 @@ in {
priority = 201;
extraConfig = "root ${cfg.home};";
};
+ "~ ^/nix-apps" = {
+ priority = 201;
+ extraConfig = "root ${cfg.home};";
+ };
"^~ /.well-known" = {
priority = 210;
extraConfig = ''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/nextcloud.xml b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/nextcloud.xml
index 3af37b15dd5..9d9cb8dfb3f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/nextcloud.xml
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/nextcloud.xml
@@ -84,47 +84,93 @@
-
- Pitfalls
-
-
- Unfortunately Nextcloud appears to be very stateful when it comes to
- managing its own configuration. The config file lives in the home directory
- of the nextcloud user (by default
- /var/lib/nextcloud/config/config.php ) and is also used to
- track several states of the application (e.g. whether installed or not).
-
-
-
- All configuration parameters are also stored in
- /var/lib/nextcloud/config/override.config.php which is generated by
- the module and linked from the store to ensure that all values from config.php
- can be modified by the module.
- However config.php manages the application's state and shouldn't be touched
- manually because of that.
-
-
- Don't delete config.php ! This file
- tracks the application's state and a deletion can cause unwanted
- side-effects!
-
-
-
- Don't rerun nextcloud-occ
- maintenance:install ! This command tries to install the application
- and can cause unwanted side-effects!
-
+
+ Common problems
+
+
+
+ General notes
+
+ Unfortunately Nextcloud appears to be very stateful when it comes to
+ managing its own configuration. The config file lives in the home directory
+ of the nextcloud user (by default
+ /var/lib/nextcloud/config/config.php ) and is also used to
+ track several states of the application (e.g., whether installed or not).
+
+
+
+ All configuration parameters are also stored in
+ /var/lib/nextcloud/config/override.config.php which is generated by
+ the module and linked from the store to ensure that all values from
+ config.php can be modified by the module.
+ However config.php manages the application's state and shouldn't be
+ touched manually because of that.
+
+
+ Don't delete config.php ! This file
+ tracks the application's state and a deletion can cause unwanted
+ side-effects!
+
-
- Nextcloud doesn't allow to move more than one major-version forward. If you're e.g. on
- v16 , you cannot upgrade to v18 , you need to upgrade to
- v17 first. This is ensured automatically as long as the
- stateVersion is declared properly. In that case
- the oldest version available (one major behind the one from the previous NixOS
- release) will be selected by default and the module will generate a warning that reminds
- the user to upgrade to latest Nextcloud after that deploy.
-
+
+ Don't rerun nextcloud-occ
+ maintenance:install ! This command tries to install the application
+ and can cause unwanted side-effects!
+
+
+
+
+ Multiple version upgrades
+
+ Nextcloud doesn't allow to move more than one major-version forward. E.g., if you're on
+ v16 , you cannot upgrade to v18 , you need to upgrade to
+ v17 first. This is ensured automatically as long as the
+ stateVersion is declared properly. In that case
+ the oldest version available (one major behind the one from the previous NixOS
+ release) will be selected by default and the module will generate a warning that reminds
+ the user to upgrade to latest Nextcloud after that deploy.
+
+
+
+
+
+ Error: Command "upgrade" is not defined.
+
+ This error usually occurs if the initial installation
+ (nextcloud-occ maintenance:install ) has failed. After that, the application
+ is not installed, but the upgrade is attempted to be executed. Further context can
+ be found in NixOS/nixpkgs#111175.
+
+
+
+ First of all, it makes sense to find out what went wrong by looking at the logs
+ of the installation via journalctl -u nextcloud-setup and try to fix
+ the underlying issue.
+
+
+
+
+ If this occurs on an existing setup, this is most likely because
+ the maintenance mode is active. It can be deactivated by running
+ nextcloud-occ maintenance:mode --off . It's advisable though to
+ check the logs first on why the maintenance mode was activated.
+
+
+
+ Only perform the following measures on
+ freshly installed instances!
+
+ A re-run of the installer can be forced by deleting
+ /var/lib/nextcloud/config/config.php . This is the only time
+ advisable because the fresh install doesn't have any state that can be lost.
+ In case that doesn't help, an entire re-creation can be forced via
+ rm -rf ~nextcloud/ .
+
+
+
+
+
@@ -191,6 +237,12 @@
Some apps may require extra PHP extensions to be installed.
This can be configured with the setting.
+
+
+ Alternatively, extra apps can also be declared with the setting.
+ When using this setting, apps can no longer be managed statefully because this can lead to Nextcloud updating apps
+ that are managed by Nix. If you want automatic updates it is recommended that you use web interface to install apps.
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/nexus.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/nexus.nix
index d4d507362c9..dc50a06705f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/nexus.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/nexus.nix
@@ -16,6 +16,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.nexus;
+ defaultText = literalExpression "pkgs.nexus";
description = "Package which runs Nexus3";
};
@@ -70,6 +71,27 @@ in
-Dkaraf.startLocalConsole=false
-Djava.endorsed.dirs=${cfg.package}/lib/endorsed
'';
+ defaultText = literalExpression ''
+ '''
+ -Xms1200M
+ -Xmx1200M
+ -XX:MaxDirectMemorySize=2G
+ -XX:+UnlockDiagnosticVMOptions
+ -XX:+UnsyncloadClass
+ -XX:+LogVMOutput
+ -XX:LogFile=''${home}/nexus3/log/jvm.log
+ -XX:-OmitStackTraceInFastThrow
+ -Djava.net.preferIPv4Stack=true
+ -Dkaraf.home=''${package}
+ -Dkaraf.base=''${package}
+ -Dkaraf.etc=''${package}/etc/karaf
+ -Djava.util.logging.config.file=''${package}/etc/karaf/java.util.logging.properties
+ -Dkaraf.data=''${home}/nexus3
+ -Djava.io.tmpdir=''${home}/nexus3/tmp
+ -Dkaraf.startLocalConsole=false
+ -Djava.endorsed.dirs=''${package}/lib/endorsed
+ '''
+ '';
description = ''
Options for the JVM written to `nexus.jvmopts`.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/node-red.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/node-red.nix
index 4f6850ace21..4512907f027 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/node-red.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/node-red.nix
@@ -21,7 +21,7 @@ in
package = mkOption {
default = pkgs.nodePackages.node-red;
- defaultText = "pkgs.nodePackages.node-red";
+ defaultText = literalExpression "pkgs.nodePackages.node-red";
type = types.package;
description = "Node-RED package to use.";
};
@@ -46,7 +46,7 @@ in
configFile = mkOption {
type = types.path;
default = "${cfg.package}/lib/node_modules/node-red/settings.js";
- defaultText = "\${cfg.package}/lib/node_modules/node-red/settings.js";
+ defaultText = literalExpression ''"''${package}/lib/node_modules/node-red/settings.js"'';
description = ''
Path to the JavaScript configuration file.
See pict-rs.xml`
+ meta.doc = ./pict-rs.xml;
+
+ options.services.pict-rs = {
+ enable = mkEnableOption "pict-rs server";
+ dataDir = mkOption {
+ type = types.path;
+ default = "/var/lib/pict-rs";
+ description = ''
+ The directory where to store the uploaded images.
+ '';
+ };
+ address = mkOption {
+ type = types.str;
+ default = "127.0.0.1";
+ description = ''
+ The IPv4 address to deploy the service to.
+ '';
+ };
+ port = mkOption {
+ type = types.port;
+ default = 8080;
+ description = ''
+ The port which to bind the service to.
+ '';
+ };
+ };
+ config = lib.mkIf cfg.enable {
+ systemd.services.pict-rs = {
+ environment = {
+ PICTRS_PATH = cfg.dataDir;
+ PICTRS_ADDR = "${cfg.address}:${toString cfg.port}";
+ };
+ wantedBy = [ "multi-user.target" ];
+ serviceConfig = {
+ DynamicUser = true;
+ StateDirectory = "pict-rs";
+ ExecStart = "${pkgs.pict-rs}/bin/pict-rs";
+ };
+ };
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/pict-rs.xml b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/pict-rs.xml
new file mode 100644
index 00000000000..bf129f5cc2a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/pict-rs.xml
@@ -0,0 +1,162 @@
+
+ Pict-rs
+
+ pict-rs is a a simple image hosting service.
+
+
+ Quickstart
+
+ the minimum to start pict-rs is
+
+
+services.pict-rs.enable = true;
+
+
+ this will start the http server on port 8080 by default.
+
+
+
+ Usage
+
+ pict-rs offers the following endpoints: -
+ POST /image for uploading an image. Uploaded
+ content must be valid multipart/form-data with an image array
+ located within the images[] key
+
+
+This endpoint returns the following JSON structure on success with a 201 Created status
+```json
+{
+ "files": [
+ {
+ "delete_token": "JFvFhqJA98",
+ "file": "lkWZDRvugm.jpg"
+ },
+ {
+ "delete_token": "kAYy9nk2WK",
+ "file": "8qFS0QooAn.jpg"
+ },
+ {
+ "delete_token": "OxRpM3sf0Y",
+ "file": "1hJaYfGE01.jpg"
+ }
+ ],
+ "msg": "ok"
+}
+```
+
+
+
+
+ GET /image/download?url=... Download an
+ image from a remote server, returning the same JSON payload as
+ the POST endpoint
+
+
+
+
+ GET /image/original/{file} for getting a
+ full-resolution image. file here is the
+ file key from the /image
+ endpoint’s JSON
+
+
+
+
+ GET /image/details/original/{file} for
+ getting the details of a full-resolution image. The returned
+ JSON is structured like so:
+ json { "width": 800, "height": 537, "content_type": "image/webp", "created_at": [ 2020, 345, 67376, 394363487 ] }
+
+
+
+
+ GET /image/process.{ext}?src={file}&...
+ get a file with transformations applied. existing
+ transformations include
+
+
+
+
+ identity=true : apply no changes
+
+
+
+
+ blur={float} : apply a gaussian blur to
+ the file
+
+
+
+
+ thumbnail={int} : produce a thumbnail of
+ the image fitting inside an {int} by
+ {int} square using raw pixel sampling
+
+
+
+
+ resize={int} : produce a thumbnail of
+ the image fitting inside an {int} by
+ {int} square using a Lanczos2 filter.
+ This is slower than sampling but looks a bit better in
+ some cases
+
+
+
+
+ crop={int-w}x{int-h} : produce a cropped
+ version of the image with an {int-w} by
+ {int-h} aspect ratio. The resulting
+ crop will be centered on the image. Either the width or
+ height of the image will remain full-size, depending on
+ the image’s aspect ratio and the requested aspect ratio.
+ For example, a 1600x900 image cropped with a 1x1 aspect
+ ratio will become 900x900. A 1600x1100 image cropped with
+ a 16x9 aspect ratio will become 1600x900.
+
+
+
+
+ Supported ext file extensions include
+ png , jpg , and
+ webp
+
+
+ An example of usage could be
+ GET /image/process.jpg?src=asdf.png&thumbnail=256&blur=3.0
+ which would create a 256x256px JPEG thumbnail and blur it
+
+
+
+
+ GET /image/details/process.{ext}?src={file}&...
+ for getting the details of a processed image. The returned
+ JSON is the same format as listed for the full-resolution
+ details endpoint.
+
+
+
+
+ DELETE /image/delete/{delete_token}/{file}
+ or GET /image/delete/{delete_token}/{file}
+ to delete a file, where delete_token and
+ file are from the /image
+ endpoint’s JSON
+
+
+
+
+
+ Missing
+
+
+
+ Configuring the secure-api-key is not included yet. The
+ envisioned basic use case is consumption on localhost by other
+ services without exposing the service to the internet.
+
+
+
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/plantuml-server.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/plantuml-server.nix
index a39f594c274..5ac3bc5226b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/plantuml-server.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/plantuml-server.nix
@@ -16,6 +16,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.plantuml-server;
+ defaultText = literalExpression "pkgs.plantuml-server";
description = "PlantUML server package to use";
};
@@ -58,6 +59,7 @@ in
graphvizPackage = mkOption {
type = types.package;
default = pkgs.graphviz_2_32;
+ defaultText = literalExpression "pkgs.graphviz_2_32";
description = "Package containing the dot executable.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/restya-board.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/restya-board.nix
index 9d0a3f65253..fd97ab76a5f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/restya-board.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/restya-board.nix
@@ -30,7 +30,6 @@ in
dataDir = mkOption {
type = types.path;
default = "/var/lib/restya-board";
- example = "/var/lib/restya-board";
description = ''
Data of the application.
'';
@@ -39,7 +38,6 @@ in
user = mkOption {
type = types.str;
default = "restya-board";
- example = "restya-board";
description = ''
User account under which the web-application runs.
'';
@@ -48,7 +46,6 @@ in
group = mkOption {
type = types.str;
default = "nginx";
- example = "nginx";
description = ''
Group account under which the web-application runs.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/rss-bridge.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/rss-bridge.nix
index f1d5b7660f3..456ca00416f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/rss-bridge.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/rss-bridge.nix
@@ -16,7 +16,6 @@ in
user = mkOption {
type = types.str;
default = "nginx";
- example = "nginx";
description = ''
User account under which both the service and the web-application run.
'';
@@ -25,7 +24,6 @@ in
group = mkOption {
type = types.str;
default = "nginx";
- example = "nginx";
description = ''
Group under which the web-application run.
'';
@@ -61,7 +59,7 @@ in
whitelist = mkOption {
type = types.listOf types.str;
default = [];
- example = options.literalExample ''
+ example = options.literalExpression ''
[
"Facebook"
"Instagram"
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/selfoss.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/selfoss.nix
index d5a660ebf28..899976ac696 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/selfoss.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/selfoss.nix
@@ -35,7 +35,6 @@ in
user = mkOption {
type = types.str;
default = "nginx";
- example = "nginx";
description = ''
User account under which both the service and the web-application run.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/shiori.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/shiori.nix
index a15bb9744a9..bb2fc684e83 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/shiori.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/shiori.nix
@@ -11,7 +11,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.shiori;
- defaultText = "pkgs.shiori";
+ defaultText = literalExpression "pkgs.shiori";
description = "The Shiori package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/tt-rss.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/tt-rss.nix
index bc18c824f39..08356cee1df 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/tt-rss.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/tt-rss.nix
@@ -126,7 +126,6 @@ let
root = mkOption {
type = types.path;
default = "/var/lib/tt-rss";
- example = "/var/lib/tt-rss";
description = ''
Root of the application.
'';
@@ -135,7 +134,6 @@ let
user = mkOption {
type = types.str;
default = "tt_rss";
- example = "tt_rss";
description = ''
User account under which both the update daemon and the web-application run.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/vikunja.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/vikunja.nix
index b0b6eb6df17..7575e96ca81 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/vikunja.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/vikunja.nix
@@ -14,13 +14,13 @@ in {
package-api = mkOption {
default = pkgs.vikunja-api;
type = types.package;
- defaultText = "pkgs.vikunja-api";
+ defaultText = literalExpression "pkgs.vikunja-api";
description = "vikunja-api derivation to use.";
};
package-frontend = mkOption {
default = pkgs.vikunja-frontend;
type = types.package;
- defaultText = "pkgs.vikunja-frontend";
+ defaultText = literalExpression "pkgs.vikunja-frontend";
description = "vikunja-frontend derivation to use.";
};
environmentFiles = mkOption {
@@ -34,7 +34,7 @@ in {
setupNginx = mkOption {
type = types.bool;
default = config.services.nginx.enable;
- defaultText = "config.services.nginx.enable";
+ defaultText = literalExpression "config.services.nginx.enable";
description = ''
Whether to setup NGINX.
Further nginx configuration can be done by changing
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/whitebophir.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/whitebophir.nix
index b265296d5c1..f9db6fe379b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/whitebophir.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/whitebophir.nix
@@ -11,7 +11,7 @@ in {
package = mkOption {
default = pkgs.whitebophir;
- defaultText = "pkgs.whitebophir";
+ defaultText = literalExpression "pkgs.whitebophir";
type = types.package;
description = "Whitebophir package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/wordpress.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/wordpress.nix
index 6f1ef815bc4..8ebb7229662 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/wordpress.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/wordpress.nix
@@ -2,7 +2,7 @@
let
inherit (lib) mkDefault mkEnableOption mkForce mkIf mkMerge mkOption types;
- inherit (lib) any attrValues concatMapStringsSep flatten literalExample;
+ inherit (lib) any attrValues concatMapStringsSep flatten literalExpression;
inherit (lib) filterAttrs mapAttrs mapAttrs' mapAttrsToList nameValuePair optional optionalAttrs optionalString;
cfg = migrateOldAttrs config.services.wordpress;
@@ -87,6 +87,7 @@ let
package = mkOption {
type = types.package;
default = pkgs.wordpress;
+ defaultText = literalExpression "pkgs.wordpress";
description = "Which WordPress package to use.";
};
@@ -106,23 +107,23 @@ let
List of path(s) to respective plugin(s) which are copied from the 'plugins' directory.
These plugins need to be packaged before use, see example.
'';
- example = ''
- # Wordpress plugin 'embed-pdf-viewer' installation example
- embedPdfViewerPlugin = pkgs.stdenv.mkDerivation {
- name = "embed-pdf-viewer-plugin";
- # Download the theme from the wordpress site
- src = pkgs.fetchurl {
- url = "https://downloads.wordpress.org/plugin/embed-pdf-viewer.2.0.3.zip";
- sha256 = "1rhba5h5fjlhy8p05zf0p14c9iagfh96y91r36ni0rmk6y891lyd";
+ example = literalExpression ''
+ let
+ # Wordpress plugin 'embed-pdf-viewer' installation example
+ embedPdfViewerPlugin = pkgs.stdenv.mkDerivation {
+ name = "embed-pdf-viewer-plugin";
+ # Download the theme from the wordpress site
+ src = pkgs.fetchurl {
+ url = "https://downloads.wordpress.org/plugin/embed-pdf-viewer.2.0.3.zip";
+ sha256 = "1rhba5h5fjlhy8p05zf0p14c9iagfh96y91r36ni0rmk6y891lyd";
+ };
+ # We need unzip to build this package
+ nativeBuildInputs = [ pkgs.unzip ];
+ # Installing simply means copying all files to the output directory
+ installPhase = "mkdir -p $out; cp -R * $out/";
};
- # We need unzip to build this package
- nativeBuildInputs = [ pkgs.unzip ];
- # Installing simply means copying all files to the output directory
- installPhase = "mkdir -p $out; cp -R * $out/";
- };
-
- And then pass this theme to the themes list like this:
- plugins = [ embedPdfViewerPlugin ];
+ # And then pass this theme to the themes list like this:
+ in [ embedPdfViewerPlugin ]
'';
};
@@ -133,23 +134,23 @@ let
List of path(s) to respective theme(s) which are copied from the 'theme' directory.
These themes need to be packaged before use, see example.
'';
- example = ''
- # Let's package the responsive theme
- responsiveTheme = pkgs.stdenv.mkDerivation {
- name = "responsive-theme";
- # Download the theme from the wordpress site
- src = pkgs.fetchurl {
- url = "https://downloads.wordpress.org/theme/responsive.3.14.zip";
- sha256 = "0rjwm811f4aa4q43r77zxlpklyb85q08f9c8ns2akcarrvj5ydx3";
+ example = literalExpression ''
+ let
+ # Let's package the responsive theme
+ responsiveTheme = pkgs.stdenv.mkDerivation {
+ name = "responsive-theme";
+ # Download the theme from the wordpress site
+ src = pkgs.fetchurl {
+ url = "https://downloads.wordpress.org/theme/responsive.3.14.zip";
+ sha256 = "0rjwm811f4aa4q43r77zxlpklyb85q08f9c8ns2akcarrvj5ydx3";
+ };
+ # We need unzip to build this package
+ nativeBuildInputs = [ pkgs.unzip ];
+ # Installing simply means copying all files to the output directory
+ installPhase = "mkdir -p $out; cp -R * $out/";
};
- # We need unzip to build this package
- nativeBuildInputs = [ pkgs.unzip ];
- # Installing simply means copying all files to the output directory
- installPhase = "mkdir -p $out; cp -R * $out/";
- };
-
- And then pass this theme to the themes list like this:
- themes = [ responsiveTheme ];
+ # And then pass this theme to the themes list like this:
+ in [ responsiveTheme ]
'';
};
@@ -204,7 +205,7 @@ let
socket = mkOption {
type = types.nullOr types.path;
default = null;
- defaultText = "/run/mysqld/mysqld.sock";
+ defaultText = literalExpression "/run/mysqld/mysqld.sock";
description = "Path to the unix socket file to use for authentication.";
};
@@ -217,7 +218,7 @@ let
virtualHost = mkOption {
type = types.submodule (import ../web-servers/apache-httpd/vhost-options.nix);
- example = literalExample ''
+ example = literalExpression ''
{
adminAddr = "webmaster@example.org";
forceSSL = true;
@@ -278,7 +279,7 @@ in
};
options.webserver = mkOption {
- type = types.enum [ "httpd" "nginx" ];
+ type = types.enum [ "httpd" "nginx" "caddy" ];
default = "httpd";
description = ''
Whether to use apache2 or nginx for virtual host management.
@@ -458,5 +459,32 @@ in
};
})
+ (mkIf (cfg.webserver == "caddy") {
+ services.caddy = {
+ enable = true;
+ virtualHosts = mapAttrs' (hostName: cfg: (
+ nameValuePair "http://${hostName}" {
+ extraConfig = ''
+ root * /${pkg hostName cfg}/share/wordpress
+ file_server
+
+ php_fastcgi unix/${config.services.phpfpm.pools."wordpress-${hostName}".socket}
+
+ @uploads {
+ path_regexp path /uploads\/(.*)\.php
+ }
+ rewrite @uploads /
+
+ @wp-admin {
+ path not ^\/wp-admin/*
+ }
+ rewrite @wp-admin {path}/index.php?{query}
+ '';
+ }
+ )) eachSite;
+ };
+ })
+
+
]);
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/youtrack.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/youtrack.nix
index b4d653d2d77..7a70ae6cd52 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/youtrack.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/youtrack.nix
@@ -46,7 +46,7 @@ in
https://www.jetbrains.com/help/youtrack/standalone/YouTrack-Java-Start-Parameters.html
for more information.
'';
- example = literalExample ''
+ example = literalExpression ''
{
"jetbrains.youtrack.overrideRootPassword" = "tortuga";
}
@@ -60,7 +60,7 @@ in
'';
type = types.package;
default = pkgs.youtrack;
- defaultText = "pkgs.youtrack";
+ defaultText = literalExpression "pkgs.youtrack";
};
port = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/zabbix.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/zabbix.nix
index e94861a90b5..21567896a89 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/zabbix.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-apps/zabbix.nix
@@ -3,7 +3,7 @@
let
inherit (lib) mkDefault mkEnableOption mkForce mkIf mkMerge mkOption types;
- inherit (lib) literalExample mapAttrs optionalString versionAtLeast;
+ inherit (lib) literalExpression mapAttrs optionalString versionAtLeast;
cfg = config.services.zabbixWeb;
fpm = config.services.phpfpm.pools.zabbix;
@@ -43,7 +43,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.zabbix.web;
- defaultText = "zabbix.web";
+ defaultText = literalExpression "zabbix.web";
description = "Which Zabbix package to use.";
};
@@ -116,7 +116,7 @@ in
virtualHost = mkOption {
type = types.submodule (import ../web-servers/apache-httpd/vhost-options.nix);
- example = literalExample ''
+ example = literalExpression ''
{
hostName = "zabbix.example.org";
adminAddr = "webmaster@example.org";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/apache-httpd/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/apache-httpd/default.nix
index ceb19987097..992a58875e4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/apache-httpd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/apache-httpd/default.nix
@@ -407,7 +407,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.apacheHttpd;
- defaultText = "pkgs.apacheHttpd";
+ defaultText = literalExpression "pkgs.apacheHttpd";
description = ''
Overridable attribute of the Apache HTTP Server package to use.
'';
@@ -416,8 +416,8 @@ in
configFile = mkOption {
type = types.path;
default = confFile;
- defaultText = "confFile";
- example = literalExample ''pkgs.writeText "httpd.conf" "# my custom config file ..."'';
+ defaultText = literalExpression "confFile";
+ example = literalExpression ''pkgs.writeText "httpd.conf" "# my custom config file ..."'';
description = ''
Override the configuration file used by Apache. By default,
NixOS generates one automatically.
@@ -437,7 +437,7 @@ in
extraModules = mkOption {
type = types.listOf types.unspecified;
default = [];
- example = literalExample ''
+ example = literalExpression ''
[
"proxy_connect"
{ name = "jk"; path = "''${pkgs.tomcat_connectors}/modules/mod_jk.so"; }
@@ -516,7 +516,14 @@ in
documentRoot = "${pkg}/htdocs";
};
};
- example = literalExample ''
+ defaultText = literalExpression ''
+ {
+ localhost = {
+ documentRoot = "''${package.out}/htdocs";
+ };
+ }
+ '';
+ example = literalExpression ''
{
"foo.example.com" = {
forceSSL = true;
@@ -550,7 +557,7 @@ in
phpPackage = mkOption {
type = types.package;
default = pkgs.php;
- defaultText = "pkgs.php";
+ defaultText = literalExpression "pkgs.php";
description = ''
Overridable attribute of the PHP package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/apache-httpd/vhost-options.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/apache-httpd/vhost-options.nix
index 3f732a5c9f3..8bb7e91ec9c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/apache-httpd/vhost-options.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/apache-httpd/vhost-options.nix
@@ -1,6 +1,6 @@
{ config, lib, name, ... }:
let
- inherit (lib) literalExample mkOption nameValuePair types;
+ inherit (lib) literalExpression mkOption nameValuePair types;
in
{
options = {
@@ -266,7 +266,7 @@ in
locations = mkOption {
type = with types; attrsOf (submodule (import ./location-options.nix));
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"/" = {
proxyPass = "http://localhost:3000";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/caddy/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/caddy/default.nix
index fd710209634..cef27e2e59f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/caddy/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/caddy/default.nix
@@ -83,7 +83,7 @@ in
inherit config lib;
}));
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
"hydra.example.com" = {
serverAliases = [ "www.hydra.example.com" ];
@@ -162,8 +162,7 @@ in
package = mkOption {
default = pkgs.caddy;
- defaultText = "pkgs.caddy";
- example = "pkgs.caddy";
+ defaultText = literalExpression "pkgs.caddy";
type = types.package;
description = ''
Caddy package to use.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/lighttpd/cgit.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/lighttpd/cgit.nix
index 9f25dc34f3f..8cd6d020940 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/lighttpd/cgit.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/lighttpd/cgit.nix
@@ -41,11 +41,13 @@ in
configText = mkOption {
default = "";
- example = ''
- source-filter=''${pkgs.cgit}/lib/cgit/filters/syntax-highlighting.py
- about-filter=''${pkgs.cgit}/lib/cgit/filters/about-formatting.sh
- cache-size=1000
- scan-path=/srv/git
+ example = literalExpression ''
+ '''
+ source-filter=''${pkgs.cgit}/lib/cgit/filters/syntax-highlighting.py
+ about-filter=''${pkgs.cgit}/lib/cgit/filters/about-formatting.sh
+ cache-size=1000
+ scan-path=/srv/git
+ '''
'';
type = types.lines;
description = ''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/lighttpd/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/lighttpd/default.nix
index 7a691aa7891..05e897c8cc9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/lighttpd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/lighttpd/default.nix
@@ -38,10 +38,13 @@ let
"mod_rrdtool"
"mod_accesslog"
# Remaining list of modules, order assumed to be unimportant.
+ "mod_authn_dbi"
"mod_authn_file"
"mod_authn_gssapi"
"mod_authn_ldap"
"mod_authn_mysql"
+ "mod_authn_pam"
+ "mod_authn_sasl"
"mod_cml"
"mod_deflate"
"mod_evasive"
@@ -132,6 +135,15 @@ in
'';
};
+ package = mkOption {
+ default = pkgs.lighttpd;
+ defaultText = "pkgs.lighttpd";
+ type = types.package;
+ description = ''
+ lighttpd package to use.
+ '';
+ };
+
port = mkOption {
default = 80;
type = types.port;
@@ -240,7 +252,7 @@ in
description = "Lighttpd Web Server";
after = [ "network.target" ];
wantedBy = [ "multi-user.target" ];
- serviceConfig.ExecStart = "${pkgs.lighttpd}/sbin/lighttpd -D -f ${configFile}";
+ serviceConfig.ExecStart = "${cfg.package}/sbin/lighttpd -D -f ${configFile}";
# SIGINT => graceful shutdown
serviceConfig.KillSignal = "SIGINT";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/minio.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/minio.nix
index 6b10afad499..c345e3f2467 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/minio.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/minio.nix
@@ -87,7 +87,7 @@ in
package = mkOption {
default = pkgs.minio;
- defaultText = "pkgs.minio";
+ defaultText = literalExpression "pkgs.minio";
type = types.package;
description = "Minio package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/molly-brown.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/molly-brown.nix
index 58db9b9beda..0bd8b3316cb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/molly-brown.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/molly-brown.nix
@@ -22,8 +22,8 @@ in {
hostName = mkOption {
type = types.str;
- example = literalExample "config.networking.hostName";
default = config.networking.hostName;
+ defaultText = literalExpression "config.networking.hostName";
description = ''
The hostname to respond to requests for. Requests for URLs with
other hosts will result in a status 53 (PROXY REQUEST REFUSED)
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/nginx/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/nginx/default.nix
index 6682472fdb8..d5486be65ee 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/nginx/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/nginx/default.nix
@@ -425,7 +425,7 @@ in
package = mkOption {
default = pkgs.nginxStable;
- defaultText = "pkgs.nginxStable";
+ defaultText = literalExpression "pkgs.nginxStable";
type = types.package;
apply = p: p.override {
modules = p.modules ++ cfg.additionalModules;
@@ -440,7 +440,7 @@ in
additionalModules = mkOption {
default = [];
type = types.listOf (types.attrsOf types.anything);
- example = literalExample "[ pkgs.nginxModules.brotli ]";
+ example = literalExpression "[ pkgs.nginxModules.brotli ]";
description = ''
Additional third-party nginx modules
to install. Packaged modules are available in
@@ -674,7 +674,7 @@ in
addresses = mkOption {
type = types.listOf types.str;
default = [];
- example = literalExample ''[ "[::1]" "127.0.0.1:5353" ]'';
+ example = literalExpression ''[ "[::1]" "127.0.0.1:5353" ]'';
description = "List of resolvers to use";
};
valid = mkOption {
@@ -738,7 +738,7 @@ in
Defines a group of servers to use as proxy target.
'';
default = {};
- example = literalExample ''
+ example = literalExpression ''
"backend_server" = {
servers = { "127.0.0.1:8000" = {}; };
extraConfig = ''''
@@ -755,7 +755,7 @@ in
default = {
localhost = {};
};
- example = literalExample ''
+ example = literalExpression ''
{
"hydra.example.com" = {
forceSSL = true;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/nginx/location-options.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/nginx/location-options.nix
index d8c976f202f..56a5381e05c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/nginx/location-options.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/nginx/location-options.nix
@@ -12,7 +12,7 @@ with lib;
basicAuth = mkOption {
type = types.attrsOf types.str;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
user = "password";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/nginx/vhost-options.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/nginx/vhost-options.nix
index 94645e927f8..7ee041d3721 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/nginx/vhost-options.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/nginx/vhost-options.nix
@@ -162,7 +162,7 @@ with lib;
sslTrustedCertificate = mkOption {
type = types.nullOr types.path;
default = null;
- example = "\${pkgs.cacert}/etc/ssl/certs/ca-bundle.crt";
+ example = literalExpression ''"''${pkgs.cacert}/etc/ssl/certs/ca-bundle.crt"'';
description = "Path to root SSL certificate for stapling and client certificates.";
};
@@ -231,7 +231,7 @@ with lib;
basicAuth = mkOption {
type = types.attrsOf types.str;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
user = "password";
};
@@ -261,7 +261,7 @@ with lib;
inherit lib;
}));
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"/" = {
proxyPass = "http://localhost:3000";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/phpfpm/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/phpfpm/default.nix
index 4d302299f5f..87c68fa074a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/phpfpm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/phpfpm/default.nix
@@ -59,7 +59,7 @@ let
phpPackage = mkOption {
type = types.package;
default = cfg.phpPackage;
- defaultText = "config.services.phpfpm.phpPackage";
+ defaultText = literalExpression "config.services.phpfpm.phpPackage";
description = ''
The PHP package to use for running this PHP-FPM pool.
'';
@@ -78,7 +78,7 @@ let
description = ''
Environment variables used for this PHP-FPM pool.
'';
- example = literalExample ''
+ example = literalExpression ''
{
HOSTNAME = "$HOSTNAME";
TMP = "/tmp";
@@ -107,7 +107,7 @@ let
for details. Note that settings names must be enclosed in quotes (e.g.
"pm.max_children" instead of pm.max_children ).
'';
- example = literalExample ''
+ example = literalExpression ''
{
"pm" = "dynamic";
"pm.max_children" = 75;
@@ -179,7 +179,7 @@ in {
phpPackage = mkOption {
type = types.package;
default = pkgs.php;
- defaultText = "pkgs.php";
+ defaultText = literalExpression "pkgs.php";
description = ''
The PHP package to use for running the PHP-FPM service.
'';
@@ -200,7 +200,7 @@ in {
pools = mkOption {
type = types.attrsOf (types.submodule poolOpts);
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
mypool = {
user = "php";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/tomcat.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/tomcat.nix
index 13fe98402c6..f9446fe125a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/tomcat.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/tomcat.nix
@@ -24,8 +24,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.tomcat85;
- defaultText = "pkgs.tomcat85";
- example = lib.literalExample "pkgs.tomcat9";
+ defaultText = literalExpression "pkgs.tomcat85";
+ example = lib.literalExpression "pkgs.tomcat9";
description = ''
Which tomcat package to use.
'';
@@ -127,7 +127,7 @@ in
webapps = mkOption {
type = types.listOf types.path;
default = [ tomcat.webapps ];
- defaultText = "[ pkgs.tomcat85.webapps ]";
+ defaultText = literalExpression "[ pkgs.tomcat85.webapps ]";
description = "List containing WAR files or directories with WAR files which are web applications to be deployed on Tomcat";
};
@@ -166,7 +166,7 @@ in
jdk = mkOption {
type = types.package;
default = pkgs.jdk;
- defaultText = "pkgs.jdk";
+ defaultText = literalExpression "pkgs.jdk";
description = "Which JDK to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/traefik.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/traefik.nix
index 3d29199dd45..eb7fd0995de 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/traefik.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/traefik.nix
@@ -54,7 +54,7 @@ in {
staticConfigFile = mkOption {
default = null;
- example = literalExample "/path/to/static_config.toml";
+ example = literalExpression "/path/to/static_config.toml";
type = types.nullOr types.path;
description = ''
Path to traefik's static configuration to use.
@@ -78,7 +78,7 @@ in {
dynamicConfigFile = mkOption {
default = null;
- example = literalExample "/path/to/dynamic_config.toml";
+ example = literalExpression "/path/to/dynamic_config.toml";
type = types.nullOr types.path;
description = ''
Path to traefik's dynamic configuration to use.
@@ -123,7 +123,7 @@ in {
package = mkOption {
default = pkgs.traefik;
- defaultText = "pkgs.traefik";
+ defaultText = literalExpression "pkgs.traefik";
type = types.package;
description = "Traefik package to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/trafficserver.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/trafficserver/default.nix
similarity index 90%
rename from infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/trafficserver.nix
rename to infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/trafficserver/default.nix
index db0e2ac0bd0..706ea5bfefb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/trafficserver.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/trafficserver/default.nix
@@ -8,21 +8,9 @@ let
group = config.users.groups.trafficserver.name;
getManualUrl = name: "https://docs.trafficserver.apache.org/en/latest/admin-guide/files/${name}.en.html";
- getConfPath = name: "${pkgs.trafficserver}/etc/trafficserver/${name}";
yaml = pkgs.formats.yaml { };
- fromYAML = f:
- let
- jsonFile = pkgs.runCommand "in.json"
- {
- nativeBuildInputs = [ pkgs.remarshal ];
- } ''
- yaml2json < "${f}" > "$out"
- '';
- in
- builtins.fromJSON (builtins.readFile jsonFile);
-
mkYamlConf = name: cfg:
if cfg != null then {
"trafficserver/${name}.yaml".source = yaml.generate "${name}.yaml" cfg;
@@ -73,16 +61,18 @@ in
ipAllow = mkOption {
type = types.nullOr yaml.type;
- default = fromYAML (getConfPath "ip_allow.yaml");
- defaultText = "upstream defaults";
- example = literalExample {
- ip_allow = [{
- apply = "in";
- ip_addrs = "127.0.0.1";
- action = "allow";
- methods = "ALL";
- }];
- };
+ default = builtins.fromJSON (builtins.readFile ./ip_allow.json);
+ defaultText = literalDocBook "upstream defaults";
+ example = literalExpression ''
+ {
+ ip_allow = [{
+ apply = "in";
+ ip_addrs = "127.0.0.1";
+ action = "allow";
+ methods = "ALL";
+ }];
+ }
+ '';
description = ''
Control client access to Traffic Server and Traffic Server connections
to upstream servers.
@@ -94,9 +84,9 @@ in
logging = mkOption {
type = types.nullOr yaml.type;
- default = fromYAML (getConfPath "logging.yaml");
- defaultText = "upstream defaults";
- example = literalExample { };
+ default = builtins.fromJSON (builtins.readFile ./logging.json);
+ defaultText = literalDocBook "upstream defaults";
+ example = { };
description = ''
Configure logs.
@@ -157,7 +147,7 @@ in
in
valueType;
default = { };
- example = literalExample { proxy.config.proxy_name = "my_server"; };
+ example = { proxy.config.proxy_name = "my_server"; };
description = ''
List of configurable variables used by Traffic Server.
@@ -209,12 +199,14 @@ in
sni = mkOption {
type = types.nullOr yaml.type;
default = null;
- example = literalExample {
- sni = [{
- fqdn = "no-http2.example.com";
- https = "off";
- }];
- };
+ example = literalExpression ''
+ {
+ sni = [{
+ fqdn = "no-http2.example.com";
+ https = "off";
+ }];
+ }
+ '';
description = ''
Configure aspects of TLS connection handling for both inbound and
outbound connections.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/trafficserver/ip_allow.json b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/trafficserver/ip_allow.json
new file mode 100644
index 00000000000..fc2db803728
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/trafficserver/ip_allow.json
@@ -0,0 +1,36 @@
+{
+ "ip_allow": [
+ {
+ "apply": "in",
+ "ip_addrs": "127.0.0.1",
+ "action": "allow",
+ "methods": "ALL"
+ },
+ {
+ "apply": "in",
+ "ip_addrs": "::1",
+ "action": "allow",
+ "methods": "ALL"
+ },
+ {
+ "apply": "in",
+ "ip_addrs": "0/0",
+ "action": "deny",
+ "methods": [
+ "PURGE",
+ "PUSH",
+ "DELETE"
+ ]
+ },
+ {
+ "apply": "in",
+ "ip_addrs": "::/0",
+ "action": "deny",
+ "methods": [
+ "PURGE",
+ "PUSH",
+ "DELETE"
+ ]
+ }
+ ]
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/trafficserver/logging.json b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/trafficserver/logging.json
new file mode 100644
index 00000000000..81e7ba0186c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/trafficserver/logging.json
@@ -0,0 +1,37 @@
+{
+ "logging": {
+ "formats": [
+ {
+ "name": "welf",
+ "format": "id=firewall time=\"% %\" fw=% pri=6 proto=% duration=% sent=% rcvd=% src=% dst=% dstname=% user=% op=% arg=\"%\" result=% ref=\"%<{Referer}cqh>\" agent=\"%<{user-agent}cqh>\" cache=%"
+ },
+ {
+ "name": "squid_seconds_only_timestamp",
+ "format": "% % % %/% % % % % %/% %"
+ },
+ {
+ "name": "squid",
+ "format": "% % % %/% % % % % %/% %"
+ },
+ {
+ "name": "common",
+ "format": "% - % [%] \"%\" % %"
+ },
+ {
+ "name": "extended",
+ "format": "% - % [%] \"%\" % % % % % % % % % % %"
+ },
+ {
+ "name": "extended2",
+ "format": "% - % [%] \"%\" % % % % % % % % % % % % % % %"
+ }
+ ],
+ "logs": [
+ {
+ "filename": "squid",
+ "format": "squid",
+ "mode": "binary"
+ }
+ ]
+ }
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/ttyd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/ttyd.nix
index 68d55ee6ffd..431509f7fd5 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/ttyd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/ttyd.nix
@@ -78,7 +78,7 @@ in
clientOptions = mkOption {
type = types.attrsOf types.str;
default = {};
- example = literalExample ''{
+ example = literalExpression ''{
fontSize = "16";
fontFamily = "Fira Code";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/unit/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/unit/default.nix
index 2a264bf2e9a..b2eecdbb53e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/unit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/unit/default.nix
@@ -14,7 +14,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.unit;
- defaultText = "pkgs.unit";
+ defaultText = literalExpression "pkgs.unit";
description = "Unit package to use.";
};
user = mkOption {
@@ -45,7 +45,7 @@ in {
"applications": {}
}
'';
- example = literalExample ''
+ example = ''
{
"listeners": {
"*:8300": {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/uwsgi.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/uwsgi.nix
index 2dfc39c847a..ac435951310 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/uwsgi.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/uwsgi.nix
@@ -114,7 +114,7 @@ in {
default = {
type = "normal";
};
- example = literalExample ''
+ example = literalExpression ''
{
type = "emperor";
vassals = {
@@ -163,7 +163,7 @@ in {
type = types.listOf types.str;
apply = caps: caps ++ optionals isEmperor imperialPowers;
default = [ ];
- example = literalExample ''
+ example = literalExpression ''
[
"CAP_NET_BIND_SERVICE" # bind on ports <1024
"CAP_NET_RAW" # open raw sockets
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/varnish/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/varnish/default.nix
index 01fe3d12917..0ebf58eb9f6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/varnish/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/varnish/default.nix
@@ -13,10 +13,12 @@ in
services.varnish = {
enable = mkEnableOption "Varnish Server";
+ enableConfigCheck = mkEnableOption "checking the config during build time" // { default = true; };
+
package = mkOption {
type = types.package;
default = pkgs.varnish;
- defaultText = "pkgs.varnish";
+ defaultText = literalExpression "pkgs.varnish";
description = ''
The package to use
'';
@@ -48,7 +50,7 @@ in
extraModules = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "[ pkgs.varnishPackages.geoip ]";
+ example = literalExpression "[ pkgs.varnishPackages.geoip ]";
description = "
Varnish modules (except 'std').
";
@@ -96,11 +98,10 @@ in
environment.systemPackages = [ cfg.package ];
# check .vcl syntax at compile time (e.g. before nixops deployment)
- system.extraDependencies = [
- (pkgs.stdenv.mkDerivation {
- name = "check-varnish-syntax";
- buildCommand = "${cfg.package}/sbin/varnishd -C ${commandLine} 2> $out || (cat $out; exit 1)";
- })
+ system.extraDependencies = mkIf cfg.enableConfigCheck [
+ (pkgs.runCommand "check-varnish-syntax" {} ''
+ ${cfg.package}/bin/varnishd -C ${commandLine} 2> $out || (cat $out; exit 1)
+ '')
];
users.users.varnish = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/zope2.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/zope2.nix
index 3abd506827c..92210916022 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/zope2.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/web-servers/zope2.nix
@@ -75,7 +75,7 @@ in
services.zope2.instances = mkOption {
default = {};
type = with types; attrsOf (submodule zope2Opts);
- example = literalExample ''
+ example = literalExpression ''
{
plone01 = {
http_address = "127.0.0.1:8080";
@@ -103,7 +103,11 @@ in
config = mkIf (cfg.instances != {}) {
- users.users.zope2.uid = config.ids.uids.zope2;
+ users.users.zope2 = {
+ isSystemUser = true;
+ group = "zope2";
+ };
+ users.groups.zope2 = {};
systemd.services =
let
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/cde.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/cde.nix
index 3f1575a0ca6..6c7105729cf 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/cde.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/cde.nix
@@ -14,7 +14,7 @@ in {
default = with pkgs.xorg; [
xclock bitmap xlsfonts xfd xrefresh xload xwininfo xdpyinfo xwd xwud
];
- example = literalExample ''
+ defaultText = literalExpression ''
with pkgs.xorg; [
xclock bitmap xlsfonts xfd xrefresh xload xwininfo xdpyinfo xwd xwud
]
@@ -49,9 +49,10 @@ in {
users.groups.mail = {};
security.wrappers = {
dtmail = {
- source = "${pkgs.cdesktopenv}/bin/dtmail";
- group = "mail";
setgid = true;
+ owner = "root";
+ group = "mail";
+ source = "${pkgs.cdesktopenv}/bin/dtmail";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/cinnamon.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/cinnamon.nix
index d201c1a5334..a0a5873f72f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/cinnamon.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/cinnamon.nix
@@ -26,7 +26,7 @@ in
sessionPath = mkOption {
default = [];
type = types.listOf types.package;
- example = literalExample "[ pkgs.gnome.gpaste ]";
+ example = literalExpression "[ pkgs.gnome.gpaste ]";
description = ''
Additional list of packages to be added to the session search path.
Useful for GSettings-conditional autostart.
@@ -50,7 +50,7 @@ in
environment.cinnamon.excludePackages = mkOption {
default = [];
- example = literalExample "[ pkgs.cinnamon.blueberry ]";
+ example = literalExpression "[ pkgs.cinnamon.blueberry ]";
type = types.listOf types.package;
description = "Which packages cinnamon should exclude from the default environment";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/enlightenment.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/enlightenment.nix
index 3a7ab64510b..e3d876e82fd 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/enlightenment.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/enlightenment.nix
@@ -65,9 +65,24 @@ in
# Wrappers for programs installed by enlightenment that should be setuid
security.wrappers = {
- enlightenment_ckpasswd.source = "${pkgs.enlightenment.enlightenment}/lib/enlightenment/utils/enlightenment_ckpasswd";
- enlightenment_sys.source = "${pkgs.enlightenment.enlightenment}/lib/enlightenment/utils/enlightenment_sys";
- enlightenment_system.source = "${pkgs.enlightenment.enlightenment}/lib/enlightenment/utils/enlightenment_system";
+ enlightenment_ckpasswd =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.enlightenment.enlightenment}/lib/enlightenment/utils/enlightenment_ckpasswd";
+ };
+ enlightenment_sys =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.enlightenment.enlightenment}/lib/enlightenment/utils/enlightenment_sys";
+ };
+ enlightenment_system =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.enlightenment.enlightenment}/lib/enlightenment/utils/enlightenment_system";
+ };
};
environment.etc."X11/xkb".source = xcfg.xkbDir;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/gnome.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/gnome.nix
index b0859321a52..1e316c379f5 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/gnome.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/gnome.nix
@@ -186,7 +186,7 @@ in
sessionPath = mkOption {
default = [];
type = types.listOf types.package;
- example = literalExample "[ pkgs.gnome.gpaste ]";
+ example = literalExpression "[ pkgs.gnome.gpaste ]";
description = ''
Additional list of packages to be added to the session search path.
Useful for GNOME Shell extensions or GSettings-conditional autostart.
@@ -200,9 +200,11 @@ in
internal = true; # this is messy
default = defaultFavoriteAppsOverride;
type = types.lines;
- example = literalExample ''
- [org.gnome.shell]
- favorite-apps=[ 'firefox.desktop', 'org.gnome.Calendar.desktop' ]
+ example = literalExpression ''
+ '''
+ [org.gnome.shell]
+ favorite-apps=[ 'firefox.desktop', 'org.gnome.Calendar.desktop' ]
+ '''
'';
description = "List of desktop files to put as favorite apps into gnome-shell. These need to be installed somehow globally.";
};
@@ -242,13 +244,13 @@ in
wmCommand = mkOption {
type = types.str;
description = "The executable of the window manager to use.";
- example = "\${pkgs.haskellPackages.xmonad}/bin/xmonad";
+ example = literalExpression ''"''${pkgs.haskellPackages.xmonad}/bin/xmonad"'';
};
enableGnomePanel = mkOption {
type = types.bool;
default = true;
- example = "false";
+ example = false;
description = "Whether to enable the GNOME panel in this session.";
};
};
@@ -259,20 +261,20 @@ in
panelModulePackages = mkOption {
default = [ pkgs.gnome.gnome-applets ];
+ defaultText = literalExpression "[ pkgs.gnome.gnome-applets ]";
type = types.listOf types.path;
description = ''
Packages containing modules that should be made available to gnome-panel (usually for applets).
If you're packaging something to use here, please install the modules in $out/lib/gnome-panel/modules .
'';
- example = literalExample "[ pkgs.gnome.gnome-applets ]";
};
};
};
environment.gnome.excludePackages = mkOption {
default = [];
- example = literalExample "[ pkgs.gnome.totem ]";
+ example = literalExpression "[ pkgs.gnome.totem ]";
type = types.listOf types.package;
description = "Which packages gnome should exclude from the default environment";
};
@@ -370,7 +372,20 @@ in
services.xserver.libinput.enable = mkDefault true; # for controlling touchpad settings via gnome control center
xdg.portal.enable = true;
- xdg.portal.extraPortals = [ pkgs.xdg-desktop-portal-gtk ];
+ xdg.portal.extraPortals = [
+ pkgs.xdg-desktop-portal-gnome
+ (pkgs.xdg-desktop-portal-gtk.override {
+ # Do not build portals that we already have.
+ buildPortalsInGnome = false;
+ })
+ ];
+
+ # Harmonize Qt5 application style and also make them use the portal for file chooser dialog.
+ qt5 = {
+ enable = mkDefault true;
+ platformTheme = mkDefault "gnome";
+ style = mkDefault "adwaita";
+ };
networking.networkmanager.enable = mkDefault true;
@@ -469,6 +484,8 @@ in
(mkIf serviceCfg.experimental-features.realtime-scheduling {
security.wrappers.".gnome-shell-wrapped" = {
source = "${pkgs.gnome.gnome-shell}/bin/.gnome-shell-wrapped";
+ owner = "root";
+ group = "root";
capabilities = "cap_sys_nice=ep";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/kodi.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/kodi.nix
index af303d6fb27..b853c94d6fd 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/kodi.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/kodi.nix
@@ -18,8 +18,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.kodi;
- defaultText = "pkgs.kodi";
- example = "pkgs.kodi.withPackages (p: with p; [ jellyfin pvr-iptvsimple vfs-sftp ])";
+ defaultText = literalExpression "pkgs.kodi";
+ example = literalExpression "pkgs.kodi.withPackages (p: with p; [ jellyfin pvr-iptvsimple vfs-sftp ])";
description = ''
Package that should be used for Kodi.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/lxqt.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/lxqt.nix
index 71dfad5c7ca..720985ba0d9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/lxqt.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/lxqt.nix
@@ -19,7 +19,7 @@ in
environment.lxqt.excludePackages = mkOption {
default = [];
- example = literalExample "[ pkgs.lxqt.qterminal ]";
+ example = literalExpression "[ pkgs.lxqt.qterminal ]";
type = types.listOf types.package;
description = "Which LXQt packages to exclude from the default environment";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/mate.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/mate.nix
index 19ab9edb732..f8f47a06145 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/mate.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/mate.nix
@@ -35,7 +35,7 @@ in
environment.mate.excludePackages = mkOption {
default = [];
- example = literalExample "[ pkgs.mate.mate-terminal pkgs.mate.pluma ]";
+ example = literalExpression "[ pkgs.mate.mate-terminal pkgs.mate.pluma ]";
type = types.listOf types.package;
description = "Which MATE packages to exclude from the default environment";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/pantheon.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/pantheon.nix
index e492073b80f..112f493b811 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/pantheon.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/pantheon.nix
@@ -18,7 +18,7 @@ in
meta = {
doc = ./pantheon.xml;
- maintainers = pkgs.pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
options = {
@@ -43,7 +43,7 @@ in
sessionPath = mkOption {
default = [];
type = types.listOf types.package;
- example = literalExample "[ pkgs.gnome.gpaste ]";
+ example = literalExpression "[ pkgs.gnome.gpaste ]";
description = ''
Additional list of packages to be added to the session search path.
Useful for GSettings-conditional autostart.
@@ -86,7 +86,7 @@ in
environment.pantheon.excludePackages = mkOption {
default = [];
- example = literalExample "[ pkgs.pantheon.elementary-camera ]";
+ example = literalExpression "[ pkgs.pantheon.elementary-camera ]";
type = types.listOf types.package;
description = "Which packages pantheon should exclude from the default environment";
};
@@ -134,6 +134,9 @@ in
services.accounts-daemon.enable = true;
services.bamf.enable = true;
services.colord.enable = mkDefault true;
+ services.fwupd.enable = mkDefault true;
+ services.touchegg.enable = mkDefault true;
+ services.touchegg.package = pkgs.pantheon.touchegg;
services.tumbler.enable = mkDefault true;
services.system-config-printer.enable = (mkIf config.services.printing.enable (mkDefault true));
services.dbus.packages = with pkgs.pantheon; [
@@ -162,12 +165,11 @@ in
isAllowed = true;
isSystem = true;
};
- # Use gnome-settings-daemon fork
services.udev.packages = [
- pkgs.pantheon.elementary-settings-daemon
+ pkgs.gnome.gnome-settings-daemon338
];
systemd.packages = [
- pkgs.pantheon.elementary-settings-daemon
+ pkgs.gnome.gnome-settings-daemon338
];
programs.dconf.enable = true;
networking.networkmanager.enable = mkDefault true;
@@ -180,7 +182,6 @@ in
gnome.adwaita-icon-theme
gtk3.out
hicolor-icon-theme
- lightlocker
onboard
qgnomeplatform
shared-mime-info
@@ -208,25 +209,27 @@ in
# Services
elementary-capnet-assist
- elementary-dpms-helper
elementary-notifications
elementary-settings-daemon
pantheon-agent-geoclue2
pantheon-agent-polkit
]) ++ (gnome.removePackagesByName [
- gnome.geary
- gnome.epiphany
gnome.gnome-font-viewer
+ gnome.gnome-settings-daemon338
] config.environment.pantheon.excludePackages);
programs.evince.enable = mkDefault true;
+ programs.evince.package = pkgs.pantheon.evince;
programs.file-roller.enable = mkDefault true;
# Settings from elementary-default-settings
environment.sessionVariables.GTK_CSD = "1";
- environment.sessionVariables.GTK3_MODULES = [ "pantheon-filechooser-module" ];
environment.etc."gtk-3.0/settings.ini".source = "${pkgs.pantheon.elementary-default-settings}/etc/gtk-3.0/settings.ini";
+ xdg.portal.extraPortals = [
+ pkgs.pantheon.elementary-files
+ ];
+
# Override GSettings schemas
environment.sessionVariables.NIX_GSETTINGS_OVERRIDES_DIR = "${nixos-gsettings-desktop-schemas}/share/gsettings-schemas/nixos-gsettings-overrides/glib-2.0/schemas";
@@ -254,6 +257,8 @@ in
# Default Fonts
fonts.fonts = with pkgs; [
+ inter
+ open-dyslexic
open-sans
roboto-mono
];
@@ -271,14 +276,16 @@ in
elementary-camera
elementary-code
elementary-files
+ elementary-mail
elementary-music
elementary-photos
- elementary-screenshot-tool
+ elementary-screenshot
elementary-terminal
elementary-videos
+ epiphany
] config.environment.pantheon.excludePackages);
- # needed by screenshot-tool
+ # needed by screenshot
fonts.fonts = [
pkgs.pantheon.elementary-redacted-script
];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/pantheon.xml b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/pantheon.xml
index 7905ceebd9a..fe0a1c49622 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/pantheon.xml
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/pantheon.xml
@@ -22,7 +22,7 @@
= false;
- You can also use to remove any other app (like geary ).
+ You can also use to remove any other app (like elementary-mail ).
@@ -105,8 +105,14 @@ switchboard-with-plugs.override {
- AppCenter has been available since 20.03, but it is of little use. This is because there is no functioning PackageKit backend for Nix 2.0. In the near future you will be able to install Flatpak applications from AppCenter on NixOS. See this issue.
+ AppCenter has been available since 20.03, but it is of little use. This is because there is no functioning PackageKit backend for Nix 2.0. Starting from 21.11, the Flatpak backend should work so you can install some Flatpak applications using it. See this issue.
+
+ To use AppCenter on NixOS, add pantheon.appcenter to , enable Flatpak support and optionally add the appcenter Flatpak remote:
+
+
+$ flatpak remote-add --if-not-exists appcenter https://flatpak.elementary.io/repo.flatpakrepo
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/plasma5.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/plasma5.nix
index aac905fea43..11cb4d3b8a9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/plasma5.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/plasma5.nix
@@ -13,7 +13,6 @@ let
pulseaudio = config.hardware.pulseaudio;
pactl = "${getBin pulseaudio.package}/bin/pactl";
- startplasma-x11 = "${getBin plasma5.plasma-workspace}/bin/startplasma-x11";
sed = "${getBin pkgs.gnused}/bin/sed";
gtkrc2 = writeText "gtkrc-2.0" ''
@@ -136,9 +135,6 @@ let
fi
fi
- ''
- + ''
- exec "${startplasma-x11}"
'';
in
@@ -172,6 +168,12 @@ in
disabled by default.
'';
};
+
+ useQtScaling = mkOption {
+ type = types.bool;
+ default = false;
+ description = "Enable HiDPI scaling in Qt.";
+ };
};
};
@@ -183,6 +185,7 @@ in
config = mkMerge [
(mkIf cfg.enable {
+
# Seed our configuration into nixos-generate-config
system.nixos-generate-config.desktopConfiguration = [''
# Enable the Plasma 5 Desktop Environment.
@@ -190,19 +193,27 @@ in
services.xserver.desktopManager.plasma5.enable = true;
''];
- services.xserver.desktopManager.session = singleton {
- name = "plasma5";
- bgSupport = true;
- start = startplasma;
- };
+ services.xserver.displayManager.sessionPackages = [ pkgs.libsForQt5.plasma5.plasma-workspace ];
security.wrappers = {
- kcheckpass.source = "${lib.getBin libsForQt5.kscreenlocker}/libexec/kcheckpass";
- start_kdeinit.source = "${lib.getBin libsForQt5.kinit}/libexec/kf5/start_kdeinit";
- kwin_wayland = {
- source = "${lib.getBin plasma5.kwin}/bin/kwin_wayland";
- capabilities = "cap_sys_nice+ep";
- };
+ kcheckpass =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${lib.getBin libsForQt5.kscreenlocker}/libexec/kcheckpass";
+ };
+ start_kdeinit =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${lib.getBin libsForQt5.kinit}/libexec/kf5/start_kdeinit";
+ };
+ kwin_wayland =
+ { owner = "root";
+ group = "root";
+ capabilities = "cap_sys_nice+ep";
+ source = "${lib.getBin plasma5.kwin}/bin/kwin_wayland";
+ };
};
# DDC support
@@ -252,6 +263,7 @@ in
kwallet-pam
kwalletmanager
kwayland
+ kwayland-integration
kwidgetsaddons
kxmlgui
kxmlrpcclient
@@ -307,6 +319,10 @@ in
qtvirtualkeyboard
pkgs.xdg-user-dirs # Update user dirs as described in https://freedesktop.org/wiki/Software/xdg-user-dirs/
+
+ elisa
+ gwenview
+ okular
]
# Phonon audio backend
@@ -330,6 +346,8 @@ in
environment.etc."X11/xkb".source = xcfg.xkbDir;
+ environment.sessionVariables.PLASMA_USE_QT_SCALING = mkIf cfg.useQtScaling "1";
+
# Enable GTK applications to load SVG icons
services.xserver.gdk-pixbuf.modulePackages = [ pkgs.librsvg ];
@@ -372,6 +390,7 @@ in
# Update the start menu for each user that is currently logged in
system.userActivationScripts.plasmaSetup = activationScript;
+ services.xserver.displayManager.setupCommands = startplasma;
nixpkgs.config.firefox.enablePlasmaBrowserIntegration = true;
})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/surf-display.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/surf-display.nix
index 9aeb0bbd2a8..4b5a04f988b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/surf-display.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/surf-display.nix
@@ -50,6 +50,7 @@ in {
defaultWwwUri = mkOption {
type = types.str;
default = "${pkgs.surf-display}/share/surf-display/empty-page.html";
+ defaultText = literalExpression ''"''${pkgs.surf-display}/share/surf-display/empty-page.html"'';
example = "https://www.example.com/";
description = "Default URI to display.";
};
@@ -57,7 +58,7 @@ in {
inactivityInterval = mkOption {
type = types.int;
default = 300;
- example = "0";
+ example = 0;
description = ''
Setting for internal inactivity timer to restart surf-display if the
user goes inactive/idle to get a fresh session for the next user of
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/xfce.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/xfce.nix
index bbfdea2225b..25276e1d649 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/xfce.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/xfce.nix
@@ -49,7 +49,7 @@ in
thunarPlugins = mkOption {
default = [];
type = types.listOf types.package;
- example = literalExample "[ pkgs.xfce.thunar-archive-plugin ]";
+ example = literalExpression "[ pkgs.xfce.thunar-archive-plugin ]";
description = ''
A list of plugin that should be installed with Thunar.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/xterm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/xterm.nix
index f76db278a92..3424ee1b0e1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/xterm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/desktop-managers/xterm.nix
@@ -14,8 +14,8 @@ in
services.xserver.desktopManager.xterm.enable = mkOption {
type = types.bool;
- default = (versionOlder config.system.stateVersion "19.09") && xSessionEnabled;
- defaultText = if versionOlder config.system.stateVersion "19.09" then "config.services.xserver.enable" else "false";
+ default = versionOlder config.system.stateVersion "19.09" && xSessionEnabled;
+ defaultText = literalExpression ''versionOlder config.system.stateVersion "19.09" && config.services.xserver.enable;'';
description = "Enable a xterm terminal as a desktop manager.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/default.nix
index 584dfb63c4d..7fc8db95a48 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/default.nix
@@ -217,7 +217,7 @@ in
session = mkOption {
default = [];
- example = literalExample
+ example = literalExpression
''
[ { manage = "desktop";
name = "xterm";
@@ -305,9 +305,7 @@ in
execCmd = mkOption {
type = types.str;
- example = literalExample ''
- "''${pkgs.lightdm}/bin/lightdm"
- '';
+ example = literalExpression ''"''${pkgs.lightdm}/bin/lightdm"'';
description = "Command to start the display manager.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/gdm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/gdm.nix
index 5c4c6c67fd0..e036c684c88 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/gdm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/gdm.nix
@@ -6,6 +6,8 @@ let
cfg = config.services.xserver.displayManager;
gdm = pkgs.gnome.gdm;
+ settingsFormat = pkgs.formats.ini { };
+ configFile = settingsFormat.generate "custom.conf" cfg.gdm.settings;
xSessionWrapper = if (cfg.setupCommands == "") then null else
pkgs.writeScript "gdm-x-session-wrapper" ''
@@ -24,7 +26,6 @@ let
load-module module-udev-detect
load-module module-native-protocol-unix
load-module module-default-device-restore
- load-module module-rescue-streams
load-module module-always-sink
load-module module-intended-roles
load-module module-suspend-on-idle
@@ -105,6 +106,18 @@ in
type = types.bool;
};
+ settings = mkOption {
+ type = settingsFormat.type;
+ default = { };
+ example = {
+ debug.enable = true;
+ };
+ description = ''
+ Options passed to the gdm daemon.
+ See here for supported options.
+ '';
+ };
+
};
};
@@ -174,9 +187,6 @@ in
"systemd-machined.service"
# setSessionScript wants AccountsService
"accounts-daemon.service"
- # Failed to open gpu '/dev/dri/card0': GDBus.Error:org.freedesktop.DBus.Error.AccessDenied: Operation not permitted
- # https://github.com/NixOS/nixpkgs/pull/25311#issuecomment-609417621
- "systemd-udev-settle.service"
];
systemd.services.display-manager.after = [
@@ -186,7 +196,6 @@ in
"getty@tty${gdm.initialVT}.service"
"plymouth-quit.service"
"plymouth-start.service"
- "systemd-udev-settle.service"
];
systemd.services.display-manager.conflicts = [
"getty@tty${gdm.initialVT}.service"
@@ -274,31 +283,26 @@ in
# Use AutomaticLogin if delay is zero, because it's immediate.
# Otherwise with TimedLogin with zero seconds the prompt is still
# presented and there's a little delay.
- environment.etc."gdm/custom.conf".text = ''
- [daemon]
- WaylandEnable=${boolToString cfg.gdm.wayland}
- ${optionalString cfg.autoLogin.enable (
- if cfg.gdm.autoLogin.delay > 0 then ''
- TimedLoginEnable=true
- TimedLogin=${cfg.autoLogin.user}
- TimedLoginDelay=${toString cfg.gdm.autoLogin.delay}
- '' else ''
- AutomaticLoginEnable=true
- AutomaticLogin=${cfg.autoLogin.user}
- '')
- }
-
- [security]
-
- [xdmcp]
-
- [greeter]
-
- [chooser]
-
- [debug]
- ${optionalString cfg.gdm.debug "Enable=true"}
- '';
+ services.xserver.displayManager.gdm.settings = {
+ daemon = mkMerge [
+ { WaylandEnable = cfg.gdm.wayland; }
+ # nested if else didn't work
+ (mkIf (cfg.autoLogin.enable && cfg.gdm.autoLogin.delay != 0 ) {
+ TimedLoginEnable = true;
+ TimedLogin = cfg.autoLogin.user;
+ TimedLoginDelay = cfg.gdm.autoLogin.delay;
+ })
+ (mkIf (cfg.autoLogin.enable && cfg.gdm.autoLogin.delay == 0 ) {
+ AutomaticLoginEnable = true;
+ AutomaticLogin = cfg.autoLogin.user;
+ })
+ ];
+ debug = mkIf cfg.gdm.debug {
+ Enable = true;
+ };
+ };
+
+ environment.etc."gdm/custom.conf".source = configFile;
environment.etc."gdm/Xsession".source = config.services.xserver.displayManager.sessionData.wrapper;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/lightdm-greeters/enso-os.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/lightdm-greeters/enso-os.nix
index ecd46a9ee6d..930ee96b384 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/lightdm-greeters/enso-os.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/lightdm-greeters/enso-os.nix
@@ -35,7 +35,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.gnome.gnome-themes-extra;
- defaultText = "pkgs.gnome.gnome-themes-extra";
+ defaultText = literalExpression "pkgs.gnome.gnome-themes-extra";
description = ''
The package path that contains the theme given in the name option.
'';
@@ -54,7 +54,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.papirus-icon-theme;
- defaultText = "pkgs.papirus-icon-theme";
+ defaultText = literalExpression "pkgs.papirus-icon-theme";
description = ''
The package path that contains the icon theme given in the name option.
'';
@@ -73,7 +73,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.capitaine-cursors;
- defaultText = "pkgs.capitaine-cursors";
+ defaultText = literalExpression "pkgs.capitaine-cursors";
description = ''
The package path that contains the cursor theme given in the name option.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/lightdm-greeters/gtk.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/lightdm-greeters/gtk.nix
index fe5a16bc60f..debd4b568bf 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/lightdm-greeters/gtk.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/lightdm-greeters/gtk.nix
@@ -48,7 +48,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.gnome.gnome-themes-extra;
- defaultText = "pkgs.gnome.gnome-themes-extra";
+ defaultText = literalExpression "pkgs.gnome.gnome-themes-extra";
description = ''
The package path that contains the theme given in the name option.
'';
@@ -69,7 +69,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.gnome.adwaita-icon-theme;
- defaultText = "pkgs.gnome.adwaita-icon-theme";
+ defaultText = literalExpression "pkgs.gnome.adwaita-icon-theme";
description = ''
The package path that contains the icon theme given in the name option.
'';
@@ -90,7 +90,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.gnome.adwaita-icon-theme;
- defaultText = "pkgs.gnome.adwaita-icon-theme";
+ defaultText = literalExpression "pkgs.gnome.adwaita-icon-theme";
description = ''
The package path that contains the cursor theme given in the name option.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/lightdm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/lightdm.nix
index 41c1b635f5d..1c9a5f978c5 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/lightdm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/lightdm.nix
@@ -148,7 +148,7 @@ in
background = mkOption {
type = types.path;
# Manual cannot depend on packages, we are actually setting the default in config below.
- defaultText = "pkgs.nixos-artwork.wallpapers.simple-dark-gray-bottom.gnomeFilePath";
+ defaultText = literalExpression "pkgs.nixos-artwork.wallpapers.simple-dark-gray-bottom.gnomeFilePath";
description = ''
The background image or color to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/sddm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/sddm.nix
index d79b3cda2fc..5a4fad9c4cb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/sddm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/sddm.nix
@@ -113,14 +113,12 @@ in
settings = mkOption {
type = iniFmt.type;
default = { };
- example = ''
- {
- Autologin = {
- User = "john";
- Session = "plasma.desktop";
- };
- }
- '';
+ example = {
+ Autologin = {
+ User = "john";
+ Session = "plasma.desktop";
+ };
+ };
description = ''
Extra settings merged in and overwritting defaults in sddm.conf.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/sx.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/sx.nix
index 132531c0ddc..73d27390a58 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/sx.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/display-managers/sx.nix
@@ -34,4 +34,6 @@ in {
};
systemd.services.display-manager.enable = false;
};
+
+ meta.maintainers = with maintainers; [ figsoda ];
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/extra-layouts.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/extra-layouts.nix
index f48216ff446..159bed63e13 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/extra-layouts.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/extra-layouts.nix
@@ -79,6 +79,10 @@ let
};
};
+ xkb_patched = pkgs.xorg.xkeyboardconfig_custom {
+ layouts = config.services.xserver.extraLayouts;
+ };
+
in
{
@@ -89,7 +93,7 @@ in
extraLayouts = mkOption {
type = types.attrsOf (types.submodule layoutOpts);
default = {};
- example = literalExample
+ example = literalExpression
''
{
mine = {
@@ -114,59 +118,16 @@ in
config = mkIf (layouts != { }) {
- # We don't override xkeyboard_config directly to
- # reduce the amount of packages to be recompiled.
- # Only the following packages are necessary to set
- # a custom layout anyway:
- nixpkgs.overlays = lib.singleton (self: super: {
-
- xkb_patched = self.xorg.xkeyboardconfig_custom {
- layouts = config.services.xserver.extraLayouts;
- };
-
- xorg = super.xorg // {
- xorgserver = super.xorg.xorgserver.overrideAttrs (old: {
- configureFlags = old.configureFlags ++ [
- "--with-xkb-bin-directory=${self.xorg.xkbcomp}/bin"
- "--with-xkb-path=${self.xkb_patched}/share/X11/xkb"
- ];
- });
-
- setxkbmap = super.xorg.setxkbmap.overrideAttrs (old: {
- postInstall =
- ''
- mkdir -p $out/share
- ln -sfn ${self.xkb_patched}/etc/X11 $out/share/X11
- '';
- });
-
- xkbcomp = super.xorg.xkbcomp.overrideAttrs (old: {
- configureFlags = [ "--with-xkb-config-root=${self.xkb_patched}/share/X11/xkb" ];
- });
-
- };
-
- ckbcomp = super.ckbcomp.override {
- xkeyboard_config = self.xkb_patched;
- };
-
- xkbvalidate = super.xkbvalidate.override {
- libxkbcommon = self.libxkbcommon.override {
- xkeyboard_config = self.xkb_patched;
- };
- };
-
- });
-
environment.sessionVariables = {
# runtime override supported by multiple libraries e. g. libxkbcommon
# https://xkbcommon.org/doc/current/group__include-path.html
- XKB_CONFIG_ROOT = "${pkgs.xkb_patched}/etc/X11/xkb";
+ XKB_CONFIG_ROOT = "${xkb_patched}/etc/X11/xkb";
};
services.xserver = {
- xkbDir = "${pkgs.xkb_patched}/etc/X11/xkb";
- exportConfiguration = config.services.xserver.displayManager.startx.enable;
+ xkbDir = "${xkb_patched}/etc/X11/xkb";
+ exportConfiguration = config.services.xserver.displayManager.startx.enable
+ || config.services.xserver.displayManager.sx.enable;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/hardware/libinput.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/hardware/libinput.nix
index 439708bc47e..e2fb7d0918e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/hardware/libinput.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/hardware/libinput.nix
@@ -163,6 +163,15 @@ let cfg = config.services.xserver.libinput;
'';
};
+ transformationMatrix = mkOption {
+ type = types.nullOr types.str;
+ default = null;
+ description = ''
+ A string of 9 space-separated floating point numbers. Sets the transformation matrix to
+ the 3x3 matrix where the first row is (abc), the second row is (def) and the third row is (ghi).
+ '';
+ };
+
disableWhileTyping = mkOption {
type = types.bool;
default = false;
@@ -196,6 +205,7 @@ let cfg = config.services.xserver.libinput;
${optionalString (cfg.${deviceType}.accelSpeed != null) ''Option "AccelSpeed" "${cfg.${deviceType}.accelSpeed}"''}
${optionalString (cfg.${deviceType}.buttonMapping != null) ''Option "ButtonMapping" "${cfg.${deviceType}.buttonMapping}"''}
${optionalString (cfg.${deviceType}.calibrationMatrix != null) ''Option "CalibrationMatrix" "${cfg.${deviceType}.calibrationMatrix}"''}
+ ${optionalString (cfg.${deviceType}.transformationMatrix != null) ''Option "TransformationMatrix" "${cfg.${deviceType}.transformationMatrix}"''}
${optionalString (cfg.${deviceType}.clickMethod != null) ''Option "ClickMethod" "${cfg.${deviceType}.clickMethod}"''}
Option "LeftHanded" "${xorgBool cfg.${deviceType}.leftHanded}"
Option "MiddleEmulation" "${xorgBool cfg.${deviceType}.middleEmulation}"
@@ -227,6 +237,7 @@ in {
"sendEventsMode"
"tapping"
"tappingDragLock"
+ "transformationMatrix"
"disableWhileTyping"
"additionalOptions"
]);
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/imwheel.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/imwheel.nix
index 51f72dadbd4..ae990141a50 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/imwheel.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/imwheel.nix
@@ -21,15 +21,17 @@ in
rules = mkOption {
type = types.attrsOf types.str;
default = {};
- example = literalExample ''
- ".*" = '''
- None, Up, Button4, 8
- None, Down, Button5, 8
- Shift_L, Up, Shift_L|Button4, 4
- Shift_L, Down, Shift_L|Button5, 4
- Control_L, Up, Control_L|Button4
- Control_L, Down, Control_L|Button5
- ''';
+ example = literalExpression ''
+ {
+ ".*" = '''
+ None, Up, Button4, 8
+ None, Down, Button5, 8
+ Shift_L, Up, Shift_L|Button4, 4
+ Shift_L, Down, Shift_L|Button5, 4
+ Control_L, Up, Control_L|Button4
+ Control_L, Down, Control_L|Button5
+ ''';
+ }
'';
description = ''
Window class translation rules.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/picom.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/picom.nix
index 977d0fea219..dbd4b1cefef 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/picom.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/picom.nix
@@ -254,7 +254,7 @@ in {
in mkOption {
type = topLevel;
default = { };
- example = literalExample ''
+ example = literalExpression ''
blur =
{ method = "gaussian";
size = 10;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/redshift.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/redshift.nix
index 60d80a28762..cc9f964754f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/redshift.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/redshift.nix
@@ -76,7 +76,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.redshift;
- defaultText = "pkgs.redshift";
+ defaultText = literalExpression "pkgs.redshift";
description = ''
redshift derivation to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/touchegg.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/touchegg.nix
new file mode 100644
index 00000000000..9d3678e7696
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/touchegg.nix
@@ -0,0 +1,38 @@
+{ config, lib, pkgs, ... }:
+
+with lib;
+
+let cfg = config.services.touchegg;
+
+in {
+ meta = {
+ maintainers = teams.pantheon.members;
+ };
+
+ ###### interface
+ options.services.touchegg = {
+ enable = mkEnableOption "touchegg, a multi-touch gesture recognizer";
+
+ package = mkOption {
+ type = types.package;
+ default = pkgs.touchegg;
+ defaultText = literalExpression "pkgs.touchegg";
+ description = "touchegg derivation to use.";
+ };
+ };
+
+ ###### implementation
+ config = mkIf cfg.enable {
+ systemd.services.touchegg = {
+ description = "Touchegg Daemon";
+ serviceConfig = {
+ Type = "simple";
+ ExecStart = "${cfg.package}/bin/touchegg --daemon";
+ Restart = "on-failure";
+ };
+ wantedBy = [ "multi-user.target" ];
+ };
+
+ environment.systemPackages = [ cfg.package ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/unclutter-xfixes.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/unclutter-xfixes.nix
index 71262431b68..0b4d06f640d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/unclutter-xfixes.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/unclutter-xfixes.nix
@@ -17,7 +17,7 @@ in {
description = "unclutter-xfixes derivation to use.";
type = types.package;
default = pkgs.unclutter-xfixes;
- defaultText = "pkgs.unclutter-xfixes";
+ defaultText = literalExpression "pkgs.unclutter-xfixes";
};
timeout = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/unclutter.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/unclutter.nix
index 56e30c79d1f..bdb5fa7b50c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/unclutter.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/unclutter.nix
@@ -16,7 +16,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.unclutter;
- defaultText = "pkgs.unclutter";
+ defaultText = literalExpression "pkgs.unclutter";
description = "unclutter derivation to use.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/urxvtd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/urxvtd.nix
index 867ac38a944..0a0df447f4e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/urxvtd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/urxvtd.nix
@@ -19,7 +19,7 @@ in {
package = mkOption {
default = pkgs.rxvt-unicode;
- defaultText = "pkgs.rxvt-unicode";
+ defaultText = literalExpression "pkgs.rxvt-unicode";
description = ''
Package to install. Usually pkgs.rxvt-unicode.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/awesome.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/awesome.nix
index 37a14e34f57..c6c0c934f9a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/awesome.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/awesome.nix
@@ -27,7 +27,7 @@ in
default = [];
type = types.listOf types.package;
description = "List of lua packages available for being used in the Awesome configuration.";
- example = literalExample "[ pkgs.luaPackages.vicious ]";
+ example = literalExpression "[ pkgs.luaPackages.vicious ]";
};
package = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/bspwm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/bspwm.nix
index 23cd4f6529a..ade24061a06 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/bspwm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/bspwm.nix
@@ -14,15 +14,15 @@ in
package = mkOption {
type = types.package;
default = pkgs.bspwm;
- defaultText = "pkgs.bspwm";
- example = "pkgs.bspwm-unstable";
+ defaultText = literalExpression "pkgs.bspwm";
+ example = literalExpression "pkgs.bspwm-unstable";
description = ''
bspwm package to use.
'';
};
configFile = mkOption {
type = with types; nullOr path;
- example = "${pkgs.bspwm}/share/doc/bspwm/examples/bspwmrc";
+ example = literalExpression ''"''${pkgs.bspwm}/share/doc/bspwm/examples/bspwmrc"'';
default = null;
description = ''
Path to the bspwm configuration file.
@@ -34,15 +34,15 @@ in
package = mkOption {
type = types.package;
default = pkgs.sxhkd;
- defaultText = "pkgs.sxhkd";
- example = "pkgs.sxhkd-unstable";
+ defaultText = literalExpression "pkgs.sxhkd";
+ example = literalExpression "pkgs.sxhkd-unstable";
description = ''
sxhkd package to use.
'';
};
configFile = mkOption {
type = with types; nullOr path;
- example = "${pkgs.bspwm}/share/doc/bspwm/examples/sxhkdrc";
+ example = literalExpression ''"''${pkgs.bspwm}/share/doc/bspwm/examples/sxhkdrc"'';
default = null;
description = ''
Path to the sxhkd configuration file.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/clfswm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/clfswm.nix
index 171660c53ac..78772c79974 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/clfswm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/clfswm.nix
@@ -8,17 +8,27 @@ in
{
options = {
- services.xserver.windowManager.clfswm.enable = mkEnableOption "clfswm";
+ services.xserver.windowManager.clfswm = {
+ enable = mkEnableOption "clfswm";
+ package = mkOption {
+ type = types.package;
+ default = pkgs.lispPackages.clfswm;
+ defaultText = literalExpression "pkgs.lispPackages.clfswm";
+ description = ''
+ clfswm package to use.
+ '';
+ };
+ };
};
config = mkIf cfg.enable {
services.xserver.windowManager.session = singleton {
name = "clfswm";
start = ''
- ${pkgs.lispPackages.clfswm}/bin/clfswm &
+ ${cfg.package}/bin/clfswm &
waitPID=$!
'';
};
- environment.systemPackages = [ pkgs.lispPackages.clfswm ];
+ environment.systemPackages = [ cfg.package ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/exwm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/exwm.nix
index 4b707d39849..b505f720f04 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/exwm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/exwm.nix
@@ -22,7 +22,7 @@ in
loadScript = mkOption {
default = "(require 'exwm)";
type = types.lines;
- example = literalExample ''
+ example = ''
(require 'exwm)
(exwm-enable)
'';
@@ -39,8 +39,9 @@ in
};
extraPackages = mkOption {
type = types.functionTo (types.listOf types.package);
- default = self: [];
- example = literalExample ''
+ default = epkgs: [];
+ defaultText = literalExpression "epkgs: []";
+ example = literalExpression ''
epkgs: [
epkgs.emms
epkgs.magit
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/herbstluftwm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/herbstluftwm.nix
index 548097a412d..354d70c695c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/herbstluftwm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/herbstluftwm.nix
@@ -14,7 +14,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.herbstluftwm;
- defaultText = "pkgs.herbstluftwm";
+ defaultText = literalExpression "pkgs.herbstluftwm";
description = ''
Herbstluftwm package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/i3.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/i3.nix
index 0ef55d5f2c0..99f9997024f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/i3.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/i3.nix
@@ -30,8 +30,8 @@ in
package = mkOption {
type = types.package;
default = pkgs.i3;
- defaultText = "pkgs.i3";
- example = "pkgs.i3-gaps";
+ defaultText = literalExpression "pkgs.i3";
+ example = literalExpression "pkgs.i3-gaps";
description = ''
i3 package to use.
'';
@@ -40,7 +40,7 @@ in
extraPackages = mkOption {
type = with types; listOf package;
default = with pkgs; [ dmenu i3status i3lock ];
- example = literalExample ''
+ defaultText = literalExpression ''
with pkgs; [
dmenu
i3status
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/wmderland.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/wmderland.nix
index a6864a82771..56b69220965 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/wmderland.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/wmderland.nix
@@ -28,7 +28,7 @@ in
feh
rxvt-unicode
];
- example = literalExample ''
+ defaultText = literalExpression ''
with pkgs; [
rofi
dunst
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/xmonad.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/xmonad.nix
index fe8ed381251..6aa0d5f76f2 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/xmonad.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/window-managers/xmonad.nix
@@ -2,7 +2,7 @@
with lib;
let
- inherit (lib) mkOption mkIf optionals literalExample;
+ inherit (lib) mkOption mkIf optionals literalExpression;
cfg = config.services.xserver.windowManager.xmonad;
ghcWithPackages = cfg.haskellPackages.ghcWithPackages;
@@ -42,8 +42,8 @@ in {
enable = mkEnableOption "xmonad";
haskellPackages = mkOption {
default = pkgs.haskellPackages;
- defaultText = "pkgs.haskellPackages";
- example = literalExample "pkgs.haskell.packages.ghc784";
+ defaultText = literalExpression "pkgs.haskellPackages";
+ example = literalExpression "pkgs.haskell.packages.ghc784";
description = ''
haskellPackages used to build Xmonad and other packages.
This can be used to change the GHC version used to build
@@ -55,8 +55,8 @@ in {
extraPackages = mkOption {
type = types.functionTo (types.listOf types.package);
default = self: [];
- defaultText = "self: []";
- example = literalExample ''
+ defaultText = literalExpression "self: []";
+ example = literalExpression ''
haskellPackages: [
haskellPackages.xmonad-contrib
haskellPackages.monad-logger
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/xautolock.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/xautolock.nix
index 5ce08fce7c4..947d8f4edfb 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/xautolock.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/xautolock.nix
@@ -27,7 +27,8 @@ in
locker = mkOption {
default = "${pkgs.xlockmore}/bin/xlock"; # default according to `man xautolock`
- example = "${pkgs.i3lock}/bin/i3lock -i /path/to/img";
+ defaultText = literalExpression ''"''${pkgs.xlockmore}/bin/xlock"'';
+ example = literalExpression ''"''${pkgs.i3lock}/bin/i3lock -i /path/to/img"'';
type = types.str;
description = ''
@@ -37,7 +38,7 @@ in
nowlocker = mkOption {
default = null;
- example = "${pkgs.i3lock}/bin/i3lock -i /path/to/img";
+ example = literalExpression ''"''${pkgs.i3lock}/bin/i3lock -i /path/to/img"'';
type = types.nullOr types.str;
description = ''
@@ -56,7 +57,7 @@ in
notifier = mkOption {
default = null;
- example = "${pkgs.libnotify}/bin/notify-send \"Locking in 10 seconds\"";
+ example = literalExpression ''"''${pkgs.libnotify}/bin/notify-send 'Locking in 10 seconds'"'';
type = types.nullOr types.str;
description = ''
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/xserver.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/xserver.nix
index ad9bd88f98a..cb620f10b13 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/xserver.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/services/x11/xserver.nix
@@ -217,7 +217,7 @@ in
inputClassSections = mkOption {
type = types.listOf types.lines;
default = [];
- example = literalExample ''
+ example = literalExpression ''
[ '''
Identifier "Trackpoint Wheel Emulation"
MatchProduct "ThinkPad USB Keyboard with TrackPoint"
@@ -233,7 +233,7 @@ in
modules = mkOption {
type = types.listOf types.path;
default = [];
- example = literalExample "[ pkgs.xf86_input_wacom ]";
+ example = literalExpression "[ pkgs.xf86_input_wacom ]";
description = "Packages to be added to the module search path of the X server.";
};
@@ -351,6 +351,7 @@ in
xkbDir = mkOption {
type = types.path;
default = "${pkgs.xkeyboard_config}/etc/X11/xkb";
+ defaultText = literalExpression ''"''${pkgs.xkeyboard_config}/etc/X11/xkb"'';
description = ''
Path used for -xkbdir xserver parameter.
'';
@@ -738,6 +739,9 @@ in
nativeBuildInputs = with pkgs.buildPackages; [ xkbvalidate ];
preferLocalBuild = true;
} ''
+ ${optionalString (config.environment.sessionVariables ? XKB_CONFIG_ROOT)
+ "export XKB_CONFIG_ROOT=${config.environment.sessionVariables.XKB_CONFIG_ROOT}"
+ }
xkbvalidate "$xkbModel" "$layout" "$xkbVariant" "$xkbOptions"
touch "$out"
'');
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/activation/activation-script.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/activation/activation-script.nix
index 3a6930314b1..8dbfe393f10 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/activation/activation-script.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/activation/activation-script.nix
@@ -17,6 +17,50 @@ let
'';
});
+ systemActivationScript = set: onlyDry: let
+ set' = mapAttrs (_: v: if isString v then (noDepEntry v) // { supportsDryActivation = false; } else v) set;
+ withHeadlines = addAttributeName set';
+ # When building a dry activation script, this replaces all activation scripts
+ # that do not support dry mode with a comment that does nothing. Filtering these
+ # activation scripts out so they don't get generated into the dry activation script
+ # does not work because when an activation script that supports dry mode depends on
+ # an activation script that does not, the dependency cannot be resolved and the eval
+ # fails.
+ withDrySnippets = mapAttrs (a: v: if onlyDry && !v.supportsDryActivation then v // {
+ text = "#### Activation script snippet ${a} does not support dry activation.";
+ } else v) withHeadlines;
+ in
+ ''
+ #!${pkgs.runtimeShell}
+
+ systemConfig='@out@'
+
+ export PATH=/empty
+ for i in ${toString path}; do
+ PATH=$PATH:$i/bin:$i/sbin
+ done
+
+ _status=0
+ trap "_status=1 _localstatus=\$?" ERR
+
+ # Ensure a consistent umask.
+ umask 0022
+
+ ${textClosureMap id (withDrySnippets) (attrNames withDrySnippets)}
+
+ '' + optionalString (!onlyDry) ''
+ # Make this configuration the current configuration.
+ # The readlink is there to ensure that when $systemConfig = /system
+ # (which is a symlink to the store), /run/current-system is still
+ # used as a garbage collection root.
+ ln -sfn "$(readlink -f "$systemConfig")" /run/current-system
+
+ # Prevent the current configuration from being garbage-collected.
+ ln -sfn /run/current-system /nix/var/nix/gcroots/current-system
+
+ exit $_status
+ '';
+
path = with pkgs; map getBin
[ coreutils
gnugrep
@@ -28,7 +72,7 @@ let
util-linux # needed for mount and mountpoint
];
- scriptType = with types;
+ scriptType = withDry: with types;
let scriptOptions =
{ deps = mkOption
{ type = types.listOf types.str;
@@ -39,6 +83,19 @@ let
{ type = types.lines;
description = "The content of the script.";
};
+ } // optionalAttrs withDry {
+ supportsDryActivation = mkOption
+ { type = types.bool;
+ default = false;
+ description = ''
+ Whether this activation script supports being dry-activated.
+ These activation scripts will also be executed on dry-activate
+ activations with the environment variable
+ NIXOS_ACTION being set to dry-activate
+ . it's important that these activation scripts don't
+ modify anything about the system when the variable is set.
+ '';
+ };
};
in either str (submodule { options = scriptOptions; });
@@ -53,7 +110,7 @@ in
system.activationScripts = mkOption {
default = {};
- example = literalExample ''
+ example = literalExpression ''
{ stdio.text =
'''
# Needed by some programs.
@@ -74,51 +131,23 @@ in
idempotent and fast.
'';
- type = types.attrsOf scriptType;
-
- apply = set: {
- script =
- ''
- #! ${pkgs.runtimeShell}
-
- systemConfig=@out@
-
- export PATH=/empty
- for i in ${toString path}; do
- PATH=$PATH:$i/bin:$i/sbin
- done
-
- _status=0
- trap "_status=1 _localstatus=\$?" ERR
-
- # Ensure a consistent umask.
- umask 0022
-
- ${
- let
- set' = mapAttrs (n: v: if isString v then noDepEntry v else v) set;
- withHeadlines = addAttributeName set';
- in textClosureMap id (withHeadlines) (attrNames withHeadlines)
- }
-
- # Make this configuration the current configuration.
- # The readlink is there to ensure that when $systemConfig = /system
- # (which is a symlink to the store), /run/current-system is still
- # used as a garbage collection root.
- ln -sfn "$(readlink -f "$systemConfig")" /run/current-system
-
- # Prevent the current configuration from being garbage-collected.
- ln -sfn /run/current-system /nix/var/nix/gcroots/current-system
-
- exit $_status
- '';
+ type = types.attrsOf (scriptType true);
+ apply = set: set // {
+ script = systemActivationScript set false;
};
};
+ system.dryActivationScript = mkOption {
+ description = "The shell script that is to be run when dry-activating a system.";
+ readOnly = true;
+ internal = true;
+ default = systemActivationScript (removeAttrs config.system.activationScripts [ "script" ]) true;
+ };
+
system.userActivationScripts = mkOption {
default = {};
- example = literalExample ''
+ example = literalExpression ''
{ plasmaSetup = {
text = '''
${pkgs.libsForQt5.kservice}/bin/kbuildsycoca5"
@@ -137,7 +166,7 @@ in
idempotent and fast.
'';
- type = with types; attrsOf scriptType;
+ type = with types; attrsOf (scriptType false);
apply = set: {
script = ''
@@ -164,9 +193,8 @@ in
environment.usrbinenv = mkOption {
default = "${pkgs.coreutils}/bin/env";
- example = literalExample ''
- "''${pkgs.busybox}/bin/env"
- '';
+ defaultText = literalExpression ''"''${pkgs.coreutils}/bin/env"'';
+ example = literalExpression ''"''${pkgs.busybox}/bin/env"'';
type = types.nullOr types.path;
visible = false;
description = ''
@@ -234,6 +262,7 @@ in
script = config.system.userActivationScripts.script;
unitConfig.ConditionUser = "!@system";
serviceConfig.Type = "oneshot";
+ wantedBy = [ "default.target" ];
};
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/activation/switch-to-configuration.pl b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/activation/switch-to-configuration.pl
index dd391c8b5d7..e105502cf3a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/activation/switch-to-configuration.pl
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/activation/switch-to-configuration.pl
@@ -2,6 +2,7 @@
use strict;
use warnings;
+use File::Path qw(make_path);
use File::Basename;
use File::Slurp;
use Net::DBus;
@@ -10,13 +11,23 @@ use Cwd 'abs_path';
my $out = "@out@";
-# FIXME: maybe we should use /proc/1/exe to get the current systemd.
my $curSystemd = abs_path("/run/current-system/sw/bin");
# To be robust against interruption, record what units need to be started etc.
-my $startListFile = "/run/systemd/start-list";
-my $restartListFile = "/run/systemd/restart-list";
-my $reloadListFile = "/run/systemd/reload-list";
+my $startListFile = "/run/nixos/start-list";
+my $restartListFile = "/run/nixos/restart-list";
+my $reloadListFile = "/run/nixos/reload-list";
+
+# Parse restart/reload requests by the activation script.
+# Activation scripts may write newline-separated units to this
+# file and switch-to-configuration will handle them. While
+# `stopIfChanged = true` is ignored, switch-to-configuration will
+# handle `restartIfChanged = false` and `reloadIfChanged = true`.
+# This also works for socket-activated units.
+my $restartByActivationFile = "/run/nixos/activation-restart-list";
+my $dryRestartByActivationFile = "/run/nixos/dry-activation-restart-list";
+
+make_path("/run/nixos", { mode => oct(755) });
my $action = shift @ARGV;
@@ -36,6 +47,8 @@ EOF
exit 1;
}
+$ENV{NIXOS_ACTION} = $action;
+
# This is a NixOS installation if it has /etc/NIXOS or a proper
# /etc/os-release.
die "This is not a NixOS installation!\n" unless
@@ -136,6 +149,92 @@ sub fingerprintUnit {
return abs_path($s) . (-f "${s}.d/overrides.conf" ? " " . abs_path "${s}.d/overrides.conf" : "");
}
+sub handleModifiedUnit {
+ my ($unit, $baseName, $newUnitFile, $activePrev, $unitsToStop, $unitsToStart, $unitsToReload, $unitsToRestart, $unitsToSkip) = @_;
+
+ if ($unit eq "sysinit.target" || $unit eq "basic.target" || $unit eq "multi-user.target" || $unit eq "graphical.target" || $unit =~ /\.slice$/ || $unit =~ /\.path$/) {
+ # Do nothing. These cannot be restarted directly.
+ # Slices and Paths don't have to be restarted since
+ # properties (resource limits and inotify watches)
+ # seem to get applied on daemon-reload.
+ } elsif ($unit =~ /\.mount$/) {
+ # Reload the changed mount unit to force a remount.
+ $unitsToReload->{$unit} = 1;
+ recordUnit($reloadListFile, $unit);
+ } else {
+ my $unitInfo = parseUnit($newUnitFile);
+ if (boolIsTrue($unitInfo->{'X-ReloadIfChanged'} // "no")) {
+ $unitsToReload->{$unit} = 1;
+ recordUnit($reloadListFile, $unit);
+ }
+ elsif (!boolIsTrue($unitInfo->{'X-RestartIfChanged'} // "yes") || boolIsTrue($unitInfo->{'RefuseManualStop'} // "no") || boolIsTrue($unitInfo->{'X-OnlyManualStart'} // "no")) {
+ $unitsToSkip->{$unit} = 1;
+ } else {
+ # If this unit is socket-activated, then stop it instead
+ # of restarting it to make sure the new version of it is
+ # socket-activated.
+ my $socketActivated = 0;
+ if ($unit =~ /\.service$/) {
+ my @sockets = split / /, ($unitInfo->{Sockets} // "");
+ if (scalar @sockets == 0) {
+ @sockets = ("$baseName.socket");
+ }
+ foreach my $socket (@sockets) {
+ if (-e "$out/etc/systemd/system/$socket") {
+ $socketActivated = 1;
+ $unitsToStop->{$unit} = 1;
+ # If the socket was not running previously,
+ # start it now.
+ if (not defined $activePrev->{$socket}) {
+ $unitsToStart->{$socket} = 1;
+ }
+ }
+ }
+ }
+
+ # Don't do the rest of this for socket-activated units
+ # because we handled these above where we stop the unit.
+ # Since only services can be socket-activated, the
+ # following condition always evaluates to `true` for
+ # non-service units.
+ if ($socketActivated) {
+ return;
+ }
+
+ # If we are restarting a socket, also stop the corresponding
+ # service. This is required because restarting a socket
+ # when the service is already activated fails.
+ if ($unit =~ /\.socket$/) {
+ my $service = $unitInfo->{Service} // "";
+ if ($service eq "") {
+ $service = "$baseName.service";
+ }
+ if (defined $activePrev->{$service}) {
+ $unitsToStop->{$service} = 1;
+ }
+ $unitsToRestart->{$unit} = 1;
+ recordUnit($restartListFile, $unit);
+ } else {
+ # Always restart non-services instead of stopping and starting them
+ # because it doesn't make sense to stop them with a config from
+ # the old evaluation.
+ if (!boolIsTrue($unitInfo->{'X-StopIfChanged'} // "yes") || $unit !~ /\.service$/) {
+ # This unit should be restarted instead of
+ # stopped and started.
+ $unitsToRestart->{$unit} = 1;
+ recordUnit($restartListFile, $unit);
+ } else {
+ # We write to a file to ensure that the
+ # service gets restarted if we're interrupted.
+ $unitsToStart->{$unit} = 1;
+ recordUnit($startListFile, $unit);
+ $unitsToStop->{$unit} = 1;
+ }
+ }
+ }
+ }
+}
+
# Figure out what units need to be stopped, started, restarted or reloaded.
my (%unitsToStop, %unitsToSkip, %unitsToStart, %unitsToRestart, %unitsToReload);
@@ -148,7 +247,7 @@ $unitsToRestart{$_} = 1 foreach
split('\n', read_file($restartListFile, err_mode => 'quiet') // "");
$unitsToReload{$_} = 1 foreach
- split '\n', read_file($reloadListFile, err_mode => 'quiet') // "";
+ split('\n', read_file($reloadListFile, err_mode => 'quiet') // "");
my $activePrev = getActiveUnits;
while (my ($unit, $state) = each %{$activePrev}) {
@@ -208,65 +307,7 @@ while (my ($unit, $state) = each %{$activePrev}) {
}
elsif (fingerprintUnit($prevUnitFile) ne fingerprintUnit($newUnitFile)) {
- if ($unit eq "sysinit.target" || $unit eq "basic.target" || $unit eq "multi-user.target" || $unit eq "graphical.target") {
- # Do nothing. These cannot be restarted directly.
- } elsif ($unit =~ /\.mount$/) {
- # Reload the changed mount unit to force a remount.
- $unitsToReload{$unit} = 1;
- recordUnit($reloadListFile, $unit);
- } elsif ($unit =~ /\.socket$/ || $unit =~ /\.path$/ || $unit =~ /\.slice$/) {
- # FIXME: do something?
- } else {
- my $unitInfo = parseUnit($newUnitFile);
- if (boolIsTrue($unitInfo->{'X-ReloadIfChanged'} // "no")) {
- $unitsToReload{$unit} = 1;
- recordUnit($reloadListFile, $unit);
- }
- elsif (!boolIsTrue($unitInfo->{'X-RestartIfChanged'} // "yes") || boolIsTrue($unitInfo->{'RefuseManualStop'} // "no") || boolIsTrue($unitInfo->{'X-OnlyManualStart'} // "no")) {
- $unitsToSkip{$unit} = 1;
- } else {
- if (!boolIsTrue($unitInfo->{'X-StopIfChanged'} // "yes")) {
- # This unit should be restarted instead of
- # stopped and started.
- $unitsToRestart{$unit} = 1;
- recordUnit($restartListFile, $unit);
- } else {
- # If this unit is socket-activated, then stop the
- # socket unit(s) as well, and restart the
- # socket(s) instead of the service.
- my $socketActivated = 0;
- if ($unit =~ /\.service$/) {
- my @sockets = split / /, ($unitInfo->{Sockets} // "");
- if (scalar @sockets == 0) {
- @sockets = ("$baseName.socket");
- }
- foreach my $socket (@sockets) {
- if (defined $activePrev->{$socket}) {
- $unitsToStop{$socket} = 1;
- # Only restart sockets that actually
- # exist in new configuration:
- if (-e "$out/etc/systemd/system/$socket") {
- $unitsToStart{$socket} = 1;
- recordUnit($startListFile, $socket);
- $socketActivated = 1;
- }
- }
- }
- }
-
- # If the unit is not socket-activated, record
- # that this unit needs to be started below.
- # We write this to a file to ensure that the
- # service gets restarted if we're interrupted.
- if (!$socketActivated) {
- $unitsToStart{$unit} = 1;
- recordUnit($startListFile, $unit);
- }
-
- $unitsToStop{$unit} = 1;
- }
- }
- }
+ handleModifiedUnit($unit, $baseName, $newUnitFile, $activePrev, \%unitsToStop, \%unitsToStart, \%unitsToReload, \%unitsToRestart, %unitsToSkip);
}
}
}
@@ -351,8 +392,6 @@ sub filterUnits {
}
my @unitsToStopFiltered = filterUnits(\%unitsToStop);
-my @unitsToStartFiltered = filterUnits(\%unitsToStart);
-
# Show dry-run actions.
if ($action eq "dry-activate") {
@@ -360,13 +399,48 @@ if ($action eq "dry-activate") {
if scalar @unitsToStopFiltered > 0;
print STDERR "would NOT stop the following changed units: ", join(", ", sort(keys %unitsToSkip)), "\n"
if scalar(keys %unitsToSkip) > 0;
+
+ print STDERR "would activate the configuration...\n";
+ system("$out/dry-activate", "$out");
+
+ # Handle the activation script requesting the restart or reload of a unit.
+ my %unitsToAlsoStop;
+ my %unitsToAlsoSkip;
+ foreach (split('\n', read_file($dryRestartByActivationFile, err_mode => 'quiet') // "")) {
+ my $unit = $_;
+ my $baseUnit = $unit;
+ my $newUnitFile = "$out/etc/systemd/system/$baseUnit";
+
+ # Detect template instances.
+ if (!-e $newUnitFile && $unit =~ /^(.*)@[^\.]*\.(.*)$/) {
+ $baseUnit = "$1\@.$2";
+ $newUnitFile = "$out/etc/systemd/system/$baseUnit";
+ }
+
+ my $baseName = $baseUnit;
+ $baseName =~ s/\.[a-z]*$//;
+
+ handleModifiedUnit($unit, $baseName, $newUnitFile, $activePrev, \%unitsToAlsoStop, \%unitsToStart, \%unitsToReload, \%unitsToRestart, %unitsToAlsoSkip);
+ }
+ unlink($dryRestartByActivationFile);
+
+ my @unitsToAlsoStopFiltered = filterUnits(\%unitsToAlsoStop);
+ if (scalar(keys %unitsToAlsoStop) > 0) {
+ print STDERR "would stop the following units as well: ", join(", ", @unitsToAlsoStopFiltered), "\n"
+ if scalar @unitsToAlsoStopFiltered;
+ }
+
+ print STDERR "would NOT restart the following changed units as well: ", join(", ", sort(keys %unitsToAlsoSkip)), "\n"
+ if scalar(keys %unitsToAlsoSkip) > 0;
+
print STDERR "would restart systemd\n" if $restartSystemd;
+ print STDERR "would reload the following units: ", join(", ", sort(keys %unitsToReload)), "\n"
+ if scalar(keys %unitsToReload) > 0;
print STDERR "would restart the following units: ", join(", ", sort(keys %unitsToRestart)), "\n"
if scalar(keys %unitsToRestart) > 0;
+ my @unitsToStartFiltered = filterUnits(\%unitsToStart);
print STDERR "would start the following units: ", join(", ", @unitsToStartFiltered), "\n"
if scalar @unitsToStartFiltered;
- print STDERR "would reload the following units: ", join(", ", sort(keys %unitsToReload)), "\n"
- if scalar(keys %unitsToReload) > 0;
exit 0;
}
@@ -377,7 +451,7 @@ if (scalar (keys %unitsToStop) > 0) {
print STDERR "stopping the following units: ", join(", ", @unitsToStopFiltered), "\n"
if scalar @unitsToStopFiltered;
# Use current version of systemctl binary before daemon is reexeced.
- system("$curSystemd/systemctl", "stop", "--", sort(keys %unitsToStop)); # FIXME: ignore errors?
+ system("$curSystemd/systemctl", "stop", "--", sort(keys %unitsToStop));
}
print STDERR "NOT restarting the following changed units: ", join(", ", sort(keys %unitsToSkip)), "\n"
@@ -389,6 +463,41 @@ my $res = 0;
print STDERR "activating the configuration...\n";
system("$out/activate", "$out") == 0 or $res = 2;
+# Handle the activation script requesting the restart or reload of a unit.
+# We can only restart and reload (not stop/start) because the units to be
+# stopped are already stopped before the activation script is run. We do however
+# make an exception for services that are socket-activated and that have to be stopped
+# instead of being restarted.
+my %unitsToAlsoStop;
+my %unitsToAlsoSkip;
+foreach (split('\n', read_file($restartByActivationFile, err_mode => 'quiet') // "")) {
+ my $unit = $_;
+ my $baseUnit = $unit;
+ my $newUnitFile = "$out/etc/systemd/system/$baseUnit";
+
+ # Detect template instances.
+ if (!-e $newUnitFile && $unit =~ /^(.*)@[^\.]*\.(.*)$/) {
+ $baseUnit = "$1\@.$2";
+ $newUnitFile = "$out/etc/systemd/system/$baseUnit";
+ }
+
+ my $baseName = $baseUnit;
+ $baseName =~ s/\.[a-z]*$//;
+
+ handleModifiedUnit($unit, $baseName, $newUnitFile, $activePrev, \%unitsToAlsoStop, \%unitsToStart, \%unitsToReload, \%unitsToRestart, %unitsToAlsoSkip);
+}
+unlink($restartByActivationFile);
+
+my @unitsToAlsoStopFiltered = filterUnits(\%unitsToAlsoStop);
+if (scalar(keys %unitsToAlsoStop) > 0) {
+ print STDERR "stopping the following units as well: ", join(", ", @unitsToAlsoStopFiltered), "\n"
+ if scalar @unitsToAlsoStopFiltered;
+ system("$curSystemd/systemctl", "stop", "--", sort(keys %unitsToAlsoStop));
+}
+
+print STDERR "NOT restarting the following changed units as well: ", join(", ", sort(keys %unitsToAlsoSkip)), "\n"
+ if scalar(keys %unitsToAlsoSkip) > 0;
+
# Restart systemd if necessary. Note that this is done using the
# current version of systemd, just in case the new one has trouble
# communicating with the running pid 1.
@@ -434,8 +543,36 @@ if (scalar(keys %unitsToReload) > 0) {
# than stopped and started).
if (scalar(keys %unitsToRestart) > 0) {
print STDERR "restarting the following units: ", join(", ", sort(keys %unitsToRestart)), "\n";
- system("@systemd@/bin/systemctl", "restart", "--", sort(keys %unitsToRestart)) == 0 or $res = 4;
+
+ # We split the units to be restarted into sockets and non-sockets.
+ # This is because restarting sockets may fail which is not bad by
+ # itself but which will prevent changes on the sockets. We usually
+ # restart the socket and stop the service before that. Restarting
+ # the socket will fail however when the service was re-activated
+ # in the meantime. There is no proper way to prevent that from happening.
+ my @unitsWithErrorHandling = grep { $_ !~ /\.socket$/ } sort(keys %unitsToRestart);
+ my @unitsWithoutErrorHandling = grep { $_ =~ /\.socket$/ } sort(keys %unitsToRestart);
+
+ if (scalar(@unitsWithErrorHandling) > 0) {
+ system("@systemd@/bin/systemctl", "restart", "--", @unitsWithErrorHandling) == 0 or $res = 4;
+ }
+ if (scalar(@unitsWithoutErrorHandling) > 0) {
+ # Don't print warnings from systemctl
+ no warnings 'once';
+ open(OLDERR, ">&", \*STDERR);
+ close(STDERR);
+
+ my $ret = system("@systemd@/bin/systemctl", "restart", "--", @unitsWithoutErrorHandling);
+
+ # Print stderr again
+ open(STDERR, ">&OLDERR");
+
+ if ($ret ne 0) {
+ print STDERR "warning: some sockets failed to restart. Please check your journal (journalctl -eb) and act accordingly.\n";
+ }
+ }
unlink($restartListFile);
+ unlink($restartByActivationFile);
}
# Start all active targets, as well as changed units we stopped above.
@@ -444,6 +581,7 @@ if (scalar(keys %unitsToRestart) > 0) {
# that are symlinks to other units. We shouldn't start both at the
# same time because we'll get a "Failed to add path to set" error from
# systemd.
+my @unitsToStartFiltered = filterUnits(\%unitsToStart);
print STDERR "starting the following units: ", join(", ", @unitsToStartFiltered), "\n"
if scalar @unitsToStartFiltered;
system("@systemd@/bin/systemctl", "start", "--", sort(keys %unitsToStart)) == 0 or $res = 4;
@@ -451,7 +589,7 @@ unlink($startListFile);
# Print failed and new units.
-my (@failed, @new, @restarting);
+my (@failed, @new);
my $activeNew = getActiveUnits;
while (my ($unit, $state) = each %{$activeNew}) {
if ($state->{state} eq "failed") {
@@ -467,7 +605,9 @@ while (my ($unit, $state) = each %{$activeNew}) {
push @failed, $unit;
}
}
- elsif ($state->{state} ne "failed" && !defined $activePrev->{$unit}) {
+ # Ignore scopes since they are not managed by this script but rather
+ # created and managed by third-party services via the systemd dbus API.
+ elsif ($state->{state} ne "failed" && !defined $activePrev->{$unit} && $unit !~ /\.scope$/) {
push @new, $unit;
}
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/activation/top-level.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/activation/top-level.nix
index d3e4923a993..68da910d29c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/activation/top-level.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/activation/top-level.nix
@@ -56,9 +56,13 @@ let
''}
echo "$activationScript" > $out/activate
+ echo "$dryActivationScript" > $out/dry-activate
substituteInPlace $out/activate --subst-var out
- chmod u+x $out/activate
- unset activationScript
+ substituteInPlace $out/dry-activate --subst-var out
+ chmod u+x $out/activate $out/dry-activate
+ unset activationScript dryActivationScript
+ ${pkgs.stdenv.shell} -n $out/activate
+ ${pkgs.stdenv.shell} -n $out/dry-activate
cp ${config.system.build.bootStage2} $out/init
substituteInPlace $out/init --subst-var-by systemConfig $out
@@ -80,6 +84,13 @@ let
export localeArchive="${config.i18n.glibcLocales}/lib/locale/locale-archive"
substituteAll ${./switch-to-configuration.pl} $out/bin/switch-to-configuration
chmod +x $out/bin/switch-to-configuration
+ ${optionalString (pkgs.stdenv.hostPlatform == pkgs.stdenv.buildPlatform) ''
+ if ! output=$($perl/bin/perl -c $out/bin/switch-to-configuration 2>&1); then
+ echo "switch-to-configuration syntax is not valid:"
+ echo "$output"
+ exit 1
+ fi
+ ''}
echo -n "${toString config.system.extraDependencies}" > $out/extra-dependencies
@@ -108,6 +119,7 @@ let
config.system.build.installBootLoader
or "echo 'Warning: do not know how to make this configuration bootable; please enable a boot loader.' 1>&2; true";
activationScript = config.system.activationScripts.script;
+ dryActivationScript = config.system.dryActivationScript;
nixosLabel = config.system.nixos.label;
configurationName = config.boot.loader.grub.configurationName;
@@ -150,7 +162,7 @@ in
specialisation = mkOption {
default = {};
- example = lib.literalExample "{ fewJobsManyCores.configuration = { nix.buildCores = 0; nix.maxJobs = 1; }; }";
+ example = lib.literalExpression "{ fewJobsManyCores.configuration = { nix.buildCores = 0; nix.maxJobs = 1; }; }";
description = ''
Additional configurations to build. If
inheritParentConfig is true, the system
@@ -238,7 +250,7 @@ in
system.replaceRuntimeDependencies = mkOption {
default = [];
- example = lib.literalExample "[ ({ original = pkgs.openssl; replacement = pkgs.callPackage /path/to/openssl { }; }) ]";
+ example = lib.literalExpression "[ ({ original = pkgs.openssl; replacement = pkgs.callPackage /path/to/openssl { }; }) ]";
type = types.listOf (types.submodule (
{ ... }: {
options.original = mkOption {
@@ -269,7 +281,11 @@ in
if config.networking.hostName == ""
then "unnamed"
else config.networking.hostName;
- defaultText = '''networking.hostName' if non empty else "unnamed"'';
+ defaultText = literalExpression ''
+ if config.networking.hostName == ""
+ then "unnamed"
+ else config.networking.hostName;
+ '';
description = ''
The name of the system used in the system.build.toplevel derivation.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/initrd-openvpn.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/initrd-openvpn.nix
index b35fb0b57c0..9b52d4bbdb1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/initrd-openvpn.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/initrd-openvpn.nix
@@ -35,7 +35,7 @@ in
'';
- example = "./configuration.ovpn";
+ example = literalExpression "./configuration.ovpn";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/initrd-ssh.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/initrd-ssh.nix
index 00ac83a1897..0999142de86 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/initrd-ssh.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/initrd-ssh.nix
@@ -78,7 +78,7 @@ in
authorizedKeys = mkOption {
type = types.listOf types.str;
default = config.users.users.root.openssh.authorizedKeys.keys;
- defaultText = "config.users.users.root.openssh.authorizedKeys.keys";
+ defaultText = literalExpression "config.users.users.root.openssh.authorizedKeys.keys";
description = ''
Authorized keys for the root user on initrd.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/kernel.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/kernel.nix
index 1a6a9d99d5b..4a9da939451 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/kernel.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/kernel.nix
@@ -23,7 +23,7 @@ in
boot.kernel.features = mkOption {
default = {};
- example = literalExample "{ debug = true; }";
+ example = literalExpression "{ debug = true; }";
internal = true;
description = ''
This option allows to enable or disable certain kernel features.
@@ -46,8 +46,8 @@ in
});
# We don't want to evaluate all of linuxPackages for the manual
# - some of it might not even evaluate correctly.
- defaultText = "pkgs.linuxPackages";
- example = literalExample "pkgs.linuxPackages_2_6_25";
+ defaultText = literalExpression "pkgs.linuxPackages";
+ example = literalExpression "pkgs.linuxKernel.packages.linux_5_10";
description = ''
This option allows you to override the Linux kernel used by
NixOS. Since things like external kernel module packages are
@@ -65,7 +65,7 @@ in
boot.kernelPatches = mkOption {
type = types.listOf types.attrs;
default = [];
- example = literalExample "[ pkgs.kernelPatches.ubuntu_fan_4_4 ]";
+ example = literalExpression "[ pkgs.kernelPatches.ubuntu_fan_4_4 ]";
description = "A list of additional patches to apply to the kernel.";
};
@@ -83,7 +83,10 @@ in
};
boot.kernelParams = mkOption {
- type = types.listOf types.str;
+ type = types.listOf (types.strMatching ''([^"[:space:]]|"[^"]*")+'' // {
+ name = "kernelParam";
+ description = "string, with spaces inside double quotes";
+ });
default = [ ];
description = "Parameters added to the kernel command line.";
};
@@ -113,7 +116,7 @@ in
boot.extraModulePackages = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "[ config.boot.kernelPackages.nvidia_x11 ]";
+ example = literalExpression "[ config.boot.kernelPackages.nvidia_x11 ]";
description = "A list of additional packages supplying kernel modules.";
};
@@ -181,7 +184,7 @@ in
system.requiredKernelConfig = mkOption {
default = [];
- example = literalExample ''
+ example = literalExpression ''
with config.lib.kernelConfig; [
(isYes "MODULES")
(isEnabled "FB_CON_DECOR")
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/kernel_config.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/kernel_config.nix
index 5d9534024b0..495fe74bc21 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/kernel_config.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/kernel_config.nix
@@ -100,7 +100,7 @@ in
settings = mkOption {
type = types.attrsOf kernelItem;
- example = literalExample '' with lib.kernel; {
+ example = literalExpression '' with lib.kernel; {
"9P_NET" = yes;
USB = option yes;
MMC_BLOCK_MINORS = freeform "32";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/kexec.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/kexec.nix
index 03312aa26ed..02c2713ede1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/kexec.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/kexec.nix
@@ -1,8 +1,8 @@
{ pkgs, lib, ... }:
{
- config = lib.mkIf (lib.meta.availableOn pkgs.stdenv.hostPlatform pkgs.kexectools) {
- environment.systemPackages = [ pkgs.kexectools ];
+ config = lib.mkIf (lib.meta.availableOn pkgs.stdenv.hostPlatform pkgs.kexec-tools) {
+ environment.systemPackages = [ pkgs.kexec-tools ];
systemd.services.prepare-kexec =
{ description = "Preparation for kexec";
@@ -10,7 +10,7 @@
before = [ "systemd-kexec.service" ];
unitConfig.DefaultDependencies = false;
serviceConfig.Type = "oneshot";
- path = [ pkgs.kexectools ];
+ path = [ pkgs.kexec-tools ];
script =
''
# Don't load the current system profile if we already have a kernel loaded
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/grub/grub.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/grub/grub.nix
index 1be66367038..fa8500dd42b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/grub/grub.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/grub/grub.nix
@@ -329,7 +329,7 @@ in
extraInstallCommands = mkOption {
default = "";
- example = literalExample ''
+ example = ''
# the example below generates detached signatures that GRUB can verify
# https://www.gnu.org/software/grub/manual/grub/grub.html#Using-digital-signatures
''${pkgs.findutils}/bin/find /boot -not -path "/boot/efi/*" -type f -name '*.sig' -delete
@@ -392,7 +392,7 @@ in
extraFiles = mkOption {
type = types.attrsOf types.path;
default = {};
- example = literalExample ''
+ example = literalExpression ''
{ "memtest.bin" = "''${pkgs.memtest86plus}/memtest.bin"; }
'';
description = ''
@@ -413,7 +413,7 @@ in
splashImage = mkOption {
type = types.nullOr types.path;
- example = literalExample "./my-background.png";
+ example = literalExpression "./my-background.png";
description = ''
Background image used for GRUB.
Set to null to run GRUB in text mode.
@@ -449,7 +449,7 @@ in
theme = mkOption {
type = types.nullOr types.path;
- example = literalExample "pkgs.nixos-grub2-theme";
+ example = literalExpression "pkgs.nixos-grub2-theme";
default = null;
description = ''
Grub theme to be used.
@@ -475,7 +475,7 @@ in
font = mkOption {
type = types.nullOr types.path;
default = "${realGrub}/share/grub/unicode.pf2";
- defaultText = ''"''${pkgs.grub2}/share/grub/unicode.pf2"'';
+ defaultText = literalExpression ''"''${pkgs.grub2}/share/grub/unicode.pf2"'';
description = ''
Path to a TrueType, OpenType, or pf2 font to be used by Grub.
'';
@@ -483,7 +483,7 @@ in
fontSize = mkOption {
type = types.nullOr types.int;
- example = literalExample 16;
+ example = 16;
default = null;
description = ''
Font size for the grub menu. Ignored unless font
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/grub/ipxe.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/grub/ipxe.nix
index 249c2761934..ef8595592f4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/grub/ipxe.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/grub/ipxe.nix
@@ -33,7 +33,7 @@ in
booting from the GRUB boot menu.
'';
default = { };
- example = literalExample ''
+ example = literalExpression ''
{ demo = '''
#!ipxe
dhcp
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/systemd-boot/systemd-boot-builder.py b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/systemd-boot/systemd-boot-builder.py
index 7134b432163..6c26b4e0f87 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/systemd-boot/systemd-boot-builder.py
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/systemd-boot/systemd-boot-builder.py
@@ -208,10 +208,15 @@ def main() -> None:
if os.path.exists("@efiSysMountPoint@/loader/loader.conf"):
os.unlink("@efiSysMountPoint@/loader/loader.conf")
- if "@canTouchEfiVariables@" == "1":
- subprocess.check_call(["@systemd@/bin/bootctl", "--path=@efiSysMountPoint@", "install"])
- else:
- subprocess.check_call(["@systemd@/bin/bootctl", "--path=@efiSysMountPoint@", "--no-variables", "install"])
+ flags = []
+
+ if "@canTouchEfiVariables@" != "1":
+ flags.append("--no-variables")
+
+ if "@graceful@" == "1":
+ flags.append("--graceful")
+
+ subprocess.check_call(["@systemd@/bin/bootctl", "--path=@efiSysMountPoint@"] + flags + ["install"])
else:
# Update bootloader to latest if needed
systemd_version = subprocess.check_output(["@systemd@/bin/bootctl", "--version"], universal_newlines=True).split()[1]
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/systemd-boot/systemd-boot.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/systemd-boot/systemd-boot.nix
index ff304f570d3..0f76d7d6b24 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/systemd-boot/systemd-boot.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/loader/systemd-boot/systemd-boot.nix
@@ -24,7 +24,7 @@ let
configurationLimit = if cfg.configurationLimit == null then 0 else cfg.configurationLimit;
- inherit (cfg) consoleMode;
+ inherit (cfg) consoleMode graceful;
inherit (efi) efiSysMountPoint canTouchEfiVariables;
@@ -126,6 +126,22 @@ in {
'';
};
};
+
+ graceful = mkOption {
+ default = false;
+
+ type = types.bool;
+
+ description = ''
+ Invoke bootctl install with the --graceful option,
+ which ignores errors when EFI variables cannot be written or when the EFI System Partition
+ cannot be found. Currently only applies to random seed operations.
+
+ Only enable this option if systemd-boot otherwise fails to install, as the
+ scope or implication of the --graceful option may change in the future.
+ '';
+ };
+
};
config = mkIf cfg.enable {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/luksroot.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/luksroot.nix
index f87d3b07a36..f0d3170dc5a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/luksroot.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/luksroot.nix
@@ -332,6 +332,7 @@ let
if [ $? == 0 ]; then
echo -ne "$new_salt\n$new_iterations" > /crypt-storage${dev.yubikey.storage.path}
+ sync /crypt-storage${dev.yubikey.storage.path}
else
echo "Warning: Could not update LUKS key, current challenge persists!"
fi
@@ -663,13 +664,11 @@ in
};
encryptedPass = mkOption {
- default = "";
type = types.path;
description = "Path to the GPG encrypted passphrase.";
};
publicKey = mkOption {
- default = "";
type = types.path;
description = "Path to the Public Key.";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/networkd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/networkd.nix
index 1de58b3d2c4..662dfe2db98 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/networkd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/networkd.nix
@@ -250,6 +250,16 @@ let
(assertRange "ERSPANIndex" 1 1048575)
];
+ sectionFooOverUDP = checkUnitConfig "FooOverUDP" [
+ (assertOnlyFields [
+ "Port"
+ "Encapsulation"
+ "Protocol"
+ ])
+ (assertPort "Port")
+ (assertValueOneOf "Encapsulation" ["FooOverUDP" "GenericUDPEncapsulation"])
+ ];
+
sectionPeer = checkUnitConfig "Peer" [
(assertOnlyFields [
"Name"
@@ -384,6 +394,7 @@ let
"AllMulticast"
"Unmanaged"
"RequiredForOnline"
+ "ActivationPolicy"
])
(assertMacAddress "MACAddress")
(assertByteFormat "MTUBytes")
@@ -402,6 +413,14 @@ let
"enslaved"
"routable"
]))
+ (assertValueOneOf "ActivationPolicy" ([
+ "up"
+ "always-up"
+ "manual"
+ "always-down"
+ "down"
+ "bound"
+ ]))
];
sectionNetwork = checkUnitConfig "Network" [
@@ -659,6 +678,9 @@ let
"SendOption"
"UserClass"
"VendorClass"
+ "DUIDType"
+ "DUIDRawData"
+ "IAID"
])
(assertValueOneOf "UseAddress" boolValues)
(assertValueOneOf "UseDNS" boolValues)
@@ -668,6 +690,7 @@ let
(assertValueOneOf "ForceDHCPv6PDOtherInformation" boolValues)
(assertValueOneOf "WithoutRA" ["solicit" "information-request"])
(assertRange "SendOption" 1 65536)
+ (assertInt "IAID")
];
sectionDHCPv6PrefixDelegation = checkUnitConfig "DHCPv6PrefixDelegation" [
@@ -835,7 +858,6 @@ let
options = {
wireguardPeerConfig = mkOption {
default = {};
- example = { };
type = types.addCheck (types.attrsOf unitOption) check.netdev.sectionWireGuardPeer;
description = ''
Each attribute in this set specifies an option in the
@@ -850,7 +872,6 @@ let
netdevOptions = commonNetworkOptions // {
netdevConfig = mkOption {
- default = {};
example = { Name = "mybridge"; Kind = "bridge"; };
type = types.addCheck (types.attrsOf unitOption) check.netdev.sectionNetdev;
description = ''
@@ -887,7 +908,6 @@ let
vxlanConfig = mkOption {
default = {};
- example = { Id = "4"; };
type = types.addCheck (types.attrsOf unitOption) check.netdev.sectionVXLAN;
description = ''
Each attribute in this set specifies an option in the
@@ -909,6 +929,18 @@ let
'';
};
+ fooOverUDPConfig = mkOption {
+ default = { };
+ example = { Port = 9001; };
+ type = types.addCheck (types.attrsOf unitOption) check.netdev.sectionFooOverUDP;
+ description = ''
+ Each attribute in this set specifies an option in the
+ [FooOverUDP] section of the unit. See
+ systemd.netdev
+ 5 for details.
+ '';
+ };
+
peerConfig = mkOption {
default = {};
example = { Name = "veth2"; };
@@ -950,7 +982,7 @@ let
example = {
PrivateKeyFile = "/etc/wireguard/secret.key";
ListenPort = 51820;
- FwMark = 42;
+ FirewallMark = 42;
};
type = types.addCheck (types.attrsOf unitOption) check.netdev.sectionWireGuard;
description = ''
@@ -1029,7 +1061,6 @@ let
addressOptions = {
options = {
addressConfig = mkOption {
- default = {};
example = { Address = "192.168.0.100/24"; };
type = types.addCheck (types.attrsOf unitOption) check.network.sectionAddress;
description = ''
@@ -1046,7 +1077,7 @@ let
options = {
routingPolicyRuleConfig = mkOption {
default = { };
- example = { routingPolicyRuleConfig = { Table = 10; IncomingInterface = "eth1"; Family = "both"; } ;};
+ example = { Table = 10; IncomingInterface = "eth1"; Family = "both"; };
type = types.addCheck (types.attrsOf unitOption) check.network.sectionRoutingPolicyRule;
description = ''
Each attribute in this set specifies an option in the
@@ -1137,7 +1168,7 @@ let
dhcpV6Config = mkOption {
default = {};
- example = { UseDNS = true; UseRoutes = true; };
+ example = { UseDNS = true; };
type = types.addCheck (types.attrsOf unitOption) check.network.sectionDHCPv6;
description = ''
Each attribute in this set specifies an option in the
@@ -1204,7 +1235,7 @@ let
ipv6Prefixes = mkOption {
default = [];
- example = { AddressAutoconfiguration = true; OnLink = true; };
+ example = [ { AddressAutoconfiguration = true; OnLink = true; } ];
type = with types; listOf (submodule ipv6PrefixOptions);
description = ''
A list of ipv6Prefix sections to be added to the unit. See
@@ -1440,6 +1471,10 @@ let
[Tunnel]
${attrsToSection def.tunnelConfig}
''
+ + optionalString (def.fooOverUDPConfig != { }) ''
+ [FooOverUDP]
+ ${attrsToSection def.fooOverUDPConfig}
+ ''
+ optionalString (def.peerConfig != { }) ''
[Peer]
${attrsToSection def.peerConfig}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/plymouth.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/plymouth.nix
index 2a545e55251..4b8194d2f85 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/plymouth.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/plymouth.nix
@@ -62,6 +62,7 @@ in
font = mkOption {
default = "${pkgs.dejavu_fonts.minimal}/share/fonts/truetype/DejaVuSans.ttf";
+ defaultText = literalExpression ''"''${pkgs.dejavu_fonts.minimal}/share/fonts/truetype/DejaVuSans.ttf"'';
type = types.path;
description = ''
Font file made available for displaying text on the splash screen.
@@ -88,7 +89,7 @@ in
type = types.path;
# Dimensions are 48x48 to match GDM logo
default = "${nixos-icons}/share/icons/hicolor/48x48/apps/nix-snowflake-white.png";
- defaultText = ''pkgs.fetchurl {
+ defaultText = literalExpression ''pkgs.fetchurl {
url = "https://nixos.org/logo/nixos-hires.png";
sha256 = "1ivzgd7iz0i06y36p8m5w48fd8pjqwxhdaavc0pxs7w1g7mcy5si";
}'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/stage-1.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/stage-1.nix
index 03133fa1bc4..adbed9d8d58 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/stage-1.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/stage-1.nix
@@ -137,6 +137,14 @@ let
copy_bin_and_libs ${pkgs.e2fsprogs}/sbin/resize2fs
''}
+ # Copy multipath.
+ ${optionalString config.services.multipath.enable ''
+ copy_bin_and_libs ${config.services.multipath.package}/bin/multipath
+ copy_bin_and_libs ${config.services.multipath.package}/bin/multipathd
+ # Copy lib/multipath manually.
+ cp -rpv ${config.services.multipath.package}/lib/multipath $out/lib
+ ''}
+
# Copy secrets if needed.
#
# TODO: move out to a separate script; see #85000.
@@ -199,6 +207,10 @@ let
$out/bin/dmsetup --version 2>&1 | tee -a log | grep -q "version:"
LVM_SYSTEM_DIR=$out $out/bin/lvm version 2>&1 | tee -a log | grep -q "LVM"
$out/bin/mdadm --version
+ ${optionalString config.services.multipath.enable ''
+ ($out/bin/multipath || true) 2>&1 | grep -q 'need to be root'
+ ($out/bin/multipathd || true) 2>&1 | grep -q 'need to be root'
+ ''}
${config.boot.initrd.extraUtilsCommandsTest}
fi
@@ -338,7 +350,26 @@ let
{ object = pkgs.kmod-debian-aliases;
symlink = "/etc/modprobe.d/debian.conf";
}
- ];
+ ] ++ lib.optionals config.services.multipath.enable [
+ { object = pkgs.runCommand "multipath.conf" {
+ src = config.environment.etc."multipath.conf".text;
+ preferLocalBuild = true;
+ } ''
+ target=$out
+ printf "$src" > $out
+ substituteInPlace $out \
+ --replace ${config.services.multipath.package}/lib ${extraUtils}/lib
+ '';
+ symlink = "/etc/multipath.conf";
+ }
+ ] ++ (lib.mapAttrsToList
+ (symlink: options:
+ {
+ inherit symlink;
+ object = options.source;
+ }
+ )
+ config.boot.initrd.extraFiles);
};
# Script to add secret files to the initrd at bootloader update time
@@ -411,7 +442,7 @@ in
boot.initrd.enable = mkOption {
type = types.bool;
default = !config.boot.isContainer;
- defaultText = "!config.boot.isContainer";
+ defaultText = literalExpression "!config.boot.isContainer";
description = ''
Whether to enable the NixOS initial RAM disk (initrd). This may be
needed to perform some initialisation tasks (like mounting
@@ -419,6 +450,22 @@ in
'';
};
+ boot.initrd.extraFiles = mkOption {
+ default = { };
+ type = types.attrsOf
+ (types.submodule {
+ options = {
+ source = mkOption {
+ type = types.package;
+ description = "The object to make available inside the initrd.";
+ };
+ };
+ });
+ description = ''
+ Extra files to link and copy in to the initrd.
+ '';
+ };
+
boot.initrd.prepend = mkOption {
default = [ ];
type = types.listOf types.str;
@@ -527,7 +574,7 @@ in
then "zstd"
else "gzip"
);
- defaultText = "zstd if the kernel supports it (5.9+), gzip if not.";
+ defaultText = literalDocBook "zstd if the kernel supports it (5.9+), gzip if not";
type = types.unspecified; # We don't have a function type...
description = ''
The compressor to use on the initrd image. May be any of:
@@ -559,7 +606,7 @@ in
is the path it should be copied from (or null for the same
path inside and out).
'';
- example = literalExample
+ example = literalExpression
''
{ "/etc/dropbear/dropbear_rsa_host_key" =
./secret-dropbear-key;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/systemd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/systemd.nix
index 934c57f8391..93ea77d1ee7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/systemd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/systemd.nix
@@ -426,7 +426,7 @@ in
systemd.package = mkOption {
default = pkgs.systemd;
- defaultText = "pkgs.systemd";
+ defaultText = literalExpression "pkgs.systemd";
type = types.package;
description = "The systemd package.";
};
@@ -446,7 +446,7 @@ in
systemd.packages = mkOption {
default = [];
type = types.listOf types.package;
- example = literalExample "[ pkgs.systemd-cryptsetup-generator ]";
+ example = literalExpression "[ pkgs.systemd-cryptsetup-generator ]";
description = "Packages providing systemd units and hooks.";
};
@@ -663,7 +663,7 @@ in
services.journald.forwardToSyslog = mkOption {
default = config.services.rsyslogd.enable || config.services.syslog-ng.enable;
- defaultText = "services.rsyslogd.enable || services.syslog-ng.enable";
+ defaultText = literalExpression "services.rsyslogd.enable || services.syslog-ng.enable";
type = types.bool;
description = ''
Whether to forward log messages to syslog.
@@ -722,7 +722,7 @@ in
services.logind.lidSwitchExternalPower = mkOption {
default = config.services.logind.lidSwitch;
- defaultText = "services.logind.lidSwitch";
+ defaultText = literalExpression "services.logind.lidSwitch";
example = "ignore";
type = logindHandlerType;
@@ -768,7 +768,7 @@ in
systemd.tmpfiles.packages = mkOption {
type = types.listOf types.package;
default = [];
- example = literalExample "[ pkgs.lvm2 ]";
+ example = literalExpression "[ pkgs.lvm2 ]";
apply = map getLib;
description = ''
List of packages containing systemd-tmpfiles rules.
@@ -1056,10 +1056,20 @@ in
services.dbus.enable = true;
- users.users.systemd-coredump.uid = config.ids.uids.systemd-coredump;
- users.users.systemd-network.uid = config.ids.uids.systemd-network;
+ users.users.systemd-coredump = {
+ uid = config.ids.uids.systemd-coredump;
+ group = "systemd-coredump";
+ };
+ users.groups.systemd-coredump = {};
+ users.users.systemd-network = {
+ uid = config.ids.uids.systemd-network;
+ group = "systemd-network";
+ };
users.groups.systemd-network.gid = config.ids.gids.systemd-network;
- users.users.systemd-resolve.uid = config.ids.uids.systemd-resolve;
+ users.users.systemd-resolve = {
+ uid = config.ids.uids.systemd-resolve;
+ group = "systemd-resolve";
+ };
users.groups.systemd-resolve.gid = config.ids.gids.systemd-resolve;
# Target for ‘charon send-keys’ to hook into.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/tmp.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/tmp.nix
index 5bb299adb15..6edafd6695b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/tmp.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/boot/tmp.nix
@@ -2,6 +2,9 @@
with lib;
+let
+ cfg = config.boot;
+in
{
###### interface
@@ -24,18 +27,28 @@ with lib;
'';
};
+ boot.tmpOnTmpfsSize = mkOption {
+ type = types.oneOf [ types.str types.types.ints.positive ];
+ default = "50%";
+ description = ''
+ Size of tmpfs in percentage.
+ Percentage is defined by systemd.
+ '';
+ };
+
};
###### implementation
config = {
- systemd.mounts = mkIf config.boot.tmpOnTmpfs [
+ # When changing remember to update /tmp mount in virtualisation/qemu-vm.nix
+ systemd.mounts = mkIf cfg.tmpOnTmpfs [
{
what = "tmpfs";
where = "/tmp";
type = "tmpfs";
- mountConfig.Options = [ "mode=1777" "strictatime" "rw" "nosuid" "nodev" "size=50%" ];
+ mountConfig.Options = [ "mode=1777" "strictatime" "rw" "nosuid" "nodev" "size=${toString cfg.tmpOnTmpfsSize}" ];
}
];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/etc/etc.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/etc/etc.nix
index 6971ab42d99..8f14f04a1f6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/system/etc/etc.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/system/etc/etc.nix
@@ -53,7 +53,8 @@ let
mkdir -p "$out/etc"
${concatMapStringsSep "\n" (etcEntry: escapeShellArgs [
"makeEtcEntry"
- etcEntry.source
+ # Force local source paths to be added to the store
+ "${etcEntry.source}"
etcEntry.target
etcEntry.mode
etcEntry.user
@@ -71,7 +72,7 @@ in
environment.etc = mkOption {
default = {};
- example = literalExample ''
+ example = literalExpression ''
{ example-configuration-file =
{ source = "/nix/store/.../etc/dir/file.conf.example";
mode = "0440";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems.nix
index 4f56504f45e..225bcbe58e0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems.nix
@@ -163,7 +163,7 @@ in
fileSystems = mkOption {
default = {};
- example = literalExample ''
+ example = literalExpression ''
{
"/".device = "/dev/hda1";
"/data" = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems/ecryptfs.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems/ecryptfs.nix
index 12a407cabbf..8138e659161 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems/ecryptfs.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems/ecryptfs.nix
@@ -7,8 +7,18 @@ with lib;
config = mkIf (any (fs: fs == "ecryptfs") config.boot.supportedFilesystems) {
system.fsPackages = [ pkgs.ecryptfs ];
security.wrappers = {
- "mount.ecryptfs_private".source = "${pkgs.ecryptfs.out}/bin/mount.ecryptfs_private";
- "umount.ecryptfs_private".source = "${pkgs.ecryptfs.out}/bin/umount.ecryptfs_private";
+ "mount.ecryptfs_private" =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.ecryptfs.out}/bin/mount.ecryptfs_private";
+ };
+ "umount.ecryptfs_private" =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.ecryptfs.out}/bin/umount.ecryptfs_private";
+ };
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems/nfs.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems/nfs.nix
index fd35c35d32a..38c3920a78a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems/nfs.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems/nfs.nix
@@ -35,7 +35,7 @@ in
for details.
'';
- example = literalExample ''
+ example = literalExpression ''
{
Translation = {
GSS-Methods = "static,nsswitch";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems/zfs.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems/zfs.nix
index cb0e6640247..2c03ef7ba7e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems/zfs.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/filesystems/zfs.nix
@@ -104,7 +104,7 @@ in
readOnly = true;
type = types.package;
default = if config.boot.zfs.enableUnstable then pkgs.zfsUnstable else pkgs.zfs;
- defaultText = "if config.boot.zfs.enableUnstable then pkgs.zfsUnstable else pkgs.zfs";
+ defaultText = literalExpression "if config.boot.zfs.enableUnstable then pkgs.zfsUnstable else pkgs.zfs";
description = "Configured ZFS userland tools package.";
};
@@ -150,7 +150,6 @@ in
devNodes = mkOption {
type = types.path;
default = "/dev/disk/by-id";
- example = "/dev/disk/by-id";
description = ''
Name of directory from which to import ZFS devices.
@@ -351,7 +350,7 @@ in
settings = mkOption {
type = with types; attrsOf (oneOf [ str int bool (listOf str) ]);
- example = literalExample ''
+ example = literalExpression ''
{
ZED_DEBUG_LOG = "/tmp/zed.debug.log";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/lvm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/lvm.nix
index 98a0e2ddef9..35316603c38 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/lvm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/lvm.nix
@@ -9,7 +9,7 @@ in {
type = types.package;
default = if cfg.dmeventd.enable then pkgs.lvm2_dmeventd else pkgs.lvm2;
internal = true;
- defaultText = "pkgs.lvm2";
+ defaultText = literalExpression "pkgs.lvm2";
description = ''
This option allows you to override the LVM package that's used on the system
(udev rules, tmpfiles, systemd services).
@@ -46,22 +46,32 @@ in {
kernelModules = [ "dm-snapshot" "dm-thin-pool" ];
extraUtilsCommands = ''
- copy_bin_and_libs ${pkgs.thin-provisioning-tools}/bin/pdata_tools
- copy_bin_and_libs ${pkgs.thin-provisioning-tools}/bin/thin_check
+ for BIN in ${pkgs.thin-provisioning-tools}/bin/*; do
+ copy_bin_and_libs $BIN
+ done
+ '';
+
+ extraUtilsCommandsTest = ''
+ ls ${pkgs.thin-provisioning-tools}/bin/ | grep -v pdata_tools | while read BIN; do
+ $out/bin/$(basename $BIN) --help > /dev/null
+ done
'';
};
- environment.etc."lvm/lvm.conf".text = ''
- global/thin_check_executable = "${pkgs.thin-provisioning-tools}/bin/thin_check"
- '';
+ environment.etc."lvm/lvm.conf".text = concatMapStringsSep "\n"
+ (bin: "global/${bin}_executable = ${pkgs.thin-provisioning-tools}/bin/${bin}")
+ [ "thin_check" "thin_dump" "thin_repair" "cache_check" "cache_dump" "cache_repair" ];
})
(mkIf (cfg.dmeventd.enable || cfg.boot.thin.enable) {
boot.initrd.preLVMCommands = ''
mkdir -p /etc/lvm
cat << EOF >> /etc/lvm/lvm.conf
- ${optionalString cfg.boot.thin.enable ''
- global/thin_check_executable = "$(command -v thin_check)"
- ''}
+ ${optionalString cfg.boot.thin.enable (
+ concatMapStringsSep "\n"
+ (bin: "global/${bin}_executable = $(command -v ${bin})")
+ [ "thin_check" "thin_dump" "thin_repair" "cache_check" "cache_dump" "cache_repair" ]
+ )
+ }
${optionalString cfg.dmeventd.enable ''
dmeventd/executable = "$(command -v false)"
activation/monitoring = 0
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/network-interfaces-scripted.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/network-interfaces-scripted.nix
index 11bd159319a..e8e2de090b3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/network-interfaces-scripted.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/network-interfaces-scripted.nix
@@ -61,6 +61,8 @@ let
MACAddress = i.macAddress;
} // optionalAttrs (i.mtu != null) {
MTUBytes = toString i.mtu;
+ } // optionalAttrs (i.wakeOnLan.enable == true) {
+ WakeOnLan = "magic";
};
};
in listToAttrs (map createNetworkLink interfaces);
@@ -464,6 +466,39 @@ let
'';
});
+ createFouEncapsulation = n: v: nameValuePair "${n}-fou-encap"
+ (let
+ # if we have a device to bind to we can wait for its addresses to be
+ # configured, otherwise external sequencing is required.
+ deps = optionals (v.local != null && v.local.dev != null)
+ (deviceDependency v.local.dev ++ [ "network-addresses-${v.local.dev}.service" ]);
+ fouSpec = "port ${toString v.port} ${
+ if v.protocol != null then "ipproto ${toString v.protocol}" else "gue"
+ } ${
+ optionalString (v.local != null) "local ${escapeShellArg v.local.address} ${
+ optionalString (v.local.dev != null) "dev ${escapeShellArg v.local.dev}"
+ }"
+ }";
+ in
+ { description = "FOU endpoint ${n}";
+ wantedBy = [ "network-setup.service" (subsystemDevice n) ];
+ bindsTo = deps;
+ partOf = [ "network-setup.service" ];
+ after = [ "network-pre.target" ] ++ deps;
+ before = [ "network-setup.service" ];
+ serviceConfig.Type = "oneshot";
+ serviceConfig.RemainAfterExit = true;
+ path = [ pkgs.iproute2 ];
+ script = ''
+ # always remove previous incarnation since show can't filter
+ ip fou del ${fouSpec} >/dev/null 2>&1 || true
+ ip fou add ${fouSpec}
+ '';
+ postStop = ''
+ ip fou del ${fouSpec} || true
+ '';
+ });
+
createSitDevice = n: v: nameValuePair "${n}-netdev"
(let
deps = deviceDependency v.dev;
@@ -484,7 +519,12 @@ let
${optionalString (v.remote != null) "remote \"${v.remote}\""} \
${optionalString (v.local != null) "local \"${v.local}\""} \
${optionalString (v.ttl != null) "ttl ${toString v.ttl}"} \
- ${optionalString (v.dev != null) "dev \"${v.dev}\""}
+ ${optionalString (v.dev != null) "dev \"${v.dev}\""} \
+ ${optionalString (v.encapsulation != null)
+ "encap ${v.encapsulation.type} encap-dport ${toString v.encapsulation.port} ${
+ optionalString (v.encapsulation.sourcePort != null)
+ "encap-sport ${toString v.encapsulation.sourcePort}"
+ }"}
ip link set "${n}" up
'';
postStop = ''
@@ -528,6 +568,7 @@ let
// mapAttrs' createVswitchDevice cfg.vswitches
// mapAttrs' createBondDevice cfg.bonds
// mapAttrs' createMacvlanDevice cfg.macvlans
+ // mapAttrs' createFouEncapsulation cfg.fooOverUDP
// mapAttrs' createSitDevice cfg.sits
// mapAttrs' createVlanDevice cfg.vlans
// {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/network-interfaces-systemd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/network-interfaces-systemd.nix
index 225f9dc67fc..ccfd7fd4132 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/network-interfaces-systemd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/network-interfaces-systemd.nix
@@ -47,6 +47,9 @@ in
} ] ++ flip mapAttrsToList cfg.bridges (n: { rstp, ... }: {
assertion = !rstp;
message = "networking.bridges.${n}.rstp is not supported by networkd.";
+ }) ++ flip mapAttrsToList cfg.fooOverUDP (n: { local, ... }: {
+ assertion = local == null;
+ message = "networking.fooOverUDP.${n}.local is not supported by networkd.";
});
networking.dhcpcd.enable = mkDefault false;
@@ -194,6 +197,23 @@ in
macvlan = [ name ];
} ]);
})))
+ (mkMerge (flip mapAttrsToList cfg.fooOverUDP (name: fou: {
+ netdevs."40-${name}" = {
+ netdevConfig = {
+ Name = name;
+ Kind = "fou";
+ };
+ # unfortunately networkd cannot encode dependencies of netdevs on addresses/routes,
+ # so we cannot specify Local=, Peer=, PeerPort=. this looks like a missing feature
+ # in networkd.
+ fooOverUDPConfig = {
+ Port = fou.port;
+ Encapsulation = if fou.protocol != null then "FooOverUDP" else "GenericUDPEncapsulation";
+ } // (optionalAttrs (fou.protocol != null) {
+ Protocol = fou.protocol;
+ });
+ };
+ })))
(mkMerge (flip mapAttrsToList cfg.sits (name: sit: {
netdevs."40-${name}" = {
netdevConfig = {
@@ -207,7 +227,17 @@ in
Local = sit.local;
}) // (optionalAttrs (sit.ttl != null) {
TTL = sit.ttl;
- });
+ }) // (optionalAttrs (sit.encapsulation != null) (
+ {
+ FooOverUDP = true;
+ Encapsulation =
+ if sit.encapsulation.type == "fou"
+ then "FooOverUDP"
+ else "GenericUDPEncapsulation";
+ FOUDestinationPort = sit.encapsulation.port;
+ } // (optionalAttrs (sit.encapsulation.sourcePort != null) {
+ FOUSourcePort = sit.encapsulation.sourcePort;
+ })));
};
networks = mkIf (sit.dev != null) {
"40-${sit.dev}" = (mkMerge [ (genericNetwork (mkOverride 999)) {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/network-interfaces.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/network-interfaces.nix
index 879f077332e..4e20ec11846 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/network-interfaces.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/tasks/network-interfaces.nix
@@ -10,6 +10,8 @@ let
hasVirtuals = any (i: i.virtual) interfaces;
hasSits = cfg.sits != { };
hasBonds = cfg.bonds != { };
+ hasFous = cfg.fooOverUDP != { }
+ || filterAttrs (_: s: s.encapsulation != null) cfg.sits != { };
slaves = concatMap (i: i.interfaces) (attrValues cfg.bonds)
++ concatMap (i: i.interfaces) (attrValues cfg.bridges)
@@ -146,7 +148,7 @@ let
tempAddress = mkOption {
type = types.enum (lib.attrNames tempaddrValues);
default = cfg.tempAddresses;
- defaultText = literalExample ''config.networking.tempAddresses'';
+ defaultText = literalExpression ''config.networking.tempAddresses'';
description = ''
When IPv6 is enabled with SLAAC, this option controls the use of
temporary address (aka privacy extensions) on this
@@ -257,7 +259,7 @@ let
virtualType = mkOption {
default = if hasPrefix "tun" name then "tun" else "tap";
- defaultText = literalExample ''if hasPrefix "tun" name then "tun" else "tap"'';
+ defaultText = literalExpression ''if hasPrefix "tun" name then "tun" else "tap"'';
type = with types; enum [ "tun" "tap" ];
description = ''
The type of interface to create.
@@ -284,6 +286,13 @@ let
'';
};
+ wakeOnLan = {
+ enable = mkOption {
+ type = types.bool;
+ default = false;
+ description = "Wether to enable wol on this interface.";
+ };
+ };
};
config = {
@@ -420,7 +429,7 @@ in
The FQDN is required but cannot be determined. Please make sure that
both networking.hostName and networking.domain are set properly.
'';
- defaultText = literalExample ''''${networking.hostName}.''${networking.domain}'';
+ defaultText = literalExpression ''"''${networking.hostName}.''${networking.domain}"'';
description = ''
The fully qualified domain name (FQDN) of this host. It is the result
of combining networking.hostName and networking.domain. Using this
@@ -578,7 +587,6 @@ in
options = {
interfaces = mkOption {
- example = [ "eth0" "eth1" ];
description = "The physical network interfaces connected by the vSwitch.";
type = with types; attrsOf (submodule vswitchInterfaceOpts);
};
@@ -691,7 +699,7 @@ in
'';
in mkOption {
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
bond0 = {
interfaces = [ "eth0" "wlan0" ];
@@ -720,7 +728,7 @@ in
driverOptions = mkOption {
type = types.attrsOf types.str;
default = {};
- example = literalExample driverOptionsExample;
+ example = literalExpression driverOptionsExample;
description = ''
Options for the bonding driver.
Documentation can be found in
@@ -784,7 +792,7 @@ in
networking.macvlans = mkOption {
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
wan = {
interface = "enp2s0";
@@ -817,9 +825,74 @@ in
});
};
+ networking.fooOverUDP = mkOption {
+ default = { };
+ example =
+ {
+ primary = { port = 9001; local = { address = "192.0.2.1"; dev = "eth0"; }; };
+ backup = { port = 9002; };
+ };
+ description = ''
+ This option allows you to configure Foo Over UDP and Generic UDP Encapsulation
+ endpoints. See ip-fou
+ 8 for details.
+ '';
+ type = with types; attrsOf (submodule {
+ options = {
+ port = mkOption {
+ type = port;
+ description = ''
+ Local port of the encapsulation UDP socket.
+ '';
+ };
+
+ protocol = mkOption {
+ type = nullOr (ints.between 1 255);
+ default = null;
+ description = ''
+ Protocol number of the encapsulated packets. Specifying null
+ (the default) creates a GUE endpoint, specifying a protocol number will create
+ a FOU endpoint.
+ '';
+ };
+
+ local = mkOption {
+ type = nullOr (submodule {
+ options = {
+ address = mkOption {
+ type = types.str;
+ description = ''
+ Local address to bind to. The address must be available when the FOU
+ endpoint is created, using the scripted network setup this can be achieved
+ either by setting dev or adding dependency information to
+ systemd.services.<name>-fou-encap ; it isn't supported
+ when using networkd.
+ '';
+ };
+
+ dev = mkOption {
+ type = nullOr str;
+ default = null;
+ example = "eth0";
+ description = ''
+ Network device to bind to.
+ '';
+ };
+ };
+ });
+ default = null;
+ example = { address = "203.0.113.22"; };
+ description = ''
+ Local address (and optionally device) to bind to using the given port.
+ '';
+ };
+ };
+ });
+ };
+
networking.sits = mkOption {
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
hurricane = {
remote = "10.0.0.1";
@@ -876,6 +949,44 @@ in
'';
};
+ encapsulation = with types; mkOption {
+ type = nullOr (submodule {
+ options = {
+ type = mkOption {
+ type = enum [ "fou" "gue" ];
+ description = ''
+ Selects encapsulation type. See
+ ip-link
+ 8 for details.
+ '';
+ };
+
+ port = mkOption {
+ type = port;
+ example = 9001;
+ description = ''
+ Destination port for encapsulated packets.
+ '';
+ };
+
+ sourcePort = mkOption {
+ type = nullOr types.port;
+ default = null;
+ example = 9002;
+ description = ''
+ Source port for encapsulated packets. Will be chosen automatically by
+ the kernel if unset.
+ '';
+ };
+ };
+ });
+ default = null;
+ example = { type = "fou"; port = 9001; };
+ description = ''
+ Configures encapsulation in UDP packets.
+ '';
+ };
+
};
});
@@ -883,7 +994,7 @@ in
networking.vlans = mkOption {
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
vlan0 = {
id = 3;
@@ -927,7 +1038,7 @@ in
networking.wlanInterfaces = mkOption {
default = { };
- example = literalExample ''
+ example = literalExpression ''
{
wlan-station0 = {
device = "wlp6s0";
@@ -1110,7 +1221,8 @@ in
boot.kernelModules = [ ]
++ optional hasVirtuals "tun"
++ optional hasSits "sit"
- ++ optional hasBonds "bonding";
+ ++ optional hasBonds "bonding"
+ ++ optional hasFous "fou";
boot.extraModprobeConfig =
# This setting is intentional as it prevents default bond devices
@@ -1133,11 +1245,18 @@ in
# kernel because we need the ambient capability
security.wrappers = if (versionAtLeast (getVersion config.boot.kernelPackages.kernel) "4.3") then {
ping = {
- source = "${pkgs.iputils.out}/bin/ping";
+ owner = "root";
+ group = "root";
capabilities = "cap_net_raw+p";
+ source = "${pkgs.iputils.out}/bin/ping";
};
} else {
- ping.source = "${pkgs.iputils.out}/bin/ping";
+ ping = {
+ setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${pkgs.iputils.out}/bin/ping";
+ };
};
security.apparmor.policies."bin.ping".profile = lib.mkIf config.security.apparmor.policies."bin.ping".enable (lib.mkAfter ''
/run/wrappers/bin/ping {
@@ -1296,14 +1415,14 @@ in
'';
# Udev script to execute for a new WLAN interface. The script configures the new WLAN interface.
- newInterfaceScript = device: new: pkgs.writeScript "udev-run-script-wlan-interfaces-${new._iName}.sh" ''
+ newInterfaceScript = new: pkgs.writeScript "udev-run-script-wlan-interfaces-${new._iName}.sh" ''
#!${pkgs.runtimeShell}
# Configure the new interface
${pkgs.iw}/bin/iw dev ${new._iName} set type ${new.type}
- ${optionalString (new.type == "mesh" && new.meshID!=null) "${pkgs.iw}/bin/iw dev ${device} set meshid ${new.meshID}"}
- ${optionalString (new.type == "monitor" && new.flags!=null) "${pkgs.iw}/bin/iw dev ${device} set monitor ${new.flags}"}
- ${optionalString (new.type == "managed" && new.fourAddr!=null) "${pkgs.iw}/bin/iw dev ${device} set 4addr ${if new.fourAddr then "on" else "off"}"}
- ${optionalString (new.mac != null) "${pkgs.iproute2}/bin/ip link set dev ${device} address ${new.mac}"}
+ ${optionalString (new.type == "mesh" && new.meshID!=null) "${pkgs.iw}/bin/iw dev ${new._iName} set meshid ${new.meshID}"}
+ ${optionalString (new.type == "monitor" && new.flags!=null) "${pkgs.iw}/bin/iw dev ${new._iName} set monitor ${new.flags}"}
+ ${optionalString (new.type == "managed" && new.fourAddr!=null) "${pkgs.iw}/bin/iw dev ${new._iName} set 4addr ${if new.fourAddr then "on" else "off"}"}
+ ${optionalString (new.mac != null) "${pkgs.iproute2}/bin/ip link set dev ${new._iName} address ${new.mac}"}
'';
# Udev attributes for systemd to name the device and to create a .device target.
@@ -1318,7 +1437,7 @@ in
# It is important to have that rule first as overwriting the NAME attribute also prevents the
# next rules from matching.
${flip (concatMapStringsSep "\n") (wlanListDeviceFirst device wlanDeviceInterfaces.${device}) (interface:
- ''ACTION=="add", SUBSYSTEM=="net", ENV{DEVTYPE}=="wlan", ENV{INTERFACE}=="${interface._iName}", ${systemdAttrs interface._iName}, RUN+="${newInterfaceScript device interface}"'')}
+ ''ACTION=="add", SUBSYSTEM=="net", ENV{DEVTYPE}=="wlan", ENV{INTERFACE}=="${interface._iName}", ${systemdAttrs interface._iName}, RUN+="${newInterfaceScript interface}"'')}
# Add the required, new WLAN interfaces to the default WLAN interface with the
# persistent, default name as assigned by udev.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/testing/test-instrumentation.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/testing/test-instrumentation.nix
index be5fa88b8ad..a7011be7e04 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/testing/test-instrumentation.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/testing/test-instrumentation.nix
@@ -4,7 +4,10 @@
{ options, config, lib, pkgs, ... }:
with lib;
-with import ../../lib/qemu-flags.nix { inherit pkgs; };
+
+let
+ qemu-common = import ../../lib/qemu-common.nix { inherit lib pkgs; };
+in
{
@@ -12,8 +15,8 @@ with import ../../lib/qemu-flags.nix { inherit pkgs; };
systemd.services.backdoor =
{ wantedBy = [ "multi-user.target" ];
- requires = [ "dev-hvc0.device" "dev-${qemuSerialDevice}.device" ];
- after = [ "dev-hvc0.device" "dev-${qemuSerialDevice}.device" ];
+ requires = [ "dev-hvc0.device" "dev-${qemu-common.qemuSerialDevice}.device" ];
+ after = [ "dev-hvc0.device" "dev-${qemu-common.qemuSerialDevice}.device" ];
script =
''
export USER=root
@@ -30,7 +33,7 @@ with import ../../lib/qemu-flags.nix { inherit pkgs; };
cd /tmp
exec < /dev/hvc0 > /dev/hvc0
- while ! exec 2> /dev/${qemuSerialDevice}; do sleep 0.1; done
+ while ! exec 2> /dev/${qemu-common.qemuSerialDevice}; do sleep 0.1; done
echo "connecting to host..." >&2
stty -F /dev/hvc0 raw -echo # prevent nl -> cr/nl conversion
echo
@@ -42,7 +45,7 @@ with import ../../lib/qemu-flags.nix { inherit pkgs; };
# Prevent agetty from being instantiated on the serial device, since it
# interferes with the backdoor (writes to it will randomly fail
# with EIO). Likewise for hvc0.
- systemd.services."serial-getty@${qemuSerialDevice}".enable = false;
+ systemd.services."serial-getty@${qemu-common.qemuSerialDevice}".enable = false;
systemd.services."serial-getty@hvc0".enable = false;
# Only set these settings when the options exist. Some tests (e.g. those
@@ -57,7 +60,7 @@ with import ../../lib/qemu-flags.nix { inherit pkgs; };
# we avoid defining consoles if not possible.
# TODO: refactor such that test-instrumentation can import qemu-vm
# or declare virtualisation.qemu.console option in a module that's always imported
- consoles = [ qemuSerialDevice ];
+ consoles = [ qemu-common.qemuSerialDevice ];
package = lib.mkDefault pkgs.qemu_test;
};
};
@@ -88,7 +91,7 @@ with import ../../lib/qemu-flags.nix { inherit pkgs; };
# Panic if an error occurs in stage 1 (rather than waiting for
# user intervention).
boot.kernelParams =
- [ "console=${qemuSerialDevice}" "panic=1" "boot.panic_on_fail" ];
+ [ "console=${qemu-common.qemuSerialDevice}" "panic=1" "boot.panic_on_fail" ];
# `xwininfo' is used by the test driver to query open windows.
environment.systemPackages = [ pkgs.xorg.xwininfo ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/anbox.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/anbox.nix
index 7b096bd1a9f..a4da62eb5f7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/anbox.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/anbox.nix
@@ -35,7 +35,7 @@ in
image = mkOption {
default = pkgs.anbox.image;
- example = literalExample "pkgs.anbox.image";
+ defaultText = literalExpression "pkgs.anbox.image";
type = types.package;
description = ''
Base android image for Anbox.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/containerd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/containerd.nix
index 43cb6273f25..898a66e7b04 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/containerd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/containerd.nix
@@ -53,8 +53,11 @@ in
virtualisation.containerd = {
args.config = toString containerdConfigChecked;
settings = {
- plugins.cri.containerd.snapshotter = lib.mkIf config.boot.zfs.enabled "zfs";
- plugins.cri.cni.bin_dir = lib.mkDefault "${pkgs.cni-plugins}/bin";
+ plugins."io.containerd.grpc.v1.cri" = {
+ containerd.snapshotter =
+ lib.mkIf config.boot.zfs.enabled (lib.mkOptionDefault "zfs");
+ cni.bin_dir = lib.mkOptionDefault "${pkgs.cni-plugins}/bin";
+ };
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/containers.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/containers.nix
index 84824e2f90f..cea3d51d3ae 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/containers.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/containers.nix
@@ -2,7 +2,7 @@
let
cfg = config.virtualisation.containers;
- inherit (lib) mkOption types;
+ inherit (lib) literalExpression mkOption types;
toml = pkgs.formats.toml { };
in
@@ -50,12 +50,12 @@ in
containersConf.cniPlugins = mkOption {
type = types.listOf types.package;
- defaultText = ''
+ defaultText = literalExpression ''
[
pkgs.cni-plugins
]
'';
- example = lib.literalExample ''
+ example = literalExpression ''
[
pkgs.cniPlugins.dnsname
]
@@ -106,7 +106,7 @@ in
policy = mkOption {
default = {};
type = types.attrs;
- example = lib.literalExample ''
+ example = literalExpression ''
{
default = [ { type = "insecureAcceptAnything"; } ];
transports = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/cri-o.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/cri-o.nix
index c135081959a..38766113f39 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/cri-o.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/cri-o.nix
@@ -38,27 +38,27 @@ in
type = types.nullOr types.str;
default = null;
description = "Override the default pause image for pod sandboxes";
- example = [ "k8s.gcr.io/pause:3.2" ];
+ example = "k8s.gcr.io/pause:3.2";
};
pauseCommand = mkOption {
type = types.nullOr types.str;
default = null;
description = "Override the default pause command";
- example = [ "/pause" ];
+ example = "/pause";
};
runtime = mkOption {
type = types.nullOr types.str;
default = null;
description = "Override the default runtime";
- example = [ "crun" ];
+ example = "crun";
};
extraPackages = mkOption {
type = with types; listOf package;
default = [ ];
- example = literalExample ''
+ example = literalExpression ''
[
pkgs.gvisor
]
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/digital-ocean-init.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/digital-ocean-init.nix
index 02f4de009fa..4339d91de16 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/digital-ocean-init.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/digital-ocean-init.nix
@@ -20,7 +20,7 @@ in {
options.virtualisation.digitalOcean.defaultConfigFile = mkOption {
type = types.path;
default = defaultConfigFile;
- defaultText = ''
+ defaultText = literalDocBook ''
The default configuration imports user-data if applicable and
(modulesPath + "/virtualisation/digital-ocean-config.nix") .
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/docker.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/docker.nix
index 29f133786d8..06858e15030 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/docker.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/docker.nix
@@ -138,8 +138,9 @@ in
package = mkOption {
default = pkgs.docker;
+ defaultText = literalExpression "pkgs.docker";
type = types.package;
- example = pkgs.docker-edge;
+ example = literalExpression "pkgs.docker-edge";
description = ''
Docker package to be used in the module.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/ecs-agent.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/ecs-agent.nix
index 93fefe56d1a..aa38a02ea08 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/ecs-agent.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/ecs-agent.nix
@@ -12,7 +12,7 @@ in {
type = types.path;
description = "The ECS agent package to use";
default = pkgs.ecs-agent;
- defaultText = "pkgs.ecs-agent";
+ defaultText = literalExpression "pkgs.ecs-agent";
};
extra-environment = mkOption {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/hyperv-guest.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/hyperv-guest.nix
index b3bcfff1980..fb6502644b8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/hyperv-guest.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/hyperv-guest.nix
@@ -34,7 +34,7 @@ in {
initrd.availableKernelModules = [ "hyperv_keyboard" ];
kernelParams = [
- "video=hyperv_fb:${cfg.videoMode} elevator=noop"
+ "video=hyperv_fb:${cfg.videoMode}" "elevator=noop"
];
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/libvirtd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/libvirtd.nix
index f45f1802d91..121e7286bc1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/libvirtd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/libvirtd.nix
@@ -13,23 +13,140 @@ let
'';
ovmfFilePrefix = if pkgs.stdenv.isAarch64 then "AAVMF" else "OVMF";
qemuConfigFile = pkgs.writeText "qemu.conf" ''
- ${optionalString cfg.qemuOvmf ''
+ ${optionalString cfg.qemu.ovmf.enable ''
nvram = [ "/run/libvirt/nix-ovmf/${ovmfFilePrefix}_CODE.fd:/run/libvirt/nix-ovmf/${ovmfFilePrefix}_VARS.fd" ]
''}
- ${optionalString (!cfg.qemuRunAsRoot) ''
+ ${optionalString (!cfg.qemu.runAsRoot) ''
user = "qemu-libvirtd"
group = "qemu-libvirtd"
''}
- ${cfg.qemuVerbatimConfig}
+ ${cfg.qemu.verbatimConfig}
'';
dirName = "libvirt";
subDirs = list: [ dirName ] ++ map (e: "${dirName}/${e}") list;
-in {
+ ovmfModule = types.submodule {
+ options = {
+ enable = mkOption {
+ type = types.bool;
+ default = true;
+ description = ''
+ Allows libvirtd to take advantage of OVMF when creating new
+ QEMU VMs with UEFI boot.
+ '';
+ };
+
+ package = mkOption {
+ type = types.package;
+ default = pkgs.OVMF;
+ defaultText = literalExpression "pkgs.OVMF";
+ example = literalExpression "pkgs.OVMFFull";
+ description = ''
+ OVMF package to use.
+ '';
+ };
+ };
+ };
+
+ swtpmModule = types.submodule {
+ options = {
+ enable = mkOption {
+ type = types.bool;
+ default = false;
+ description = ''
+ Allows libvirtd to use swtpm to create an emulated TPM.
+ '';
+ };
+
+ package = mkOption {
+ type = types.package;
+ default = pkgs.swtpm;
+ defaultText = literalExpression "pkgs.swtpm";
+ description = ''
+ swtpm package to use.
+ '';
+ };
+ };
+ };
+
+ qemuModule = types.submodule {
+ options = {
+ package = mkOption {
+ type = types.package;
+ default = pkgs.qemu;
+ defaultText = literalExpression "pkgs.qemu";
+ description = ''
+ Qemu package to use with libvirt.
+ `pkgs.qemu` can emulate alien architectures (e.g. aarch64 on x86)
+ `pkgs.qemu_kvm` saves disk space allowing to emulate only host architectures.
+ '';
+ };
+
+ runAsRoot = mkOption {
+ type = types.bool;
+ default = true;
+ description = ''
+ If true, libvirtd runs qemu as root.
+ If false, libvirtd runs qemu as unprivileged user qemu-libvirtd.
+ Changing this option to false may cause file permission issues
+ for existing guests. To fix these, manually change ownership
+ of affected files in /var/lib/libvirt/qemu to qemu-libvirtd.
+ '';
+ };
+
+ verbatimConfig = mkOption {
+ type = types.lines;
+ default = ''
+ namespaces = []
+ '';
+ description = ''
+ Contents written to the qemu configuration file, qemu.conf.
+ Make sure to include a proper namespace configuration when
+ supplying custom configuration.
+ '';
+ };
+
+ ovmf = mkOption {
+ type = ovmfModule;
+ default = { };
+ description = ''
+ QEMU's OVMF options.
+ '';
+ };
+
+ swtpm = mkOption {
+ type = swtpmModule;
+ default = { };
+ description = ''
+ QEMU's swtpm options.
+ '';
+ };
+ };
+ };
+in
+{
imports = [
(mkRemovedOptionModule [ "virtualisation" "libvirtd" "enableKVM" ]
- "Set the option `virtualisation.libvirtd.qemuPackage' instead.")
+ "Set the option `virtualisation.libvirtd.qemu.package' instead.")
+ (mkRenamedOptionModule
+ [ "virtualisation" "libvirtd" "qemuPackage" ]
+ [ "virtualisation" "libvirtd" "qemu" "package" ])
+ (mkRenamedOptionModule
+ [ "virtualisation" "libvirtd" "qemuRunAsRoot" ]
+ [ "virtualisation" "libvirtd" "qemu" "runAsRoot" ])
+ (mkRenamedOptionModule
+ [ "virtualisation" "libvirtd" "qemuVerbatimConfig" ]
+ [ "virtualisation" "libvirtd" "qemu" "verbatimConfig" ])
+ (mkRenamedOptionModule
+ [ "virtualisation" "libvirtd" "qemuOvmf" ]
+ [ "virtualisation" "libvirtd" "qemu" "ovmf" "enable" ])
+ (mkRenamedOptionModule
+ [ "virtualisation" "libvirtd" "qemuOvmfPackage" ]
+ [ "virtualisation" "libvirtd" "qemu" "ovmf" "package" ])
+ (mkRenamedOptionModule
+ [ "virtualisation" "libvirtd" "qemuSwtpm" ]
+ [ "virtualisation" "libvirtd" "qemu" "swtpm" "enable" ])
];
###### interface
@@ -50,22 +167,12 @@ in {
package = mkOption {
type = types.package;
default = pkgs.libvirt;
- defaultText = "pkgs.libvirt";
+ defaultText = literalExpression "pkgs.libvirt";
description = ''
libvirt package to use.
'';
};
- qemuPackage = mkOption {
- type = types.package;
- default = pkgs.qemu;
- description = ''
- Qemu package to use with libvirt.
- `pkgs.qemu` can emulate alien architectures (e.g. aarch64 on x86)
- `pkgs.qemu_kvm` saves disk space allowing to emulate only host architectures.
- '';
- };
-
extraConfig = mkOption {
type = types.lines;
default = "";
@@ -75,39 +182,6 @@ in {
'';
};
- qemuRunAsRoot = mkOption {
- type = types.bool;
- default = true;
- description = ''
- If true, libvirtd runs qemu as root.
- If false, libvirtd runs qemu as unprivileged user qemu-libvirtd.
- Changing this option to false may cause file permission issues
- for existing guests. To fix these, manually change ownership
- of affected files in /var/lib/libvirt/qemu to qemu-libvirtd.
- '';
- };
-
- qemuVerbatimConfig = mkOption {
- type = types.lines;
- default = ''
- namespaces = []
- '';
- description = ''
- Contents written to the qemu configuration file, qemu.conf.
- Make sure to include a proper namespace configuration when
- supplying custom configuration.
- '';
- };
-
- qemuOvmf = mkOption {
- type = types.bool;
- default = true;
- description = ''
- Allows libvirtd to take advantage of OVMF when creating new
- QEMU VMs with UEFI boot.
- '';
- };
-
extraOptions = mkOption {
type = types.listOf types.str;
default = [ ];
@@ -118,7 +192,7 @@ in {
};
onBoot = mkOption {
- type = types.enum ["start" "ignore" ];
+ type = types.enum [ "start" "ignore" ];
default = "start";
description = ''
Specifies the action to be done to / on the guests when the host boots.
@@ -130,7 +204,7 @@ in {
};
onShutdown = mkOption {
- type = types.enum ["shutdown" "suspend" ];
+ type = types.enum [ "shutdown" "suspend" ];
default = "suspend";
description = ''
When shutting down / restarting the host what method should
@@ -148,6 +222,13 @@ in {
'';
};
+ qemu = mkOption {
+ type = qemuModule;
+ default = { };
+ description = ''
+ QEMU related options.
+ '';
+ };
};
@@ -160,13 +241,19 @@ in {
assertion = config.security.polkit.enable;
message = "The libvirtd module currently requires Polkit to be enabled ('security.polkit.enable = true').";
}
+ {
+ assertion = builtins.elem "fd" cfg.qemu.ovmf.package.outputs;
+ message = "The option 'virtualisation.libvirtd.qemuOvmfPackage' needs a package that has an 'fd' output.";
+ }
];
environment = {
# this file is expected in /etc/qemu and not sysconfdir (/var/lib)
- etc."qemu/bridge.conf".text = lib.concatMapStringsSep "\n" (e:
- "allow ${e}") cfg.allowedBridges;
- systemPackages = with pkgs; [ libressl.nc iptables cfg.package cfg.qemuPackage ];
+ etc."qemu/bridge.conf".text = lib.concatMapStringsSep "\n"
+ (e:
+ "allow ${e}")
+ cfg.allowedBridges;
+ systemPackages = with pkgs; [ libressl.nc iptables cfg.package cfg.qemu.package ];
etc.ethertypes.source = "${pkgs.ebtables}/etc/ethertypes";
};
@@ -183,6 +270,9 @@ in {
};
security.wrappers.qemu-bridge-helper = {
+ setuid = true;
+ owner = "root";
+ group = "root";
source = "/run/${dirName}/nix-helpers/qemu-bridge-helper";
};
@@ -205,17 +295,17 @@ in {
cp -f ${qemuConfigFile} /var/lib/${dirName}/qemu.conf
# stable (not GC'able as in /nix/store) paths for using in section of xml configs
- for emulator in ${cfg.package}/libexec/libvirt_lxc ${cfg.qemuPackage}/bin/qemu-kvm ${cfg.qemuPackage}/bin/qemu-system-*; do
+ for emulator in ${cfg.package}/libexec/libvirt_lxc ${cfg.qemu.package}/bin/qemu-kvm ${cfg.qemu.package}/bin/qemu-system-*; do
ln -s --force "$emulator" /run/${dirName}/nix-emulators/
done
for helper in libexec/qemu-bridge-helper bin/qemu-pr-helper; do
- ln -s --force ${cfg.qemuPackage}/$helper /run/${dirName}/nix-helpers/
+ ln -s --force ${cfg.qemu.package}/$helper /run/${dirName}/nix-helpers/
done
- ${optionalString cfg.qemuOvmf ''
- ln -s --force ${pkgs.OVMF.fd}/FV/${ovmfFilePrefix}_CODE.fd /run/${dirName}/nix-ovmf/
- ln -s --force ${pkgs.OVMF.fd}/FV/${ovmfFilePrefix}_VARS.fd /run/${dirName}/nix-ovmf/
+ ${optionalString cfg.qemu.ovmf.enable ''
+ ln -s --force ${cfg.qemu.ovmf.package.fd}/FV/${ovmfFilePrefix}_CODE.fd /run/${dirName}/nix-ovmf/
+ ln -s --force ${cfg.qemu.ovmf.package.fd}/FV/${ovmfFilePrefix}_VARS.fd /run/${dirName}/nix-ovmf/
''}
'';
@@ -231,15 +321,20 @@ in {
systemd.services.libvirtd = {
requires = [ "libvirtd-config.service" ];
after = [ "libvirtd-config.service" ]
- ++ optional vswitch.enable "ovs-vswitchd.service";
+ ++ optional vswitch.enable "ovs-vswitchd.service";
environment.LIBVIRTD_ARGS = escapeShellArgs (
- [ "--config" configFile
- "--timeout" "120" # from ${libvirt}/var/lib/sysconfig/libvirtd
- ] ++ cfg.extraOptions);
-
- path = [ cfg.qemuPackage ] # libvirtd requires qemu-img to manage disk images
- ++ optional vswitch.enable vswitch.package;
+ [
+ "--config"
+ configFile
+ "--timeout"
+ "120" # from ${libvirt}/var/lib/sysconfig/libvirtd
+ ] ++ cfg.extraOptions
+ );
+
+ path = [ cfg.qemu.package ] # libvirtd requires qemu-img to manage disk images
+ ++ optional vswitch.enable vswitch.package
+ ++ optional cfg.qemu.swtpm.enable cfg.qemu.swtpm.package;
serviceConfig = {
Type = "notify";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/lxd.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/lxd.nix
index cde29f7bf59..94cd22d1371 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/lxd.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/lxd.nix
@@ -35,7 +35,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.lxd.override { nftablesSupport = config.networking.nftables.enable; };
- defaultText = "pkgs.lxd";
+ defaultText = literalExpression "pkgs.lxd";
description = ''
The LXD package to use.
'';
@@ -44,7 +44,7 @@ in {
lxcPackage = mkOption {
type = types.package;
default = pkgs.lxc;
- defaultText = "pkgs.lxc";
+ defaultText = literalExpression "pkgs.lxc";
description = ''
The LXC package to use with LXD (required for AppArmor profiles).
'';
@@ -53,7 +53,7 @@ in {
zfsSupport = mkOption {
type = types.bool;
default = config.boot.zfs.enabled;
- defaultText = "config.boot.zfs.enabled";
+ defaultText = literalExpression "config.boot.zfs.enabled";
description = ''
Enables lxd to use zfs as a storage for containers.
@@ -158,7 +158,7 @@ in {
};
};
- users.groups.lxd.gid = config.ids.gids.lxd;
+ users.groups.lxd = {};
users.users.root = {
subUidRanges = [ { startUid = 1000000; count = 65536; } ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/nixos-containers.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/nixos-containers.nix
index f3f318412df..279c9656735 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/nixos-containers.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/nixos-containers.nix
@@ -530,7 +530,7 @@ in
nixpkgs = mkOption {
type = types.path;
default = pkgs.path;
- defaultText = "pkgs.path";
+ defaultText = literalExpression "pkgs.path";
description = ''
A path to the nixpkgs that provide the modules, pkgs and lib for evaluating the container.
@@ -636,7 +636,7 @@ in
bindMounts = mkOption {
type = with types; attrsOf (submodule bindMountOpts);
default = {};
- example = literalExample ''
+ example = literalExpression ''
{ "/home" = { hostPath = "/home/alice";
isReadOnly = false; };
}
@@ -707,7 +707,7 @@ in
}));
default = {};
- example = literalExample
+ example = literalExpression
''
{ webserver =
{ path = "/nix/var/nix/profiles/webserver";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/oci-containers.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/oci-containers.nix
index a4a92f22506..24573bba480 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/oci-containers.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/oci-containers.nix
@@ -28,7 +28,7 @@ let
You still need to set the image attribute, as it
will be used as the image name for docker to start a container.
'';
- example = literalExample "pkgs.dockerTools.buildDockerImage {...};";
+ example = literalExpression "pkgs.dockerTools.buildDockerImage {...};";
};
login = {
@@ -59,7 +59,7 @@ let
type = with types; listOf str;
default = [];
description = "Commandline arguments to pass to the image's entrypoint.";
- example = literalExample ''
+ example = literalExpression ''
["--port=9000"]
'';
};
@@ -75,7 +75,7 @@ let
type = with types; attrsOf str;
default = {};
description = "Environment variables to set for this container.";
- example = literalExample ''
+ example = literalExpression ''
{
DATABASE_HOST = "db.example.com";
DATABASE_PORT = "3306";
@@ -87,7 +87,7 @@ let
type = with types; listOf path;
default = [];
description = "Environment files for this container.";
- example = literalExample ''
+ example = literalExpression ''
[
/path/to/.env
/path/to/.env.secret
@@ -160,7 +160,7 @@ let
Docker engine documentation for full details.
'';
- example = literalExample ''
+ example = literalExpression ''
[
"8080:9000"
]
@@ -191,7 +191,7 @@ let
docker engine documentation for details.
'';
- example = literalExample ''
+ example = literalExpression ''
[
"volume_name:/path/inside/container"
"/path/on/host:/path/inside/container"
@@ -214,7 +214,7 @@ let
Use the same name as the attribute under virtualisation.oci-containers.containers .
'';
- example = literalExample ''
+ example = literalExpression ''
virtualisation.oci-containers.containers = {
node1 = {};
node2 = {
@@ -228,7 +228,7 @@ let
type = with types; listOf str;
default = [];
description = "Extra options for ${defaultBackend} run .";
- example = literalExample ''
+ example = literalExpression ''
["--network=host"]
'';
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/openvswitch.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/openvswitch.nix
index ccf32641df6..325f6f5b43f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/openvswitch.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/openvswitch.nix
@@ -31,7 +31,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.openvswitch;
- defaultText = "pkgs.openvswitch";
+ defaultText = literalExpression "pkgs.openvswitch";
description = ''
Open vSwitch package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/parallels-guest.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/parallels-guest.nix
index 55605b388b7..d950cecff6f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/parallels-guest.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/parallels-guest.nix
@@ -34,8 +34,7 @@ in
package = mkOption {
type = types.nullOr types.package;
default = config.boot.kernelPackages.prl-tools;
- defaultText = "config.boot.kernelPackages.prl-tools";
- example = literalExample "config.boot.kernelPackages.prl-tools";
+ defaultText = literalExpression "config.boot.kernelPackages.prl-tools";
description = ''
Defines which package to use for prl-tools. Override to change the version.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/podman.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/podman.nix
index 893afee4c32..385475c84a1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/podman.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/podman.nix
@@ -95,7 +95,7 @@ in
extraPackages = mkOption {
type = with types; listOf package;
default = [ ];
- example = lib.literalExample ''
+ example = lib.literalExpression ''
[
pkgs.gvisor
]
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/qemu-guest-agent.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/qemu-guest-agent.nix
index 3824d0c168f..37a93a29976 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/qemu-guest-agent.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/qemu-guest-agent.nix
@@ -15,6 +15,7 @@ in {
package = mkOption {
type = types.package;
default = pkgs.qemu.ga;
+ defaultText = literalExpression "pkgs.qemu.ga";
description = "The QEMU guest agent package.";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/qemu-vm.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/qemu-vm.nix
index d9935bcafb7..c5f71b249a6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/qemu-vm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/qemu-vm.nix
@@ -10,10 +10,10 @@
{ config, lib, pkgs, options, ... }:
with lib;
-with import ../../lib/qemu-flags.nix { inherit pkgs; };
let
+ qemu-common = import ../../lib/qemu-common.nix { inherit lib pkgs; };
cfg = config.virtualisation;
@@ -75,7 +75,7 @@ let
in
"-drive ${driveOpts} ${device}";
- drivesCmdLine = drives: concatStringsSep " " (imap1 driveCmdline drives);
+ drivesCmdLine = drives: concatStringsSep "\\\n " (imap1 driveCmdline drives);
# Creates a device name from a 1-based a numerical index, e.g.
@@ -108,39 +108,44 @@ let
''
#! ${pkgs.runtimeShell}
- NIX_DISK_IMAGE=$(readlink -f ''${NIX_DISK_IMAGE:-${config.virtualisation.diskImage}})
+ set -e
+
+ NIX_DISK_IMAGE=$(readlink -f "''${NIX_DISK_IMAGE:-${config.virtualisation.diskImage}}")
if ! test -e "$NIX_DISK_IMAGE"; then
${qemu}/bin/qemu-img create -f qcow2 "$NIX_DISK_IMAGE" \
- ${toString config.virtualisation.diskSize}M || exit 1
+ ${toString config.virtualisation.diskSize}M
fi
# Create a directory for storing temporary data of the running VM.
- if [ -z "$TMPDIR" -o -z "$USE_TMPDIR" ]; then
+ if [ -z "$TMPDIR" ] || [ -z "$USE_TMPDIR" ]; then
TMPDIR=$(mktemp -d nix-vm.XXXXXXXXXX --tmpdir)
fi
# Create a directory for exchanging data with the VM.
- mkdir -p $TMPDIR/xchg
+ mkdir -p "$TMPDIR/xchg"
- ${if cfg.useBootLoader then ''
+ ${lib.optionalString cfg.useBootLoader
+ ''
# Create a writable copy/snapshot of the boot disk.
# A writable boot disk can be booted from automatically.
- ${qemu}/bin/qemu-img create -f qcow2 -b ${bootDisk}/disk.img $TMPDIR/disk.img || exit 1
+ ${qemu}/bin/qemu-img create -f qcow2 -F qcow2 -b ${bootDisk}/disk.img "$TMPDIR/disk.img"
- NIX_EFI_VARS=$(readlink -f ''${NIX_EFI_VARS:-${cfg.efiVars}})
+ NIX_EFI_VARS=$(readlink -f "''${NIX_EFI_VARS:-${cfg.efiVars}}")
- ${if cfg.useEFIBoot then ''
+ ${lib.optionalString cfg.useEFIBoot
+ ''
# VM needs writable EFI vars
if ! test -e "$NIX_EFI_VARS"; then
- cp ${bootDisk}/efi-vars.fd "$NIX_EFI_VARS" || exit 1
- chmod 0644 "$NIX_EFI_VARS" || exit 1
+ cp ${bootDisk}/efi-vars.fd "$NIX_EFI_VARS"
+ chmod 0644 "$NIX_EFI_VARS"
fi
- '' else ""}
- '' else ""}
+ ''}
+ ''}
+
+ cd "$TMPDIR"
- cd $TMPDIR
- idx=0
+ ${lib.optionalString (cfg.emptyDiskImages != []) "idx=0"}
${flip concatMapStrings cfg.emptyDiskImages (size: ''
if ! test -e "empty$idx.qcow2"; then
${qemu}/bin/qemu-img create -f qcow2 "empty$idx.qcow2" "${toString size}M"
@@ -149,17 +154,18 @@ let
'')}
# Start QEMU.
- exec ${qemuBinary qemu} \
+ exec ${qemu-common.qemuBinary qemu} \
-name ${config.system.name} \
-m ${toString config.virtualisation.memorySize} \
-smp ${toString config.virtualisation.cores} \
-device virtio-rng-pci \
${concatStringsSep " " config.virtualisation.qemu.networkingOptions} \
- -virtfs local,path=/nix/store,security_model=none,mount_tag=store \
- -virtfs local,path=$TMPDIR/xchg,security_model=none,mount_tag=xchg \
- -virtfs local,path=''${SHARED_DIR:-$TMPDIR/xchg},security_model=none,mount_tag=shared \
+ ${concatStringsSep " \\\n "
+ (mapAttrsToList
+ (tag: share: "-virtfs local,path=${share.source},security_model=none,mount_tag=${tag}")
+ config.virtualisation.sharedDirectories)} \
${drivesCmdLine config.virtualisation.qemu.drives} \
- ${toString config.virtualisation.qemu.options} \
+ ${concatStringsSep " \\\n " config.virtualisation.qemu.options} \
$QEMU_OPTS \
"$@"
'';
@@ -270,20 +276,21 @@ in
virtualisation.memorySize =
mkOption {
+ type = types.ints.positive;
default = 384;
description =
''
- Memory size (M) of virtual machine.
+ The memory size in megabytes of the virtual machine.
'';
};
virtualisation.msize =
mkOption {
- default = null;
- type = types.nullOr types.ints.unsigned;
+ type = types.ints.positive;
+ default = 16384;
description =
''
- msize (maximum packet size) option passed to 9p file systems, in
+ The msize (maximum packet size) option passed to 9p file systems, in
bytes. Increasing this should increase performance significantly,
at the cost of higher RAM usage.
'';
@@ -291,15 +298,17 @@ in
virtualisation.diskSize =
mkOption {
+ type = types.nullOr types.ints.positive;
default = 512;
description =
''
- Disk size (M) of virtual machine.
+ The disk size in megabytes of the virtual machine.
'';
};
virtualisation.diskImage =
mkOption {
+ type = types.str;
default = "./${config.system.name}.qcow2";
description =
''
@@ -311,7 +320,7 @@ in
virtualisation.bootDevice =
mkOption {
- type = types.str;
+ type = types.path;
example = "/dev/vda";
description =
''
@@ -321,8 +330,8 @@ in
virtualisation.emptyDiskImages =
mkOption {
+ type = types.listOf types.ints.positive;
default = [];
- type = types.listOf types.int;
description =
''
Additional disk images to provide to the VM. The value is
@@ -333,6 +342,7 @@ in
virtualisation.graphics =
mkOption {
+ type = types.bool;
default = true;
description =
''
@@ -342,10 +352,20 @@ in
'';
};
+ virtualisation.resolution =
+ mkOption {
+ type = options.services.xserver.resolutions.type.nestedTypes.elemType;
+ default = { x = 1024; y = 768; };
+ description =
+ ''
+ The resolution of the virtual machine display.
+ '';
+ };
+
virtualisation.cores =
mkOption {
+ type = types.ints.positive;
default = 1;
- type = types.int;
description =
''
Specify the number of cores the guest is permitted to use.
@@ -354,8 +374,34 @@ in
'';
};
+ virtualisation.sharedDirectories =
+ mkOption {
+ type = types.attrsOf
+ (types.submodule {
+ options.source = mkOption {
+ type = types.str;
+ description = "The path of the directory to share, can be a shell variable";
+ };
+ options.target = mkOption {
+ type = types.path;
+ description = "The mount point of the directory inside the virtual machine";
+ };
+ });
+ default = { };
+ example = {
+ my-share = { source = "/path/to/be/shared"; target = "/mnt/shared"; };
+ };
+ description =
+ ''
+ An attributes set of directories that will be shared with the
+ virtual machine using VirtFS (9P filesystem over VirtIO).
+ The attribute name will be used as the 9P mount tag.
+ '';
+ };
+
virtualisation.pathsInNixDB =
mkOption {
+ type = types.listOf types.path;
default = [];
description =
''
@@ -367,8 +413,78 @@ in
'';
};
+ virtualisation.forwardPorts = mkOption {
+ type = types.listOf
+ (types.submodule {
+ options.from = mkOption {
+ type = types.enum [ "host" "guest" ];
+ default = "host";
+ description =
+ ''
+ Controls the direction in which the ports are mapped:
+
+ - "host" means traffic from the host ports
+ is forwarded to the given guest port.
+
+ - "guest" means traffic from the guest ports
+ is forwarded to the given host port.
+ '';
+ };
+ options.proto = mkOption {
+ type = types.enum [ "tcp" "udp" ];
+ default = "tcp";
+ description = "The protocol to forward.";
+ };
+ options.host.address = mkOption {
+ type = types.str;
+ default = "";
+ description = "The IPv4 address of the host.";
+ };
+ options.host.port = mkOption {
+ type = types.port;
+ description = "The host port to be mapped.";
+ };
+ options.guest.address = mkOption {
+ type = types.str;
+ default = "";
+ description = "The IPv4 address on the guest VLAN.";
+ };
+ options.guest.port = mkOption {
+ type = types.port;
+ description = "The guest port to be mapped.";
+ };
+ });
+ default = [];
+ example = lib.literalExpression
+ ''
+ [ # forward local port 2222 -> 22, to ssh into the VM
+ { from = "host"; host.port = 2222; guest.port = 22; }
+
+ # forward local port 80 -> 10.0.2.10:80 in the VLAN
+ { from = "guest";
+ guest.address = "10.0.2.10"; guest.port = 80;
+ host.address = "127.0.0.1"; host.port = 80;
+ }
+ ]
+ '';
+ description =
+ ''
+ When using the SLiRP user networking (default), this option allows to
+ forward ports to/from the host/guest.
+
+
+ If the NixOS firewall on the virtual machine is enabled, you also
+ have to open the guest ports to enable the traffic between host and
+ guest.
+
+
+ Currently QEMU supports only IPv4 forwarding.
+ '';
+ };
+
virtualisation.vlans =
mkOption {
+ type = types.listOf types.ints.unsigned;
default = [ 1 ];
example = [ 1 2 ];
description =
@@ -386,6 +502,7 @@ in
virtualisation.writableStore =
mkOption {
+ type = types.bool;
default = true; # FIXME
description =
''
@@ -397,6 +514,7 @@ in
virtualisation.writableStoreUseTmpfs =
mkOption {
+ type = types.bool;
default = true;
description =
''
@@ -407,6 +525,7 @@ in
networking.primaryIPAddress =
mkOption {
+ type = types.str;
default = "";
internal = true;
description = "Primary IP address used in /etc/hosts.";
@@ -423,7 +542,7 @@ in
options =
mkOption {
- type = types.listOf types.unspecified;
+ type = types.listOf types.str;
default = [];
example = [ "-vga std" ];
description = "Options passed to QEMU.";
@@ -432,7 +551,7 @@ in
consoles = mkOption {
type = types.listOf types.str;
default = let
- consoles = [ "${qemuSerialDevice},115200n8" "tty0" ];
+ consoles = [ "${qemu-common.qemuSerialDevice},115200n8" "tty0" ];
in if cfg.graphics then consoles else reverseList consoles;
example = [ "console=tty1" ];
description = ''
@@ -448,17 +567,18 @@ in
networkingOptions =
mkOption {
- default = [
+ type = types.listOf types.str;
+ default = [ ];
+ example = [
"-net nic,netdev=user.0,model=virtio"
- "-netdev user,id=user.0\${QEMU_NET_OPTS:+,$QEMU_NET_OPTS}"
+ "-netdev user,id=user.0,\${QEMU_NET_OPTS:+,$QEMU_NET_OPTS}"
];
- type = types.listOf types.str;
description = ''
Networking-related command-line options that should be passed to qemu.
- The default is to use userspace networking (slirp).
+ The default is to use userspace networking (SLiRP).
If you override this option, be advised to keep
- ''${QEMU_NET_OPTS:+,$QEMU_NET_OPTS} (as seen in the default)
+ ''${QEMU_NET_OPTS:+,$QEMU_NET_OPTS} (as seen in the example)
to keep the default runtime behaviour.
'';
};
@@ -472,16 +592,16 @@ in
diskInterface =
mkOption {
+ type = types.enum [ "virtio" "scsi" "ide" ];
default = "virtio";
example = "scsi";
- type = types.enum [ "virtio" "scsi" "ide" ];
description = "The interface used for the virtual hard disks.";
};
guestAgent.enable =
mkOption {
- default = true;
type = types.bool;
+ default = true;
description = ''
Enable the Qemu guest agent.
'';
@@ -490,6 +610,7 @@ in
virtualisation.useBootLoader =
mkOption {
+ type = types.bool;
default = false;
description =
''
@@ -504,6 +625,7 @@ in
virtualisation.useEFIBoot =
mkOption {
+ type = types.bool;
default = false;
description =
''
@@ -515,6 +637,7 @@ in
virtualisation.efiVars =
mkOption {
+ type = types.str;
default = "./${config.system.name}-efi-vars.fd";
description =
''
@@ -525,8 +648,8 @@ in
virtualisation.bios =
mkOption {
- default = null;
type = types.nullOr types.package;
+ default = null;
description =
''
An alternate BIOS (such as qboot ) with which to start the VM.
@@ -539,6 +662,25 @@ in
config = {
+ assertions =
+ lib.concatLists (lib.flip lib.imap cfg.forwardPorts (i: rule:
+ [
+ { assertion = rule.from == "guest" -> rule.proto == "tcp";
+ message =
+ ''
+ Invalid virtualisation.forwardPorts..proto:
+ Guest forwarding supports only TCP connections.
+ '';
+ }
+ { assertion = rule.from == "guest" -> lib.hasPrefix "10.0.2." rule.guest.address;
+ message =
+ ''
+ Invalid virtualisation.forwardPorts..guest.address:
+ The address must be in the default VLAN (10.0.2.0/24).
+ '';
+ }
+ ]));
+
# Note [Disk layout with `useBootLoader`]
#
# If `useBootLoader = true`, we configure 2 drives:
@@ -560,6 +702,7 @@ in
then driveDeviceName 2 # second disk
else cfg.bootDevice
);
+ boot.loader.grub.gfxmodeBios = with cfg.resolution; "${toString x}x${toString y}";
boot.initrd.extraUtilsCommands =
''
@@ -618,6 +761,28 @@ in
virtualisation.pathsInNixDB = [ config.system.build.toplevel ];
+ virtualisation.sharedDirectories = {
+ nix-store = { source = "/nix/store"; target = "/nix/store"; };
+ xchg = { source = ''"$TMPDIR"/xchg''; target = "/tmp/xchg"; };
+ shared = { source = ''"''${SHARED_DIR:-$TMPDIR/xchg}"''; target = "/tmp/shared"; };
+ };
+
+ virtualisation.qemu.networkingOptions =
+ let
+ forwardingOptions = flip concatMapStrings cfg.forwardPorts
+ ({ proto, from, host, guest }:
+ if from == "host"
+ then "hostfwd=${proto}:${host.address}:${toString host.port}-" +
+ "${guest.address}:${toString guest.port},"
+ else "'guestfwd=${proto}:${guest.address}:${toString guest.port}-" +
+ "cmd:${pkgs.netcat}/bin/nc ${host.address} ${toString host.port}',"
+ );
+ in
+ [
+ "-net nic,netdev=user.0,model=virtio"
+ "-netdev user,id=user.0,${forwardingOptions}\"$QEMU_NET_OPTS\""
+ ];
+
# FIXME: Consolidate this one day.
virtualisation.qemu.options = mkMerge [
(mkIf (pkgs.stdenv.isi686 || pkgs.stdenv.isx86_64) [
@@ -646,7 +811,7 @@ in
virtualisation.qemu.drives = mkMerge [
[{
name = "root";
- file = "$NIX_DISK_IMAGE";
+ file = ''"$NIX_DISK_IMAGE"'';
driveExtraOpts.cache = "writeback";
driveExtraOpts.werror = "report";
}]
@@ -655,7 +820,7 @@ in
# note [Disk layout with `useBootLoader`].
{
name = "boot";
- file = "$TMPDIR/disk.img";
+ file = ''"$TMPDIR"/disk.img'';
driveExtraOpts.media = "disk";
deviceExtraOpts.bootindex = "1";
}
@@ -672,48 +837,47 @@ in
# configuration, where the regular value for the `fileSystems'
# attribute should be disregarded for the purpose of building a VM
# test image (since those filesystems don't exist in the VM).
- fileSystems = mkVMOverride (
- cfg.fileSystems //
- { "/".device = cfg.bootDevice;
- ${if cfg.writableStore then "/nix/.ro-store" else "/nix/store"} =
- { device = "store";
- fsType = "9p";
- options = [ "trans=virtio" "version=9p2000.L" "cache=loose" ] ++ lib.optional (cfg.msize != null) "msize=${toString cfg.msize}";
- neededForBoot = true;
- };
+ fileSystems =
+ let
+ mkSharedDir = tag: share:
+ {
+ name =
+ if tag == "nix-store" && cfg.writableStore
+ then "/nix/.ro-store"
+ else share.target;
+ value.device = tag;
+ value.fsType = "9p";
+ value.neededForBoot = true;
+ value.options =
+ [ "trans=virtio" "version=9p2000.L" "msize=${toString cfg.msize}" ]
+ ++ lib.optional (tag == "nix-store") "cache=loose";
+ };
+ in
+ mkVMOverride (cfg.fileSystems //
+ {
+ "/".device = cfg.bootDevice;
+
"/tmp" = mkIf config.boot.tmpOnTmpfs
{ device = "tmpfs";
fsType = "tmpfs";
neededForBoot = true;
# Sync with systemd's tmp.mount;
- options = [ "mode=1777" "strictatime" "nosuid" "nodev" ];
- };
- "/tmp/xchg" =
- { device = "xchg";
- fsType = "9p";
- options = [ "trans=virtio" "version=9p2000.L" ] ++ lib.optional (cfg.msize != null) "msize=${toString cfg.msize}";
- neededForBoot = true;
- };
- "/tmp/shared" =
- { device = "shared";
- fsType = "9p";
- options = [ "trans=virtio" "version=9p2000.L" ] ++ lib.optional (cfg.msize != null) "msize=${toString cfg.msize}";
- neededForBoot = true;
+ options = [ "mode=1777" "strictatime" "nosuid" "nodev" "size=${toString config.boot.tmpOnTmpfsSize}" ];
};
- } // optionalAttrs (cfg.writableStore && cfg.writableStoreUseTmpfs)
- { "/nix/.rw-store" =
+
+ "/nix/.rw-store" = mkIf (cfg.writableStore && cfg.writableStoreUseTmpfs)
{ fsType = "tmpfs";
options = [ "mode=0755" ];
neededForBoot = true;
};
- } // optionalAttrs cfg.useBootLoader
- { "/boot" =
+
+ "/boot" = mkIf cfg.useBootLoader
# see note [Disk layout with `useBootLoader`]
{ device = "${lookupDriveDeviceName "boot" cfg.qemu.drives}2"; # 2 for e.g. `vdb2`, as created in `bootDisk`
fsType = "vfat";
noCheck = true; # fsck fails on a r/o filesystem
};
- });
+ } // lib.mapAttrs' mkSharedDir cfg.sharedDirectories);
swapDevices = mkVMOverride [ ];
boot.initrd.luks.devices = mkVMOverride {};
@@ -734,7 +898,7 @@ in
# video driver the host uses.
services.xserver.videoDrivers = mkVMOverride [ "modesetting" ];
services.xserver.defaultDepth = mkVMOverride 0;
- services.xserver.resolutions = mkVMOverride [ { x = 1024; y = 768; } ];
+ services.xserver.resolutions = mkVMOverride [ cfg.resolution ];
services.xserver.monitorSection =
''
# Set a higher refresh rate so that resolutions > 800x600 work.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/railcar.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/railcar.nix
index b603effef6e..e719e25650d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/railcar.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/railcar.nix
@@ -41,7 +41,7 @@ let
description = "Source for the in-container mount";
};
options = mkOption {
- type = attrsOf (str);
+ type = listOf str;
default = [ "bind" ];
description = ''
Mount options of the filesystem to be used.
@@ -77,9 +77,7 @@ in
The defaults have been chosen for simple bindmounts, meaning
that you only need to provide the "source" parameter.
'';
- example = ''
- { "/data" = { source = "/var/lib/data"; }; }
- '';
+ example = { "/data" = { source = "/var/lib/data"; }; };
};
runType = mkOption {
@@ -112,6 +110,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.railcar;
+ defaultText = literalExpression "pkgs.railcar";
description = "Railcar package to use";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/spice-usb-redirection.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/spice-usb-redirection.nix
index 4168cebe79b..255327f2622 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/spice-usb-redirection.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/spice-usb-redirection.nix
@@ -14,9 +14,11 @@
config = lib.mkIf config.virtualisation.spiceUSBRedirection.enable {
environment.systemPackages = [ pkgs.spice-gtk ]; # For polkit actions
- security.wrappers.spice-client-glib-usb-acl-helper ={
- source = "${pkgs.spice-gtk}/bin/spice-client-glib-usb-acl-helper";
+ security.wrappers.spice-client-glib-usb-acl-helper = {
+ owner = "root";
+ group = "root";
capabilities = "cap_fowner+ep";
+ source = "${pkgs.spice-gtk}/bin/spice-client-glib-usb-acl-helper";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/virtualbox-host.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/virtualbox-host.nix
index ddb0a7bda4f..6c742ad371c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/virtualbox-host.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/virtualbox-host.nix
@@ -43,7 +43,7 @@ in
package = mkOption {
type = types.package;
default = pkgs.virtualbox;
- defaultText = "pkgs.virtualbox";
+ defaultText = literalExpression "pkgs.virtualbox";
description = ''
Which VirtualBox package to use.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/vmware-guest.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/vmware-guest.nix
index 9465a8d6800..7b25ffc440f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/vmware-guest.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/vmware-guest.nix
@@ -37,6 +37,28 @@ in
serviceConfig.ExecStart = "${open-vm-tools}/bin/vmtoolsd";
};
+ # Mount the vmblock for drag-and-drop and copy-and-paste.
+ systemd.mounts = [
+ {
+ description = "VMware vmblock fuse mount";
+ documentation = [ "https://github.com/vmware/open-vm-tools/blob/master/open-vm-tools/vmblock-fuse/design.txt" ];
+ before = [ "vmware.service" ];
+ wants = [ "vmware.service" ];
+ what = "${open-vm-tools}/bin/vmware-vmblock-fuse";
+ where = "/run/vmblock-fuse";
+ type = "fuse";
+ options = "subtype=vmware-vmblock,default_permissions,allow_other";
+ wantedBy = [ "multi-user.target" ];
+ }
+ ];
+
+ security.wrappers.vmware-user-suid-wrapper =
+ { setuid = true;
+ owner = "root";
+ group = "root";
+ source = "${open-vm-tools}/bin/vmware-user-suid-wrapper";
+ };
+
environment.etc.vmware-tools.source = "${open-vm-tools}/etc/vmware-tools/*";
services.xserver = mkIf (!cfg.headless) {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/xen-dom0.nix b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/xen-dom0.nix
index fea43727f2f..f8f4af4f6b8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/xen-dom0.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/modules/virtualisation/xen-dom0.nix
@@ -35,8 +35,8 @@ in
virtualisation.xen.package = mkOption {
type = types.package;
- defaultText = "pkgs.xen";
- example = literalExample "pkgs.xen-light";
+ defaultText = literalExpression "pkgs.xen";
+ example = literalExpression "pkgs.xen-light";
description = ''
The package used for Xen binary.
'';
@@ -45,8 +45,8 @@ in
virtualisation.xen.package-qemu = mkOption {
type = types.package;
- defaultText = "pkgs.xen";
- example = literalExample "pkgs.qemu_xen-light";
+ defaultText = literalExpression "pkgs.xen";
+ example = literalExpression "pkgs.qemu_xen-light";
description = ''
The package with qemu binaries for dom0 qemu and xendomains.
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/all-tests.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/all-tests.nix
index 6baa986b2bd..12b67008291 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/all-tests.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/all-tests.nix
@@ -97,7 +97,9 @@ in
cryptpad = handleTest ./cryptpad.nix {};
deluge = handleTest ./deluge.nix {};
dendrite = handleTest ./dendrite.nix {};
+ dex-oidc = handleTest ./dex-oidc.nix {};
dhparams = handleTest ./dhparams.nix {};
+ disable-installer-tools = handleTest ./disable-installer-tools.nix {};
discourse = handleTest ./discourse.nix {};
dnscrypt-proxy2 = handleTestOn ["x86_64-linux"] ./dnscrypt-proxy2.nix {};
dnscrypt-wrapper = handleTestOn ["x86_64-linux"] ./dnscrypt-wrapper {};
@@ -222,7 +224,6 @@ in
krb5 = discoverTests (import ./krb5 {});
ksm = handleTest ./ksm.nix {};
kubernetes = handleTestOn ["x86_64-linux"] ./kubernetes {};
- latestKernel.hardened = handleTest ./hardened.nix { latestKernel = true; };
latestKernel.login = handleTest ./login.nix { latestKernel = true; };
leaps = handleTest ./leaps.nix {};
libreddit = handleTest ./libreddit.nix {};
@@ -249,6 +250,7 @@ in
matrix-appservice-irc = handleTest ./matrix-appservice-irc.nix {};
matrix-synapse = handleTest ./matrix-synapse.nix {};
mediawiki = handleTest ./mediawiki.nix {};
+ meilisearch = handleTest ./meilisearch.nix {};
memcached = handleTest ./memcached.nix {};
metabase = handleTest ./metabase.nix {};
minecraft = handleTest ./minecraft.nix {};
@@ -309,6 +311,7 @@ in
nitter = handleTest ./nitter.nix {};
nix-serve = handleTest ./nix-ssh-serve.nix {};
nix-ssh-serve = handleTest ./nix-ssh-serve.nix {};
+ nixops = handleTest ./nixops/default.nix {};
nixos-generate-config = handleTest ./nixos-generate-config.nix {};
node-red = handleTest ./node-red.nix {};
nomad = handleTest ./nomad.nix {};
@@ -326,6 +329,7 @@ in
openstack-image-metadata = (handleTestOn ["x86_64-linux"] ./openstack-image.nix {}).metadata or {};
openstack-image-userdata = (handleTestOn ["x86_64-linux"] ./openstack-image.nix {}).userdata or {};
opentabletdriver = handleTest ./opentabletdriver.nix {};
+ owncast = handleTest ./owncast.nix {};
image-contents = handleTest ./image-contents.nix {};
orangefs = handleTest ./orangefs.nix {};
os-prober = handleTestOn ["x86_64-linux"] ./os-prober.nix {};
@@ -336,6 +340,7 @@ in
pam-u2f = handleTest ./pam-u2f.nix {};
pantheon = handleTest ./pantheon.nix {};
paperless-ng = handleTest ./paperless-ng.nix {};
+ parsedmarc = handleTest ./parsedmarc {};
pdns-recursor = handleTest ./pdns-recursor.nix {};
peerflix = handleTest ./peerflix.nix {};
pgjwt = handleTest ./pgjwt.nix {};
@@ -371,6 +376,7 @@ in
prosody = handleTest ./xmpp/prosody.nix {};
prosodyMysql = handleTest ./xmpp/prosody-mysql.nix {};
proxy = handleTest ./proxy.nix {};
+ prowlarr = handleTest ./prowlarr.nix {};
pt2-clone = handleTest ./pt2-clone.nix {};
qboot = handleTestOn ["x86_64-linux" "i686-linux"] ./qboot.nix {};
quorum = handleTest ./quorum.nix {};
@@ -379,13 +385,13 @@ in
radicale = handleTest ./radicale.nix {};
redis = handleTest ./redis.nix {};
redmine = handleTest ./redmine.nix {};
+ restartByActivationScript = handleTest ./restart-by-activation-script.nix {};
restic = handleTest ./restic.nix {};
robustirc-bridge = handleTest ./robustirc-bridge.nix {};
roundcube = handleTest ./roundcube.nix {};
rspamd = handleTest ./rspamd.nix {};
rss2email = handleTest ./rss2email.nix {};
rsyslogd = handleTest ./rsyslogd.nix {};
- runInMachine = handleTest ./run-in-machine.nix {};
rxe = handleTest ./rxe.nix {};
samba = handleTest ./samba.nix {};
samba-wsdd = handleTest ./samba-wsdd.nix {};
@@ -460,6 +466,7 @@ in
unit-php = handleTest ./web-servers/unit-php.nix {};
upnp = handleTest ./upnp.nix {};
usbguard = handleTest ./usbguard.nix {};
+ user-activation-scripts = handleTest ./user-activation-scripts.nix {};
uwsgi = handleTest ./uwsgi.nix {};
v2ray = handleTest ./v2ray.nix {};
vault = handleTest ./vault.nix {};
@@ -473,7 +480,9 @@ in
wasabibackend = handleTest ./wasabibackend.nix {};
wiki-js = handleTest ./wiki-js.nix {};
wireguard = handleTest ./wireguard {};
+ without-nix = handleTest ./without-nix.nix {};
wmderland = handleTest ./wmderland.nix {};
+ wpa_supplicant = handleTest ./wpa_supplicant.nix {};
wordpress = handleTest ./wordpress.nix {};
xandikos = handleTest ./xandikos.nix {};
xautolock = handleTest ./xautolock.nix {};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/atop.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/atop.nix
index 1f8b005041f..f7a90346f3d 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/atop.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/atop.nix
@@ -105,8 +105,6 @@ let assertions = rec {
};
in
{
- name = "atop";
-
justThePackage = makeTest {
name = "atop-justThePackage";
machine = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/boot.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/boot.nix
index bdae6341ec9..e8440598a82 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/boot.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/boot.nix
@@ -4,10 +4,10 @@
}:
with import ../lib/testing-python.nix { inherit system pkgs; };
-with import ../lib/qemu-flags.nix { inherit pkgs; };
with pkgs.lib;
let
+ qemu-common = import ../lib/qemu-common.nix { inherit (pkgs) lib pkgs; };
iso =
(import ../lib/eval-config.nix {
@@ -23,7 +23,7 @@ let
makeBootTest = name: extraConfig:
let
machineConfig = pythonDict ({
- qemuBinary = qemuBinary pkgs.qemu_test;
+ qemuBinary = qemu-common.qemuBinary pkgs.qemu_test;
qemuFlags = "-m 768";
} // extraConfig);
in
@@ -65,7 +65,7 @@ let
];
};
machineConfig = pythonDict ({
- qemuBinary = qemuBinary pkgs.qemu_test;
+ qemuBinary = qemu-common.qemuBinary pkgs.qemu_test;
qemuFlags = "-boot order=n -m 2000";
netBackendArgs = "tftp=${ipxeBootDir},bootfile=netboot.ipxe";
} // extraConfig);
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/caddy.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/caddy.nix
index 29b227c0409..0902904b208 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/caddy.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/caddy.nix
@@ -50,57 +50,58 @@ import ./make-test-python.nix ({ pkgs, ... }: {
};
};
};
+ };
- testScript = { nodes, ... }:
- let
- etagSystem = "${nodes.webserver.config.system.build.toplevel}/specialisation/etag";
- justReloadSystem = "${nodes.webserver.config.system.build.toplevel}/specialisation/config-reload";
- multipleConfigs = "${nodes.webserver.config.system.build.toplevel}/specialisation/multiple-configs";
- in
- ''
- url = "http://localhost/example.html"
- webserver.wait_for_unit("caddy")
- webserver.wait_for_open_port("80")
+ testScript = { nodes, ... }:
+ let
+ etagSystem = "${nodes.webserver.config.system.build.toplevel}/specialisation/etag";
+ justReloadSystem = "${nodes.webserver.config.system.build.toplevel}/specialisation/config-reload";
+ multipleConfigs = "${nodes.webserver.config.system.build.toplevel}/specialisation/multiple-configs";
+ in
+ ''
+ url = "http://localhost/example.html"
+ webserver.wait_for_unit("caddy")
+ webserver.wait_for_open_port("80")
- def check_etag(url):
- etag = webserver.succeed(
- "curl --fail -v '{}' 2>&1 | sed -n -e \"s/^< [Ee][Tt][Aa][Gg]: *//p\"".format(
- url
- )
- )
- etag = etag.replace("\r\n", " ")
- http_code = webserver.succeed(
- "curl --fail --silent --show-error -o /dev/null -w \"%{{http_code}}\" --head -H 'If-None-Match: {}' {}".format(
- etag, url
- )
- )
- assert int(http_code) == 304, "HTTP code is {}, expected 304".format(http_code)
- return etag
+ def check_etag(url):
+ etag = webserver.succeed(
+ "curl --fail -v '{}' 2>&1 | sed -n -e \"s/^< [Ee][Tt][Aa][Gg]: *//p\"".format(
+ url
+ )
+ )
+ etag = etag.replace("\r\n", " ")
+ http_code = webserver.succeed(
+ "curl --fail --silent --show-error -o /dev/null -w \"%{{http_code}}\" --head -H 'If-None-Match: {}' {}".format(
+ etag, url
+ )
+ )
+ assert int(http_code) == 304, "HTTP code is {}, expected 304".format(http_code)
+ return etag
- with subtest("check ETag if serving Nix store paths"):
- old_etag = check_etag(url)
- webserver.succeed(
- "${etagSystem}/bin/switch-to-configuration test >&2"
- )
- webserver.sleep(1)
- new_etag = check_etag(url)
- assert old_etag != new_etag, "Old ETag {} is the same as {}".format(
- old_etag, new_etag
- )
+ with subtest("check ETag if serving Nix store paths"):
+ old_etag = check_etag(url)
+ webserver.succeed(
+ "${etagSystem}/bin/switch-to-configuration test >&2"
+ )
+ webserver.sleep(1)
+ new_etag = check_etag(url)
+ assert old_etag != new_etag, "Old ETag {} is the same as {}".format(
+ old_etag, new_etag
+ )
- with subtest("config is reloaded on nixos-rebuild switch"):
- webserver.succeed(
- "${justReloadSystem}/bin/switch-to-configuration test >&2"
- )
- webserver.wait_for_open_port("8080")
+ with subtest("config is reloaded on nixos-rebuild switch"):
+ webserver.succeed(
+ "${justReloadSystem}/bin/switch-to-configuration test >&2"
+ )
+ webserver.wait_for_open_port("8080")
- with subtest("multiple configs are correctly merged"):
- webserver.succeed(
- "${multipleConfigs}/bin/switch-to-configuration test >&2"
- )
- webserver.wait_for_open_port("8080")
- webserver.wait_for_open_port("8081")
- '';
- })
+ with subtest("multiple configs are correctly merged"):
+ webserver.succeed(
+ "${multipleConfigs}/bin/switch-to-configuration test >&2"
+ )
+ webserver.wait_for_open_port("8080")
+ webserver.wait_for_open_port("8081")
+ '';
+})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/calibre-web.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/calibre-web.nix
index 0af997317fc..9832d546978 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/calibre-web.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/calibre-web.nix
@@ -11,10 +11,6 @@ import ./make-test-python.nix (
meta.maintainers = with pkgs.lib.maintainers; [ pborzenkov ];
nodes = {
- default = { ... }: {
- services.calibre-web.enable = true;
- };
-
customized = { pkgs, ... }: {
services.calibre-web = {
enable = true;
@@ -33,12 +29,6 @@ import ./make-test-python.nix (
testScript = ''
start_all()
- default.wait_for_unit("calibre-web.service")
- default.wait_for_open_port(${toString defaultPort})
- default.succeed(
- "curl --fail 'http://localhost:${toString defaultPort}/basicconfig' | grep 'Basic Configuration'"
- )
-
customized.succeed(
"mkdir /tmp/books && calibredb --library-path /tmp/books add -e --title test-book"
)
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/cntr.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/cntr.nix
index 8cffd97459d..66847075620 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/cntr.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/cntr.nix
@@ -9,7 +9,7 @@ let
makeTest {
name = "cntr-${backend}";
- meta = { maintainers = with lib.maintainers; [ srk mic92 ]; };
+ meta = { maintainers = with lib.maintainers; [ sorki mic92 ]; };
nodes = {
${backend} = { pkgs, ... }: {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/custom-ca.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/custom-ca.nix
index 26f29a3e68f..05cfbbb2fdf 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/custom-ca.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/custom-ca.nix
@@ -82,7 +82,7 @@ in
# chromium-based browsers refuse to run as root
test-support.displayManager.auto.user = "alice";
# browsers may hang with the default memory
- virtualisation.memorySize = "500";
+ virtualisation.memorySize = 500;
networking.hosts."127.0.0.1" = [ "good.example.com" "bad.example.com" ];
security.pki.certificateFiles = [ "${example-good-cert}/ca.crt" ];
@@ -113,7 +113,7 @@ in
# which is why it will not use the system certificate store for the time being.
# firefox
chromium
- falkon
+ qutebrowser
midori
];
};
@@ -152,21 +152,21 @@ in
with subtest("Unknown CA is untrusted in curl"):
machine.fail("curl -fv https://bad.example.com")
- browsers = [
+ browsers = {
# Firefox was disabled here, because we needed to disable p11-kit support in nss,
# which is why it will not use the system certificate store for the time being.
- # "firefox",
- "chromium",
- "falkon",
- "midori"
- ]
- errors = ["Security Risk", "not private", "Certificate Error", "Security"]
+ #"firefox": "Security Risk",
+ "chromium": "not private",
+ "qutebrowser -T": "Certificate error",
+ "midori": "Security"
+ }
machine.wait_for_x()
- for browser, error in zip(browsers, errors):
+ for command, error in browsers.items():
+ browser = command.split()[0]
with subtest("Good certificate is trusted in " + browser):
execute_as(
- "alice", f"env P11_KIT_DEBUG=trust {browser} https://good.example.com & >&2"
+ "alice", f"env P11_KIT_DEBUG=trust {command} https://good.example.com & >&2"
)
wait_for_window_as("alice", browser)
machine.wait_for_text("It works!")
@@ -174,7 +174,7 @@ in
execute_as("alice", "xdotool key ctrl+w") # close tab
with subtest("Unknown CA is untrusted in " + browser):
- execute_as("alice", f"{browser} https://bad.example.com & >&2")
+ execute_as("alice", f"{command} https://bad.example.com & >&2")
machine.wait_for_text(error)
machine.screenshot("bad" + browser)
machine.succeed("pkill " + browser)
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/dex-oidc.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/dex-oidc.nix
new file mode 100644
index 00000000000..37275a97ef0
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/dex-oidc.nix
@@ -0,0 +1,78 @@
+import ./make-test-python.nix ({ lib, ... }: {
+ name = "dex-oidc";
+ meta.maintainers = with lib.maintainers; [ Flakebi ];
+
+ nodes.machine = { pkgs, ... }: {
+ environment.systemPackages = with pkgs; [ jq ];
+ services.dex = {
+ enable = true;
+ settings = {
+ issuer = "http://127.0.0.1:8080/dex";
+ storage = {
+ type = "postgres";
+ config.host = "/var/run/postgresql";
+ };
+ web.http = "127.0.0.1:8080";
+ oauth2.skipApprovalScreen = true;
+ staticClients = [
+ {
+ id = "oidcclient";
+ name = "Client";
+ redirectURIs = [ "https://example.com/callback" ];
+ secretFile = "/etc/dex/oidcclient";
+ }
+ ];
+ connectors = [
+ {
+ type = "mockPassword";
+ id = "mock";
+ name = "Example";
+ config = {
+ username = "admin";
+ password = "password";
+ };
+ }
+ ];
+ };
+ };
+
+ # This should not be set from nix but through other means to not leak the secret.
+ environment.etc."dex/oidcclient" = {
+ mode = "0400";
+ user = "dex";
+ text = "oidcclientsecret";
+ };
+
+ services.postgresql = {
+ enable = true;
+ ensureDatabases =[ "dex" ];
+ ensureUsers = [
+ {
+ name = "dex";
+ ensurePermissions = { "DATABASE dex" = "ALL PRIVILEGES"; };
+ }
+ ];
+ };
+ };
+
+ testScript = ''
+ with subtest("Web server gets ready"):
+ machine.wait_for_unit("dex.service")
+ # Wait until server accepts connections
+ machine.wait_until_succeeds("curl -fs 'localhost:8080/dex/auth/mock?client_id=oidcclient&response_type=code&redirect_uri=https://example.com/callback&scope=openid'")
+
+ with subtest("Login"):
+ state = machine.succeed("curl -fs 'localhost:8080/dex/auth/mock?client_id=oidcclient&response_type=code&redirect_uri=https://example.com/callback&scope=openid' | sed -n 's/.*state=\\(.*\\)\">.*/\\1/p'").strip()
+ print(f"Got state {state}")
+ machine.succeed(f"curl -fs 'localhost:8080/dex/auth/mock/login?back=&state={state}' -d 'login=admin&password=password'")
+ code = machine.succeed(f"curl -fs localhost:8080/dex/approval?req={state} | sed -n 's/.*code=\\(.*\\)&.*/\\1/p'").strip()
+ print(f"Got approval code {code}")
+ bearer = machine.succeed(f"curl -fs localhost:8080/dex/token -u oidcclient:oidcclientsecret -d 'grant_type=authorization_code&redirect_uri=https://example.com/callback&code={code}' | jq .access_token -r").strip()
+ print(f"Got access token {bearer}")
+
+ with subtest("Get userinfo"):
+ assert '"sub"' in machine.succeed(
+ f"curl -fs localhost:8080/dex/userinfo --oauth2-bearer {bearer}"
+ )
+ '';
+})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/disable-installer-tools.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/disable-installer-tools.nix
new file mode 100644
index 00000000000..23c15faa8d3
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/disable-installer-tools.nix
@@ -0,0 +1,29 @@
+import ./make-test-python.nix ({ pkgs, latestKernel ? false, ... }:
+
+{
+ name = "disable-installer-tools";
+
+ machine =
+ { pkgs, lib, ... }:
+ {
+ system.disableInstallerTools = true;
+ boot.enableContainers = false;
+ environment.defaultPackages = [];
+ };
+
+ testScript = ''
+ machine.wait_for_unit("multi-user.target")
+ machine.wait_until_succeeds("pgrep -f 'agetty.*tty1'")
+
+ with subtest("nixos installer tools should not be included"):
+ machine.fail("which nixos-rebuild")
+ machine.fail("which nixos-install")
+ machine.fail("which nixos-generate-config")
+ machine.fail("which nixos-enter")
+ machine.fail("which nixos-version")
+ machine.fail("which nixos-build-vms")
+
+ with subtest("perl should not be included"):
+ machine.fail("which perl")
+ '';
+})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/docker-tools.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/docker-tools.nix
index 4c3c26980aa..7110187e8d7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/docker-tools.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/docker-tools.nix
@@ -119,7 +119,7 @@ import ./make-test-python.nix ({ pkgs, ... }: {
with subtest("The pullImage tool works"):
docker.succeed(
- "docker load --input='${examples.nixFromDockerHub}'",
+ "docker load --input='${examples.testNixFromDockerHub}'",
"docker run --rm nix:2.2.1 nix-store --version",
"docker rmi nix:2.2.1",
)
@@ -378,5 +378,23 @@ import ./make-test-python.nix ({ pkgs, ... }: {
docker.succeed(
"docker run --rm ${examples.layeredImageWithFakeRootCommands.imageName} sh -c 'stat -c '%u' /home/jane | grep -E ^1000$'"
)
+
+ with subtest("exportImage produces a valid tarball"):
+ docker.succeed(
+ "tar -tf ${examples.exportBash} | grep '\./bin/bash' > /dev/null"
+ )
+
+ with subtest("Ensure bare paths in contents are loaded correctly"):
+ docker.succeed(
+ "docker load --input='${examples.build-image-with-path}'",
+ "docker run --rm build-image-with-path bash -c '[[ -e /hello.txt ]]'",
+ "docker rmi build-image-with-path",
+ )
+ docker.succeed(
+ "${examples.layered-image-with-path} | docker load",
+ "docker run --rm layered-image-with-path bash -c '[[ -e /hello.txt ]]'",
+ "docker rmi layered-image-with-path",
+ )
+
'';
})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/dokuwiki.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/dokuwiki.nix
index 2664e1500ea..67657e89f74 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/dokuwiki.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/dokuwiki.nix
@@ -33,44 +33,79 @@ let
in {
name = "dokuwiki";
meta = with pkgs.lib; {
- maintainers = with maintainers; [ _1000101 ];
+ maintainers = with maintainers; [
+ _1000101
+ onny
+ ];
};
- machine = { ... }: {
- services.dokuwiki."site1.local" = {
- aclUse = false;
- superUser = "admin";
+
+ nodes = {
+ dokuwiki_nginx = {...}: {
+ services.dokuwiki = {
+ sites = {
+ "site1.local" = {
+ aclUse = false;
+ superUser = "admin";
+ };
+ "site2.local" = {
+ usersFile = "/var/lib/dokuwiki/site2.local/users.auth.php";
+ superUser = "admin";
+ templates = [ template-bootstrap3 ];
+ plugins = [ plugin-icalevents ];
+ };
+ };
+ };
+
+ networking.firewall.allowedTCPPorts = [ 80 ];
+ networking.hosts."127.0.0.1" = [ "site1.local" "site2.local" ];
};
- services.dokuwiki."site2.local" = {
- usersFile = "/var/lib/dokuwiki/site2.local/users.auth.php";
- superUser = "admin";
- templates = [ template-bootstrap3 ];
- plugins = [ plugin-icalevents ];
+
+ dokuwiki_caddy = {...}: {
+ services.dokuwiki = {
+ webserver = "caddy";
+ sites = {
+ "site1.local" = {
+ aclUse = false;
+ superUser = "admin";
+ };
+ "site2.local" = {
+ usersFile = "/var/lib/dokuwiki/site2.local/users.auth.php";
+ superUser = "admin";
+ templates = [ template-bootstrap3 ];
+ plugins = [ plugin-icalevents ];
+ };
+ };
+ };
+
+ networking.firewall.allowedTCPPorts = [ 80 ];
+ networking.hosts."127.0.0.1" = [ "site1.local" "site2.local" ];
};
- networking.hosts."127.0.0.1" = [ "site1.local" "site2.local" ];
+
};
testScript = ''
- site_names = ["site1.local", "site2.local"]
start_all()
- machine.wait_for_unit("phpfpm-dokuwiki-site1.local.service")
- machine.wait_for_unit("phpfpm-dokuwiki-site2.local.service")
+ dokuwiki_nginx.wait_for_unit("nginx")
+ dokuwiki_caddy.wait_for_unit("caddy")
- machine.wait_for_unit("nginx.service")
+ site_names = ["site1.local", "site2.local"]
- machine.wait_for_open_port(80)
+ for machine in (dokuwiki_nginx, dokuwiki_caddy):
+ for site_name in site_names:
+ machine.wait_for_unit(f"phpfpm-dokuwiki-{site_name}")
- machine.succeed("curl -sSfL http://site1.local/ | grep 'DokuWiki'")
- machine.fail("curl -sSfL 'http://site1.local/doku.php?do=login' | grep 'Login'")
+ machine.succeed("curl -sSfL http://site1.local/ | grep 'DokuWiki'")
+ machine.fail("curl -sSfL 'http://site1.local/doku.php?do=login' | grep 'Login'")
- machine.succeed("curl -sSfL http://site2.local/ | grep 'DokuWiki'")
- machine.succeed("curl -sSfL 'http://site2.local/doku.php?do=login' | grep 'Login'")
+ machine.succeed("curl -sSfL http://site2.local/ | grep 'DokuWiki'")
+ machine.succeed("curl -sSfL 'http://site2.local/doku.php?do=login' | grep 'Login'")
- machine.succeed(
- "echo 'admin:$2y$10$ijdBQMzSVV20SrKtCna8gue36vnsbVm2wItAXvdm876sshI4uwy6S:Admin:admin@example.test:user' >> /var/lib/dokuwiki/site2.local/users.auth.php",
- "curl -sSfL -d 'u=admin&p=password' --cookie-jar cjar 'http://site2.local/doku.php?do=login'",
- "curl -sSfL --cookie cjar --cookie-jar cjar 'http://site2.local/doku.php?do=login' | grep 'Logged in as: Admin '",
- )
+ machine.succeed(
+ "echo 'admin:$2y$10$ijdBQMzSVV20SrKtCna8gue36vnsbVm2wItAXvdm876sshI4uwy6S:Admin:admin@example.test:user' >> /var/lib/dokuwiki/site2.local/users.auth.php",
+ "curl -sSfL -d 'u=admin&p=password' --cookie-jar cjar 'http://site2.local/doku.php?do=login'",
+ "curl -sSfL --cookie cjar --cookie-jar cjar 'http://site2.local/doku.php?do=login' | grep 'Logged in as: Admin '",
+ )
'';
})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/ec2.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/ec2.nix
index df067248016..aa3c2b7051f 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/ec2.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/ec2.nix
@@ -24,6 +24,11 @@ let
ln -s vda1 /dev/xvda1
'';
+ # In a NixOS test the serial console is occupied by the "backdoor"
+ # (see testing/test-instrumentation.nix) and is incompatible with
+ # the configuration in virtualisation/amazon-image.nix.
+ systemd.services."serial-getty@ttyS0".enable = mkForce false;
+
# Needed by nixos-rebuild due to the lack of network
# access. Determined by trial and error.
system.extraDependencies = with pkgs; ( [
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/enlightenment.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/enlightenment.nix
index cc1da649d49..4623574ce92 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/enlightenment.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/enlightenment.nix
@@ -11,8 +11,8 @@ import ./make-test-python.nix ({ pkgs, ...} :
imports = [ ./common/user-account.nix ];
services.xserver.enable = true;
services.xserver.desktopManager.enlightenment.enable = true;
- services.xserver.displayManager.lightdm = {
- enable = true;
+ services.xserver.displayManager = {
+ lightdm.enable = true;
autoLogin = {
enable = true;
user = "alice";
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/firefox.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/firefox.nix
index 4ad45c02240..dcaf369b62b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/firefox.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/firefox.nix
@@ -14,7 +14,7 @@ import ./make-test-python.nix ({ pkgs, firefoxPackage, ... }: {
];
# Need some more memory to record audio.
- virtualisation.memorySize = "500";
+ virtualisation.memorySize = 500;
# Create a virtual sound device, with mixing
# and all, for recording audio.
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/gnome-xorg.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/gnome-xorg.nix
index 55f9c90c20a..b9ff5e68287 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/gnome-xorg.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/gnome-xorg.nix
@@ -25,6 +25,21 @@ import ./make-test-python.nix ({ pkgs, lib, ...} : {
services.xserver.desktopManager.gnome.debug = true;
services.xserver.displayManager.defaultSession = "gnome-xorg";
+ systemd.user.services = {
+ "org.gnome.Shell@x11" = {
+ serviceConfig = {
+ ExecStart = [
+ # Clear the list before overriding it.
+ ""
+ # Eval API is now internal so Shell needs to run in unsafe mode.
+ # TODO: improve test driver so that it supports openqa-like manipulation
+ # that would allow us to drop this mess.
+ "${pkgs.gnome.gnome-shell}/bin/gnome-shell --unsafe-mode"
+ ];
+ };
+ };
+ };
+
virtualisation.memorySize = 1024;
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/gnome.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/gnome.nix
index e8d18a41bd0..1da97f733cf 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/gnome.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/gnome.nix
@@ -30,6 +30,21 @@ import ./make-test-python.nix ({ pkgs, lib, ...} : {
})
];
+ systemd.user.services = {
+ "org.gnome.Shell@wayland" = {
+ serviceConfig = {
+ ExecStart = [
+ # Clear the list before overriding it.
+ ""
+ # Eval API is now internal so Shell needs to run in unsafe mode.
+ # TODO: improve test driver so that it supports openqa-like manipulation
+ # that would allow us to drop this mess.
+ "${pkgs.gnome.gnome-shell}/bin/gnome-shell --unsafe-mode"
+ ];
+ };
+ };
+ };
+
virtualisation.memorySize = 1024;
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/handbrake.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/handbrake.nix
index 226dc8b2aa8..c92fb5db7d6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/handbrake.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/handbrake.nix
@@ -9,7 +9,7 @@ in {
name = "handbrake";
meta = {
- maintainers = with pkgs.lib.maintainers; [ danieldk ];
+ maintainers = with pkgs.lib.maintainers; [ ];
};
machine = { pkgs, ... }: {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/hardened.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/hardened.nix
index a0b629086b5..da7e0972e13 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/hardened.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/hardened.nix
@@ -1,4 +1,4 @@
-import ./make-test-python.nix ({ pkgs, latestKernel ? false, ... } : {
+import ./make-test-python.nix ({ pkgs, ... } : {
name = "hardened";
meta = with pkgs.lib.maintainers; {
maintainers = [ joachifm ];
@@ -10,8 +10,6 @@ import ./make-test-python.nix ({ pkgs, latestKernel ? false, ... } : {
{ users.users.alice = { isNormalUser = true; extraGroups = [ "proc" ]; };
users.users.sybil = { isNormalUser = true; group = "wheel"; };
imports = [ ../modules/profiles/hardened.nix ];
- boot.kernelPackages =
- lib.mkIf latestKernel pkgs.linuxPackages_latest_hardened;
environment.memoryAllocator.provider = "graphene-hardened";
nix.useSandbox = false;
virtualisation.emptyDiskImages = [ 4096 ];
@@ -57,6 +55,7 @@ import ./make-test-python.nix ({ pkgs, latestKernel ? false, ... } : {
# Test kernel module hardening
with subtest("No more kernel modules can be loaded"):
# note: this better a be module we normally wouldn't load ...
+ machine.wait_for_unit("disable-kernel-module-loading.service")
machine.fail("modprobe dccp")
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/herbstluftwm.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/herbstluftwm.nix
index 2c98cceee6a..7d079f4bfb6 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/herbstluftwm.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/herbstluftwm.nix
@@ -3,7 +3,6 @@ import ./make-test-python.nix ({ lib, ...} : {
meta = {
maintainers = with lib.maintainers; [ thibautmarty ];
- timeout = 30;
};
machine = { pkgs, lib, ... }: {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/iscsi-multipath-root.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/iscsi-multipath-root.nix
new file mode 100644
index 00000000000..a26fea503b6
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/iscsi-multipath-root.nix
@@ -0,0 +1,267 @@
+import ./make-test-python.nix (
+ { pkgs, lib, ... }:
+ let
+ initiatorName = "iqn.2020-08.org.linux-iscsi.initiatorhost:example";
+ targetName = "iqn.2003-01.org.linux-iscsi.target.x8664:sn.acf8fd9c23af";
+ in
+ {
+ name = "iscsi";
+ meta = {
+ maintainers = pkgs.lib.teams.deshaw.members;
+ };
+
+ nodes = {
+ target = { config, pkgs, lib, ... }: {
+ virtualisation.vlans = [ 1 2 ];
+ services.target = {
+ enable = true;
+ config = {
+ fabric_modules = [ ];
+ storage_objects = [
+ {
+ dev = "/dev/vdb";
+ name = "test";
+ plugin = "block";
+ write_back = true;
+ wwn = "92b17c3f-6b40-4168-b082-ceeb7b495522";
+ }
+ ];
+ targets = [
+ {
+ fabric = "iscsi";
+ tpgs = [
+ {
+ enable = true;
+ attributes = {
+ authentication = 0;
+ generate_node_acls = 1;
+ };
+ luns = [
+ {
+ alias = "94dfe06967";
+ alua_tg_pt_gp_name = "default_tg_pt_gp";
+ index = 0;
+ storage_object = "/backstores/block/test";
+ }
+ ];
+ node_acls = [
+ {
+ mapped_luns = [
+ {
+ alias = "d42f5bdf8a";
+ index = 0;
+ tpg_lun = 0;
+ write_protect = false;
+ }
+ ];
+ node_wwn = initiatorName;
+ }
+ ];
+ portals = [
+ {
+ ip_address = "0.0.0.0";
+ iser = false;
+ offload = false;
+ port = 3260;
+ }
+ ];
+ tag = 1;
+ }
+ ];
+ wwn = targetName;
+ }
+ ];
+ };
+ };
+
+ networking.firewall.allowedTCPPorts = [ 3260 ];
+ networking.firewall.allowedUDPPorts = [ 3260 ];
+
+ virtualisation.memorySize = 2048;
+ virtualisation.emptyDiskImages = [ 2048 ];
+ };
+
+ initiatorAuto = { nodes, config, pkgs, ... }: {
+ virtualisation.vlans = [ 1 2 ];
+
+ services.multipath = {
+ enable = true;
+ defaults = ''
+ find_multipaths yes
+ user_friendly_names yes
+ '';
+ pathGroups = [
+ {
+ alias = 123456;
+ wwid = "3600140592b17c3f6b404168b082ceeb7";
+ }
+ ];
+ };
+
+ services.openiscsi = {
+ enable = true;
+ enableAutoLoginOut = true;
+ discoverPortal = "target";
+ name = initiatorName;
+ };
+
+ environment.systemPackages = with pkgs; [
+ xfsprogs
+ ];
+
+ environment.etc."initiator-root-disk-closure".source = nodes.initiatorRootDisk.config.system.build.toplevel;
+
+ nix.binaryCaches = lib.mkForce [ ];
+ nix.extraOptions = ''
+ hashed-mirrors =
+ connect-timeout = 1
+ '';
+ };
+
+ initiatorRootDisk = { config, pkgs, modulesPath, lib, ... }: {
+ boot.initrd.network.enable = true;
+ boot.loader.grub.enable = false;
+
+ boot.kernelParams = lib.mkOverride 5 (
+ [
+ "boot.shell_on_fail"
+ "console=tty1"
+ "ip=192.168.1.1:::255.255.255.0::ens9:none"
+ "ip=192.168.2.1:::255.255.255.0::ens10:none"
+ ]
+ );
+
+ # defaults to true, puts some code in the initrd that tries to mount an overlayfs on /nix/store
+ virtualisation.writableStore = false;
+ virtualisation.vlans = [ 1 2 ];
+
+ services.multipath = {
+ enable = true;
+ defaults = ''
+ find_multipaths yes
+ user_friendly_names yes
+ '';
+ pathGroups = [
+ {
+ alias = 123456;
+ wwid = "3600140592b17c3f6b404168b082ceeb7";
+ }
+ ];
+ };
+
+ fileSystems = lib.mkOverride 5 {
+ "/" = {
+ fsType = "xfs";
+ device = "/dev/mapper/123456";
+ options = [ "_netdev" ];
+ };
+ };
+
+ boot.initrd.extraFiles."etc/multipath/wwids".source = pkgs.writeText "wwids" "/3600140592b17c3f6b404168b082ceeb7/";
+
+ boot.iscsi-initiator = {
+ discoverPortal = "target";
+ name = initiatorName;
+ target = targetName;
+ extraIscsiCommands = ''
+ iscsiadm -m discovery -o update -t sendtargets -p 192.168.2.3 --login
+ '';
+ };
+ };
+
+ };
+
+ testScript = { nodes, ... }: ''
+ target.start()
+ target.wait_for_unit("iscsi-target.service")
+
+ initiatorAuto.start()
+
+ initiatorAuto.wait_for_unit("iscsid.service")
+ initiatorAuto.wait_for_unit("iscsi.service")
+ initiatorAuto.get_unit_info("iscsi")
+
+ # Expecting this to fail since we should already know about 192.168.1.3
+ initiatorAuto.fail("iscsiadm -m discovery -o update -t sendtargets -p 192.168.1.3 --login")
+ # Expecting this to succeed since we don't yet know about 192.168.2.3
+ initiatorAuto.succeed("iscsiadm -m discovery -o update -t sendtargets -p 192.168.2.3 --login")
+
+ # /dev/sda is provided by iscsi on target
+ initiatorAuto.succeed("set -x; while ! test -e /dev/sda; do sleep 1; done")
+
+ initiatorAuto.succeed("mkfs.xfs /dev/sda")
+ initiatorAuto.succeed("mkdir /mnt")
+
+ # Start by verifying /dev/sda and /dev/sdb are both the same disk
+ initiatorAuto.succeed("mount /dev/sda /mnt")
+ initiatorAuto.succeed("touch /mnt/hi")
+ initiatorAuto.succeed("umount /mnt")
+
+ initiatorAuto.succeed("mount /dev/sdb /mnt")
+ initiatorAuto.succeed("test -e /mnt/hi")
+ initiatorAuto.succeed("umount /mnt")
+
+ initiatorAuto.succeed("systemctl restart multipathd")
+ initiatorAuto.succeed("multipath -ll | systemd-cat")
+
+ # Install our RootDisk machine to 123456, the alias to the device that multipath is now managing
+ initiatorAuto.succeed("mount /dev/mapper/123456 /mnt")
+ initiatorAuto.succeed("mkdir -p /mnt/etc/{multipath,iscsi}")
+ initiatorAuto.succeed("cp -r /etc/multipath/wwids /mnt/etc/multipath/wwids")
+ initiatorAuto.succeed("cp -r /etc/iscsi/{nodes,send_targets} /mnt/etc/iscsi")
+ initiatorAuto.succeed(
+ "nixos-install --no-bootloader --no-root-passwd --system /etc/initiator-root-disk-closure"
+ )
+ initiatorAuto.succeed("umount /mnt")
+ initiatorAuto.shutdown()
+
+ initiatorRootDisk.start()
+ initiatorRootDisk.wait_for_unit("multi-user.target")
+ initiatorRootDisk.wait_for_unit("iscsid")
+
+ # Log in over both nodes
+ initiatorRootDisk.fail("iscsiadm -m discovery -o update -t sendtargets -p 192.168.1.3 --login")
+ initiatorRootDisk.fail("iscsiadm -m discovery -o update -t sendtargets -p 192.168.2.3 --login")
+ initiatorRootDisk.succeed("systemctl restart multipathd")
+ initiatorRootDisk.succeed("multipath -ll | systemd-cat")
+
+ # Verify we can write and sync the root disk
+ initiatorRootDisk.succeed("mkdir /scratch")
+ initiatorRootDisk.succeed("touch /scratch/both-up")
+ initiatorRootDisk.succeed("sync /scratch")
+
+ # Verify we can write to the root with ens9 (sda, 192.168.1.3) down
+ initiatorRootDisk.succeed("ip link set ens9 down")
+ initiatorRootDisk.succeed("touch /scratch/ens9-down")
+ initiatorRootDisk.succeed("sync /scratch")
+ initiatorRootDisk.succeed("ip link set ens9 up")
+
+ # todo: better way to wait until multipath notices the link is back
+ initiatorRootDisk.succeed("sleep 5")
+ initiatorRootDisk.succeed("touch /scratch/both-down")
+ initiatorRootDisk.succeed("sync /scratch")
+
+ # Verify we can write to the root with ens10 (sdb, 192.168.2.3) down
+ initiatorRootDisk.succeed("ip link set ens10 down")
+ initiatorRootDisk.succeed("touch /scratch/ens10-down")
+ initiatorRootDisk.succeed("sync /scratch")
+ initiatorRootDisk.succeed("ip link set ens10 up")
+ initiatorRootDisk.succeed("touch /scratch/ens10-down")
+ initiatorRootDisk.succeed("sync /scratch")
+
+ initiatorRootDisk.succeed("ip link set ens9 up")
+ initiatorRootDisk.succeed("ip link set ens10 up")
+ initiatorRootDisk.shutdown()
+
+ # Verify we can boot with the target's eth1 down, forcing
+ # it to multipath via the second link
+ target.succeed("ip link set eth1 down")
+ initiatorRootDisk.start()
+ initiatorRootDisk.wait_for_unit("multi-user.target")
+ initiatorRootDisk.wait_for_unit("iscsid")
+ initiatorRootDisk.succeed("test -e /scratch/both-up")
+ '';
+ }
+)
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/kerberos/heimdal.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/kerberos/heimdal.nix
index 8abae667d04..391a61cc9a9 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/kerberos/heimdal.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/kerberos/heimdal.nix
@@ -9,7 +9,7 @@ import ../make-test-python.nix ({pkgs, ...}: {
};
krb5 = {
enable = true;
- kerberos = pkgs.heimdalFull;
+ kerberos = pkgs.heimdal;
libdefaults = {
default_realm = "FOO.BAR";
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/kernel-generic.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/kernel-generic.nix
index 391a93e3698..192dc810d7a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/kernel-generic.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/kernel-generic.nix
@@ -31,7 +31,7 @@ let
linuxPackages_4_19
linuxPackages_5_4
linuxPackages_5_10
- linuxPackages_5_13
+ linuxPackages_5_14
linuxPackages_4_14_hardened
linuxPackages_4_19_hardened
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/meilisearch.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/meilisearch.nix
new file mode 100644
index 00000000000..c379bda74c5
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/meilisearch.nix
@@ -0,0 +1,60 @@
+import ./make-test-python.nix ({ pkgs, lib, ... }:
+ let
+ listenAddress = "127.0.0.1";
+ listenPort = 7700;
+ apiUrl = "http://${listenAddress}:${toString listenPort}";
+ uid = "movies";
+ indexJSON = pkgs.writeText "index.json" (builtins.toJSON { inherit uid; });
+ moviesJSON = pkgs.runCommand "movies.json" {} ''
+ sed -n '1,5p;$p' ${pkgs.meilisearch.src}/datasets/movies/movies.json > $out
+ '';
+ in {
+ name = "meilisearch";
+ meta.maintainers = with lib.maintainers; [ Br1ght0ne ];
+
+ machine = { ... }: {
+ environment.systemPackages = with pkgs; [ curl jq ];
+ services.meilisearch = {
+ enable = true;
+ inherit listenAddress listenPort;
+ };
+ };
+
+ testScript = ''
+ import json
+
+ start_all()
+
+ machine.wait_for_unit("meilisearch")
+ machine.wait_for_open_port("7700")
+
+ with subtest("check version"):
+ version = json.loads(machine.succeed("curl ${apiUrl}/version"))
+ assert version["pkgVersion"] == "${pkgs.meilisearch.version}"
+
+ with subtest("create index"):
+ machine.succeed(
+ "curl -XPOST ${apiUrl}/indexes --data @${indexJSON}"
+ )
+ indexes = json.loads(machine.succeed("curl ${apiUrl}/indexes"))
+ assert len(indexes) == 1, "index wasn't created"
+
+ with subtest("add documents"):
+ response = json.loads(
+ machine.succeed(
+ "curl -XPOST ${apiUrl}/indexes/${uid}/documents --data @${moviesJSON}"
+ )
+ )
+ update_id = response["updateId"]
+ machine.wait_until_succeeds(
+ f"curl ${apiUrl}/indexes/${uid}/updates/{update_id} | jq -e '.status == \"processed\"'"
+ )
+
+ with subtest("search"):
+ response = json.loads(
+ machine.succeed("curl ${apiUrl}/indexes/movies/search?q=hero")
+ )
+ print(response)
+ assert len(response["hits"]) >= 1, "no results found"
+ '';
+ })
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/miniflux.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/miniflux.nix
index 9a25a9e77cc..1015550fa8c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/miniflux.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/miniflux.nix
@@ -11,7 +11,7 @@ in
with lib;
{
name = "miniflux";
- meta.maintainers = with pkgs.lib.maintainers; [ bricewge ];
+ meta.maintainers = with pkgs.lib.maintainers; [ ];
nodes = {
default =
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/minio.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/minio.nix
index e49c517098a..ad51f738d49 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/minio.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/minio.nix
@@ -28,7 +28,10 @@ in {
machine = { pkgs, ... }: {
services.minio = {
enable = true;
- inherit accessKey secretKey;
+ rootCredentialsFile = pkgs.writeText "minio-credentials" ''
+ MINIO_ROOT_USER=${accessKey}
+ MINIO_ROOT_PASSWORD=${secretKey}
+ '';
};
environment.systemPackages = [ pkgs.minio-client ];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/mpv.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/mpv.nix
index bcfc17cf332..9e44862cb1b 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/mpv.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/mpv.nix
@@ -14,7 +14,7 @@ in
{
environment.systemPackages = [
pkgs.curl
- (pkgs.mpv-with-scripts.override {
+ (pkgs.wrapMpv pkgs.mpv-unwrapped {
scripts = [ pkgs.mpvScripts.simple-mpv-webui ];
})
];
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/mutable-users.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/mutable-users.nix
index e3f002d9b19..ebe32e6487e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/mutable-users.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/mutable-users.nix
@@ -12,6 +12,7 @@ import ./make-test-python.nix ({ pkgs, ...} : {
};
mutable = { ... }: {
users.mutableUsers = true;
+ users.users.dry-test.isNormalUser = true;
};
};
@@ -41,5 +42,32 @@ import ./make-test-python.nix ({ pkgs, ...} : {
"${mutableSystem}/bin/switch-to-configuration test"
)
assert "/run/wrappers/" in machine.succeed("which passwd")
+
+ with subtest("dry-activation does not change files"):
+ machine.succeed('test -e /home/dry-test') # home was created
+ machine.succeed('rm -rf /home/dry-test')
+
+ files_to_check = ['/etc/group',
+ '/etc/passwd',
+ '/etc/shadow',
+ '/etc/subuid',
+ '/etc/subgid',
+ '/var/lib/nixos/uid-map',
+ '/var/lib/nixos/gid-map',
+ '/var/lib/nixos/declarative-groups',
+ '/var/lib/nixos/declarative-users'
+ ]
+ expected_hashes = {}
+ expected_stats = {}
+ for file in files_to_check:
+ expected_hashes[file] = machine.succeed(f"sha256sum {file}")
+ expected_stats[file] = machine.succeed(f"stat {file}")
+
+ machine.succeed("/run/current-system/bin/switch-to-configuration dry-activate")
+
+ machine.fail('test -e /home/dry-test') # home was not recreated
+ for file in files_to_check:
+ assert machine.succeed(f"sha256sum {file}") == expected_hashes[file]
+ assert machine.succeed(f"stat {file}") == expected_stats[file]
'';
})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/mysql/mariadb-galera-mariabackup.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/mysql/mariadb-galera-mariabackup.nix
index 1c73bc854a5..10682c361d1 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/mysql/mariadb-galera-mariabackup.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/mysql/mariadb-galera-mariabackup.nix
@@ -4,6 +4,16 @@ let
mysqlenv-common = pkgs.buildEnv { name = "mysql-path-env-common"; pathsToLink = [ "/bin" ]; paths = with pkgs; [ bash gawk gnutar inetutils which ]; };
mysqlenv-mariabackup = pkgs.buildEnv { name = "mysql-path-env-mariabackup"; pathsToLink = [ "/bin" ]; paths = with pkgs; [ gzip iproute2 netcat procps pv socat ]; };
+ # Common user configuration
+ users = { ... }:
+ {
+ users.users.testuser = {
+ isSystemUser = true;
+ group = "testusers";
+ };
+ users.groups.testusers = { };
+ };
+
in {
name = "mariadb-galera-mariabackup";
meta = with pkgs.lib.maintainers; {
@@ -17,6 +27,7 @@ in {
galera_01 =
{ pkgs, ... }:
{
+ imports = [ users ];
networking = {
interfaces.eth1 = {
ipv4.addresses = [
@@ -31,7 +42,6 @@ in {
firewall.allowedTCPPorts = [ 3306 4444 4567 4568 ];
firewall.allowedUDPPorts = [ 4567 ];
};
- users.users.testuser = { isSystemUser = true; };
systemd.services.mysql = with pkgs; {
path = [ mysqlenv-common mysqlenv-mariabackup ];
};
@@ -75,6 +85,7 @@ in {
galera_02 =
{ pkgs, ... }:
{
+ imports = [ users ];
networking = {
interfaces.eth1 = {
ipv4.addresses = [
@@ -89,7 +100,6 @@ in {
firewall.allowedTCPPorts = [ 3306 4444 4567 4568 ];
firewall.allowedUDPPorts = [ 4567 ];
};
- users.users.testuser = { isSystemUser = true; };
systemd.services.mysql = with pkgs; {
path = [ mysqlenv-common mysqlenv-mariabackup ];
};
@@ -122,6 +132,7 @@ in {
galera_03 =
{ pkgs, ... }:
{
+ imports = [ users ];
networking = {
interfaces.eth1 = {
ipv4.addresses = [
@@ -136,7 +147,6 @@ in {
firewall.allowedTCPPorts = [ 3306 4444 4567 4568 ];
firewall.allowedUDPPorts = [ 4567 ];
};
- users.users.testuser = { isSystemUser = true; };
systemd.services.mysql = with pkgs; {
path = [ mysqlenv-common mysqlenv-mariabackup ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/mysql/mariadb-galera-rsync.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/mysql/mariadb-galera-rsync.nix
index 709a8b5085c..701e01e8871 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/mysql/mariadb-galera-rsync.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/mysql/mariadb-galera-rsync.nix
@@ -4,6 +4,16 @@ let
mysqlenv-common = pkgs.buildEnv { name = "mysql-path-env-common"; pathsToLink = [ "/bin" ]; paths = with pkgs; [ bash gawk gnutar inetutils which ]; };
mysqlenv-rsync = pkgs.buildEnv { name = "mysql-path-env-rsync"; pathsToLink = [ "/bin" ]; paths = with pkgs; [ lsof procps rsync stunnel ]; };
+ # Common user configuration
+ users = { ... }:
+ {
+ users.users.testuser = {
+ isSystemUser = true;
+ group = "testusers";
+ };
+ users.groups.testusers = { };
+ };
+
in {
name = "mariadb-galera-rsync";
meta = with pkgs.lib.maintainers; {
@@ -17,6 +27,7 @@ in {
galera_04 =
{ pkgs, ... }:
{
+ imports = [ users ];
networking = {
interfaces.eth1 = {
ipv4.addresses = [
@@ -31,7 +42,6 @@ in {
firewall.allowedTCPPorts = [ 3306 4444 4567 4568 ];
firewall.allowedUDPPorts = [ 4567 ];
};
- users.users.testuser = { isSystemUser = true; };
systemd.services.mysql = with pkgs; {
path = [ mysqlenv-common mysqlenv-rsync ];
};
@@ -70,6 +80,7 @@ in {
galera_05 =
{ pkgs, ... }:
{
+ imports = [ users ];
networking = {
interfaces.eth1 = {
ipv4.addresses = [
@@ -84,7 +95,6 @@ in {
firewall.allowedTCPPorts = [ 3306 4444 4567 4568 ];
firewall.allowedUDPPorts = [ 4567 ];
};
- users.users.testuser = { isSystemUser = true; };
systemd.services.mysql = with pkgs; {
path = [ mysqlenv-common mysqlenv-rsync ];
};
@@ -116,6 +126,7 @@ in {
galera_06 =
{ pkgs, ... }:
{
+ imports = [ users ];
networking = {
interfaces.eth1 = {
ipv4.addresses = [
@@ -130,7 +141,6 @@ in {
firewall.allowedTCPPorts = [ 3306 4444 4567 4568 ];
firewall.allowedUDPPorts = [ 4567 ];
};
- users.users.testuser = { isSystemUser = true; };
systemd.services.mysql = with pkgs; {
path = [ mysqlenv-common mysqlenv-rsync ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/mysql/mysql.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/mysql/mysql.nix
index 2ec9c3d50a3..dce5fa26acf 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/mysql/mysql.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/mysql/mysql.nix
@@ -1,4 +1,26 @@
-import ./../make-test-python.nix ({ pkgs, ...} : {
+import ./../make-test-python.nix ({ pkgs, ...}:
+
+
+let
+ # Setup common users
+ users = { ... }:
+ {
+ users.groups.testusers = { };
+
+ users.users.testuser = {
+ isSystemUser = true;
+ group = "testusers";
+ };
+
+ users.users.testuser2 = {
+ isSystemUser = true;
+ group = "testusers";
+ };
+ };
+
+in
+
+{
name = "mysql";
meta = with pkgs.lib.maintainers; {
maintainers = [ eelco shlevy ];
@@ -9,8 +31,8 @@ import ./../make-test-python.nix ({ pkgs, ...} : {
{ pkgs, ... }:
{
- users.users.testuser = { isSystemUser = true; };
- users.users.testuser2 = { isSystemUser = true; };
+ imports = [ users ];
+
services.mysql.enable = true;
services.mysql.initialDatabases = [
{ name = "testdb3"; schema = ./testdb.sql; }
@@ -40,12 +62,12 @@ import ./../make-test-python.nix ({ pkgs, ...} : {
{ pkgs, ... }:
{
+ imports = [ users ];
+
# prevent oom:
# Kernel panic - not syncing: Out of memory: compulsory panic_on_oom is enabled
virtualisation.memorySize = 1024;
- users.users.testuser = { isSystemUser = true; };
- users.users.testuser2 = { isSystemUser = true; };
services.mysql.enable = true;
services.mysql.initialDatabases = [
{ name = "testdb3"; schema = ./testdb.sql; }
@@ -75,8 +97,8 @@ import ./../make-test-python.nix ({ pkgs, ...} : {
{ pkgs, ... }:
{
- users.users.testuser = { isSystemUser = true; };
- users.users.testuser2 = { isSystemUser = true; };
+ imports = [ users ];
+
services.mysql.enable = true;
services.mysql.initialScript = pkgs.writeText "mariadb-init.sql" ''
ALTER USER root@localhost IDENTIFIED WITH unix_socket;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/networking.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/networking.nix
index c8756207f27..647c8942b37 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/networking.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/networking.nix
@@ -8,7 +8,7 @@ with import ../lib/testing-python.nix { inherit system pkgs; };
with pkgs.lib;
let
- qemu-flags = import ../lib/qemu-flags.nix { inherit pkgs; };
+ qemu-common = import ../lib/qemu-common.nix { inherit (pkgs) lib pkgs; };
router = { config, pkgs, lib, ... }:
with pkgs.lib;
@@ -42,7 +42,7 @@ let
machines = flip map vlanIfs (vlan:
{
hostName = "client${toString vlan}";
- ethernetAddress = qemu-flags.qemuNicMac vlan 1;
+ ethernetAddress = qemu-common.qemuNicMac vlan 1;
ipAddress = "192.168.${toString vlan}.2";
}
);
@@ -380,12 +380,57 @@ let
router.wait_until_succeeds("ping -c 1 192.168.1.3")
'';
};
+ fou = {
+ name = "foo-over-udp";
+ nodes.machine = { ... }: {
+ virtualisation.vlans = [ 1 ];
+ networking = {
+ useNetworkd = networkd;
+ useDHCP = false;
+ interfaces.eth1.ipv4.addresses = mkOverride 0
+ [ { address = "192.168.1.1"; prefixLength = 24; } ];
+ fooOverUDP = {
+ fou1 = { port = 9001; };
+ fou2 = { port = 9002; protocol = 41; };
+ fou3 = mkIf (!networkd)
+ { port = 9003; local.address = "192.168.1.1"; };
+ fou4 = mkIf (!networkd)
+ { port = 9004; local = { address = "192.168.1.1"; dev = "eth1"; }; };
+ };
+ };
+ systemd.services = {
+ fou3-fou-encap.after = optional (!networkd) "network-addresses-eth1.service";
+ };
+ };
+ testScript = { ... }:
+ ''
+ import json
+
+ machine.wait_for_unit("network.target")
+ fous = json.loads(machine.succeed("ip -json fou show"))
+ assert {"port": 9001, "gue": None, "family": "inet"} in fous, "fou1 exists"
+ assert {"port": 9002, "ipproto": 41, "family": "inet"} in fous, "fou2 exists"
+ '' + optionalString (!networkd) ''
+ assert {
+ "port": 9003,
+ "gue": None,
+ "family": "inet",
+ "local": "192.168.1.1",
+ } in fous, "fou3 exists"
+ assert {
+ "port": 9004,
+ "gue": None,
+ "family": "inet",
+ "local": "192.168.1.1",
+ "dev": "eth1",
+ } in fous, "fou4 exists"
+ '';
+ };
sit = let
node = { address4, remote, address6 }: { pkgs, ... }: with pkgs.lib; {
virtualisation.vlans = [ 1 ];
networking = {
useNetworkd = networkd;
- firewall.enable = false;
useDHCP = false;
sits.sit = {
inherit remote;
@@ -400,8 +445,30 @@ let
};
in {
name = "Sit";
- nodes.client1 = node { address4 = "192.168.1.1"; remote = "192.168.1.2"; address6 = "fc00::1"; };
- nodes.client2 = node { address4 = "192.168.1.2"; remote = "192.168.1.1"; address6 = "fc00::2"; };
+ # note on firewalling: the two nodes are explicitly asymmetric.
+ # client1 sends SIT packets in UDP, but accepts only proto-41 incoming.
+ # client2 does the reverse, sending in proto-41 and accepting only UDP incoming.
+ # that way we'll notice when either SIT itself or FOU breaks.
+ nodes.client1 = args@{ pkgs, ... }:
+ mkMerge [
+ (node { address4 = "192.168.1.1"; remote = "192.168.1.2"; address6 = "fc00::1"; } args)
+ {
+ networking = {
+ firewall.extraCommands = "iptables -A INPUT -p 41 -j ACCEPT";
+ sits.sit.encapsulation = { type = "fou"; port = 9001; };
+ };
+ }
+ ];
+ nodes.client2 = args@{ pkgs, ... }:
+ mkMerge [
+ (node { address4 = "192.168.1.2"; remote = "192.168.1.1"; address6 = "fc00::2"; } args)
+ {
+ networking = {
+ firewall.allowedUDPPorts = [ 9001 ];
+ fooOverUDP.fou1 = { port = 9001; protocol = 41; };
+ };
+ }
+ ];
testScript = { ... }:
''
start_all()
@@ -721,6 +788,24 @@ let
assert "mtu 1442" in client.succeed("ip l show dummy0")
'';
};
+ wlanInterface = let
+ testMac = "06:00:00:00:02:00";
+ in {
+ name = "WlanInterface";
+ machine = { pkgs, ... }: {
+ boot.kernelModules = [ "mac80211_hwsim" ];
+ networking.wlanInterfaces = {
+ wlan0 = { device = "wlan0"; };
+ wap0 = { device = "wlan0"; mac = testMac; };
+ };
+ };
+ testScript = ''
+ machine.start()
+ machine.wait_for_unit("network.target")
+ machine.wait_until_succeeds("ip address show wap0 | grep -q ${testMac}")
+ machine.fail("ip address show wlan0 | grep -q ${testMac}")
+ '';
+ };
};
in mapAttrs (const (attrs: makeTest (attrs // {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/basic.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/basic.nix
index c4ce34748ac..eb37470a4c7 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/basic.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/basic.nix
@@ -1,4 +1,6 @@
-import ../make-test-python.nix ({ pkgs, ...}: let
+args@{ pkgs, nextcloudVersion ? 22, ... }:
+
+(import ../make-test-python.nix ({ pkgs, ...}: let
adminpass = "notproduction";
adminuser = "root";
in {
@@ -31,14 +33,20 @@ in {
in {
networking.firewall.allowedTCPPorts = [ 80 ];
+ systemd.tmpfiles.rules = [
+ "d /var/lib/nextcloud-data 0750 nextcloud nginx - -"
+ ];
+
services.nextcloud = {
enable = true;
+ datadir = "/var/lib/nextcloud-data";
hostName = "nextcloud";
config = {
# Don't inherit adminuser since "root" is supposed to be the default
- inherit adminpass;
+ adminpassFile = "${pkgs.writeText "adminpass" adminpass}"; # Don't try this at home!
dbtableprefix = "nixos_";
};
+ package = pkgs.${"nextcloud" + (toString nextcloudVersion)};
autoUpdateApps = {
enable = true;
startAt = "20:00";
@@ -95,9 +103,10 @@ in {
"${withRcloneEnv} ${copySharedFile}"
)
client.wait_for_unit("multi-user.target")
+ nextcloud.succeed("test -f /var/lib/nextcloud-data/data/root/files/test-shared-file")
client.succeed(
"${withRcloneEnv} ${diffSharedFile}"
)
assert "hi" in client.succeed("cat /mnt/dav/test-shared-file")
'';
-})
+})) args
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/default.nix
index e4c7a70606c..65043e509b3 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/default.nix
@@ -2,8 +2,20 @@
config ? {},
pkgs ? import ../../.. { inherit system config; }
}:
-{
- basic = import ./basic.nix { inherit system pkgs; };
- with-postgresql-and-redis = import ./with-postgresql-and-redis.nix { inherit system pkgs; };
- with-mysql-and-memcached = import ./with-mysql-and-memcached.nix { inherit system pkgs; };
-}
+
+with pkgs.lib;
+
+foldl
+ (matrix: ver: matrix // {
+ "basic${toString ver}" = import ./basic.nix { inherit system pkgs; nextcloudVersion = ver; };
+ "with-postgresql-and-redis${toString ver}" = import ./with-postgresql-and-redis.nix {
+ inherit system pkgs;
+ nextcloudVersion = ver;
+ };
+ "with-mysql-and-memcached${toString ver}" = import ./with-mysql-and-memcached.nix {
+ inherit system pkgs;
+ nextcloudVersion = ver;
+ };
+ })
+ {}
+ [ 20 21 22 ]
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/with-mysql-and-memcached.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/with-mysql-and-memcached.nix
index 82041874de4..80cb63df5db 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/with-mysql-and-memcached.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/with-mysql-and-memcached.nix
@@ -1,4 +1,6 @@
-import ../make-test-python.nix ({ pkgs, ...}: let
+args@{ pkgs, nextcloudVersion ? 22, ... }:
+
+(import ../make-test-python.nix ({ pkgs, ...}: let
adminpass = "hunter2";
adminuser = "root";
in {
@@ -18,6 +20,7 @@ in {
enable = true;
hostName = "nextcloud";
https = true;
+ package = pkgs.${"nextcloud" + (toString nextcloudVersion)};
caching = {
apcu = true;
redis = false;
@@ -29,9 +32,9 @@ in {
dbuser = "nextcloud";
dbhost = "127.0.0.1";
dbport = 3306;
- dbpass = "hunter2";
+ dbpassFile = "${pkgs.writeText "dbpass" "hunter2" }";
# Don't inherit adminuser since "root" is supposed to be the default
- inherit adminpass;
+ adminpassFile = "${pkgs.writeText "adminpass" adminpass}"; # Don't try this at home!
};
};
@@ -39,6 +42,13 @@ in {
enable = true;
bind = "127.0.0.1";
package = pkgs.mariadb;
+
+ # FIXME(@Ma27) Nextcloud isn't compatible with mariadb 10.6,
+ # this is a workaround.
+ # See https://help.nextcloud.com/t/update-to-next-cloud-21-0-2-has-get-an-error/117028/22
+ extraOptions = ''
+ innodb_read_only_compressed=0
+ '';
initialScript = pkgs.writeText "mysql-init" ''
CREATE USER 'nextcloud'@'localhost' IDENTIFIED BY 'hunter2';
CREATE DATABASE IF NOT EXISTS nextcloud;
@@ -96,4 +106,4 @@ in {
"${withRcloneEnv} ${diffSharedFile}"
)
'';
-})
+})) args
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/with-postgresql-and-redis.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/with-postgresql-and-redis.nix
index 81af620598e..36a69fda505 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/with-postgresql-and-redis.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/nextcloud/with-postgresql-and-redis.nix
@@ -1,4 +1,6 @@
-import ../make-test-python.nix ({ pkgs, ...}: let
+args@{ pkgs, nextcloudVersion ? 22, ... }:
+
+(import ../make-test-python.nix ({ pkgs, ...}: let
adminpass = "hunter2";
adminuser = "custom-admin-username";
in {
@@ -17,6 +19,7 @@ in {
services.nextcloud = {
enable = true;
hostName = "nextcloud";
+ package = pkgs.${"nextcloud" + (toString nextcloudVersion)};
caching = {
apcu = false;
redis = true;
@@ -96,4 +99,4 @@ in {
"${withRcloneEnv} ${diffSharedFile}"
)
'';
-})
+})) args
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/nixops/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/nixops/default.nix
new file mode 100644
index 00000000000..4520b426849
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/nixops/default.nix
@@ -0,0 +1,115 @@
+{ pkgs, ... }:
+let
+ inherit (pkgs) lib;
+
+ tests = {
+ # TODO: uncomment stable
+ # - Blocked on https://github.com/NixOS/nixpkgs/issues/138584 which has a
+ # PR in staging: https://github.com/NixOS/nixpkgs/pull/139986
+ # - Alternatively, blocked on a NixOps 2 release
+ # https://github.com/NixOS/nixops/issues/1242
+ # stable = testsLegacyNetwork { nixopsPkg = pkgs.nixops; };
+ unstable = testsForPackage { nixopsPkg = pkgs.nixopsUnstable; };
+
+ # inherit testsForPackage;
+ };
+
+ testsForPackage = lib.makeOverridable (args: lib.recurseIntoAttrs {
+ legacyNetwork = testLegacyNetwork args;
+ });
+
+ testLegacyNetwork = { nixopsPkg }: pkgs.nixosTest ({
+ nodes = {
+ deployer = { config, lib, nodes, pkgs, ... }: {
+ imports = [ ../../modules/installer/cd-dvd/channel.nix ];
+ environment.systemPackages = [ nixopsPkg ];
+ nix.binaryCaches = lib.mkForce [ ];
+ users.users.person.isNormalUser = true;
+ virtualisation.writableStore = true;
+ virtualisation.memorySize = 1024 /*MiB*/;
+ virtualisation.pathsInNixDB = [
+ pkgs.hello
+ pkgs.figlet
+
+ # This includes build dependencies all the way down. Not efficient,
+ # but we do need build deps to an *arbitrary* depth, which is hard to
+ # determine.
+ (allDrvOutputs nodes.server.config.system.build.toplevel)
+ ];
+ };
+ server = { lib, ... }: {
+ imports = [ ./legacy/base-configuration.nix ];
+ };
+ };
+
+ testScript = { nodes }:
+ let
+ deployerSetup = pkgs.writeScript "deployerSetup" ''
+ #!${pkgs.runtimeShell}
+ set -eux -o pipefail
+ cp --no-preserve=mode -r ${./legacy} unicorn
+ cp --no-preserve=mode ${../ssh-keys.nix} unicorn/ssh-keys.nix
+ mkdir -p ~/.ssh
+ cp ${snakeOilPrivateKey} ~/.ssh/id_ed25519
+ chmod 0400 ~/.ssh/id_ed25519
+ '';
+ serverNetworkJSON = pkgs.writeText "server-network.json"
+ (builtins.toJSON nodes.server.config.system.build.networkConfig);
+ in
+ ''
+ import shlex
+
+ def deployer_do(cmd):
+ cmd = shlex.quote(cmd)
+ return deployer.succeed(f"su person -l -c {cmd} &>/dev/console")
+
+ start_all()
+
+ deployer_do("cat /etc/hosts")
+
+ deployer_do("${deployerSetup}")
+ deployer_do("cp ${serverNetworkJSON} unicorn/server-network.json")
+
+ # Establish that ssh works, regardless of nixops
+ # Easy way to accept the server host key too.
+ server.wait_for_open_port(22)
+ deployer.wait_for_unit("network.target")
+
+ # Put newlines on console, to flush the console reader's line buffer
+ # in case nixops' last output did not end in a newline, as is the case
+ # with a status line (if implemented?)
+ deployer.succeed("while sleep 60s; do echo [60s passed] >/dev/console; done &")
+
+ deployer_do("cd ~/unicorn; ssh -oStrictHostKeyChecking=accept-new root@server echo hi")
+
+ # Create and deploy
+ deployer_do("cd ~/unicorn; nixops create")
+
+ deployer_do("cd ~/unicorn; nixops deploy --confirm")
+
+ deployer_do("cd ~/unicorn; nixops ssh server 'hello | figlet'")
+ '';
+ });
+
+ inherit (import ../ssh-keys.nix pkgs) snakeOilPrivateKey snakeOilPublicKey;
+
+ /*
+ Return a store path with a closure containing everything including
+ derivations and all build dependency outputs, all the way down.
+ */
+ allDrvOutputs = pkg:
+ let name = lib.strings.sanitizeDerivationName "allDrvOutputs-${pkg.pname or pkg.name or "unknown"}";
+ in
+ pkgs.runCommand name { refs = pkgs.writeReferencesToFile pkg.drvPath; } ''
+ touch $out
+ while read ref; do
+ case $ref in
+ *.drv)
+ cat $ref >>$out
+ ;;
+ esac
+ done <$refs
+ '';
+
+in
+tests
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/nixops/legacy/base-configuration.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/nixops/legacy/base-configuration.nix
new file mode 100644
index 00000000000..dba960f595c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/nixops/legacy/base-configuration.nix
@@ -0,0 +1,31 @@
+{ lib, modulesPath, pkgs, ... }:
+let
+ ssh-keys =
+ if builtins.pathExists ../../ssh-keys.nix
+ then # Outside sandbox
+ ../../ssh-keys.nix
+ else # In sandbox
+ ./ssh-keys.nix;
+
+ inherit (import ssh-keys pkgs)
+ snakeOilPrivateKey snakeOilPublicKey;
+in
+{
+ imports = [
+ (modulesPath + "/virtualisation/qemu-vm.nix")
+ (modulesPath + "/testing/test-instrumentation.nix")
+ ];
+ virtualisation.writableStore = true;
+ nix.binaryCaches = lib.mkForce [ ];
+ virtualisation.graphics = false;
+ documentation.enable = false;
+ services.qemuGuest.enable = true;
+ boot.loader.grub.enable = false;
+
+ services.openssh.enable = true;
+ users.users.root.openssh.authorizedKeys.keys = [
+ snakeOilPublicKey
+ ];
+ security.pam.services.sshd.limits =
+ [{ domain = "*"; item = "memlock"; type = "-"; value = 1024; }];
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/nixops/legacy/nixops.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/nixops/legacy/nixops.nix
new file mode 100644
index 00000000000..795dc2a7182
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/nixops/legacy/nixops.nix
@@ -0,0 +1,15 @@
+{
+ network = {
+ description = "Legacy Network using and legacy state.";
+ # NB this is not really what makes it a legacy network; lack of flakes is.
+ storage.legacy = { };
+ };
+ server = { lib, pkgs, ... }: {
+ deployment.targetEnv = "none";
+ imports = [
+ ./base-configuration.nix
+ (lib.modules.importJSON ./server-network.json)
+ ];
+ environment.systemPackages = [ pkgs.hello pkgs.figlet ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/owncast.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/owncast.nix
new file mode 100644
index 00000000000..e54d2cc5dd4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/owncast.nix
@@ -0,0 +1,21 @@
+{ system ? builtins.currentSystem, config ? { }
+, pkgs ? import ../.. { inherit system config; } }:
+
+with import (nixpkgs + "/nixos/lib/testing-python.nix") { inherit system; };
+makeTest {
+ name = "owncast";
+ meta = with pkgs.stdenv.lib.maintainers; { maintainers = [ MayNiklas ]; };
+
+ nodes = {
+ client = { ... }: {
+ environment.systemPackages = [ curl ];
+ services.owncast = { enable = true; };
+ };
+ };
+
+ testScript = ''
+ start_all()
+ client.wait_for_unit("owncast.service")
+ client.succeed("curl localhost:8080/api/status")
+ '';
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/pantheon.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/pantheon.nix
index 3894440333c..20aee2eb7a4 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/pantheon.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/pantheon.nix
@@ -1,10 +1,10 @@
-import ./make-test-python.nix ({ pkgs, ...} :
+import ./make-test-python.nix ({ pkgs, lib, ...} :
{
name = "pantheon";
- meta = with pkgs.lib.maintainers; {
- maintainers = pkgs.pantheon.maintainers;
+ meta = with lib; {
+ maintainers = teams.pantheon.members;
};
machine = { ... }:
@@ -45,8 +45,8 @@ import ./make-test-python.nix ({ pkgs, ...} :
with subtest("Check if pantheon session components actually start"):
machine.wait_until_succeeds("pgrep gala")
machine.wait_for_window("gala")
- machine.wait_until_succeeds("pgrep wingpanel")
- machine.wait_for_window("wingpanel")
+ machine.wait_until_succeeds("pgrep -f io.elementary.wingpanel")
+ machine.wait_for_window("io.elementary.wingpanel")
machine.wait_until_succeeds("pgrep plank")
machine.wait_for_window("plank")
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/parsedmarc/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/parsedmarc/default.nix
new file mode 100644
index 00000000000..d838d3b6a39
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/parsedmarc/default.nix
@@ -0,0 +1,224 @@
+# This tests parsedmarc by sending a report to its monitored email
+# address and reading the results out of Elasticsearch.
+
+{ pkgs, ... }@args:
+let
+ inherit (import ../../lib/testing-python.nix args) makeTest;
+
+ dmarcTestReport = builtins.fetchurl {
+ name = "dmarc-test-report";
+ url = "https://github.com/domainaware/parsedmarc/raw/f45ab94e0608088e0433557608d9f4e9517d3afe/samples/aggregate/estadocuenta1.infonacot.gob.mx!example.com!1536853302!1536939702!2940.xml.zip";
+ sha256 = "0dq64cj49711kbja27pjl2hy0d3azrjxg91kqrh40x46fkn1dwkx";
+ };
+
+ sendEmail = address:
+ pkgs.writeScriptBin "send-email" ''
+ #!${pkgs.python3.interpreter}
+ import smtplib
+ from email import encoders
+ from email.mime.base import MIMEBase
+ from email.mime.multipart import MIMEMultipart
+ from email.mime.text import MIMEText
+
+ sender_email = "dmarc_tester@fake.domain"
+ receiver_email = "${address}"
+
+ message = MIMEMultipart()
+ message["From"] = sender_email
+ message["To"] = receiver_email
+ message["Subject"] = "DMARC test"
+
+ message.attach(MIMEText("Testing parsedmarc", "plain"))
+
+ attachment = MIMEBase("application", "zip")
+
+ with open("${dmarcTestReport}", "rb") as report:
+ attachment.set_payload(report.read())
+
+ encoders.encode_base64(attachment)
+
+ attachment.add_header(
+ "Content-Disposition",
+ "attachment; filename= estadocuenta1.infonacot.gob.mx!example.com!1536853302!1536939702!2940.xml.zip",
+ )
+
+ message.attach(attachment)
+ text = message.as_string()
+
+ with smtplib.SMTP('localhost') as server:
+ server.sendmail(sender_email, receiver_email, text)
+ server.quit()
+ '';
+in
+{
+ localMail = makeTest
+ {
+ name = "parsedmarc-local-mail";
+ meta = with pkgs.lib.maintainers; {
+ maintainers = [ talyz ];
+ };
+
+ nodes.parsedmarc =
+ { nodes, ... }:
+ {
+ virtualisation.memorySize = 2048;
+
+ services.postfix = {
+ enableSubmission = true;
+ enableSubmissions = true;
+ submissionsOptions = {
+ smtpd_sasl_auth_enable = "yes";
+ smtpd_client_restrictions = "permit";
+ };
+ };
+
+ services.parsedmarc = {
+ enable = true;
+ provision = {
+ geoIp = false;
+ localMail = {
+ enable = true;
+ hostname = "localhost";
+ };
+ };
+ };
+
+ services.elasticsearch.package = pkgs.elasticsearch7-oss;
+
+ environment.systemPackages = [
+ (sendEmail "dmarc@localhost")
+ pkgs.jq
+ ];
+ };
+
+ testScript = { nodes }:
+ let
+ esPort = toString nodes.parsedmarc.config.services.elasticsearch.port;
+ in ''
+ parsedmarc.start()
+ parsedmarc.wait_for_unit("postfix.service")
+ parsedmarc.wait_for_unit("dovecot2.service")
+ parsedmarc.wait_for_unit("parsedmarc.service")
+ parsedmarc.wait_until_succeeds(
+ "curl -sS -f http://localhost:${esPort}"
+ )
+
+ parsedmarc.fail(
+ "curl -sS -f http://localhost:${esPort}/_search?q=report_id:2940 | jq -e 'if .hits.total.value > 0 then true else null end'"
+ )
+ parsedmarc.succeed("send-email")
+ parsedmarc.wait_until_succeeds(
+ "curl -sS -f http://localhost:${esPort}/_search?q=report_id:2940 | jq -e 'if .hits.total.value > 0 then true else null end'"
+ )
+ '';
+ };
+
+ externalMail =
+ let
+ certs = import ../common/acme/server/snakeoil-certs.nix;
+ mailDomain = certs.domain;
+ parsedmarcDomain = "parsedmarc.fake.domain";
+ in
+ makeTest {
+ name = "parsedmarc-external-mail";
+ meta = with pkgs.lib.maintainers; {
+ maintainers = [ talyz ];
+ };
+
+ nodes = {
+ parsedmarc =
+ { nodes, ... }:
+ {
+ virtualisation.memorySize = 2048;
+
+ security.pki.certificateFiles = [
+ certs.ca.cert
+ ];
+
+ networking.extraHosts = ''
+ 127.0.0.1 ${parsedmarcDomain}
+ ${nodes.mail.config.networking.primaryIPAddress} ${mailDomain}
+ '';
+
+ services.parsedmarc = {
+ enable = true;
+ provision.geoIp = false;
+ settings.imap = {
+ host = mailDomain;
+ port = 993;
+ ssl = true;
+ user = "alice";
+ password = "${pkgs.writeText "imap-password" "foobar"}";
+ watch = true;
+ };
+ };
+
+ services.elasticsearch.package = pkgs.elasticsearch7-oss;
+
+ environment.systemPackages = [
+ pkgs.jq
+ ];
+ };
+
+ mail =
+ { nodes, ... }:
+ {
+ imports = [ ../common/user-account.nix ];
+
+ networking.extraHosts = ''
+ 127.0.0.1 ${mailDomain}
+ ${nodes.parsedmarc.config.networking.primaryIPAddress} ${parsedmarcDomain}
+ '';
+
+ services.dovecot2 = {
+ enable = true;
+ protocols = [ "imap" ];
+ sslCACert = "${certs.ca.cert}";
+ sslServerCert = "${certs.${mailDomain}.cert}";
+ sslServerKey = "${certs.${mailDomain}.key}";
+ };
+
+ services.postfix = {
+ enable = true;
+ origin = mailDomain;
+ config = {
+ myhostname = mailDomain;
+ mydestination = mailDomain;
+ };
+ enableSubmission = true;
+ enableSubmissions = true;
+ submissionsOptions = {
+ smtpd_sasl_auth_enable = "yes";
+ smtpd_client_restrictions = "permit";
+ };
+ };
+ environment.systemPackages = [ (sendEmail "alice@${mailDomain}") ];
+
+ networking.firewall.allowedTCPPorts = [ 993 ];
+ };
+ };
+
+ testScript = { nodes }:
+ let
+ esPort = toString nodes.parsedmarc.config.services.elasticsearch.port;
+ in ''
+ mail.start()
+ mail.wait_for_unit("postfix.service")
+ mail.wait_for_unit("dovecot2.service")
+
+ parsedmarc.start()
+ parsedmarc.wait_for_unit("parsedmarc.service")
+ parsedmarc.wait_until_succeeds(
+ "curl -sS -f http://localhost:${esPort}"
+ )
+
+ parsedmarc.fail(
+ "curl -sS -f http://localhost:${esPort}/_search?q=report_id:2940 | jq -e 'if .hits.total.value > 0 then true else null end'"
+ )
+ mail.succeed("send-email")
+ parsedmarc.wait_until_succeeds(
+ "curl -sS -f http://localhost:${esPort}/_search?q=report_id:2940 | jq -e 'if .hits.total.value > 0 then true else null end'"
+ )
+ '';
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/pict-rs.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/pict-rs.nix
new file mode 100644
index 00000000000..432fd6a50cc
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/pict-rs.nix
@@ -0,0 +1,17 @@
+import ./make-test-python.nix ({ pkgs, lib, ... }:
+ {
+ name = "pict-rs";
+ meta.maintainers = with lib.maintainers; [ happysalada ];
+
+ machine = { ... }: {
+ environment.systemPackages = with pkgs; [ curl jq ];
+ services.pict-rs.enable = true;
+ };
+
+ testScript = ''
+ start_all()
+
+ machine.wait_for_unit("pict-rs")
+ machine.wait_for_open_port("8080")
+ '';
+ })
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/plasma5.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/plasma5.nix
index f09859a055d..7a5b7db9462 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/plasma5.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/plasma5.nix
@@ -12,7 +12,7 @@ import ./make-test-python.nix ({ pkgs, ...} :
imports = [ ./common/user-account.nix ];
services.xserver.enable = true;
services.xserver.displayManager.sddm.enable = true;
- services.xserver.displayManager.defaultSession = "plasma5";
+ services.xserver.displayManager.defaultSession = "plasma";
services.xserver.desktopManager.plasma5.enable = true;
services.xserver.displayManager.autoLogin = {
enable = true;
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/postfixadmin.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/postfixadmin.nix
index aba5e3eed10..b2712f4699a 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/postfixadmin.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/postfixadmin.nix
@@ -1,6 +1,6 @@
import ./make-test-python.nix ({ pkgs, ...} : {
name = "postfixadmin";
- meta = with pkgs.stdenv.lib.maintainers; {
+ meta = with pkgs.lib.maintainers; {
maintainers = [ globin ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/prometheus-exporters.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/prometheus-exporters.nix
index c6e8fa5a9ee..38b93c4087c 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/prometheus-exporters.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/prometheus-exporters.nix
@@ -280,6 +280,7 @@ let
};
exporterTest = ''
wait_for_unit("prometheus-influxdb-exporter.service")
+ wait_for_open_port(9122)
succeed(
"curl -XPOST http://localhost:9122/write --data-binary 'influxdb_exporter,distro=nixos,added_in=21.09 value=1'"
)
@@ -554,7 +555,11 @@ let
WorkingDirectory = "/var/spool/mail";
};
};
- users.users.mailexporter.isSystemUser = true;
+ users.users.mailexporter = {
+ isSystemUser = true;
+ group = "mailexporter";
+ };
+ users.groups.mailexporter = {};
};
exporterTest = ''
wait_for_unit("postfix.service")
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/prowlarr.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/prowlarr.nix
new file mode 100644
index 00000000000..4cbca107568
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/prowlarr.nix
@@ -0,0 +1,18 @@
+import ./make-test-python.nix ({ lib, ... }:
+
+with lib;
+
+{
+ name = "prowlarr";
+ meta.maintainers = with maintainers; [ jdreaver ];
+
+ nodes.machine =
+ { pkgs, ... }:
+ { services.prowlarr.enable = true; };
+
+ testScript = ''
+ machine.wait_for_unit("prowlarr.service")
+ machine.wait_for_open_port("9696")
+ machine.succeed("curl --fail http://localhost:9696/")
+ '';
+})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/restart-by-activation-script.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/restart-by-activation-script.nix
new file mode 100644
index 00000000000..0eec292ea9e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/restart-by-activation-script.nix
@@ -0,0 +1,73 @@
+import ./make-test-python.nix ({ pkgs, ...} : {
+ name = "restart-by-activation-script";
+ meta = with pkgs.lib.maintainers; {
+ maintainers = [ das_j ];
+ };
+
+ machine = { pkgs, ... }: {
+ imports = [ ../modules/profiles/minimal.nix ];
+
+ systemd.services.restart-me = {
+ wantedBy = [ "multi-user.target" ];
+ serviceConfig = {
+ Type = "oneshot";
+ RemainAfterExit = true;
+ ExecStart = "${pkgs.coreutils}/bin/true";
+ };
+ };
+
+ systemd.services.reload-me = {
+ wantedBy = [ "multi-user.target" ];
+ serviceConfig = rec {
+ Type = "oneshot";
+ RemainAfterExit = true;
+ ExecStart = "${pkgs.coreutils}/bin/true";
+ ExecReload = ExecStart;
+ };
+ };
+
+ system.activationScripts.test = {
+ supportsDryActivation = true;
+ text = ''
+ if [ -e /test-the-activation-script ]; then
+ if [ "$NIXOS_ACTION" != dry-activate ]; then
+ touch /activation-was-run
+ echo restart-me.service > /run/nixos/activation-restart-list
+ echo reload-me.service > /run/nixos/activation-reload-list
+ else
+ echo restart-me.service > /run/nixos/dry-activation-restart-list
+ echo reload-me.service > /run/nixos/dry-activation-reload-list
+ fi
+ fi
+ '';
+ };
+ };
+
+ testScript = /* python */ ''
+ machine.wait_for_unit("multi-user.target")
+
+ with subtest("nothing happens when the activation script does nothing"):
+ out = machine.succeed("/run/current-system/bin/switch-to-configuration dry-activate 2>&1")
+ assert 'restart' not in out
+ assert 'reload' not in out
+ out = machine.succeed("/run/current-system/bin/switch-to-configuration test")
+ assert 'restart' not in out
+ assert 'reload' not in out
+
+ machine.succeed("touch /test-the-activation-script")
+
+ with subtest("dry activation"):
+ out = machine.succeed("/run/current-system/bin/switch-to-configuration dry-activate 2>&1")
+ assert 'would restart the following units: restart-me.service' in out
+ assert 'would reload the following units: reload-me.service' in out
+ machine.fail("test -f /run/nixos/dry-activation-restart-list")
+ machine.fail("test -f /run/nixos/dry-activation-reload-list")
+
+ with subtest("real activation"):
+ out = machine.succeed("/run/current-system/bin/switch-to-configuration test 2>&1")
+ assert 'restarting the following units: restart-me.service' in out
+ assert 'reloading the following units: reload-me.service' in out
+ machine.fail("test -f /run/nixos/activation-restart-list")
+ machine.fail("test -f /run/nixos/activation-reload-list")
+ '';
+})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/run-in-machine.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/run-in-machine.nix
deleted file mode 100644
index 67840f3e9fe..00000000000
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/run-in-machine.nix
+++ /dev/null
@@ -1,23 +0,0 @@
-{ system ? builtins.currentSystem,
- config ? {},
- pkgs ? import ../.. { inherit system config; }
-}:
-
-with import ../lib/testing-python.nix { inherit system pkgs; };
-
-let
- output = runInMachine {
- drv = pkgs.hello;
- machine = { ... }: { /* services.sshd.enable = true; */ };
- };
-
- test = pkgs.runCommand "verify-output" { inherit output; } ''
- if [ ! -e "$output/bin/hello" ]; then
- echo "Derivation built using runInMachine produced incorrect output:" >&2
- ls -laR "$output" >&2
- exit 1
- fi
- "$output/bin/hello" > "$out"
- '';
-
-in test // { inherit test; } # To emulate behaviour of makeTest
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/samba.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/samba.nix
index d1d50caabfa..252c3dd9c76 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/samba.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/samba.nix
@@ -20,6 +20,7 @@ import ./make-test-python.nix ({ pkgs, ... }:
server =
{ ... }:
{ services.samba.enable = true;
+ services.samba.openFirewall = true;
services.samba.shares.public =
{ path = "/public";
"read only" = true;
@@ -27,8 +28,6 @@ import ./make-test-python.nix ({ pkgs, ... }:
"guest ok" = "yes";
comment = "Public samba share.";
};
- networking.firewall.allowedTCPPorts = [ 139 445 ];
- networking.firewall.allowedUDPPorts = [ 137 138 ];
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/spark/default.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/spark/default.nix
new file mode 100644
index 00000000000..254cdec6e6b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/spark/default.nix
@@ -0,0 +1,28 @@
+import ../make-test-python.nix ({...}: {
+ name = "spark";
+
+ nodes = {
+ worker = { nodes, pkgs, ... }: {
+ virtualisation.memorySize = 1024;
+ services.spark.worker = {
+ enable = true;
+ master = "master:7077";
+ };
+ };
+ master = { config, pkgs, ... }: {
+ services.spark.master = {
+ enable = true;
+ bind = "0.0.0.0";
+ };
+ networking.firewall.allowedTCPPorts = [ 22 7077 8080 ];
+ };
+ };
+
+ testScript = ''
+ master.wait_for_unit("spark-master.service")
+ worker.wait_for_unit("spark-worker.service")
+ worker.copy_from_host( "${./spark_sample.py}", "/spark_sample.py" )
+ assert "Spark Master at spark://" in worker.succeed("curl -sSfkL http://master:8080/")
+ worker.succeed("spark-submit --master spark://master:7077 --executor-memory 512m --executor-cores 1 /spark_sample.py")
+ '';
+})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/spark/spark_sample.py b/infra/libkookie/nixpkgs/unstable/nixos/tests/spark/spark_sample.py
new file mode 100644
index 00000000000..c4939451eae
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/spark/spark_sample.py
@@ -0,0 +1,40 @@
+from pyspark.sql import Row, SparkSession
+from pyspark.sql import functions as F
+from pyspark.sql.functions import udf
+from pyspark.sql.types import *
+from pyspark.sql.functions import explode
+
+def explode_col(weight):
+ return int(weight//10) * [10.0] + ([] if weight%10==0 else [weight%10])
+
+spark = SparkSession.builder.getOrCreate()
+
+dataSchema = [
+ StructField("feature_1", FloatType()),
+ StructField("feature_2", FloatType()),
+ StructField("bias_weight", FloatType())
+]
+
+data = [
+ Row(0.1, 0.2, 10.32),
+ Row(0.32, 1.43, 12.8),
+ Row(1.28, 1.12, 0.23)
+]
+
+df = spark.createDataFrame(spark.sparkContext.parallelize(data), StructType(dataSchema))
+
+normalizing_constant = 100
+sum_bias_weight = df.select(F.sum('bias_weight')).collect()[0][0]
+normalizing_factor = normalizing_constant / sum_bias_weight
+df = df.withColumn('normalized_bias_weight', df.bias_weight * normalizing_factor)
+df = df.drop('bias_weight')
+df = df.withColumnRenamed('normalized_bias_weight', 'bias_weight')
+
+my_udf = udf(lambda x: explode_col(x), ArrayType(FloatType()))
+df1 = df.withColumn('explode_val', my_udf(df.bias_weight))
+df1 = df1.withColumn("explode_val_1", explode(df1.explode_val)).drop("explode_val")
+df1 = df1.drop('bias_weight').withColumnRenamed('explode_val_1', 'bias_weight')
+
+df1.show()
+
+assert(df1.count() == 12)
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/switch-test.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/switch-test.nix
index 78adf7ffa7d..4caa7d98f47 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/switch-test.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/switch-test.nix
@@ -7,15 +7,224 @@ import ./make-test-python.nix ({ pkgs, ...} : {
};
nodes = {
- machine = { ... }: {
+ machine = { config, pkgs, lib, ... }: {
+ environment.systemPackages = [ pkgs.socat ]; # for the socket activation stuff
users.mutableUsers = false;
+
+ specialisation = {
+ # A system with a simple socket-activated unit
+ simple-socket.configuration = {
+ systemd.services.socket-activated.serviceConfig = {
+ ExecStart = pkgs.writeScript "socket-test.py" /* python */ ''
+ #!${pkgs.python3}/bin/python3
+
+ from socketserver import TCPServer, StreamRequestHandler
+ import socket
+
+ class Handler(StreamRequestHandler):
+ def handle(self):
+ self.wfile.write("hello".encode("utf-8"))
+
+ class Server(TCPServer):
+ def __init__(self, server_address, handler_cls):
+ # Invoke base but omit bind/listen steps (performed by systemd activation!)
+ TCPServer.__init__(
+ self, server_address, handler_cls, bind_and_activate=False)
+ # Override socket
+ self.socket = socket.fromfd(3, self.address_family, self.socket_type)
+
+ if __name__ == "__main__":
+ server = Server(("localhost", 1234), Handler)
+ server.serve_forever()
+ '';
+ };
+ systemd.sockets.socket-activated = {
+ wantedBy = [ "sockets.target" ];
+ listenStreams = [ "/run/test.sock" ];
+ socketConfig.SocketMode = lib.mkDefault "0777";
+ };
+ };
+
+ # The same system but the socket is modified
+ modified-socket.configuration = {
+ imports = [ config.specialisation.simple-socket.configuration ];
+ systemd.sockets.socket-activated.socketConfig.SocketMode = "0666";
+ };
+
+ # The same system but the service is modified
+ modified-service.configuration = {
+ imports = [ config.specialisation.simple-socket.configuration ];
+ systemd.services.socket-activated.serviceConfig.X-Test = "test";
+ };
+
+ # The same system but both service and socket are modified
+ modified-service-and-socket.configuration = {
+ imports = [ config.specialisation.simple-socket.configuration ];
+ systemd.services.socket-activated.serviceConfig.X-Test = "some_value";
+ systemd.sockets.socket-activated.socketConfig.SocketMode = "0444";
+ };
+
+ # A system with a socket-activated service and some simple services
+ service-and-socket.configuration = {
+ imports = [ config.specialisation.simple-socket.configuration ];
+ systemd.services.simple-service = {
+ wantedBy = [ "multi-user.target" ];
+ serviceConfig = {
+ Type = "oneshot";
+ RemainAfterExit = true;
+ ExecStart = "${pkgs.coreutils}/bin/true";
+ };
+ };
+
+ systemd.services.simple-restart-service = {
+ stopIfChanged = false;
+ wantedBy = [ "multi-user.target" ];
+ serviceConfig = {
+ Type = "oneshot";
+ RemainAfterExit = true;
+ ExecStart = "${pkgs.coreutils}/bin/true";
+ };
+ };
+
+ systemd.services.simple-reload-service = {
+ reloadIfChanged = true;
+ wantedBy = [ "multi-user.target" ];
+ serviceConfig = {
+ Type = "oneshot";
+ RemainAfterExit = true;
+ ExecStart = "${pkgs.coreutils}/bin/true";
+ ExecReload = "${pkgs.coreutils}/bin/true";
+ };
+ };
+
+ systemd.services.no-restart-service = {
+ restartIfChanged = false;
+ wantedBy = [ "multi-user.target" ];
+ serviceConfig = {
+ Type = "oneshot";
+ RemainAfterExit = true;
+ ExecStart = "${pkgs.coreutils}/bin/true";
+ };
+ };
+ };
+
+ # The same system but with an activation script that restarts all services
+ restart-and-reload-by-activation-script.configuration = {
+ imports = [ config.specialisation.service-and-socket.configuration ];
+ system.activationScripts.restart-and-reload-test = {
+ supportsDryActivation = true;
+ deps = [];
+ text = ''
+ if [ "$NIXOS_ACTION" = dry-activate ]; then
+ f=/run/nixos/dry-activation-restart-list
+ else
+ f=/run/nixos/activation-restart-list
+ fi
+ cat <> "$f"
+ simple-service.service
+ simple-restart-service.service
+ simple-reload-service.service
+ no-restart-service.service
+ socket-activated.service
+ EOF
+ '';
+ };
+ };
+
+ # A system with a timer
+ with-timer.configuration = {
+ systemd.timers.test-timer = {
+ wantedBy = [ "timers.target" ];
+ timerConfig.OnCalendar = "@1395716396"; # chosen by fair dice roll
+ };
+ systemd.services.test-timer = {
+ serviceConfig = {
+ Type = "oneshot";
+ ExecStart = "${pkgs.coreutils}/bin/true";
+ };
+ };
+ };
+
+ # The same system but with another time
+ with-timer-modified.configuration = {
+ imports = [ config.specialisation.with-timer.configuration ];
+ systemd.timers.test-timer.timerConfig.OnCalendar = lib.mkForce "Fri 2012-11-23 16:00:00";
+ };
+
+ # A system with a systemd mount
+ with-mount.configuration = {
+ systemd.mounts = [
+ {
+ description = "Testmount";
+ what = "tmpfs";
+ type = "tmpfs";
+ where = "/testmount";
+ options = "size=1M";
+ wantedBy = [ "local-fs.target" ];
+ }
+ ];
+ };
+
+ # The same system but with another time
+ with-mount-modified.configuration = {
+ systemd.mounts = [
+ {
+ description = "Testmount";
+ what = "tmpfs";
+ type = "tmpfs";
+ where = "/testmount";
+ options = "size=10M";
+ wantedBy = [ "local-fs.target" ];
+ }
+ ];
+ };
+
+ # A system with a path unit
+ with-path.configuration = {
+ systemd.paths.test-watch = {
+ wantedBy = [ "paths.target" ];
+ pathConfig.PathExists = "/testpath";
+ };
+ systemd.services.test-watch = {
+ serviceConfig = {
+ Type = "oneshot";
+ ExecStart = "${pkgs.coreutils}/bin/touch /testpath-modified";
+ };
+ };
+ };
+
+ # The same system but watching another file
+ with-path-modified.configuration = {
+ imports = [ config.specialisation.with-path.configuration ];
+ systemd.paths.test-watch.pathConfig.PathExists = lib.mkForce "/testpath2";
+ };
+
+ # A system with a slice
+ with-slice.configuration = {
+ systemd.slices.testslice.sliceConfig.MemoryMax = "1"; # don't allow memory allocation
+ systemd.services.testservice = {
+ serviceConfig = {
+ Type = "oneshot";
+ RemainAfterExit = true;
+ ExecStart = "${pkgs.coreutils}/bin/true";
+ Slice = "testslice.slice";
+ };
+ };
+ };
+
+ # The same system but the slice allows to allocate memory
+ with-slice-non-crashing.configuration = {
+ imports = [ config.specialisation.with-slice.configuration ];
+ systemd.slices.testslice.sliceConfig.MemoryMax = lib.mkForce null;
+ };
+ };
};
other = { ... }: {
users.mutableUsers = true;
};
};
- testScript = {nodes, ...}: let
+ testScript = { nodes, ... }: let
originalSystem = nodes.machine.config.system.build.toplevel;
otherSystem = nodes.other.config.system.build.toplevel;
@@ -27,12 +236,182 @@ import ./make-test-python.nix ({ pkgs, ...} : {
set -o pipefail
exec env -i "$@" | tee /dev/stderr
'';
- in ''
+ in /* python */ ''
+ def switch_to_specialisation(name, action="test"):
+ out = machine.succeed(f"${originalSystem}/specialisation/{name}/bin/switch-to-configuration {action} 2>&1")
+ assert_lacks(out, "switch-to-configuration line") # Perl warnings
+ return out
+
+ def assert_contains(haystack, needle):
+ if needle not in haystack:
+ print("The haystack that will cause the following exception is:")
+ print("---")
+ print(haystack)
+ print("---")
+ raise Exception(f"Expected string '{needle}' was not found")
+
+ def assert_lacks(haystack, needle):
+ if needle in haystack:
+ print("The haystack that will cause the following exception is:")
+ print("---")
+ print(haystack, end="")
+ print("---")
+ raise Exception(f"Unexpected string '{needle}' was found")
+
+
machine.succeed(
"${stderrRunner} ${originalSystem}/bin/switch-to-configuration test"
)
machine.succeed(
"${stderrRunner} ${otherSystem}/bin/switch-to-configuration test"
)
+
+ with subtest("systemd sockets"):
+ machine.succeed("${originalSystem}/bin/switch-to-configuration test")
+
+ # Simple socket is created
+ out = switch_to_specialisation("simple-socket")
+ assert_lacks(out, "stopping the following units:")
+ # not checking for reload because dbus gets reloaded
+ assert_lacks(out, "restarting the following units:")
+ assert_lacks(out, "\nstarting the following units:")
+ assert_contains(out, "the following new units were started: socket-activated.socket\n")
+ assert_lacks(out, "as well:")
+ machine.succeed("[ $(stat -c%a /run/test.sock) = 777 ]")
+
+ # Changing the socket restarts it
+ out = switch_to_specialisation("modified-socket")
+ assert_lacks(out, "stopping the following units:")
+ #assert_lacks(out, "reloading the following units:")
+ assert_contains(out, "restarting the following units: socket-activated.socket\n")
+ assert_lacks(out, "\nstarting the following units:")
+ assert_lacks(out, "the following new units were started:")
+ assert_lacks(out, "as well:")
+ machine.succeed("[ $(stat -c%a /run/test.sock) = 666 ]") # change was applied
+
+ # The unit is properly activated when the socket is accessed
+ if machine.succeed("socat - UNIX-CONNECT:/run/test.sock") != "hello":
+ raise Exception("Socket was not properly activated")
+
+ # Changing the socket restarts it and ignores the active service
+ out = switch_to_specialisation("simple-socket")
+ assert_contains(out, "stopping the following units: socket-activated.service\n")
+ assert_lacks(out, "reloading the following units:")
+ assert_contains(out, "restarting the following units: socket-activated.socket\n")
+ assert_lacks(out, "\nstarting the following units:")
+ assert_lacks(out, "the following new units were started:")
+ assert_lacks(out, "as well:")
+ machine.succeed("[ $(stat -c%a /run/test.sock) = 777 ]") # change was applied
+
+ # Changing the service does nothing when the service is not active
+ out = switch_to_specialisation("modified-service")
+ assert_lacks(out, "stopping the following units:")
+ assert_lacks(out, "reloading the following units:")
+ assert_lacks(out, "restarting the following units:")
+ assert_lacks(out, "\nstarting the following units:")
+ assert_lacks(out, "the following new units were started:")
+ assert_lacks(out, "as well:")
+
+ # Activating the service and modifying it stops it but leaves the socket untouched
+ machine.succeed("socat - UNIX-CONNECT:/run/test.sock")
+ out = switch_to_specialisation("simple-socket")
+ assert_contains(out, "stopping the following units: socket-activated.service\n")
+ assert_lacks(out, "reloading the following units:")
+ assert_lacks(out, "restarting the following units:")
+ assert_lacks(out, "\nstarting the following units:")
+ assert_lacks(out, "the following new units were started:")
+ assert_lacks(out, "as well:")
+
+ # Activating the service and both the service and the socket stops the service and restarts the socket
+ machine.succeed("socat - UNIX-CONNECT:/run/test.sock")
+ out = switch_to_specialisation("modified-service-and-socket")
+ assert_contains(out, "stopping the following units: socket-activated.service\n")
+ assert_lacks(out, "reloading the following units:")
+ assert_contains(out, "restarting the following units: socket-activated.socket\n")
+ assert_lacks(out, "\nstarting the following units:")
+ assert_lacks(out, "the following new units were started:")
+ assert_lacks(out, "as well:")
+
+ with subtest("restart and reload by activation file"):
+ out = switch_to_specialisation("service-and-socket")
+ # Switch to a system where the example services get restarted
+ # by the activation script
+ out = switch_to_specialisation("restart-and-reload-by-activation-script")
+ assert_lacks(out, "stopping the following units:")
+ assert_contains(out, "stopping the following units as well: simple-service.service, socket-activated.service\n")
+ assert_contains(out, "reloading the following units: simple-reload-service.service\n")
+ assert_contains(out, "restarting the following units: simple-restart-service.service\n")
+ assert_contains(out, "\nstarting the following units: simple-service.service")
+
+ # The same, but in dry mode
+ switch_to_specialisation("service-and-socket")
+ out = switch_to_specialisation("restart-and-reload-by-activation-script", action="dry-activate")
+ assert_lacks(out, "would stop the following units:")
+ assert_contains(out, "would stop the following units as well: simple-service.service, socket-activated.service\n")
+ assert_contains(out, "would reload the following units: simple-reload-service.service\n")
+ assert_contains(out, "would restart the following units: simple-restart-service.service\n")
+ assert_contains(out, "\nwould start the following units: simple-service.service")
+
+ with subtest("mounts"):
+ switch_to_specialisation("with-mount")
+ out = machine.succeed("mount | grep 'on /testmount'")
+ assert_contains(out, "size=1024k")
+
+ out = switch_to_specialisation("with-mount-modified")
+ assert_lacks(out, "stopping the following units:")
+ assert_contains(out, "reloading the following units: testmount.mount\n")
+ assert_lacks(out, "restarting the following units:")
+ assert_lacks(out, "\nstarting the following units:")
+ assert_lacks(out, "the following new units were started:")
+ assert_lacks(out, "as well:")
+ # It changed
+ out = machine.succeed("mount | grep 'on /testmount'")
+ assert_contains(out, "size=10240k")
+
+ with subtest("timers"):
+ switch_to_specialisation("with-timer")
+ out = machine.succeed("systemctl show test-timer.timer")
+ assert_contains(out, "OnCalendar=2014-03-25 02:59:56 UTC")
+
+ out = switch_to_specialisation("with-timer-modified")
+ assert_lacks(out, "stopping the following units:")
+ assert_lacks(out, "reloading the following units:")
+ assert_contains(out, "restarting the following units: test-timer.timer\n")
+ assert_lacks(out, "\nstarting the following units:")
+ assert_lacks(out, "the following new units were started:")
+ assert_lacks(out, "as well:")
+ # It changed
+ out = machine.succeed("systemctl show test-timer.timer")
+ assert_contains(out, "OnCalendar=Fri 2012-11-23 16:00:00")
+
+ with subtest("paths"):
+ switch_to_specialisation("with-path")
+ machine.fail("test -f /testpath-modified")
+
+ # touch the file, unit should be triggered
+ machine.succeed("touch /testpath")
+ machine.wait_until_succeeds("test -f /testpath-modified")
+
+ machine.succeed("rm /testpath /testpath-modified")
+ switch_to_specialisation("with-path-modified")
+
+ machine.succeed("touch /testpath")
+ machine.fail("test -f /testpath-modified")
+ machine.succeed("touch /testpath2")
+ machine.wait_until_succeeds("test -f /testpath-modified")
+
+ # This test ensures that changes to slice configuration get applied.
+ # We test this by having a slice that allows no memory allocation at
+ # all and starting a service within it. If the service crashes, the slice
+ # is applied and if we modify the slice to allow memory allocation, the
+ # service should successfully start.
+ with subtest("slices"):
+ machine.succeed("echo 0 > /proc/sys/vm/panic_on_oom") # allow OOMing
+ out = switch_to_specialisation("with-slice")
+ machine.fail("systemctl start testservice.service")
+ out = switch_to_specialisation("with-slice-non-crashing")
+ machine.succeed("systemctl start testservice.service")
+ machine.succeed("echo 1 > /proc/sys/vm/panic_on_oom") # disallow OOMing
+
'';
})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/systemd-confinement.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/systemd-confinement.nix
index e6a308f46d2..8fafb11e1e8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/systemd-confinement.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/systemd-confinement.nix
@@ -44,30 +44,26 @@ import ./make-test-python.nix {
{ config.confinement.mode = "chroot-only";
testScript = ''
with subtest("chroot-only confinement"):
- machine.succeed(
- 'test "$(chroot-exec ls -1 / | paste -sd,)" = bin,nix',
- 'test "$(chroot-exec id -u)" = 0',
- "chroot-exec chown 65534 /bin",
- )
+ paths = machine.succeed('chroot-exec ls -1 / | paste -sd,').strip()
+ assert_eq(paths, "bin,nix,run")
+ uid = machine.succeed('chroot-exec id -u').strip()
+ assert_eq(uid, "0")
+ machine.succeed("chroot-exec chown 65534 /bin")
'';
}
{ testScript = ''
with subtest("full confinement with APIVFS"):
- machine.fail(
- "chroot-exec ls -l /etc",
- "chroot-exec ls -l /run",
- "chroot-exec chown 65534 /bin",
- )
- machine.succeed(
- 'test "$(chroot-exec id -u)" = 0',
- "chroot-exec chown 0 /bin",
- )
+ machine.fail("chroot-exec ls -l /etc")
+ machine.fail("chroot-exec chown 65534 /bin")
+ assert_eq(machine.succeed('chroot-exec id -u').strip(), "0")
+ machine.succeed("chroot-exec chown 0 /bin")
'';
}
{ config.serviceConfig.BindReadOnlyPaths = [ "/etc" ];
testScript = ''
with subtest("check existence of bind-mounted /etc"):
- machine.succeed('test -n "$(chroot-exec cat /etc/passwd)"')
+ passwd = machine.succeed('chroot-exec cat /etc/passwd').strip()
+ assert len(passwd) > 0, "/etc/passwd must not be empty"
'';
}
{ config.serviceConfig.User = "chroot-testuser";
@@ -75,7 +71,8 @@ import ./make-test-python.nix {
testScript = ''
with subtest("check if User/Group really runs as non-root"):
machine.succeed("chroot-exec ls -l /dev")
- machine.succeed('test "$(chroot-exec id -u)" != 0')
+ uid = machine.succeed('chroot-exec id -u').strip()
+ assert uid != "0", "UID of chroot-testuser shouldn't be 0"
machine.fail("chroot-exec touch /bin/test")
'';
}
@@ -88,10 +85,8 @@ import ./make-test-python.nix {
testScript = ''
with subtest("check if symlinks are properly bind-mounted"):
machine.fail("chroot-exec test -e /etc")
- machine.succeed(
- "chroot-exec cat ${symlink} >&2",
- 'test "$(chroot-exec cat ${symlink})" = "got me"',
- )
+ text = machine.succeed('chroot-exec cat ${symlink}').strip()
+ assert_eq(text, "got me")
'';
})
{ config.serviceConfig.User = "chroot-testuser";
@@ -158,6 +153,9 @@ import ./make-test-python.nix {
};
testScript = { nodes, ... }: ''
+ def assert_eq(a, b):
+ assert a == b, f"{a} != {b}"
+
machine.wait_for_unit("multi-user.target")
'' + nodes.machine.config.__testSteps;
}
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/systemd-networkd-ipv6-prefix-delegation.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/systemd-networkd-ipv6-prefix-delegation.nix
index 94f17605e00..68836c73072 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/systemd-networkd-ipv6-prefix-delegation.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/systemd-networkd-ipv6-prefix-delegation.nix
@@ -42,6 +42,8 @@ import ./make-test-python.nix ({pkgs, ...}: {
# DO NOT COPY THIS TO PRODUCTION AS IS. Think about it at least twice.
# Everyone on the "isp" machine will be able to add routes to the kernel.
security.wrappers.add-dhcpd-lease = {
+ owner = "root";
+ group = "root";
source = pkgs.writeShellScript "add-dhcpd-lease" ''
exec ${pkgs.iproute2}/bin/ip -6 route replace "$1" via "$2"
'';
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/tigervnc.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/tigervnc.nix
index c0a52808b27..092eaf238d8 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/tigervnc.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/tigervnc.nix
@@ -6,7 +6,7 @@
with import ../lib/testing-python.nix { inherit system pkgs; };
makeTest {
name = "tigervnc";
- meta = with pkgs.stdenv.lib.maintainers; {
+ meta = with pkgs.lib.maintainers; {
maintainers = [ lheckemann ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/udisks2.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/udisks2.nix
index 1f01cc6de4d..6c4b71aaa2e 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/udisks2.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/udisks2.nix
@@ -34,7 +34,7 @@ in
with lzma.open(
"${stick}"
- ) as data, open(machine.state_dir + "/usbstick.img", "wb") as stick:
+ ) as data, open(machine.state_dir / "usbstick.img", "wb") as stick:
stick.write(data.read())
machine.succeed("udisksctl info -b /dev/vda >&2")
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/unbound.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/unbound.nix
index 58a717f98a1..576287a9fe5 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/unbound.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/unbound.nix
@@ -145,13 +145,22 @@ import ./make-test-python.nix ({ pkgs, lib, ... }:
# user that is permitted to access the unix socket
someuser = {
isSystemUser = true;
+ group = "someuser";
extraGroups = [
config.users.users.unbound.group
];
};
# user that is not permitted to access the unix socket
- unauthorizeduser = { isSystemUser = true; };
+ unauthorizeduser = {
+ isSystemUser = true;
+ group = "unauthorizeduser";
+ };
+
+ };
+ users.groups = {
+ someuser = {};
+ unauthorizeduser = {};
};
# Used for testing configuration reloading
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/usbguard.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/usbguard.nix
index cba905db44f..bb707bdbf70 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/usbguard.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/usbguard.nix
@@ -22,7 +22,7 @@ import ./make-test-python.nix ({ pkgs, ... }: {
testScript = ''
# create a blank disk image for our fake USB stick
- with open(machine.state_dir + "/usbstick.img", "wb") as stick:
+ with open(machine.state_dir / "usbstick.img", "wb") as stick:
stick.write(b"\x00" * (1024 * 1024))
# wait for machine to have started and the usbguard service to be up
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/user-activation-scripts.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/user-activation-scripts.nix
new file mode 100644
index 00000000000..0de8664c5ef
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/user-activation-scripts.nix
@@ -0,0 +1,33 @@
+import ./make-test-python.nix ({ lib, ... }: {
+ name = "user-activation-scripts";
+ meta = with lib.maintainers; { maintainers = [ chkno ]; };
+
+ machine = {
+ system.userActivationScripts.foo = "mktemp ~/user-activation-ran.XXXXXX";
+ users.users.alice = {
+ initialPassword = "pass1";
+ isNormalUser = true;
+ };
+ };
+
+ testScript = ''
+ def verify_user_activation_run_count(n):
+ machine.succeed(
+ '[[ "$(find /home/alice/ -name user-activation-ran.\\* | wc -l)" == %s ]]' % n
+ )
+
+
+ machine.wait_for_unit("multi-user.target")
+ machine.wait_for_unit("getty@tty1.service")
+ machine.wait_until_tty_matches(1, "login: ")
+ machine.send_chars("alice\n")
+ machine.wait_until_tty_matches(1, "Password: ")
+ machine.send_chars("pass1\n")
+ machine.send_chars("touch login-ok\n")
+ machine.wait_for_file("/home/alice/login-ok")
+ verify_user_activation_run_count(1)
+
+ machine.succeed("/run/current-system/bin/switch-to-configuration test")
+ verify_user_activation_run_count(2)
+ '';
+})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/wasabibackend.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/wasabibackend.nix
index 1832698ab69..75730fe24d0 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/wasabibackend.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/wasabibackend.nix
@@ -14,7 +14,7 @@ import ./make-test-python.nix ({ pkgs, ... }: {
port = 18332;
};
};
- services.bitcoind = {
+ services.bitcoind."testnet" = {
enable = true;
testnet = true;
rpc.users = {
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/without-nix.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/without-nix.nix
new file mode 100644
index 00000000000..2fc00b04144
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/without-nix.nix
@@ -0,0 +1,23 @@
+import ./make-test-python.nix ({ lib, ... }: {
+ name = "without-nix";
+ meta = with lib.maintainers; {
+ maintainers = [ ericson2314 ];
+ };
+
+ nixpkgs.overlays = [
+ (self: super: {
+ nix = throw "don't want to use this";
+ })
+ ];
+
+ nodes.machine = { ... }: {
+ nix.enable = false;
+ };
+
+ testScript = ''
+ start_all()
+
+ machine.succeed("which which")
+ machine.fail("which nix")
+ '';
+})
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/wordpress.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/wordpress.nix
index 45c58b5b65c..f7f39668c86 100644
--- a/infra/libkookie/nixpkgs/unstable/nixos/tests/wordpress.nix
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/wordpress.nix
@@ -45,6 +45,21 @@ import ./make-test-python.nix ({ pkgs, ... }:
networking.firewall.allowedTCPPorts = [ 80 ];
networking.hosts."127.0.0.1" = [ "site1.local" "site2.local" ];
};
+
+ wp_caddy = { ... }: {
+ services.wordpress.webserver = "caddy";
+ services.wordpress.sites = {
+ "site1.local" = {
+ database.tablePrefix = "site1_";
+ };
+ "site2.local" = {
+ database.tablePrefix = "site2_";
+ };
+ };
+
+ networking.firewall.allowedTCPPorts = [ 80 ];
+ networking.hosts."127.0.0.1" = [ "site1.local" "site2.local" ];
+ };
};
testScript = ''
@@ -54,10 +69,11 @@ import ./make-test-python.nix ({ pkgs, ... }:
wp_httpd.wait_for_unit("httpd")
wp_nginx.wait_for_unit("nginx")
+ wp_caddy.wait_for_unit("caddy")
site_names = ["site1.local", "site2.local"]
- for machine in (wp_httpd, wp_nginx):
+ for machine in (wp_httpd, wp_nginx, wp_caddy):
for site_name in site_names:
machine.wait_for_unit(f"phpfpm-wordpress-{site_name}")
diff --git a/infra/libkookie/nixpkgs/unstable/nixos/tests/wpa_supplicant.nix b/infra/libkookie/nixpkgs/unstable/nixos/tests/wpa_supplicant.nix
new file mode 100644
index 00000000000..1d669d5016a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/nixos/tests/wpa_supplicant.nix
@@ -0,0 +1,81 @@
+import ./make-test-python.nix ({ pkgs, lib, ...}:
+{
+ name = "wpa_supplicant";
+ meta = with lib.maintainers; {
+ maintainers = [ rnhmjoj ];
+ };
+
+ machine = { ... }: {
+ imports = [ ../modules/profiles/minimal.nix ];
+
+ # add a virtual wlan interface
+ boot.kernelModules = [ "mac80211_hwsim" ];
+
+ # wireless access point
+ services.hostapd = {
+ enable = true;
+ wpa = true;
+ interface = "wlan0";
+ ssid = "nixos-test";
+ wpaPassphrase = "reproducibility";
+ };
+
+ # wireless client
+ networking.wireless = {
+ # the override is needed because the wifi is
+ # disabled with mkVMOverride in qemu-vm.nix.
+ enable = lib.mkOverride 0 true;
+ userControlled.enable = true;
+ interfaces = [ "wlan1" ];
+
+ networks = {
+ # test network
+ nixos-test.psk = "@PSK_NIXOS_TEST@";
+
+ # secrets substitution test cases
+ test1.psk = "@PSK_VALID@"; # should be replaced
+ test2.psk = "@PSK_SPECIAL@"; # should be replaced
+ test3.psk = "@PSK_MISSING@"; # should not be replaced
+ test4.psk = "P@ssowrdWithSome@tSymbol"; # should not be replaced
+ };
+
+ # secrets
+ environmentFile = pkgs.writeText "wpa-secrets" ''
+ PSK_NIXOS_TEST="reproducibility"
+ PSK_VALID="S0m3BadP4ssw0rd";
+ # taken from https://github.com/minimaxir/big-list-of-naughty-strings
+ PSK_SPECIAL=",./;'[]\-= <>?:\"{}|_+ !@#$%^\&*()`~";
+ '';
+ };
+
+ };
+
+ testScript =
+ ''
+ config_file = "/run/wpa_supplicant/wpa_supplicant.conf"
+
+ with subtest("Configuration file is inaccessible to other users"):
+ machine.wait_for_file(config_file)
+ machine.fail(f"sudo -u nobody ls {config_file}")
+
+ with subtest("Secrets variables have been substituted"):
+ machine.fail(f"grep -q @PSK_VALID@ {config_file}")
+ machine.fail(f"grep -q @PSK_SPECIAL@ {config_file}")
+ machine.succeed(f"grep -q @PSK_MISSING@ {config_file}")
+ machine.succeed(f"grep -q P@ssowrdWithSome@tSymbol {config_file}")
+
+ # save file for manual inspection
+ machine.copy_from_vm(config_file)
+
+ with subtest("Daemon is running and accepting connections"):
+ machine.wait_for_unit("wpa_supplicant-wlan1.service")
+ status = machine.succeed("wpa_cli -i wlan1 status")
+ assert "Failed to connect" not in status, \
+ "Failed to connect to the daemon"
+
+ with subtest("Daemon can connect to the access point"):
+ machine.wait_until_succeeds(
+ "wpa_cli -i wlan1 status | grep -q wpa_state=COMPLETED"
+ )
+ '';
+})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/accessibility/squeekboard/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/accessibility/squeekboard/default.nix
index cca36c38e77..18bcb04c65c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/accessibility/squeekboard/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/accessibility/squeekboard/default.nix
@@ -14,6 +14,7 @@
, rustPlatform
, feedbackd
, wrapGAppsHook
+, fetchpatch
}:
stdenv.mkDerivation rec {
@@ -37,6 +38,15 @@ stdenv.mkDerivation rec {
sha256 = "0148ynzmapxfrlccikf20ikmi0ssbkn9fl5wi6nh6azflv50pzzn";
};
+ patches = [
+ # remove when updating from 1.14.0
+ (fetchpatch {
+ name = "fix-rust-1.54-build.patch";
+ url = "https://gitlab.gnome.org/World/Phosh/squeekboard/-/commit/9cd56185c59ace535a6af26384ef6beca4423816.patch";
+ sha256 = "sha256-8rWcfhQmGiwlc2lpkRvJ95XQp1Xg7St+0K85x8nQ0mk=";
+ })
+ ];
+
nativeBuildInputs = [
meson
ninja
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ardour/5.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ardour/5.nix
deleted file mode 100644
index b25f9339da1..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ardour/5.nix
+++ /dev/null
@@ -1,161 +0,0 @@
-{ lib, stdenv
-, fetchgit
-, alsa-lib
-, aubio
-, boost
-, cairomm
-, curl
-, doxygen
-, fftwSinglePrec
-, flac
-, glibmm
-, graphviz
-, gtkmm2
-, libjack2
-, liblo
-, libogg
-, libsamplerate
-, libsigcxx
-, libsndfile
-, libusb1
-, fluidsynth_1
-, hidapi
-, libltc
-, qm-dsp
-, libxml2
-, lilv
-, lrdf
-, lv2
-, perl
-, pkg-config
-, itstool
-, python2
-, rubberband
-, serd
-, sord
-, sratom
-, taglib
-, vamp-plugin-sdk
-, dbus
-, fftw
-, pango
-, suil
-, libarchive
-, wafHook
-}:
-let
- # Ardour git repo uses a mix of annotated and lightweight tags. Annotated
- # tags are used for MAJOR.MINOR versioning, and lightweight tags are used
- # in-between; MAJOR.MINOR.REV where REV is the number of commits since the
- # last annotated tag. A slightly different version string format is needed
- # for the 'revision' info that is built into the binary; it is the format of
- # "git describe" when _not_ on an annotated tag(!): MAJOR.MINOR-REV-HASH.
-
- # Version to build.
- tag = "5.12";
-in stdenv.mkDerivation rec {
- pname = "ardour_5";
- version = "5.12";
-
- src = fetchgit {
- url = "git://git.ardour.org/ardour/ardour.git";
- rev = "ae0dcdc0c5d13483271065c360e378202d20170a";
- sha256 = "0mla5lm51ryikc2rrk53max2m7a5ds6i1ai921l2h95wrha45nkr";
- };
-
- nativeBuildInputs = [
- wafHook
- pkg-config
- itstool
- doxygen
- graphviz # for dot
- perl
- python2
- ];
-
- buildInputs = [
- alsa-lib
- aubio
- boost
- cairomm
- curl
- dbus
- fftw
- fftwSinglePrec
- flac
- glibmm
- gtkmm2
- libjack2
- liblo
- libogg
- libsamplerate
- libsigcxx
- libsndfile
- libusb1
- fluidsynth_1
- hidapi
- libltc
- qm-dsp
- libxml2
- lilv
- lrdf
- lv2
- pango
- rubberband
- serd
- sord
- sratom
- suil
- taglib
- vamp-plugin-sdk
- libarchive
- ];
-
- wafConfigureFlags = [
- "--optimize"
- "--docs"
- "--use-external-libs"
- "--freedesktop"
- "--with-backends=jack,alsa,dummy"
- ];
-
- NIX_CFLAGS_COMPILE = "-I${qm-dsp}/include/qm-dsp";
-
- # ardour's wscript has a "tarball" target but that required the git revision
- # be available. Since this is an unzipped tarball fetched from github we
- # have to do that ourself.
- postPatch = ''
- printf '#include "libs/ardour/ardour/revision.h"\nnamespace ARDOUR { const char* revision = \"${tag}-${builtins.substring 0 8 src.rev}\"; }\n' > libs/ardour/revision.cc
- patchShebangs ./tools/
- '';
-
- postInstall = ''
- # wscript does not install these for some reason
- install -vDm 644 "build/gtk2_ardour/ardour.xml" \
- -t "$out/share/mime/packages"
- install -vDm 644 "build/gtk2_ardour/ardour5.desktop" \
- -t "$out/share/applications"
- for size in 16 22 32 48 256 512; do
- install -vDm 644 "gtk2_ardour/resources/Ardour-icon_''${size}px.png" \
- "$out/share/icons/hicolor/''${size}x''${size}/apps/ardour5.png"
- done
- install -vDm 644 "ardour.1"* -t "$out/share/man/man1"
- '';
-
- meta = with lib; {
- description = "Multi-track hard disk recording software";
- longDescription = ''
- Ardour is a digital audio workstation (DAW), You can use it to
- record, edit and mix multi-track audio and midi. Produce your
- own CDs. Mix video soundtracks. Experiment with new ideas about
- music and sound.
-
- Please consider supporting the ardour project financially:
- https://community.ardour.org/donate
- '';
- homepage = "https://ardour.org/";
- license = licenses.gpl2;
- platforms = platforms.linux;
- maintainers = with maintainers; [ goibhniu fps ];
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ardour/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ardour/default.nix
index 8ebf4bf0fc4..2bd6cf03cc0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ardour/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ardour/default.nix
@@ -56,13 +56,13 @@
}:
stdenv.mkDerivation rec {
pname = "ardour";
- version = "6.7";
+ version = "6.9";
# don't fetch releases from the GitHub mirror, they are broken
src = fetchgit {
url = "git://git.ardour.org/ardour/ardour.git";
rev = version;
- sha256 = "19jc29fjwgvqbg3gnmy50mrz8mh5x4nwddglasvwx83nc87qwllx";
+ sha256 = "0vlcbd70y0an881zv87kc3akmaiz4w7whsy3yaiiqqjww35jg1mm";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/audacious/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/audacious/default.nix
index db6e03f4741..a6c8221c9f7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/audacious/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/audacious/default.nix
@@ -6,7 +6,7 @@
libcddb, libcdio, libcdio-paranoia, libcue, libjack2, libmad, libmms, libmodplug,
libmowgli, libnotify, libogg, libpulseaudio, libsamplerate, libsidplayfp,
libsndfile, libvorbis, libxml2, lirc, mpg123, neon, qtmultimedia, soxr,
- wavpack, openmpt123
+ wavpack, libopenmpt
}:
mkDerivation rec {
@@ -33,7 +33,7 @@ mkDerivation rec {
libcdio libcdio-paranoia libcue libjack2 libmad libmms libmodplug libmowgli
libnotify libogg libpulseaudio libsamplerate libsidplayfp libsndfile
libvorbis libxml2 lirc mpg123 neon qtmultimedia soxr wavpack
- openmpt123
+ libopenmpt
];
# Here we build both audacious and audacious-plugins in one
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/audacity/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/audacity/default.nix
index 73a0cebd36b..566024881b4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/audacity/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/audacity/default.nix
@@ -80,7 +80,7 @@ stdenv.mkDerivation rec {
patches = [
(fetchpatch {
- url = "https://github.com/audacity/audacity/pull/831/commits/007852e51fcbb5f1f359d112f28b8984a604dac6.patch";
+ url = "https://github.com/audacity/audacity/commit/7f8135e112a0e1e8e906abab9339680d1e491441.patch";
sha256 = "0zp2iydd46analda9cfnbmzdkjphz5m7dynrdj5qdnmq6j3px9fw";
name = "audacity_xdg_paths.patch";
})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/bchoppr/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/bchoppr/default.nix
index ec09e62b094..623aa158452 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/bchoppr/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/bchoppr/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "bchoppr";
- version = "1.10.8";
+ version = "1.10.10";
src = fetchFromGitHub {
owner = "sjaehn";
repo = pname;
rev = version;
- sha256 = "sha256-F2J9TBONluhBuoEnpff3tLZEHFDbmllILqbZvu+PGGI=";
+ sha256 = "sha256-LNPG/ETRmgPv8LsYVHol4p5oRCvg+dSYVEe61i8Dvz8=";
};
nativeBuildInputs = [ pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/bespokesynth/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/bespokesynth/default.nix
new file mode 100644
index 00000000000..c3c33267f65
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/bespokesynth/default.nix
@@ -0,0 +1,113 @@
+{ lib, stdenv, fetchFromGitHub, pkg-config, fetchzip
+, libjack2, alsa-lib, freetype, libX11, libXrandr, libXinerama, libXext, libXcursor
+, libGL, python3, ncurses, libusb1
+, gtk3, webkitgtk, curl, xvfb-run, makeWrapper
+ # "Debug", or "Release"
+, buildType ? "Release"
+}:
+
+let
+ projucer = stdenv.mkDerivation rec {
+ pname = "projucer";
+ version = "5.4.7";
+
+ src = fetchFromGitHub {
+ owner = "juce-framework";
+ repo = "JUCE";
+ rev = version;
+ sha256= "0qpiqfwwpcghk7ij6w4vy9ywr3ryg7ppg77bmd7783kxg6zbhj8h";
+ };
+
+ nativeBuildInputs = [ pkg-config ];
+ buildInputs = [
+ freetype libX11 libXrandr libXinerama libXext gtk3 webkitgtk
+ libjack2 curl
+ ];
+ preBuild = ''
+ cd extras/Projucer/Builds/LinuxMakefile
+ '';
+ makeFlags = [ "CONFIG=${buildType}" ];
+ enableParallelBuilding = true;
+
+ installPhase = ''
+ mkdir -p $out/bin
+ cp -a build/Projucer $out/bin/Projucer
+ '';
+ };
+
+ # equal to vst-sdk in ../oxefmsynth/default.nix
+ vst-sdk = stdenv.mkDerivation rec {
+ name = "vstsdk3610_11_06_2018_build_37";
+ src = fetchzip {
+ url = "https://web.archive.org/web/20181016150224if_/https://download.steinberg.net/sdk_downloads/${name}.zip";
+ sha256 = "0da16iwac590wphz2sm5afrfj42jrsnkr1bxcy93lj7a369ildkj";
+ };
+ installPhase = ''
+ cp -r . $out
+ '';
+ };
+
+in
+stdenv.mkDerivation rec {
+ pname = "bespokesynth";
+ version = "1.0.0";
+
+ src = fetchFromGitHub {
+ owner = "awwbees";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "04b2m40jszphslkd4850jcb8qwls392lwy3lc6vlj01h4izvapqk";
+ };
+
+ configurePhase = ''
+ runHook preConfigure
+
+ export HOME=$(mktemp -d)
+ xvfb-run sh -e <|<)=[0-9\.]+\"/\"\1\"/g" setup.py
+ '';
+
preFixup = ''
makeWrapperArgs+=("''${qtWrapperArgs[@]}")
'';
+ postInstall = ''
+ substituteInPlace $out/share/applications/friture.desktop --replace usr/bin/friture friture
+
+ for size in 16 32 128 256 512
+ do
+ mkdir -p $out/share/icons/hicolor/$size\x$size
+ cp $src/resources/images/friture.iconset/icon_$size\x$size.png $out/share/icons/hicolor/$size\x$size/friture.png
+ done
+ mkdir -p $out/share/icons/hicolor/scalable/apps/
+ cp $src/resources/images-src/window-icon.svg $out/share/icons/hicolor/scalable/apps/friture.svg
+ '';
+
meta = with lib; {
description = "A real-time audio analyzer";
homepage = "https://friture.org/";
license = licenses.gpl3;
platforms = platforms.linux; # fails on Darwin
- maintainers = [ maintainers.laikq ];
+ maintainers = with maintainers; [ laikq alyaeanyx ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/friture/unlock_constraints.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/friture/unlock_constraints.patch
deleted file mode 100644
index 6ee474794b2..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/friture/unlock_constraints.patch
+++ /dev/null
@@ -1,34 +0,0 @@
-diff --git a/setup.py b/setup.py
-index 4092388..6cb7dac 100644
---- a/setup.py
-+++ b/setup.py
-@@ -50,19 +50,19 @@ ext_modules = [LateIncludeExtension("friture_extensions.exp_smoothing_conv",
- # these will be installed when calling 'pip install friture'
- # they are also retrieved by 'requirements.txt'
- install_requires = [
-- "sounddevice==0.4.2",
-- "rtmixer==0.1.3",
-- "PyOpenGL==3.1.5",
-- "PyOpenGL-accelerate==3.1.5",
-- "docutils==0.17.1",
-- "numpy==1.21.1",
-- "PyQt5==5.15.4",
-- "appdirs==1.4.4",
-- "pyrr==0.10.3",
-+ "sounddevice>=0.4.1",
-+ "rtmixer>=0.1.1",
-+ "PyOpenGL>=3.1.4",
-+ "PyOpenGL-accelerate>=3.1.5",
-+ "docutils>=0.17.1",
-+ "numpy>=1.20.3",
-+ "PyQt5>=5.15.4",
-+ "appdirs>=1.4.4",
-+ "pyrr>=0.10.3",
- ]
-
- # Cython and numpy are needed when running setup.py, to build extensions
--setup_requires=["numpy==1.21.1", "Cython==0.29.24"]
-+setup_requires=["numpy>=1.20.3", "Cython>=0.29.22"]
-
- with open(join(dirname(__file__), 'README.rst')) as f:
- long_description = f.read()
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/guitarix/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/guitarix/default.nix
index f90cae115b4..b6ce0daef0d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/guitarix/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/guitarix/default.nix
@@ -24,7 +24,7 @@
, lrdf
, lv2
, pkg-config
-, python2
+, python3
, sassc
, serd
, sord
@@ -63,7 +63,7 @@ stdenv.mkDerivation rec {
hicolor-icon-theme
intltool
pkg-config
- python2
+ python3
wafHook
wrapGAppsHook
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/helm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/helm/default.nix
index 86497e090f4..720fd2b11a1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/helm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/helm/default.nix
@@ -26,10 +26,13 @@
buildInputs = [
xorg.libX11 xorg.libXcomposite xorg.libXcursor xorg.libXext
xorg.libXinerama xorg.libXrender xorg.libXrandr
- freetype alsa-lib curl libjack2 pkg-config libGLU libGL lv2
+ freetype alsa-lib curl libjack2 libGLU libGL lv2
];
+ nativeBuildInputs = [ pkg-config ];
CXXFLAGS = "-DHAVE_LROUND";
+ enableParallelBuilding = true;
+ makeFlags = [ "DESTDIR=$(out)" ];
patches = [
# gcc9 compatibility https://github.com/mtytel/helm/pull/233
@@ -41,15 +44,7 @@
prePatch = ''
sed -i 's|usr/||g' Makefile
- '';
-
- buildPhase = ''
- make lv2
- make standalone
- '';
-
- installPhase = ''
- make DESTDIR="$out" install
+ sed -i "s|/usr/share/|$out/share/|" src/common/load_save.cpp
'';
meta = with lib; {
@@ -72,7 +67,7 @@
Simple arpeggiator
Effects: Formant filter, stutter, delay
'';
- license = lib.licenses.gpl3;
+ license = lib.licenses.gpl3Plus;
maintainers = [ maintainers.magnetophon ];
platforms = platforms.linux;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/hushboard/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/hushboard/default.nix
new file mode 100644
index 00000000000..e9d88d1f236
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/hushboard/default.nix
@@ -0,0 +1,73 @@
+{ lib
+, buildPythonApplication
+, fetchFromGitHub
+, gobject-introspection
+, gtk3
+, libappindicator
+, libpulseaudio
+, librsvg
+, pycairo
+, pygobject3
+, six
+, wrapGAppsHook
+, xlib
+}:
+
+buildPythonApplication {
+ pname = "hushboard";
+ version = "unstable-2021-03-17";
+
+ src = fetchFromGitHub {
+ owner = "stuartlangridge";
+ repo = "hushboard";
+ rev = "c16611c539be111891116a737b02c5fb359ad1fc";
+ sha256 = "06jav6j0bsxhawrq31cnls8zpf80fpwk0cak5s82js6wl4vw2582";
+ };
+
+ nativeBuildInputs = [
+ wrapGAppsHook
+ ];
+
+ buildInputs = [
+ gobject-introspection
+ gtk3
+ libappindicator
+ libpulseaudio
+ ];
+
+ propagatedBuildInputs = [
+ pycairo
+ pygobject3
+ six
+ xlib
+ ];
+
+ postPatch = ''
+ substituteInPlace hushboard/_pulsectl.py \
+ --replace "ctypes.util.find_library('libpulse') or 'libpulse.so.0'" "'${libpulseaudio}/lib/libpulse.so.0'"
+ substituteInPlace snap/gui/hushboard.desktop \
+ --replace "\''${SNAP}/hushboard/icons/hushboard.svg" "hushboard"
+ '';
+
+ postInstall = ''
+ # Fix tray icon, see e.g. https://github.com/NixOS/nixpkgs/pull/43421
+ wrapProgram $out/bin/hushboard \
+ --set GDK_PIXBUF_MODULE_FILE "${librsvg.out}/lib/gdk-pixbuf-2.0/2.10.0/loaders.cache"
+
+ mkdir -p $out/share/applications $out/share/icons/hicolor/{scalable,512x512}/apps
+ cp snap/gui/hushboard.desktop $out/share/applications
+ cp hushboard/icons/hushboard.svg $out/share/icons/hicolor/scalable/apps
+ cp hushboard-512.png $out/share/icons/hicolor/512x512/apps/hushboard.png
+ '';
+
+ # There are no tests
+ doCheck = false;
+
+ meta = with lib; {
+ homepage = "https://kryogenix.org/code/hushboard/";
+ license = licenses.mit;
+ description = "Mute your microphone while typing";
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ sersorrel ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/hydrogen/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/hydrogen/default.nix
index 319ee7a5f98..842d2ad93fa 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/hydrogen/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/hydrogen/default.nix
@@ -5,13 +5,13 @@
stdenv.mkDerivation rec {
pname = "hydrogen";
- version = "1.0.2";
+ version = "1.1.0";
src = fetchFromGitHub {
owner = "hydrogen-music";
repo = pname;
rev = version;
- sha256 = "sha256-t3f+T1QTNbuJnWmD+q0yPgQxXPXvl91lZN17pKUVFlo=";
+ sha256 = "sha256-G+7vTUxYiPNKJ0Qxf/E/t0d6vC/lDs9vNfSbvUXTQgI=";
};
nativeBuildInputs = [ cmake pkg-config wrapQtAppsHook ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/in-formant/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/in-formant/default.nix
new file mode 100644
index 00000000000..7cf0fb8c145
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/in-formant/default.nix
@@ -0,0 +1,45 @@
+{ stdenv, cmake, lib, fetchFromGitHub, qt5, fftw, libtorch-bin, portaudio, eigen
+, xorg, pkg-config, autoPatchelfHook, soxr
+}:
+
+stdenv.mkDerivation rec {
+ pname = "in-formant";
+ version = "2021-06-30";
+
+ # no Qt6 yet, so we're stuck in the last Qt5-supporting commit: https://github.com/NixOS/nixpkgs/issues/108008
+ src = fetchFromGitHub {
+ owner = "in-formant";
+ repo = "in-formant";
+ rev = "e28e628cf5ff0949a7b046d220cc884f6035f31a";
+ sha256 = "sha256-YvtV0wGUNmI/+GGxrIfTk/l8tqUsWgc/LAI17X+AWGI=";
+ fetchSubmodules = true;
+ };
+
+ nativeBuildInputs = [ cmake pkg-config qt5.wrapQtAppsHook autoPatchelfHook ];
+
+ buildInputs = [
+ qt5.qtbase
+ qt5.qtquickcontrols
+ qt5.qtquickcontrols2
+ qt5.qtcharts
+ fftw
+ libtorch-bin
+ portaudio
+ eigen
+ xorg.libxcb
+ soxr
+ ];
+
+ installPhase = ''
+ mkdir -p $out/bin
+ cp in-formant $out/bin
+ '';
+
+ meta = with lib; {
+ description = "A real-time pitch and formant tracking software";
+ homepage = "https://github.com/in-formant/in-formant";
+ license = licenses.asl20;
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ ckie ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/openmpt123/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/libopenmpt/default.nix
similarity index 78%
rename from infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/openmpt123/default.nix
rename to infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/libopenmpt/default.nix
index 3bfb1a5a4da..ef5c080133b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/openmpt123/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/libopenmpt/default.nix
@@ -1,13 +1,15 @@
{ config, lib, stdenv, fetchurl, zlib, pkg-config, mpg123, libogg, libvorbis, portaudio, libsndfile, flac
-, usePulseAudio ? config.pulseaudio or false, libpulseaudio }:
+, usePulseAudio ? config.pulseaudio or stdenv.isLinux, libpulseaudio }:
stdenv.mkDerivation rec {
- pname = "openmpt123";
- version = "0.5.10";
+ pname = "libopenmpt";
+ version = "0.5.11";
+
+ outputs = [ "out" "lib" "dev" ];
src = fetchurl {
url = "https://lib.openmpt.org/files/libopenmpt/src/libopenmpt-${version}+release.autotools.tar.gz";
- sha256 = "sha256-Waj6KNi432nLf6WXK9+TEIHatOHhFWxpoaU7ZcK+n/o=";
+ sha256 = "1c54lldr2imjzhlhq5lvwhj7d5794xm97cby9pznr5wdjjay0sa4";
};
enableParallelBuilding = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/librespot/cargo-lock.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/librespot/cargo-lock.patch
deleted file mode 100644
index 0c2af8f80c6..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/librespot/cargo-lock.patch
+++ /dev/null
@@ -1,3817 +0,0 @@
---- source/Cargo.lock 1970-01-01 01:00:01.000000000 +0100
-+++ ../nix-build-librespot-0.1.6.drv-0/source/Cargo.lock 2021-04-27 19:07:38.535244188 +0200
-@@ -4,2784 +4,2782 @@
- name = "aes"
- version = "0.3.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "54eb1d8fe354e5fc611daf4f2ea97dd45a765f4f1e4512306ec183ae2e8f20c9"
- dependencies = [
-- "aes-soft 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "aesni 0.6.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "block-cipher-trait 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "aes-soft",
-+ "aesni",
-+ "block-cipher-trait",
- ]
-
- [[package]]
- name = "aes-ctr"
- version = "0.3.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d2e5b0458ea3beae0d1d8c0f3946564f8e10f90646cf78c06b4351052058d1ee"
- dependencies = [
-- "aes-soft 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "aesni 0.6.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "ctr 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "stream-cipher 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "aes-soft",
-+ "aesni",
-+ "ctr",
-+ "stream-cipher",
- ]
-
- [[package]]
- name = "aes-soft"
- version = "0.3.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "cfd7e7ae3f9a1fb5c03b389fc6bb9a51400d0c13053f0dca698c832bfd893a0d"
- dependencies = [
-- "block-cipher-trait 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "opaque-debug 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "block-cipher-trait",
-+ "byteorder",
-+ "opaque-debug",
- ]
-
- [[package]]
- name = "aesni"
- version = "0.6.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "2f70a6b5f971e473091ab7cfb5ffac6cde81666c4556751d8d5620ead8abf100"
- dependencies = [
-- "block-cipher-trait 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "opaque-debug 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "stream-cipher 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "block-cipher-trait",
-+ "opaque-debug",
-+ "stream-cipher",
- ]
-
- [[package]]
- name = "alga"
- version = "0.9.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "4f823d037a7ec6ea2197046bafd4ae150e6bc36f9ca347404f46a46823fa84f2"
- dependencies = [
-- "approx 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-complex 0.2.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-traits 0.2.12 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "approx",
-+ "num-complex",
-+ "num-traits",
- ]
-
- [[package]]
- name = "alsa"
- version = "0.2.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "b4a0d4ebc8b23041c5de9bc9aee13b4bad844a589479701f31a5934cfe4aeb32"
- dependencies = [
-- "alsa-sys 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "bitflags 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "nix 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "alsa-sys",
-+ "bitflags 0.9.1",
-+ "libc",
-+ "nix",
- ]
-
- [[package]]
- name = "alsa-sys"
- version = "0.1.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "b0edcbbf9ef68f15ae1b620f722180b82a98b6f0628d30baa6b8d2a5abc87d58"
- dependencies = [
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pkg-config 0.3.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc",
-+ "pkg-config",
- ]
-
- [[package]]
- name = "approx"
- version = "0.3.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "f0e60b75072ecd4168020818c0107f2857bb6c4e64252d8d3983f6263b40a5c3"
- dependencies = [
-- "num-traits 0.2.12 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "num-traits",
- ]
-
- [[package]]
- name = "arc-swap"
- version = "0.4.7"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "4d25d88fd6b8041580a654f9d0c581a047baee2b3efee13275f2fc392fc75034"
-
- [[package]]
- name = "atty"
- version = "0.2.14"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d9b39be18770d11421cdb1b9947a45dd3f37e93092cbf377614828a319d5fee8"
- dependencies = [
-- "hermit-abi 0.1.15 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "hermit-abi",
-+ "libc",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "autocfg"
- version = "0.1.7"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "1d49d90015b3c36167a20fe2810c5cd875ad504b39cff3d4eae7977e6b7c1cb2"
-
- [[package]]
- name = "autocfg"
- version = "1.0.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "f8aac770f1885fd7e387acedd76065302551364496e46b3dd00860b2f8359b9d"
-
- [[package]]
- name = "base64"
- version = "0.9.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "489d6c0ed21b11d038c31b6ceccca973e65d73ba3bd8ecb9a2babf5546164643"
- dependencies = [
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "safemem 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "byteorder",
-+ "safemem",
- ]
-
- [[package]]
- name = "base64"
- version = "0.10.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "0b25d992356d2eb0ed82172f5248873db5560c4721f564b13cb5193bda5e668e"
- dependencies = [
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "byteorder",
- ]
-
- [[package]]
- name = "bindgen"
- version = "0.53.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "c72a978d268b1d70b0e963217e60fdabd9523a941457a6c42a7315d15c7e89e5"
- dependencies = [
-- "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "cexpr 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "clang-sys 0.29.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazycell 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "peeking_take_while 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "proc-macro2 1.0.19 (registry+https://github.com/rust-lang/crates.io-index)",
-- "quote 1.0.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "regex 1.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rustc-hash 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "shlex 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1",
-+ "cexpr",
-+ "cfg-if",
-+ "clang-sys",
-+ "lazy_static",
-+ "lazycell",
-+ "peeking_take_while",
-+ "proc-macro2 1.0.19",
-+ "quote 1.0.7",
-+ "regex",
-+ "rustc-hash",
-+ "shlex",
- ]
-
- [[package]]
- name = "bit-set"
- version = "0.5.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "6e11e16035ea35e4e5997b393eacbf6f63983188f7a2ad25bfb13465f5ad59de"
- dependencies = [
-- "bit-vec 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bit-vec",
- ]
-
- [[package]]
- name = "bit-vec"
- version = "0.6.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "5f0dc55f2d8a1a85650ac47858bb001b4c0dd73d79e3c455a842925e68d29cd3"
-
- [[package]]
- name = "bitflags"
- version = "0.7.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "aad18937a628ec6abcd26d1489012cc0e18c21798210f491af69ded9b881106d"
-
- [[package]]
- name = "bitflags"
- version = "0.9.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "4efd02e230a02e18f92fc2735f44597385ed02ad8f831e7c1c1156ee5e1ab3a5"
-
- [[package]]
- name = "bitflags"
- version = "1.2.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "cf1de2fe8c75bc145a2f577add951f8134889b4795d47466a54a5c846d691693"
-
- [[package]]
- name = "block-buffer"
- version = "0.7.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "c0940dc441f31689269e10ac70eb1002a3a1d3ad1390e030043662eb7fe4688b"
- dependencies = [
-- "block-padding 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "byte-tools 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "generic-array 0.12.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "block-padding",
-+ "byte-tools",
-+ "byteorder",
-+ "generic-array",
- ]
-
- [[package]]
- name = "block-cipher-trait"
- version = "0.6.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "1c924d49bd09e7c06003acda26cd9742e796e34282ec6c1189404dee0c1f4774"
- dependencies = [
-- "generic-array 0.12.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "generic-array",
- ]
-
- [[package]]
- name = "block-modes"
- version = "0.3.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "31aa8410095e39fdb732909fb5730a48d5bd7c2e3cd76bd1b07b3dbea130c529"
- dependencies = [
-- "block-cipher-trait 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "block-padding 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "block-cipher-trait",
-+ "block-padding",
- ]
-
- [[package]]
- name = "block-padding"
- version = "0.1.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "fa79dedbb091f449f1f39e53edf88d5dbe95f895dae6135a8d7b881fb5af73f5"
- dependencies = [
-- "byte-tools 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "byte-tools",
- ]
-
- [[package]]
- name = "byte-tools"
- version = "0.3.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "e3b5ca7a04898ad4bcd41c90c5285445ff5b791899bb1b0abdd2a2aa791211d7"
-
- [[package]]
- name = "byteorder"
- version = "1.3.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "08c48aae112d48ed9f069b33538ea9e3e90aa263cfa3d1c24309612b1f7472de"
-
- [[package]]
- name = "bytes"
- version = "0.4.12"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "206fdffcfa2df7cbe15601ef46c813fce0965eb3286db6b56c583b814b51c81c"
- dependencies = [
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "iovec 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "byteorder",
-+ "iovec",
- ]
-
- [[package]]
- name = "cc"
- version = "1.0.58"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "f9a06fb2e53271d7c279ec1efea6ab691c35a2ae67ec0d91d7acec0caf13b518"
-
- [[package]]
- name = "cexpr"
- version = "0.4.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "f4aedb84272dbe89af497cf81375129abda4fc0a9e7c5d317498c15cc30c0d27"
- dependencies = [
-- "nom 5.1.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "nom",
- ]
-
- [[package]]
- name = "cfg-if"
- version = "0.1.10"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "4785bdd1c96b2a846b2bd7cc02e86b6b3dbf14e7e53446c4f54c92a361040822"
-
- [[package]]
- name = "chrono"
- version = "0.4.13"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "c74d84029116787153e02106bf53e66828452a4b325cc8652b788b5967c0a0b6"
- dependencies = [
-- "num-integer 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-traits 0.2.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "time 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "num-integer",
-+ "num-traits",
-+ "time",
- ]
-
- [[package]]
- name = "clang-sys"
- version = "0.29.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "fe6837df1d5cba2397b835c8530f51723267e16abbf83892e9e5af4f0e5dd10a"
- dependencies = [
-- "glob 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libloading 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glob",
-+ "libc",
-+ "libloading 0.5.2",
- ]
-
- [[package]]
- name = "cloudabi"
- version = "0.0.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ddfc5b9aa5d4507acaf872de71051dfd0e309860e88966e1051e462a077aac4f"
- dependencies = [
-- "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1",
- ]
-
- [[package]]
- name = "core-foundation-sys"
- version = "0.5.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "716c271e8613ace48344f723b60b900a93150271e5be206212d052bbc0883efa"
- dependencies = [
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc",
- ]
-
- [[package]]
- name = "coreaudio-rs"
- version = "0.9.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "f229761965dad3e9b11081668a6ea00f1def7aa46062321b5ec245b834f6e491"
- dependencies = [
-- "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "coreaudio-sys 0.2.5 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1",
-+ "coreaudio-sys",
- ]
-
- [[package]]
- name = "coreaudio-sys"
- version = "0.2.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d6570ee6e089131e928d5ec9236db9e818aa3cf850f48b0eec6ef700571271d4"
- dependencies = [
-- "bindgen 0.53.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bindgen",
- ]
-
- [[package]]
- name = "cpal"
- version = "0.8.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d58ae1ed6536b1b233f5e3aeb6997a046ddb4d05e3f61701b58a92eb254a829e"
- dependencies = [
-- "alsa-sys 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "core-foundation-sys 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "coreaudio-rs 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "stdweb 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "alsa-sys",
-+ "core-foundation-sys",
-+ "coreaudio-rs",
-+ "lazy_static",
-+ "libc",
-+ "stdweb",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "crossbeam-deque"
- version = "0.7.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "9f02af974daeee82218205558e51ec8768b48cf524bd01d550abe5573a608285"
- dependencies = [
-- "crossbeam-epoch 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "crossbeam-utils 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "maybe-uninit 2.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "crossbeam-epoch",
-+ "crossbeam-utils 0.7.2",
-+ "maybe-uninit",
- ]
-
- [[package]]
- name = "crossbeam-epoch"
- version = "0.8.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "058ed274caafc1f60c4997b5fc07bf7dc7cca454af7c6e81edffe5f33f70dace"
- dependencies = [
-- "autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "crossbeam-utils 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "maybe-uninit 2.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "memoffset 0.5.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "scopeguard 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "autocfg 1.0.0",
-+ "cfg-if",
-+ "crossbeam-utils 0.7.2",
-+ "lazy_static",
-+ "maybe-uninit",
-+ "memoffset",
-+ "scopeguard",
- ]
-
- [[package]]
- name = "crossbeam-queue"
- version = "0.1.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "7c979cd6cfe72335896575c6b5688da489e420d36a27a0b9eb0c73db574b4a4b"
- dependencies = [
-- "crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "crossbeam-utils 0.6.6",
- ]
-
- [[package]]
- name = "crossbeam-queue"
- version = "0.2.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "774ba60a54c213d409d5353bda12d49cd68d14e45036a285234c8d6f91f92570"
- dependencies = [
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "crossbeam-utils 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "maybe-uninit 2.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if",
-+ "crossbeam-utils 0.7.2",
-+ "maybe-uninit",
- ]
-
- [[package]]
- name = "crossbeam-utils"
- version = "0.6.6"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "04973fa96e96579258a5091af6003abde64af786b860f18622b82e026cca60e6"
- dependencies = [
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if",
-+ "lazy_static",
- ]
-
- [[package]]
- name = "crossbeam-utils"
- version = "0.7.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "c3c7c73a2d1e9fc0886a08b93e98eb643461230d5f1925e4036204d5f2e261a8"
- dependencies = [
-- "autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "autocfg 1.0.0",
-+ "cfg-if",
-+ "lazy_static",
- ]
-
- [[package]]
- name = "crypto-mac"
- version = "0.7.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "4434400df11d95d556bac068ddfedd482915eb18fe8bea89bc80b6e4b1c179e5"
- dependencies = [
-- "generic-array 0.12.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "subtle 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "generic-array",
-+ "subtle",
- ]
-
- [[package]]
- name = "ctr"
- version = "0.3.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "022cd691704491df67d25d006fe8eca083098253c4d43516c2206479c58c6736"
- dependencies = [
-- "block-cipher-trait 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "stream-cipher 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "block-cipher-trait",
-+ "stream-cipher",
- ]
-
- [[package]]
- name = "digest"
- version = "0.8.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "f3d0c8c8752312f9713efd397ff63acb9f85585afbf179282e720e7704954dd5"
- dependencies = [
-- "generic-array 0.12.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "generic-array",
- ]
-
- [[package]]
- name = "dns-sd"
- version = "0.1.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d748509dea20228f63ba519bf142ce2593396386125b01f5b0d6412dab972087"
- dependencies = [
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pkg-config 0.3.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc",
-+ "pkg-config",
- ]
-
- [[package]]
- name = "env_logger"
- version = "0.6.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "aafcde04e90a5226a6443b7aabdb016ba2f8307c847d524724bd9b346dd1a2d3"
- dependencies = [
-- "atty 0.2.14 (registry+https://github.com/rust-lang/crates.io-index)",
-- "humantime 1.3.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "termcolor 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "atty",
-+ "humantime",
-+ "log 0.4.11",
-+ "termcolor",
- ]
-
- [[package]]
- name = "error-chain"
- version = "0.12.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d371106cc88ffdfb1eabd7111e432da544f16f3e2d7bf1dfe8bf575f1df045cd"
- dependencies = [
-- "version_check 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "version_check",
- ]
-
- [[package]]
- name = "fake-simd"
- version = "0.1.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "e88a8acf291dafb59c2d96e8f59828f3838bb1a70398823ade51a84de6a6deed"
-
- [[package]]
- name = "fnv"
- version = "1.0.7"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
-
- [[package]]
- name = "fuchsia-cprng"
- version = "0.1.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "a06f77d526c1a601b7c4cdd98f54b5eaabffc14d5f2f0296febdc7f357c6d3ba"
-
- [[package]]
- name = "fuchsia-zircon"
- version = "0.3.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "2e9763c69ebaae630ba35f74888db465e49e259ba1bc0eda7d06f4a067615d82"
- dependencies = [
-- "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "fuchsia-zircon-sys 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1",
-+ "fuchsia-zircon-sys",
- ]
-
- [[package]]
- name = "fuchsia-zircon-sys"
- version = "0.3.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "3dcaa9ae7725d12cdb85b3ad99a434db70b468c09ded17e012d86b5c1010f7a7"
-
- [[package]]
- name = "futures"
- version = "0.1.29"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "1b980f2816d6ee8673b6517b52cb0e808a180efc92e5c19d02cdda79066703ef"
-
- [[package]]
- name = "futures-channel"
- version = "0.3.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "f366ad74c28cca6ba456d95e6422883cfb4b252a83bed929c83abfdbbf2967d5"
- dependencies = [
-- "futures-core 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "futures-core",
- ]
-
- [[package]]
- name = "futures-core"
- version = "0.3.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "59f5fff90fd5d971f936ad674802482ba441b6f09ba5e15fd8b39145582ca399"
-
- [[package]]
- name = "futures-cpupool"
- version = "0.1.8"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ab90cde24b3319636588d0c35fe03b1333857621051837ed769faefb4c2162e4"
- dependencies = [
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num_cpus 1.13.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "futures",
-+ "num_cpus",
- ]
-
- [[package]]
- name = "futures-executor"
- version = "0.3.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "10d6bb888be1153d3abeb9006b11b02cf5e9b209fda28693c31ae1e4e012e314"
- dependencies = [
-- "futures-core 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-task 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-util 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "futures-core",
-+ "futures-task",
-+ "futures-util",
- ]
-
- [[package]]
- name = "futures-macro"
- version = "0.3.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d0b5a30a4328ab5473878237c447333c093297bded83a4983d10f4deea240d39"
- dependencies = [
-- "proc-macro-hack 0.5.16 (registry+https://github.com/rust-lang/crates.io-index)",
-- "proc-macro2 1.0.19 (registry+https://github.com/rust-lang/crates.io-index)",
-- "quote 1.0.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "syn 1.0.35 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "proc-macro-hack",
-+ "proc-macro2 1.0.19",
-+ "quote 1.0.7",
-+ "syn 1.0.35",
- ]
-
- [[package]]
- name = "futures-sink"
- version = "0.3.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "3f2032893cb734c7a05d85ce0cc8b8c4075278e93b24b66f9de99d6eb0fa8acc"
-
- [[package]]
- name = "futures-task"
- version = "0.3.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "bdb66b5f09e22019b1ab0830f7785bcea8e7a42148683f99214f73f8ec21a626"
- dependencies = [
-- "once_cell 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "once_cell",
- ]
-
- [[package]]
- name = "futures-util"
- version = "0.3.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "8764574ff08b701a084482c3c7031349104b07ac897393010494beaa18ce32c6"
- dependencies = [
-- "futures-core 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-macro 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-task 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pin-project 0.4.22 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pin-utils 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "proc-macro-hack 0.5.16 (registry+https://github.com/rust-lang/crates.io-index)",
-- "proc-macro-nested 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)",
-- "slab 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "futures-core",
-+ "futures-macro",
-+ "futures-task",
-+ "pin-project",
-+ "pin-utils",
-+ "proc-macro-hack",
-+ "proc-macro-nested",
-+ "slab 0.4.2",
- ]
-
- [[package]]
- name = "gcc"
- version = "0.3.55"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "8f5f3913fa0bfe7ee1fd8248b6b9f42a5af4b9d65ec2dd2c3c26132b950ecfc2"
-
- [[package]]
- name = "generic-array"
- version = "0.12.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "c68f0274ae0e023facc3c97b2e00f076be70e254bc851d972503b328db79b2ec"
- dependencies = [
-- "typenum 1.12.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "typenum",
- ]
-
- [[package]]
- name = "getopts"
- version = "0.2.21"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "14dbbfd5c71d70241ecf9e6f13737f7b5ce823821063188d7e46c41d371eebd5"
- dependencies = [
-- "unicode-width 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "unicode-width",
- ]
-
- [[package]]
- name = "getrandom"
- version = "0.1.14"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "7abc8dd8451921606d809ba32e95b6111925cd2906060d2dcc29c070220503eb"
- dependencies = [
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "wasi 0.9.0+wasi-snapshot-preview1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if",
-+ "libc",
-+ "wasi",
- ]
-
- [[package]]
- name = "glib"
- version = "0.9.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "40fb573a09841b6386ddf15fd4bc6655b4f5b106ca962f57ecaecde32a0061c0"
- dependencies = [
-- "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-channel 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-core 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-executor 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-task 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-util 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1",
-+ "futures-channel",
-+ "futures-core",
-+ "futures-executor",
-+ "futures-task",
-+ "futures-util",
-+ "glib-sys",
-+ "gobject-sys",
-+ "lazy_static",
-+ "libc",
- ]
-
- [[package]]
- name = "glib-sys"
- version = "0.9.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "95856f3802f446c05feffa5e24859fe6a183a7cb849c8449afc35c86b1e316e2"
- dependencies = [
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pkg-config 0.3.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc",
-+ "pkg-config",
- ]
-
- [[package]]
- name = "glob"
- version = "0.3.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "9b919933a397b79c37e33b77bb2aa3dc8eb6e165ad809e58ff75bc7db2e34574"
-
- [[package]]
- name = "gobject-sys"
- version = "0.9.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "31d1a804f62034eccf370006ccaef3708a71c31d561fee88564abe71177553d9"
- dependencies = [
-- "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pkg-config 0.3.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys",
-+ "libc",
-+ "pkg-config",
- ]
-
- [[package]]
- name = "gstreamer"
- version = "0.15.7"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ce8664a114cd6ec16bece783d5eee59496919915b1f6884400ba4a953274a163"
- dependencies = [
-- "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-channel 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-core 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-util 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "glib 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gstreamer-sys 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "muldiv 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-rational 0.2.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "paste 0.1.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1",
-+ "cfg-if",
-+ "futures-channel",
-+ "futures-core",
-+ "futures-util",
-+ "glib",
-+ "glib-sys",
-+ "gobject-sys",
-+ "gstreamer-sys",
-+ "lazy_static",
-+ "libc",
-+ "muldiv",
-+ "num-rational",
-+ "paste",
- ]
-
- [[package]]
- name = "gstreamer-app"
- version = "0.15.6"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "789784e8d42f5add1e1e965cf9f7e2d09e21dd0756bae6148f971db9a761d6a9"
- dependencies = [
-- "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-core 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-sink 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "glib 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gstreamer 0.15.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gstreamer-app-sys 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gstreamer-base 0.15.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gstreamer-sys 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1",
-+ "futures-core",
-+ "futures-sink",
-+ "glib",
-+ "glib-sys",
-+ "gobject-sys",
-+ "gstreamer",
-+ "gstreamer-app-sys",
-+ "gstreamer-base",
-+ "gstreamer-sys",
-+ "lazy_static",
-+ "libc",
- ]
-
- [[package]]
- name = "gstreamer-app-sys"
- version = "0.8.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "bf869ce152c23bca5d761ab62146b47f750d0b28d4d499731857532897d48167"
- dependencies = [
-- "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gstreamer-base-sys 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gstreamer-sys 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pkg-config 0.3.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys",
-+ "gstreamer-base-sys",
-+ "gstreamer-sys",
-+ "libc",
-+ "pkg-config",
- ]
-
- [[package]]
- name = "gstreamer-base"
- version = "0.15.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "42552f75cc6c260b0be180d5c955f4cd74bd170289c622404c25f1210b521c12"
- dependencies = [
-- "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "glib 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gstreamer 0.15.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gstreamer-base-sys 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gstreamer-sys 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1",
-+ "glib",
-+ "glib-sys",
-+ "gobject-sys",
-+ "gstreamer",
-+ "gstreamer-base-sys",
-+ "gstreamer-sys",
-+ "libc",
- ]
-
- [[package]]
- name = "gstreamer-base-sys"
- version = "0.8.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ba384f52174b3c586593fca32642680a9e67961fea9f4cd8419f678965023bed"
- dependencies = [
-- "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gstreamer-sys 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pkg-config 0.3.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys",
-+ "gobject-sys",
-+ "gstreamer-sys",
-+ "libc",
-+ "pkg-config",
- ]
-
- [[package]]
- name = "gstreamer-sys"
- version = "0.8.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "1d18da01b97d0ab5896acd5151e4c155acefd0e6c03c3dd24dd133ba054053db"
- dependencies = [
-- "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pkg-config 0.3.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys",
-+ "gobject-sys",
-+ "libc",
-+ "pkg-config",
- ]
-
- [[package]]
- name = "hermit-abi"
- version = "0.1.15"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "3deed196b6e7f9e44a2ae8d94225d80302d81208b1bb673fd21fe634645c85a9"
- dependencies = [
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc",
- ]
-
- [[package]]
- name = "hex"
- version = "0.3.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "805026a5d0141ffc30abb3be3173848ad46a1b1664fe632428479619a3644d77"
-
- [[package]]
- name = "hmac"
- version = "0.7.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "5dcb5e64cda4c23119ab41ba960d1e170a774c8e4b9d9e6a9bc18aabf5e59695"
- dependencies = [
-- "crypto-mac 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "digest 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "crypto-mac",
-+ "digest",
- ]
-
- [[package]]
- name = "hostname"
- version = "0.3.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "3c731c3e10504cc8ed35cfe2f1db4c9274c3d35fa486e3b31df46f068ef3e867"
- dependencies = [
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "match_cfg 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc",
-+ "match_cfg",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "httparse"
- version = "1.3.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "cd179ae861f0c2e53da70d892f5f3029f9594be0c41dc5269cd371691b1dc2f9"
-
- [[package]]
- name = "humantime"
- version = "1.3.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "df004cfca50ef23c36850aaaa59ad52cc70d0e90243c3c7737a4dd32dc7a3c4f"
- dependencies = [
-- "quick-error 1.2.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "quick-error",
- ]
-
- [[package]]
- name = "hyper"
- version = "0.11.27"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "34a590ca09d341e94cddf8e5af0bbccde205d5fbc2fa3c09dd67c7f85cea59d7"
- dependencies = [
-- "base64 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "bytes 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures-cpupool 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)",
-- "httparse 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "iovec 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "language-tags 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mime 0.3.16 (registry+https://github.com/rust-lang/crates.io-index)",
-- "net2 0.2.34 (registry+https://github.com/rust-lang/crates.io-index)",
-- "percent-encoding 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "relay 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "time 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-core 0.1.17 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-proto 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-service 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "unicase 2.6.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "want 0.0.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "base64 0.9.3",
-+ "bytes",
-+ "futures",
-+ "futures-cpupool",
-+ "httparse",
-+ "iovec",
-+ "language-tags",
-+ "log 0.4.11",
-+ "mime",
-+ "net2",
-+ "percent-encoding",
-+ "relay",
-+ "time",
-+ "tokio-core",
-+ "tokio-io",
-+ "tokio-proto",
-+ "tokio-service",
-+ "unicase",
-+ "want",
- ]
-
- [[package]]
- name = "hyper-proxy"
- version = "0.4.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "44f0925de2747e481e6e477dd212c25e8f745567f02f6182e04d27b97c3fbece"
- dependencies = [
-- "bytes 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "hyper 0.11.27 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-core 0.1.17 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bytes",
-+ "futures",
-+ "hyper",
-+ "tokio-core",
-+ "tokio-io",
- ]
-
- [[package]]
- name = "idna"
- version = "0.1.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "38f09e0f0b1fb55fdee1f17470ad800da77af5186a1a76c026b679358b7e844e"
- dependencies = [
-- "matches 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)",
-- "unicode-bidi 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "unicode-normalization 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "matches",
-+ "unicode-bidi",
-+ "unicode-normalization",
- ]
-
- [[package]]
- name = "if-addrs"
- version = "0.6.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "28538916eb3f3976311f5dfbe67b5362d0add1293d0a9cad17debf86f8e3aa48"
- dependencies = [
-- "if-addrs-sys 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "if-addrs-sys",
-+ "libc",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "if-addrs-sys"
- version = "0.3.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "de74b9dd780476e837e5eb5ab7c88b49ed304126e412030a0adba99c8efe79ea"
- dependencies = [
-- "cc 1.0.58 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cc",
-+ "libc",
- ]
-
- [[package]]
- name = "iovec"
- version = "0.1.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "b2b3ea6ff95e175473f8ffe6a7eb7c00d054240321b84c57051175fe3c1e075e"
- dependencies = [
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc",
- ]
-
- [[package]]
- name = "itoa"
- version = "0.4.6"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "dc6f3ad7b9d11a0c00842ff8de1b60ee58661048eb8049ed33c73594f359d7e6"
-
- [[package]]
- name = "jack"
- version = "0.5.7"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "1e15fc592e2e5a74a105ff507083c04db1aa20ba1b90d425362ba000e57422df"
- dependencies = [
-- "bitflags 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "jack-sys 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 0.7.0",
-+ "jack-sys",
-+ "lazy_static",
-+ "libc",
- ]
-
- [[package]]
- name = "jack-sys"
- version = "0.2.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "c0d4ca501477fd3cd93a36df581046e5d6338ed826cf7e9b8d302603521e6cc3"
- dependencies = [
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libloading 0.4.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lazy_static",
-+ "libc",
-+ "libloading 0.4.3",
- ]
-
- [[package]]
- name = "kernel32-sys"
- version = "0.2.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "7507624b29483431c0ba2d82aece8ca6cdba9382bff4ddd0f7490560c056098d"
- dependencies = [
-- "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi-build 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.2.8",
-+ "winapi-build",
- ]
-
- [[package]]
- name = "language-tags"
- version = "0.2.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "a91d884b6667cd606bb5a69aa0c99ba811a115fc68915e7056ec08a46e93199a"
-
- [[package]]
- name = "lazy_static"
- version = "1.4.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
-
- [[package]]
- name = "lazycell"
- version = "1.2.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "b294d6fa9ee409a054354afc4352b0b9ef7ca222c69b8812cbea9e7d2bf3783f"
-
- [[package]]
- name = "lewton"
- version = "0.9.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "8d542c1a317036c45c2aa1cf10cc9d403ca91eb2d333ef1a4917e5cb10628bd0"
- dependencies = [
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "ogg 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "smallvec 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "byteorder",
-+ "ogg",
-+ "smallvec 0.6.13",
- ]
-
- [[package]]
- name = "libc"
- version = "0.2.73"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "bd7d4bd64732af4bf3a67f367c27df8520ad7e230c5817b8ff485864d80242b9"
-
- [[package]]
- name = "libloading"
- version = "0.4.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "fd38073de8f7965d0c17d30546d4bb6da311ab428d1c7a3fc71dff7f9d4979b9"
- dependencies = [
-- "kernel32-sys 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "kernel32-sys",
-+ "lazy_static",
-+ "winapi 0.2.8",
- ]
-
- [[package]]
- name = "libloading"
- version = "0.5.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "f2b111a074963af1d37a139918ac6d49ad1d0d5e47f72fd55388619691a7d753"
- dependencies = [
-- "cc 1.0.58 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cc",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "libm"
- version = "0.2.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "c7d73b3f436185384286bd8098d17ec07c9a7d2388a6599f824d8502b529702a"
-
- [[package]]
- name = "libmdns"
- version = "0.2.7"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "5d8582c174736c53633bc482ac709b24527c018356c3dc6d8e25a788b06b394e"
- dependencies = [
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "hostname 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "if-addrs 0.6.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "multimap 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "net2 0.2.34 (registry+https://github.com/rust-lang/crates.io-index)",
-- "quick-error 1.2.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-core 0.1.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "byteorder",
-+ "futures",
-+ "hostname",
-+ "if-addrs",
-+ "log 0.4.11",
-+ "multimap",
-+ "net2",
-+ "quick-error",
-+ "rand 0.7.3",
-+ "tokio-core",
- ]
-
- [[package]]
- name = "libpulse-sys"
- version = "0.0.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "9bb11b06faf883500c1b625cf4453e6c7737e9df9c7ba01df3f84b22b083e4ac"
- dependencies = [
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc",
- ]
-
- [[package]]
- name = "librespot"
--version = "0.1.5"
-+version = "0.1.6"
- dependencies = [
-- "base64 0.10.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "env_logger 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "getopts 0.2.21 (registry+https://github.com/rust-lang/crates.io-index)",
-- "hex 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "hyper 0.11.27 (registry+https://github.com/rust-lang/crates.io-index)",
-- "librespot-audio 0.1.5",
-- "librespot-connect 0.1.5",
-- "librespot-core 0.1.5",
-- "librespot-metadata 0.1.5",
-- "librespot-playback 0.1.5",
-- "librespot-protocol 0.1.5",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-bigint 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)",
-- "protobuf 2.14.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rpassword 3.0.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "sha-1 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-core 0.1.17 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-process 0.2.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-signal 0.2.9 (registry+https://github.com/rust-lang/crates.io-index)",
-- "url 1.7.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "base64 0.10.1",
-+ "env_logger",
-+ "futures",
-+ "getopts",
-+ "hex",
-+ "hyper",
-+ "librespot-audio",
-+ "librespot-connect",
-+ "librespot-core",
-+ "librespot-metadata",
-+ "librespot-playback",
-+ "librespot-protocol",
-+ "log 0.4.11",
-+ "num-bigint",
-+ "protobuf",
-+ "rand 0.7.3",
-+ "rpassword",
-+ "sha-1",
-+ "tokio-core",
-+ "tokio-io",
-+ "tokio-process",
-+ "tokio-signal",
-+ "url",
- ]
-
- [[package]]
- name = "librespot-audio"
--version = "0.1.5"
-+version = "0.1.6"
- dependencies = [
-- "aes-ctr 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "bit-set 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "bytes 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lewton 0.9.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "librespot-core 0.1.5",
-- "librespot-tremor 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-bigint 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-traits 0.2.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tempfile 3.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "vorbis 0.0.14 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "aes-ctr",
-+ "bit-set",
-+ "byteorder",
-+ "bytes",
-+ "futures",
-+ "lewton",
-+ "librespot-core",
-+ "librespot-tremor",
-+ "log 0.4.11",
-+ "num-bigint",
-+ "num-traits",
-+ "tempfile",
-+ "vorbis",
- ]
-
- [[package]]
- name = "librespot-connect"
--version = "0.1.5"
-+version = "0.1.6"
- dependencies = [
-- "aes-ctr 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "base64 0.10.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "block-modes 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "dns-sd 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "hmac 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "hyper 0.11.27 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libmdns 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "librespot-core 0.1.5",
-- "librespot-playback 0.1.5",
-- "librespot-protocol 0.1.5",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-bigint 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)",
-- "protobuf 2.14.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "serde 1.0.114 (registry+https://github.com/rust-lang/crates.io-index)",
-- "serde_derive 1.0.114 (registry+https://github.com/rust-lang/crates.io-index)",
-- "serde_json 1.0.56 (registry+https://github.com/rust-lang/crates.io-index)",
-- "sha-1 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-core 0.1.17 (registry+https://github.com/rust-lang/crates.io-index)",
-- "url 1.7.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "aes-ctr",
-+ "base64 0.10.1",
-+ "block-modes",
-+ "dns-sd",
-+ "futures",
-+ "hmac",
-+ "hyper",
-+ "libmdns",
-+ "librespot-core",
-+ "librespot-playback",
-+ "librespot-protocol",
-+ "log 0.4.11",
-+ "num-bigint",
-+ "protobuf",
-+ "rand 0.7.3",
-+ "serde",
-+ "serde_derive",
-+ "serde_json",
-+ "sha-1",
-+ "tokio-core",
-+ "url",
- ]
-
- [[package]]
- name = "librespot-core"
--version = "0.1.5"
-+version = "0.1.6"
- dependencies = [
-- "aes 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "base64 0.10.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "bytes 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "error-chain 0.12.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "hmac 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "httparse 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "hyper 0.11.27 (registry+https://github.com/rust-lang/crates.io-index)",
-- "hyper-proxy 0.4.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "librespot-protocol 0.1.5",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-bigint 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-integer 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-traits 0.2.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pbkdf2 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "protobuf 2.14.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "serde 1.0.114 (registry+https://github.com/rust-lang/crates.io-index)",
-- "serde_derive 1.0.114 (registry+https://github.com/rust-lang/crates.io-index)",
-- "serde_json 1.0.56 (registry+https://github.com/rust-lang/crates.io-index)",
-- "sha-1 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "shannon 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-codec 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-core 0.1.17 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "url 1.7.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "uuid 0.7.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "vergen 3.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "aes",
-+ "base64 0.10.1",
-+ "byteorder",
-+ "bytes",
-+ "error-chain",
-+ "futures",
-+ "hmac",
-+ "httparse",
-+ "hyper",
-+ "hyper-proxy",
-+ "lazy_static",
-+ "librespot-protocol",
-+ "log 0.4.11",
-+ "num-bigint",
-+ "num-integer",
-+ "num-traits",
-+ "pbkdf2",
-+ "protobuf",
-+ "rand 0.7.3",
-+ "serde",
-+ "serde_derive",
-+ "serde_json",
-+ "sha-1",
-+ "shannon",
-+ "tokio-codec",
-+ "tokio-core",
-+ "tokio-io",
-+ "url",
-+ "uuid",
-+ "vergen",
- ]
-
- [[package]]
- name = "librespot-metadata"
--version = "0.1.5"
-+version = "0.1.6"
- dependencies = [
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "librespot-core 0.1.5",
-- "librespot-protocol 0.1.5",
-- "linear-map 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "protobuf 2.14.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "byteorder",
-+ "futures",
-+ "librespot-core",
-+ "librespot-protocol",
-+ "linear-map",
-+ "log 0.4.11",
-+ "protobuf",
- ]
-
- [[package]]
- name = "librespot-playback"
--version = "0.1.5"
-+version = "0.1.6"
- dependencies = [
-- "alsa 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "cpal 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "glib 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gstreamer 0.15.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "gstreamer-app 0.15.6 (registry+https://github.com/rust-lang/crates.io-index)",
-- "jack 0.5.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libpulse-sys 0.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "librespot-audio 0.1.5",
-- "librespot-core 0.1.5",
-- "librespot-metadata 0.1.5",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "portaudio-rs 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rodio 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "sdl2 0.32.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "shell-words 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "zerocopy 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "alsa",
-+ "byteorder",
-+ "cpal",
-+ "futures",
-+ "glib",
-+ "gstreamer",
-+ "gstreamer-app",
-+ "jack",
-+ "libc",
-+ "libpulse-sys",
-+ "librespot-audio",
-+ "librespot-core",
-+ "librespot-metadata",
-+ "log 0.4.11",
-+ "portaudio-rs",
-+ "rodio",
-+ "sdl2",
-+ "shell-words",
-+ "zerocopy",
- ]
-
- [[package]]
- name = "librespot-protocol"
--version = "0.1.5"
-+version = "0.1.6"
- dependencies = [
-- "glob 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "protobuf 2.14.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "protobuf-codegen 2.14.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "protobuf-codegen-pure 2.14.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glob",
-+ "protobuf",
-+ "protobuf-codegen",
-+ "protobuf-codegen-pure",
- ]
-
- [[package]]
- name = "librespot-tremor"
- version = "0.2.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "97f525bff915d478a76940a7b988e5ea34911ba7280c97bd3a7673f54d68b4fe"
- dependencies = [
-- "cc 1.0.58 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "ogg-sys 0.0.9 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pkg-config 0.3.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cc",
-+ "libc",
-+ "ogg-sys",
-+ "pkg-config",
- ]
-
- [[package]]
- name = "linear-map"
- version = "1.2.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "bfae20f6b19ad527b550c223fddc3077a547fc70cda94b9b566575423fd303ee"
-
- [[package]]
- name = "lock_api"
- version = "0.3.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "c4da24a77a3d8a6d4862d95f72e6fdb9c09a643ecdb402d754004a557f2bec75"
- dependencies = [
-- "scopeguard 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "scopeguard",
- ]
-
- [[package]]
- name = "log"
- version = "0.3.9"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "e19e8d5c34a3e0e2223db8e060f9e8264aeeb5c5fc64a4ee9965c062211c024b"
- dependencies = [
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "log 0.4.11",
- ]
-
- [[package]]
- name = "log"
- version = "0.4.11"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "4fabed175da42fed1fa0746b0ea71f412aa9d35e76e95e59b192c64b9dc2bf8b"
- dependencies = [
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if",
- ]
-
- [[package]]
- name = "match_cfg"
- version = "0.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ffbee8634e0d45d258acb448e7eaab3fce7a0a467395d4d9f228e3c1f01fb2e4"
-
- [[package]]
- name = "matches"
- version = "0.1.8"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "7ffc5c5338469d4d3ea17d269fa8ea3512ad247247c30bd2df69e68309ed0a08"
-
- [[package]]
- name = "matrixmultiply"
- version = "0.2.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d4f7ec66360130972f34830bfad9ef05c6610a43938a467bcc9ab9369ab3478f"
- dependencies = [
-- "rawpointer 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rawpointer",
- ]
-
- [[package]]
- name = "maybe-uninit"
- version = "2.0.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "60302e4db3a61da70c0cb7991976248362f30319e88850c487b9b95bbf059e00"
-
- [[package]]
- name = "memchr"
- version = "2.3.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "3728d817d99e5ac407411fa471ff9800a778d88a24685968b36824eaf4bee400"
-
- [[package]]
- name = "memoffset"
- version = "0.5.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "c198b026e1bbf08a937e94c6c60f9ec4a2267f5b0d2eec9c1b21b061ce2be55f"
- dependencies = [
-- "autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "autocfg 1.0.0",
- ]
-
- [[package]]
- name = "mime"
- version = "0.3.16"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "2a60c7ce501c71e03a9c9c0d35b861413ae925bd979cc7a4e30d060069aaac8d"
-
- [[package]]
- name = "mio"
- version = "0.6.22"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "fce347092656428bc8eaf6201042cb551b8d67855af7374542a92a0fbfcac430"
- dependencies = [
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "fuchsia-zircon 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "fuchsia-zircon-sys 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "iovec 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "kernel32-sys 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "miow 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "net2 0.2.34 (registry+https://github.com/rust-lang/crates.io-index)",
-- "slab 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if",
-+ "fuchsia-zircon",
-+ "fuchsia-zircon-sys",
-+ "iovec",
-+ "kernel32-sys",
-+ "libc",
-+ "log 0.4.11",
-+ "miow 0.2.1",
-+ "net2",
-+ "slab 0.4.2",
-+ "winapi 0.2.8",
- ]
-
- [[package]]
- name = "mio-named-pipes"
- version = "0.1.7"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "0840c1c50fd55e521b247f949c241c9997709f23bd7f023b9762cd561e935656"
- dependencies = [
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mio 0.6.22 (registry+https://github.com/rust-lang/crates.io-index)",
-- "miow 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "log 0.4.11",
-+ "mio",
-+ "miow 0.3.5",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "mio-uds"
- version = "0.6.8"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "afcb699eb26d4332647cc848492bbc15eafb26f08d0304550d5aa1f612e066f0"
- dependencies = [
-- "iovec 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mio 0.6.22 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "iovec",
-+ "libc",
-+ "mio",
- ]
-
- [[package]]
- name = "miow"
- version = "0.2.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "8c1f2f3b1cf331de6896aabf6e9d55dca90356cc9960cca7eaaf408a355ae919"
- dependencies = [
-- "kernel32-sys 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "net2 0.2.34 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-- "ws2_32-sys 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "kernel32-sys",
-+ "net2",
-+ "winapi 0.2.8",
-+ "ws2_32-sys",
- ]
-
- [[package]]
- name = "miow"
- version = "0.3.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "07b88fb9795d4d36d62a012dfbf49a8f5cf12751f36d31a9dbe66d528e58979e"
- dependencies = [
-- "socket2 0.3.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "socket2",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "muldiv"
- version = "0.2.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "0419348c027fa7be448d2ae7ea0e4e04c2334c31dc4e74ab29f00a2a7ca69204"
-
- [[package]]
- name = "multimap"
- version = "0.8.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d8883adfde9756c1d30b0f519c9b8c502a94b41ac62f696453c37c7fc0a958ce"
- dependencies = [
-- "serde 1.0.114 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "serde",
- ]
-
- [[package]]
- name = "nalgebra"
- version = "0.18.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "aaa9fddbc34c8c35dd2108515587b8ce0cab396f17977b8c738568e4edb521a2"
- dependencies = [
-- "alga 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "approx 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "generic-array 0.12.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "matrixmultiply 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-complex 0.2.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-rational 0.2.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-traits 0.2.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand 0.6.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "typenum 1.12.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "alga",
-+ "approx",
-+ "generic-array",
-+ "matrixmultiply",
-+ "num-complex",
-+ "num-rational",
-+ "num-traits",
-+ "rand 0.6.5",
-+ "typenum",
- ]
-
- [[package]]
- name = "net2"
- version = "0.2.34"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "2ba7c918ac76704fb42afcbbb43891e72731f3dcca3bef2a19786297baf14af7"
- dependencies = [
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if",
-+ "libc",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "nix"
- version = "0.9.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "a2c5afeb0198ec7be8569d666644b574345aad2e95a53baf3a532da3e0f3fb32"
- dependencies = [
-- "bitflags 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "void 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 0.9.1",
-+ "cfg-if",
-+ "libc",
-+ "void",
- ]
-
- [[package]]
- name = "nom"
- version = "5.1.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ffb4262d26ed83a1c0a33a38fe2bb15797329c85770da05e6b828ddb782627af"
- dependencies = [
-- "memchr 2.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "version_check 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "memchr",
-+ "version_check",
- ]
-
- [[package]]
- name = "num"
- version = "0.1.42"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "4703ad64153382334aa8db57c637364c322d3372e097840c72000dabdcf6156e"
- dependencies = [
-- "num-integer 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-iter 0.1.41 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-traits 0.2.12 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "num-integer",
-+ "num-iter",
-+ "num-traits",
- ]
-
- [[package]]
- name = "num-bigint"
- version = "0.2.6"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "090c7f9998ee0ff65aa5b723e4009f7b217707f1fb5ea551329cc4d6231fb304"
- dependencies = [
-- "autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-integer 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-traits 0.2.12 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "autocfg 1.0.0",
-+ "num-integer",
-+ "num-traits",
- ]
-
- [[package]]
- name = "num-complex"
- version = "0.2.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "b6b19411a9719e753aff12e5187b74d60d3dc449ec3f4dc21e3989c3f554bc95"
- dependencies = [
-- "autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-traits 0.2.12 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "autocfg 1.0.0",
-+ "num-traits",
- ]
-
- [[package]]
- name = "num-integer"
- version = "0.1.43"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "8d59457e662d541ba17869cf51cf177c0b5f0cbf476c66bdc90bf1edac4f875b"
- dependencies = [
-- "autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-traits 0.2.12 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "autocfg 1.0.0",
-+ "num-traits",
- ]
-
- [[package]]
- name = "num-iter"
- version = "0.1.41"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "7a6e6b7c748f995c4c29c5f5ae0248536e04a5739927c74ec0fa564805094b9f"
- dependencies = [
-- "autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-integer 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-traits 0.2.12 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "autocfg 1.0.0",
-+ "num-integer",
-+ "num-traits",
- ]
-
- [[package]]
- name = "num-rational"
- version = "0.2.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "5c000134b5dbf44adc5cb772486d335293351644b801551abe8f75c84cfa4aef"
- dependencies = [
-- "autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-integer 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num-traits 0.2.12 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "autocfg 1.0.0",
-+ "num-integer",
-+ "num-traits",
- ]
-
- [[package]]
- name = "num-traits"
- version = "0.2.12"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ac267bcc07f48ee5f8935ab0d24f316fb722d7a1292e2913f0cc196b29ffd611"
- dependencies = [
-- "autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libm 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "autocfg 1.0.0",
-+ "libm",
- ]
-
- [[package]]
- name = "num_cpus"
- version = "1.13.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "05499f3756671c15885fee9034446956fff3f243d6077b91e5767df161f766b3"
- dependencies = [
-- "hermit-abi 0.1.15 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "hermit-abi",
-+ "libc",
- ]
-
- [[package]]
- name = "ogg"
- version = "0.7.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d79f1db9148be9d0e174bb3ac890f6030fcb1ed947267c5a91ee4c91b5a91e15"
- dependencies = [
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "byteorder",
- ]
-
- [[package]]
- name = "ogg-sys"
- version = "0.0.9"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "a95b8c172e17df1a41bf8d666301d3b2c4efeb90d9d0415e2a4dc0668b35fdb2"
- dependencies = [
-- "gcc 0.3.55 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pkg-config 0.3.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gcc",
-+ "libc",
-+ "pkg-config",
- ]
-
- [[package]]
- name = "once_cell"
- version = "1.4.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "0b631f7e854af39a1739f401cf34a8a013dfe09eac4fa4dba91e9768bd28168d"
-
- [[package]]
- name = "opaque-debug"
- version = "0.2.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "2839e79665f131bdb5782e51f2c6c9599c133c6098982a54c794358bf432529c"
-
- [[package]]
- name = "parking_lot"
- version = "0.9.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "f842b1982eb6c2fe34036a4fbfb06dd185a3f5c8edfaacdf7d1ea10b07de6252"
- dependencies = [
-- "lock_api 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "parking_lot_core 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rustc_version 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lock_api",
-+ "parking_lot_core",
-+ "rustc_version",
- ]
-
- [[package]]
- name = "parking_lot_core"
- version = "0.6.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "b876b1b9e7ac6e1a74a6da34d25c42e17e8862aa409cbbbdcfc8d86c6f3bc62b"
- dependencies = [
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "redox_syscall 0.1.57 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rustc_version 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "smallvec 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if",
-+ "cloudabi",
-+ "libc",
-+ "redox_syscall",
-+ "rustc_version",
-+ "smallvec 0.6.13",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "paste"
- version = "0.1.18"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "45ca20c77d80be666aef2b45486da86238fabe33e38306bd3118fe4af33fa880"
- dependencies = [
-- "paste-impl 0.1.18 (registry+https://github.com/rust-lang/crates.io-index)",
-- "proc-macro-hack 0.5.16 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "paste-impl",
-+ "proc-macro-hack",
- ]
-
- [[package]]
- name = "paste-impl"
- version = "0.1.18"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d95a7db200b97ef370c8e6de0088252f7e0dfff7d047a28528e47456c0fc98b6"
- dependencies = [
-- "proc-macro-hack 0.5.16 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "proc-macro-hack",
- ]
-
- [[package]]
- name = "pbkdf2"
- version = "0.3.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "006c038a43a45995a9670da19e67600114740e8511d4333bf97a56e66a7542d9"
- dependencies = [
-- "base64 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "crypto-mac 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "hmac 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand 0.5.6 (registry+https://github.com/rust-lang/crates.io-index)",
-- "sha2 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "subtle 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "base64 0.9.3",
-+ "byteorder",
-+ "crypto-mac",
-+ "hmac",
-+ "rand 0.5.6",
-+ "sha2",
-+ "subtle",
- ]
-
- [[package]]
- name = "peeking_take_while"
- version = "0.1.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "19b17cddbe7ec3f8bc800887bab5e717348c95ea2ca0b1bf0837fb964dc67099"
-
- [[package]]
- name = "percent-encoding"
- version = "1.0.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "31010dd2e1ac33d5b46a5b413495239882813e0369f8ed8a5e266f173602f831"
-
- [[package]]
- name = "pin-project"
- version = "0.4.22"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "12e3a6cdbfe94a5e4572812a0201f8c0ed98c1c452c7b8563ce2276988ef9c17"
- dependencies = [
-- "pin-project-internal 0.4.22 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pin-project-internal",
- ]
-
- [[package]]
- name = "pin-project-internal"
- version = "0.4.22"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "6a0ffd45cf79d88737d7cc85bfd5d2894bee1139b356e616fe85dc389c61aaf7"
- dependencies = [
-- "proc-macro2 1.0.19 (registry+https://github.com/rust-lang/crates.io-index)",
-- "quote 1.0.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "syn 1.0.35 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "proc-macro2 1.0.19",
-+ "quote 1.0.7",
-+ "syn 1.0.35",
- ]
-
- [[package]]
- name = "pin-utils"
- version = "0.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
-
- [[package]]
- name = "pkg-config"
- version = "0.3.18"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d36492546b6af1463394d46f0c834346f31548646f6ba10849802c9c9a27ac33"
-
- [[package]]
- name = "portaudio-rs"
- version = "0.3.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "cdb6b5eff96ccc9bf44d34c379ab03ae944426d83d1694345bdf8159d561d562"
- dependencies = [
-- "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "portaudio-sys 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1",
-+ "libc",
-+ "portaudio-sys",
- ]
-
- [[package]]
- name = "portaudio-sys"
- version = "0.1.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "5194a4fa953b4ffd851c320ef6f0484cd7278cb7169ea9d6c433e49b23f7b7f5"
- dependencies = [
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pkg-config 0.3.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc",
-+ "pkg-config",
- ]
-
- [[package]]
- name = "ppv-lite86"
- version = "0.2.8"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "237a5ed80e274dbc66f86bd59c1e25edc039660be53194b5fe0a482e0f2612ea"
-
- [[package]]
- name = "proc-macro-hack"
- version = "0.5.16"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "7e0456befd48169b9f13ef0f0ad46d492cf9d2dbb918bcf38e01eed4ce3ec5e4"
-
- [[package]]
- name = "proc-macro-nested"
- version = "0.1.6"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "eba180dafb9038b050a4c280019bbedf9f2467b61e5d892dcad585bb57aadc5a"
-
- [[package]]
- name = "proc-macro2"
- version = "0.4.30"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "cf3d2011ab5c909338f7887f4fc896d35932e29146c12c8d01da6b22a80ba759"
- dependencies = [
-- "unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "unicode-xid 0.1.0",
- ]
-
- [[package]]
- name = "proc-macro2"
- version = "1.0.19"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "04f5f085b5d71e2188cb8271e5da0161ad52c3f227a661a3c135fdf28e258b12"
- dependencies = [
-- "unicode-xid 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "unicode-xid 0.2.1",
- ]
-
- [[package]]
- name = "protobuf"
- version = "2.14.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "8e86d370532557ae7573551a1ec8235a0f8d6cb276c7c9e6aa490b511c447485"
-
- [[package]]
- name = "protobuf-codegen"
- version = "2.14.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "de113bba758ccf2c1ef816b127c958001b7831136c9bc3f8e9ec695ac4e82b0c"
- dependencies = [
-- "protobuf 2.14.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "protobuf",
- ]
-
- [[package]]
- name = "protobuf-codegen-pure"
- version = "2.14.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "2d1a4febc73bf0cada1d77c459a0c8e5973179f1cfd5b0f1ab789d45b17b6440"
- dependencies = [
-- "protobuf 2.14.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "protobuf-codegen 2.14.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "protobuf",
-+ "protobuf-codegen",
- ]
-
- [[package]]
- name = "quick-error"
- version = "1.2.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "a1d01941d82fa2ab50be1e79e6714289dd7cde78eba4c074bc5a4374f650dfe0"
-
- [[package]]
- name = "quote"
- version = "0.6.13"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "6ce23b6b870e8f94f81fb0a363d65d86675884b34a09043c81e5562f11c1f8e1"
- dependencies = [
-- "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "proc-macro2 0.4.30",
- ]
-
- [[package]]
- name = "quote"
- version = "1.0.7"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "aa563d17ecb180e500da1cfd2b028310ac758de548efdd203e18f283af693f37"
- dependencies = [
-- "proc-macro2 1.0.19 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "proc-macro2 1.0.19",
- ]
-
- [[package]]
- name = "rand"
- version = "0.3.23"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "64ac302d8f83c0c1974bf758f6b041c6c8ada916fbb44a609158ca8b064cc76c"
- dependencies = [
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc",
-+ "rand 0.4.6",
- ]
-
- [[package]]
- name = "rand"
- version = "0.4.6"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "552840b97013b1a26992c11eac34bdd778e464601a4c2054b5f0bff7c6761293"
- dependencies = [
-- "fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fuchsia-cprng",
-+ "libc",
-+ "rand_core 0.3.1",
-+ "rdrand",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "rand"
- version = "0.5.6"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "c618c47cd3ebd209790115ab837de41425723956ad3ce2e6a7f09890947cacb9"
- dependencies = [
-- "cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cloudabi",
-+ "fuchsia-cprng",
-+ "libc",
-+ "rand_core 0.3.1",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "rand"
- version = "0.6.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "6d71dacdc3c88c1fde3885a3be3fbab9f35724e6ce99467f7d9c5026132184ca"
- dependencies = [
-- "autocfg 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_chacha 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_hc 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_isaac 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_jitter 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_os 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_pcg 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_xorshift 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "autocfg 0.1.7",
-+ "libc",
-+ "rand_chacha 0.1.1",
-+ "rand_core 0.4.2",
-+ "rand_hc 0.1.0",
-+ "rand_isaac",
-+ "rand_jitter",
-+ "rand_os",
-+ "rand_pcg",
-+ "rand_xorshift",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "rand"
- version = "0.7.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "6a6b1679d49b24bbfe0c803429aa1874472f50d9b363131f0e89fc356b544d03"
- dependencies = [
-- "getrandom 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "getrandom",
-+ "libc",
-+ "rand_chacha 0.2.2",
-+ "rand_core 0.5.1",
-+ "rand_hc 0.2.0",
- ]
-
- [[package]]
- name = "rand_chacha"
- version = "0.1.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "556d3a1ca6600bfcbab7c7c91ccb085ac7fbbcd70e008a98742e7847f4f7bcef"
- dependencies = [
-- "autocfg 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "autocfg 0.1.7",
-+ "rand_core 0.3.1",
- ]
-
- [[package]]
- name = "rand_chacha"
- version = "0.2.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "f4c8ed856279c9737206bf725bf36935d8666ead7aa69b52be55af369d193402"
- dependencies = [
-- "ppv-lite86 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "ppv-lite86",
-+ "rand_core 0.5.1",
- ]
-
- [[package]]
- name = "rand_core"
- version = "0.3.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "7a6fdeb83b075e8266dcc8762c22776f6877a63111121f5f8c7411e5be7eed4b"
- dependencies = [
-- "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_core 0.4.2",
- ]
-
- [[package]]
- name = "rand_core"
- version = "0.4.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "9c33a3c44ca05fa6f1807d8e6743f3824e8509beca625669633be0acbdf509dc"
-
- [[package]]
- name = "rand_core"
- version = "0.5.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19"
- dependencies = [
-- "getrandom 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "getrandom",
- ]
-
- [[package]]
- name = "rand_hc"
- version = "0.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "7b40677c7be09ae76218dc623efbf7b18e34bced3f38883af07bb75630a21bc4"
- dependencies = [
-- "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_core 0.3.1",
- ]
-
- [[package]]
- name = "rand_hc"
- version = "0.2.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c"
- dependencies = [
-- "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_core 0.5.1",
- ]
-
- [[package]]
- name = "rand_isaac"
- version = "0.1.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ded997c9d5f13925be2a6fd7e66bf1872597f759fd9dd93513dd7e92e5a5ee08"
- dependencies = [
-- "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_core 0.3.1",
- ]
-
- [[package]]
- name = "rand_jitter"
- version = "0.1.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "1166d5c91dc97b88d1decc3285bb0a99ed84b05cfd0bc2341bdf2d43fc41e39b"
- dependencies = [
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc",
-+ "rand_core 0.4.2",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "rand_os"
- version = "0.1.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "7b75f676a1e053fc562eafbb47838d67c84801e38fc1ba459e8f180deabd5071"
- dependencies = [
-- "cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cloudabi",
-+ "fuchsia-cprng",
-+ "libc",
-+ "rand_core 0.4.2",
-+ "rdrand",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "rand_pcg"
- version = "0.1.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "abf9b09b01790cfe0364f52bf32995ea3c39f4d2dd011eac241d2914146d0b44"
- dependencies = [
-- "autocfg 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "autocfg 0.1.7",
-+ "rand_core 0.4.2",
- ]
-
- [[package]]
- name = "rand_xorshift"
- version = "0.1.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "cbf7e9e623549b0e21f6e97cf8ecf247c1a8fd2e8a992ae265314300b2455d5c"
- dependencies = [
-- "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_core 0.3.1",
- ]
-
- [[package]]
- name = "rawpointer"
- version = "0.2.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "60a357793950651c4ed0f3f52338f53b2f809f32d83a07f72909fa13e4c6c1e3"
-
- [[package]]
- name = "rdrand"
- version = "0.4.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "678054eb77286b51581ba43620cc911abf02758c91f93f479767aed0f90458b2"
- dependencies = [
-- "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_core 0.3.1",
- ]
-
- [[package]]
- name = "redox_syscall"
- version = "0.1.57"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "41cc0f7e4d5d4544e8861606a285bb08d3e70712ccc7d2b84d7c0ccfaf4b05ce"
-
- [[package]]
- name = "regex"
- version = "1.3.9"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "9c3780fcf44b193bc4d09f36d2a3c87b251da4a046c87795a0d35f4f927ad8e6"
- dependencies = [
-- "regex-syntax 0.6.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "regex-syntax",
- ]
-
- [[package]]
- name = "regex-syntax"
- version = "0.6.18"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "26412eb97c6b088a6997e05f69403a802a92d520de2f8e63c2b65f9e0f47c4e8"
-
- [[package]]
- name = "relay"
- version = "0.1.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "1576e382688d7e9deecea24417e350d3062d97e32e45d70b1cde65994ff1489a"
- dependencies = [
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "futures",
- ]
-
- [[package]]
- name = "remove_dir_all"
- version = "0.5.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "3acd125665422973a33ac9d3dd2df85edad0f4ae9b00dafb1a05e43a9f5ef8e7"
- dependencies = [
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "rodio"
- version = "0.9.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "5d0f961b254e66d147a7b550c78b01308934c97d807a34b417fd0f5a0a0f3a2d"
- dependencies = [
-- "cpal 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "nalgebra 0.18.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cpal",
-+ "lazy_static",
-+ "nalgebra",
- ]
-
- [[package]]
- name = "rpassword"
- version = "3.0.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "c34fa7bcae7fca3c8471e8417088bbc3ad9af8066b0ecf4f3c0d98a0d772716e"
- dependencies = [
-- "kernel32-sys 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "kernel32-sys",
-+ "libc",
-+ "winapi 0.2.8",
- ]
-
- [[package]]
- name = "rustc-hash"
- version = "1.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "08d43f7aa6b08d49f382cde6a7982047c3426db949b1424bc4b7ec9ae12c6ce2"
-
- [[package]]
- name = "rustc_version"
- version = "0.2.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "138e3e0acb6c9fb258b19b67cb8abd63c00679d2851805ea151465464fe9030a"
- dependencies = [
-- "semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "semver",
- ]
-
- [[package]]
- name = "ryu"
- version = "1.0.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "71d301d4193d031abdd79ff7e3dd721168a9572ef3fe51a1517aba235bd8f86e"
-
- [[package]]
- name = "safemem"
- version = "0.3.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ef703b7cb59335eae2eb93ceb664c0eb7ea6bf567079d843e09420219668e072"
-
- [[package]]
- name = "scoped-tls"
- version = "0.1.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "332ffa32bf586782a3efaeb58f127980944bbc8c4d6913a86107ac2a5ab24b28"
-
- [[package]]
- name = "scopeguard"
- version = "1.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d29ab0c6d3fc0ee92fe66e2d99f700eab17a8d57d1c1d3b748380fb20baa78cd"
-
- [[package]]
- name = "sdl2"
- version = "0.32.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d051a07231e303f5f719da78cb6f7394f6d5b54f733aef5b0b447804a83edd7b"
- dependencies = [
-- "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand 0.6.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "sdl2-sys 0.32.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1",
-+ "lazy_static",
-+ "libc",
-+ "num",
-+ "rand 0.6.5",
-+ "sdl2-sys",
- ]
-
- [[package]]
- name = "sdl2-sys"
- version = "0.32.6"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "34e71125077d297d57e4c1acfe8981b5bdfbf5a20e7b589abfdcb33bf1127f86"
- dependencies = [
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if",
-+ "libc",
- ]
-
- [[package]]
- name = "semver"
- version = "0.9.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403"
- dependencies = [
-- "semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "semver-parser",
- ]
-
- [[package]]
- name = "semver-parser"
- version = "0.7.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3"
-
- [[package]]
- name = "serde"
- version = "1.0.114"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "5317f7588f0a5078ee60ef675ef96735a1442132dc645eb1d12c018620ed8cd3"
-
- [[package]]
- name = "serde_derive"
- version = "1.0.114"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "2a0be94b04690fbaed37cddffc5c134bf537c8e3329d53e982fe04c374978f8e"
- dependencies = [
-- "proc-macro2 1.0.19 (registry+https://github.com/rust-lang/crates.io-index)",
-- "quote 1.0.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "syn 1.0.35 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "proc-macro2 1.0.19",
-+ "quote 1.0.7",
-+ "syn 1.0.35",
- ]
-
- [[package]]
- name = "serde_json"
- version = "1.0.56"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "3433e879a558dde8b5e8feb2a04899cf34fdde1fafb894687e52105fc1162ac3"
- dependencies = [
-- "itoa 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)",
-- "ryu 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "serde 1.0.114 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "itoa",
-+ "ryu",
-+ "serde",
- ]
-
- [[package]]
- name = "sha-1"
- version = "0.8.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "f7d94d0bede923b3cea61f3f1ff57ff8cdfd77b400fb8f9998949e0cf04163df"
- dependencies = [
-- "block-buffer 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "digest 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "fake-simd 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "opaque-debug 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "block-buffer",
-+ "digest",
-+ "fake-simd",
-+ "opaque-debug",
- ]
-
- [[package]]
- name = "sha2"
- version = "0.8.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "a256f46ea78a0c0d9ff00077504903ac881a1dafdc20da66545699e7776b3e69"
- dependencies = [
-- "block-buffer 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "digest 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "fake-simd 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "opaque-debug 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "block-buffer",
-+ "digest",
-+ "fake-simd",
-+ "opaque-debug",
- ]
-
- [[package]]
- name = "shannon"
- version = "0.2.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "7ea5b41c9427b56caa7b808cb548a04fb50bb5b9e98590b53f28064ff4174561"
- dependencies = [
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "byteorder",
- ]
-
- [[package]]
- name = "shell-words"
- version = "0.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "39acde55a154c4cd3ae048ac78cc21c25f3a0145e44111b523279113dce0d94a"
-
- [[package]]
- name = "shlex"
- version = "0.1.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "7fdf1b9db47230893d76faad238fd6097fd6d6a9245cd7a4d90dbd639536bbd2"
-
- [[package]]
- name = "signal-hook-registry"
- version = "1.2.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "94f478ede9f64724c5d173d7bb56099ec3e2d9fc2774aac65d34b8b890405f41"
- dependencies = [
-- "arc-swap 0.4.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "arc-swap",
-+ "libc",
- ]
-
- [[package]]
- name = "slab"
- version = "0.3.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "17b4fcaed89ab08ef143da37bc52adbcc04d4a69014f4c1208d6b51f0c47bc23"
-
- [[package]]
- name = "slab"
- version = "0.4.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "c111b5bd5695e56cffe5129854aa230b39c93a305372fdbb2668ca2394eea9f8"
-
- [[package]]
- name = "smallvec"
- version = "0.2.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "4c8cbcd6df1e117c2210e13ab5109635ad68a929fcbb8964dc965b76cb5ee013"
-
- [[package]]
- name = "smallvec"
- version = "0.6.13"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "f7b0758c52e15a8b5e3691eae6cc559f08eee9406e548a4477ba4e67770a82b6"
- dependencies = [
-- "maybe-uninit 2.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "maybe-uninit",
- ]
-
- [[package]]
- name = "socket2"
- version = "0.3.12"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "03088793f677dce356f3ccc2edb1b314ad191ab702a5de3faf49304f7e104918"
- dependencies = [
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "redox_syscall 0.1.57 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if",
-+ "libc",
-+ "redox_syscall",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "stdweb"
- version = "0.1.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ef5430c8e36b713e13b48a9f709cc21e046723fe44ce34587b73a830203b533e"
-
- [[package]]
- name = "stream-cipher"
- version = "0.3.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "8131256a5896cabcf5eb04f4d6dacbe1aefda854b0d9896e09cb58829ec5638c"
- dependencies = [
-- "generic-array 0.12.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "generic-array",
- ]
-
- [[package]]
- name = "subtle"
- version = "1.0.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "2d67a5a62ba6e01cb2192ff309324cb4875d0c451d55fe2319433abe7a05a8ee"
-
- [[package]]
- name = "syn"
- version = "0.15.44"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "9ca4b3b69a77cbe1ffc9e198781b7acb0c7365a883670e8f1c1bc66fba79a5c5"
- dependencies = [
-- "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)",
-- "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "proc-macro2 0.4.30",
-+ "quote 0.6.13",
-+ "unicode-xid 0.1.0",
- ]
-
- [[package]]
- name = "syn"
- version = "1.0.35"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "fb7f4c519df8c117855e19dd8cc851e89eb746fe7a73f0157e0d95fdec5369b0"
- dependencies = [
-- "proc-macro2 1.0.19 (registry+https://github.com/rust-lang/crates.io-index)",
-- "quote 1.0.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "unicode-xid 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "proc-macro2 1.0.19",
-+ "quote 1.0.7",
-+ "unicode-xid 0.2.1",
- ]
-
- [[package]]
- name = "synstructure"
- version = "0.10.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "02353edf96d6e4dc81aea2d8490a7e9db177bf8acb0e951c24940bf866cb313f"
- dependencies = [
-- "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)",
-- "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)",
-- "unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "proc-macro2 0.4.30",
-+ "quote 0.6.13",
-+ "syn 0.15.44",
-+ "unicode-xid 0.1.0",
- ]
-
- [[package]]
- name = "take"
- version = "0.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "b157868d8ac1f56b64604539990685fa7611d8fa9e5476cf0c02cf34d32917c5"
-
- [[package]]
- name = "tempfile"
- version = "3.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "7a6e24d9338a0a5be79593e2fa15a648add6138caa803e2d5bc782c371732ca9"
- dependencies = [
-- "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "redox_syscall 0.1.57 (registry+https://github.com/rust-lang/crates.io-index)",
-- "remove_dir_all 0.5.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if",
-+ "libc",
-+ "rand 0.7.3",
-+ "redox_syscall",
-+ "remove_dir_all",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "termcolor"
- version = "1.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "bb6bfa289a4d7c5766392812c0a1f4c1ba45afa1ad47803c11e1f407d846d75f"
- dependencies = [
-- "winapi-util 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi-util",
- ]
-
- [[package]]
- name = "time"
- version = "0.1.43"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ca8a50ef2360fbd1eeb0ecd46795a87a19024eb4b53c5dc916ca1fd95fe62438"
- dependencies = [
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "tinyvec"
- version = "0.3.3"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "53953d2d3a5ad81d9f844a32f14ebb121f50b650cd59d0ee2a07cf13c617efed"
-
- [[package]]
- name = "tokio"
- version = "0.1.22"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "5a09c0b5bb588872ab2f09afa13ee6e9dac11e10a0ec9e8e3ba39a5a5d530af6"
- dependencies = [
-- "bytes 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mio 0.6.22 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num_cpus 1.13.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-codec 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-current-thread 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-executor 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-fs 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-reactor 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-sync 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-tcp 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-threadpool 0.1.18 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-timer 0.2.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-udp 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-uds 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bytes",
-+ "futures",
-+ "mio",
-+ "num_cpus",
-+ "tokio-codec",
-+ "tokio-current-thread",
-+ "tokio-executor",
-+ "tokio-fs",
-+ "tokio-io",
-+ "tokio-reactor",
-+ "tokio-sync",
-+ "tokio-tcp",
-+ "tokio-threadpool",
-+ "tokio-timer",
-+ "tokio-udp",
-+ "tokio-uds",
- ]
-
- [[package]]
- name = "tokio-codec"
- version = "0.1.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "25b2998660ba0e70d18684de5d06b70b70a3a747469af9dea7618cc59e75976b"
- dependencies = [
-- "bytes 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bytes",
-+ "futures",
-+ "tokio-io",
- ]
-
- [[package]]
- name = "tokio-core"
- version = "0.1.17"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "aeeffbbb94209023feaef3c196a41cbcdafa06b4a6f893f68779bb5e53796f71"
- dependencies = [
-- "bytes 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "iovec 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mio 0.6.22 (registry+https://github.com/rust-lang/crates.io-index)",
-- "scoped-tls 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio 0.1.22 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-executor 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-reactor 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-timer 0.2.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bytes",
-+ "futures",
-+ "iovec",
-+ "log 0.4.11",
-+ "mio",
-+ "scoped-tls",
-+ "tokio",
-+ "tokio-executor",
-+ "tokio-io",
-+ "tokio-reactor",
-+ "tokio-timer",
- ]
-
- [[package]]
- name = "tokio-current-thread"
- version = "0.1.7"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "b1de0e32a83f131e002238d7ccde18211c0a5397f60cbfffcb112868c2e0e20e"
- dependencies = [
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-executor 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "futures",
-+ "tokio-executor",
- ]
-
- [[package]]
- name = "tokio-executor"
- version = "0.1.10"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "fb2d1b8f4548dbf5e1f7818512e9c406860678f29c300cdf0ebac72d1a3a1671"
- dependencies = [
-- "crossbeam-utils 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "crossbeam-utils 0.7.2",
-+ "futures",
- ]
-
- [[package]]
- name = "tokio-fs"
- version = "0.1.7"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "297a1206e0ca6302a0eed35b700d292b275256f596e2f3fea7729d5e629b6ff4"
- dependencies = [
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-threadpool 0.1.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "futures",
-+ "tokio-io",
-+ "tokio-threadpool",
- ]
-
- [[package]]
- name = "tokio-io"
- version = "0.1.13"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "57fc868aae093479e3131e3d165c93b1c7474109d13c90ec0dda2a1bbfff0674"
- dependencies = [
-- "bytes 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bytes",
-+ "futures",
-+ "log 0.4.11",
- ]
-
- [[package]]
- name = "tokio-process"
- version = "0.2.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "382d90f43fa31caebe5d3bc6cfd854963394fff3b8cb59d5146607aaae7e7e43"
- dependencies = [
-- "crossbeam-queue 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mio 0.6.22 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mio-named-pipes 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-reactor 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-signal 0.2.9 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "crossbeam-queue 0.1.2",
-+ "futures",
-+ "lazy_static",
-+ "libc",
-+ "log 0.4.11",
-+ "mio",
-+ "mio-named-pipes",
-+ "tokio-io",
-+ "tokio-reactor",
-+ "tokio-signal",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "tokio-proto"
- version = "0.1.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "8fbb47ae81353c63c487030659494b295f6cb6576242f907f203473b191b0389"
- dependencies = [
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-- "net2 0.2.34 (registry+https://github.com/rust-lang/crates.io-index)",
-- "rand 0.3.23 (registry+https://github.com/rust-lang/crates.io-index)",
-- "slab 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "smallvec 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "take 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-core 0.1.17 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-service 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "futures",
-+ "log 0.3.9",
-+ "net2",
-+ "rand 0.3.23",
-+ "slab 0.3.0",
-+ "smallvec 0.2.1",
-+ "take",
-+ "tokio-core",
-+ "tokio-io",
-+ "tokio-service",
- ]
-
- [[package]]
- name = "tokio-reactor"
- version = "0.1.12"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "09bc590ec4ba8ba87652da2068d150dcada2cfa2e07faae270a5e0409aa51351"
- dependencies = [
-- "crossbeam-utils 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mio 0.6.22 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num_cpus 1.13.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "parking_lot 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "slab 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-executor 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-sync 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "crossbeam-utils 0.7.2",
-+ "futures",
-+ "lazy_static",
-+ "log 0.4.11",
-+ "mio",
-+ "num_cpus",
-+ "parking_lot",
-+ "slab 0.4.2",
-+ "tokio-executor",
-+ "tokio-io",
-+ "tokio-sync",
- ]
-
- [[package]]
- name = "tokio-service"
- version = "0.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "24da22d077e0f15f55162bdbdc661228c1581892f52074fb242678d015b45162"
- dependencies = [
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "futures",
- ]
-
- [[package]]
- name = "tokio-signal"
- version = "0.2.9"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d0c34c6e548f101053321cba3da7cbb87a610b85555884c41b07da2eb91aff12"
- dependencies = [
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mio 0.6.22 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mio-uds 0.6.8 (registry+https://github.com/rust-lang/crates.io-index)",
-- "signal-hook-registry 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-executor 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-reactor 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "futures",
-+ "libc",
-+ "mio",
-+ "mio-uds",
-+ "signal-hook-registry",
-+ "tokio-executor",
-+ "tokio-io",
-+ "tokio-reactor",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "tokio-sync"
- version = "0.1.8"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "edfe50152bc8164fcc456dab7891fa9bf8beaf01c5ee7e1dd43a397c3cf87dee"
- dependencies = [
-- "fnv 1.0.7 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fnv",
-+ "futures",
- ]
-
- [[package]]
- name = "tokio-tcp"
- version = "0.1.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "98df18ed66e3b72e742f185882a9e201892407957e45fbff8da17ae7a7c51f72"
- dependencies = [
-- "bytes 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "iovec 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mio 0.6.22 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-reactor 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bytes",
-+ "futures",
-+ "iovec",
-+ "mio",
-+ "tokio-io",
-+ "tokio-reactor",
- ]
-
- [[package]]
- name = "tokio-threadpool"
- version = "0.1.18"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "df720b6581784c118f0eb4310796b12b1d242a7eb95f716a8367855325c25f89"
- dependencies = [
-- "crossbeam-deque 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "crossbeam-queue 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)",
-- "crossbeam-utils 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "num_cpus 1.13.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "slab 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-executor 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "crossbeam-deque",
-+ "crossbeam-queue 0.2.3",
-+ "crossbeam-utils 0.7.2",
-+ "futures",
-+ "lazy_static",
-+ "log 0.4.11",
-+ "num_cpus",
-+ "slab 0.4.2",
-+ "tokio-executor",
- ]
-
- [[package]]
- name = "tokio-timer"
- version = "0.2.13"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "93044f2d313c95ff1cb7809ce9a7a05735b012288a888b62d4434fd58c94f296"
- dependencies = [
-- "crossbeam-utils 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "slab 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-executor 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "crossbeam-utils 0.7.2",
-+ "futures",
-+ "slab 0.4.2",
-+ "tokio-executor",
- ]
-
- [[package]]
- name = "tokio-udp"
- version = "0.1.6"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "e2a0b10e610b39c38b031a2fcab08e4b82f16ece36504988dcbd81dbba650d82"
- dependencies = [
-- "bytes 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mio 0.6.22 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-codec 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-reactor 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bytes",
-+ "futures",
-+ "log 0.4.11",
-+ "mio",
-+ "tokio-codec",
-+ "tokio-io",
-+ "tokio-reactor",
- ]
-
- [[package]]
- name = "tokio-uds"
- version = "0.2.7"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ab57a4ac4111c8c9dbcf70779f6fc8bc35ae4b2454809febac840ad19bd7e4e0"
- dependencies = [
-- "bytes 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)",
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "iovec 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mio 0.6.22 (registry+https://github.com/rust-lang/crates.io-index)",
-- "mio-uds 0.6.8 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-codec 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)",
-- "tokio-reactor 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bytes",
-+ "futures",
-+ "iovec",
-+ "libc",
-+ "log 0.4.11",
-+ "mio",
-+ "mio-uds",
-+ "tokio-codec",
-+ "tokio-io",
-+ "tokio-reactor",
- ]
-
- [[package]]
- name = "try-lock"
- version = "0.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ee2aa4715743892880f70885373966c83d73ef1b0838a664ef0c76fffd35e7c2"
-
- [[package]]
- name = "typenum"
- version = "1.12.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "373c8a200f9e67a0c95e62a4f52fbf80c23b4381c05a17845531982fa99e6b33"
-
- [[package]]
- name = "unicase"
- version = "2.6.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "50f37be617794602aabbeee0be4f259dc1778fabe05e2d67ee8f79326d5cb4f6"
- dependencies = [
-- "version_check 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "version_check",
- ]
-
- [[package]]
- name = "unicode-bidi"
- version = "0.3.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "49f2bd0c6468a8230e1db229cff8029217cf623c767ea5d60bfbd42729ea54d5"
- dependencies = [
-- "matches 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "matches",
- ]
-
- [[package]]
- name = "unicode-normalization"
- version = "0.1.13"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "6fb19cf769fa8c6a80a162df694621ebeb4dafb606470b2b2fce0be40a98a977"
- dependencies = [
-- "tinyvec 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "tinyvec",
- ]
-
- [[package]]
- name = "unicode-width"
- version = "0.1.8"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "9337591893a19b88d8d87f2cec1e73fad5cdfd10e5a6f349f498ad6ea2ffb1e3"
-
- [[package]]
- name = "unicode-xid"
- version = "0.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "fc72304796d0818e357ead4e000d19c9c174ab23dc11093ac919054d20a6a7fc"
-
- [[package]]
- name = "unicode-xid"
- version = "0.2.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "f7fe0bb3479651439c9112f72b6c505038574c9fbb575ed1bf3b797fa39dd564"
-
- [[package]]
- name = "url"
- version = "1.7.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "dd4e7c0d531266369519a4aa4f399d748bd37043b00bde1e4ff1f60a120b355a"
- dependencies = [
-- "idna 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)",
-- "matches 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)",
-- "percent-encoding 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "idna",
-+ "matches",
-+ "percent-encoding",
- ]
-
- [[package]]
- name = "uuid"
- version = "0.7.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "90dbc611eb48397705a6b0f6e917da23ae517e4d127123d2cf7674206627d32a"
- dependencies = [
-- "rand 0.6.5 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand 0.6.5",
- ]
-
- [[package]]
- name = "vergen"
- version = "3.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "4ce50d8996df1f85af15f2cd8d33daae6e479575123ef4314a51a70a230739cb"
- dependencies = [
-- "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-- "chrono 0.4.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1",
-+ "chrono",
- ]
-
- [[package]]
- name = "version_check"
- version = "0.9.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "b5a972e5669d67ba988ce3dc826706fb0a8b01471c088cb0b6110b805cc36aed"
-
- [[package]]
- name = "void"
- version = "1.0.2"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "6a02e4885ed3bc0f2de90ea6dd45ebcbb66dacffe03547fadbb0eeae2770887d"
-
- [[package]]
- name = "vorbis"
- version = "0.0.14"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "5e8a194457075360557b82dac78f7ca2d65bbb6679bccfabae5f7c8c706cc776"
- dependencies = [
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "ogg-sys 0.0.9 (registry+https://github.com/rust-lang/crates.io-index)",
-- "vorbis-sys 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "vorbisfile-sys 0.0.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc",
-+ "ogg-sys",
-+ "vorbis-sys",
-+ "vorbisfile-sys",
- ]
-
- [[package]]
- name = "vorbis-sys"
- version = "0.1.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "3a0a8d7034313748da1d84b0adfa501f83f9ec83250f37fbacfa92a3580327c4"
- dependencies = [
-- "gcc 0.3.55 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "ogg-sys 0.0.9 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pkg-config 0.3.18 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gcc",
-+ "libc",
-+ "ogg-sys",
-+ "pkg-config",
- ]
-
- [[package]]
- name = "vorbisfile-sys"
- version = "0.0.8"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "4f4306d7e1ac4699b55e20de9483750b90c250913188efd7484db6bfbe9042d1"
- dependencies = [
-- "gcc 0.3.55 (registry+https://github.com/rust-lang/crates.io-index)",
-- "libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)",
-- "ogg-sys 0.0.9 (registry+https://github.com/rust-lang/crates.io-index)",
-- "pkg-config 0.3.18 (registry+https://github.com/rust-lang/crates.io-index)",
-- "vorbis-sys 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gcc",
-+ "libc",
-+ "ogg-sys",
-+ "pkg-config",
-+ "vorbis-sys",
- ]
-
- [[package]]
- name = "want"
- version = "0.0.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "a05d9d966753fa4b5c8db73fcab5eed4549cfe0e1e4e66911e5564a0085c35d1"
- dependencies = [
-- "futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)",
-- "log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-- "try-lock 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "futures",
-+ "log 0.4.11",
-+ "try-lock",
- ]
-
- [[package]]
- name = "wasi"
- version = "0.9.0+wasi-snapshot-preview1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "cccddf32554fecc6acb585f82a32a72e28b48f8c4c1883ddfeeeaa96f7d8e519"
-
- [[package]]
- name = "winapi"
- version = "0.2.8"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "167dc9d6949a9b857f3451275e911c3f44255842c1f7a76f33c55103a909087a"
-
- [[package]]
- name = "winapi"
- version = "0.3.9"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
- dependencies = [
-- "winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi-i686-pc-windows-gnu",
-+ "winapi-x86_64-pc-windows-gnu",
- ]
-
- [[package]]
- name = "winapi-build"
- version = "0.1.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "2d315eee3b34aca4797b2da6b13ed88266e6d612562a0c46390af8299fc699bc"
-
- [[package]]
- name = "winapi-i686-pc-windows-gnu"
- version = "0.4.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
-
- [[package]]
- name = "winapi-util"
- version = "0.1.5"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "70ec6ce85bb158151cae5e5c87f95a8e97d2c0c4b001223f33a334e3ce5de178"
- dependencies = [
-- "winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.3.9",
- ]
-
- [[package]]
- name = "winapi-x86_64-pc-windows-gnu"
- version = "0.4.0"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
-
- [[package]]
- name = "ws2_32-sys"
- version = "0.2.1"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d59cefebd0c892fa2dd6de581e937301d8552cb44489cdff035c6187cb63fa5e"
- dependencies = [
-- "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-- "winapi-build 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.2.8",
-+ "winapi-build",
- ]
-
- [[package]]
- name = "zerocopy"
- version = "0.2.8"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "992b9b31f80fd4a167f903f879b8ca43d6716cc368ea01df90538baa2dd34056"
- dependencies = [
-- "byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-- "zerocopy-derive 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "byteorder",
-+ "zerocopy-derive",
- ]
-
- [[package]]
- name = "zerocopy-derive"
- version = "0.1.4"
- source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "b090467ecd0624026e8a6405d343ac7382592530d54881330b3fc8e400280fa5"
- dependencies = [
-- "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)",
-- "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)",
-- "synstructure 0.10.2 (registry+https://github.com/rust-lang/crates.io-index)",
--]
--
--[metadata]
--"checksum aes 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "54eb1d8fe354e5fc611daf4f2ea97dd45a765f4f1e4512306ec183ae2e8f20c9"
--"checksum aes-ctr 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "d2e5b0458ea3beae0d1d8c0f3946564f8e10f90646cf78c06b4351052058d1ee"
--"checksum aes-soft 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)" = "cfd7e7ae3f9a1fb5c03b389fc6bb9a51400d0c13053f0dca698c832bfd893a0d"
--"checksum aesni 0.6.0 (registry+https://github.com/rust-lang/crates.io-index)" = "2f70a6b5f971e473091ab7cfb5ffac6cde81666c4556751d8d5620ead8abf100"
--"checksum alga 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)" = "4f823d037a7ec6ea2197046bafd4ae150e6bc36f9ca347404f46a46823fa84f2"
--"checksum alsa 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "b4a0d4ebc8b23041c5de9bc9aee13b4bad844a589479701f31a5934cfe4aeb32"
--"checksum alsa-sys 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "b0edcbbf9ef68f15ae1b620f722180b82a98b6f0628d30baa6b8d2a5abc87d58"
--"checksum approx 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "f0e60b75072ecd4168020818c0107f2857bb6c4e64252d8d3983f6263b40a5c3"
--"checksum arc-swap 0.4.7 (registry+https://github.com/rust-lang/crates.io-index)" = "4d25d88fd6b8041580a654f9d0c581a047baee2b3efee13275f2fc392fc75034"
--"checksum atty 0.2.14 (registry+https://github.com/rust-lang/crates.io-index)" = "d9b39be18770d11421cdb1b9947a45dd3f37e93092cbf377614828a319d5fee8"
--"checksum autocfg 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)" = "1d49d90015b3c36167a20fe2810c5cd875ad504b39cff3d4eae7977e6b7c1cb2"
--"checksum autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "f8aac770f1885fd7e387acedd76065302551364496e46b3dd00860b2f8359b9d"
--"checksum base64 0.10.1 (registry+https://github.com/rust-lang/crates.io-index)" = "0b25d992356d2eb0ed82172f5248873db5560c4721f564b13cb5193bda5e668e"
--"checksum base64 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)" = "489d6c0ed21b11d038c31b6ceccca973e65d73ba3bd8ecb9a2babf5546164643"
--"checksum bindgen 0.53.3 (registry+https://github.com/rust-lang/crates.io-index)" = "c72a978d268b1d70b0e963217e60fdabd9523a941457a6c42a7315d15c7e89e5"
--"checksum bit-set 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)" = "6e11e16035ea35e4e5997b393eacbf6f63983188f7a2ad25bfb13465f5ad59de"
--"checksum bit-vec 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)" = "5f0dc55f2d8a1a85650ac47858bb001b4c0dd73d79e3c455a842925e68d29cd3"
--"checksum bitflags 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "aad18937a628ec6abcd26d1489012cc0e18c21798210f491af69ded9b881106d"
--"checksum bitflags 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "4efd02e230a02e18f92fc2735f44597385ed02ad8f831e7c1c1156ee5e1ab3a5"
--"checksum bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "cf1de2fe8c75bc145a2f577add951f8134889b4795d47466a54a5c846d691693"
--"checksum block-buffer 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)" = "c0940dc441f31689269e10ac70eb1002a3a1d3ad1390e030043662eb7fe4688b"
--"checksum block-cipher-trait 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)" = "1c924d49bd09e7c06003acda26cd9742e796e34282ec6c1189404dee0c1f4774"
--"checksum block-modes 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)" = "31aa8410095e39fdb732909fb5730a48d5bd7c2e3cd76bd1b07b3dbea130c529"
--"checksum block-padding 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)" = "fa79dedbb091f449f1f39e53edf88d5dbe95f895dae6135a8d7b881fb5af73f5"
--"checksum byte-tools 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "e3b5ca7a04898ad4bcd41c90c5285445ff5b791899bb1b0abdd2a2aa791211d7"
--"checksum byteorder 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)" = "08c48aae112d48ed9f069b33538ea9e3e90aa263cfa3d1c24309612b1f7472de"
--"checksum bytes 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)" = "206fdffcfa2df7cbe15601ef46c813fce0965eb3286db6b56c583b814b51c81c"
--"checksum cc 1.0.58 (registry+https://github.com/rust-lang/crates.io-index)" = "f9a06fb2e53271d7c279ec1efea6ab691c35a2ae67ec0d91d7acec0caf13b518"
--"checksum cexpr 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "f4aedb84272dbe89af497cf81375129abda4fc0a9e7c5d317498c15cc30c0d27"
--"checksum cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)" = "4785bdd1c96b2a846b2bd7cc02e86b6b3dbf14e7e53446c4f54c92a361040822"
--"checksum chrono 0.4.13 (registry+https://github.com/rust-lang/crates.io-index)" = "c74d84029116787153e02106bf53e66828452a4b325cc8652b788b5967c0a0b6"
--"checksum clang-sys 0.29.3 (registry+https://github.com/rust-lang/crates.io-index)" = "fe6837df1d5cba2397b835c8530f51723267e16abbf83892e9e5af4f0e5dd10a"
--"checksum cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)" = "ddfc5b9aa5d4507acaf872de71051dfd0e309860e88966e1051e462a077aac4f"
--"checksum core-foundation-sys 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "716c271e8613ace48344f723b60b900a93150271e5be206212d052bbc0883efa"
--"checksum coreaudio-rs 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "f229761965dad3e9b11081668a6ea00f1def7aa46062321b5ec245b834f6e491"
--"checksum coreaudio-sys 0.2.5 (registry+https://github.com/rust-lang/crates.io-index)" = "d6570ee6e089131e928d5ec9236db9e818aa3cf850f48b0eec6ef700571271d4"
--"checksum cpal 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)" = "d58ae1ed6536b1b233f5e3aeb6997a046ddb4d05e3f61701b58a92eb254a829e"
--"checksum crossbeam-deque 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)" = "9f02af974daeee82218205558e51ec8768b48cf524bd01d550abe5573a608285"
--"checksum crossbeam-epoch 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)" = "058ed274caafc1f60c4997b5fc07bf7dc7cca454af7c6e81edffe5f33f70dace"
--"checksum crossbeam-queue 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7c979cd6cfe72335896575c6b5688da489e420d36a27a0b9eb0c73db574b4a4b"
--"checksum crossbeam-queue 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)" = "774ba60a54c213d409d5353bda12d49cd68d14e45036a285234c8d6f91f92570"
--"checksum crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)" = "04973fa96e96579258a5091af6003abde64af786b860f18622b82e026cca60e6"
--"checksum crossbeam-utils 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "c3c7c73a2d1e9fc0886a08b93e98eb643461230d5f1925e4036204d5f2e261a8"
--"checksum crypto-mac 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "4434400df11d95d556bac068ddfedd482915eb18fe8bea89bc80b6e4b1c179e5"
--"checksum ctr 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "022cd691704491df67d25d006fe8eca083098253c4d43516c2206479c58c6736"
--"checksum digest 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)" = "f3d0c8c8752312f9713efd397ff63acb9f85585afbf179282e720e7704954dd5"
--"checksum dns-sd 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)" = "d748509dea20228f63ba519bf142ce2593396386125b01f5b0d6412dab972087"
--"checksum env_logger 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)" = "aafcde04e90a5226a6443b7aabdb016ba2f8307c847d524724bd9b346dd1a2d3"
--"checksum error-chain 0.12.2 (registry+https://github.com/rust-lang/crates.io-index)" = "d371106cc88ffdfb1eabd7111e432da544f16f3e2d7bf1dfe8bf575f1df045cd"
--"checksum fake-simd 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "e88a8acf291dafb59c2d96e8f59828f3838bb1a70398823ade51a84de6a6deed"
--"checksum fnv 1.0.7 (registry+https://github.com/rust-lang/crates.io-index)" = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
--"checksum fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "a06f77d526c1a601b7c4cdd98f54b5eaabffc14d5f2f0296febdc7f357c6d3ba"
--"checksum fuchsia-zircon 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)" = "2e9763c69ebaae630ba35f74888db465e49e259ba1bc0eda7d06f4a067615d82"
--"checksum fuchsia-zircon-sys 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)" = "3dcaa9ae7725d12cdb85b3ad99a434db70b468c09ded17e012d86b5c1010f7a7"
--"checksum futures 0.1.29 (registry+https://github.com/rust-lang/crates.io-index)" = "1b980f2816d6ee8673b6517b52cb0e808a180efc92e5c19d02cdda79066703ef"
--"checksum futures-channel 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)" = "f366ad74c28cca6ba456d95e6422883cfb4b252a83bed929c83abfdbbf2967d5"
--"checksum futures-core 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)" = "59f5fff90fd5d971f936ad674802482ba441b6f09ba5e15fd8b39145582ca399"
--"checksum futures-cpupool 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)" = "ab90cde24b3319636588d0c35fe03b1333857621051837ed769faefb4c2162e4"
--"checksum futures-executor 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)" = "10d6bb888be1153d3abeb9006b11b02cf5e9b209fda28693c31ae1e4e012e314"
--"checksum futures-macro 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)" = "d0b5a30a4328ab5473878237c447333c093297bded83a4983d10f4deea240d39"
--"checksum futures-sink 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)" = "3f2032893cb734c7a05d85ce0cc8b8c4075278e93b24b66f9de99d6eb0fa8acc"
--"checksum futures-task 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)" = "bdb66b5f09e22019b1ab0830f7785bcea8e7a42148683f99214f73f8ec21a626"
--"checksum futures-util 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)" = "8764574ff08b701a084482c3c7031349104b07ac897393010494beaa18ce32c6"
--"checksum gcc 0.3.55 (registry+https://github.com/rust-lang/crates.io-index)" = "8f5f3913fa0bfe7ee1fd8248b6b9f42a5af4b9d65ec2dd2c3c26132b950ecfc2"
--"checksum generic-array 0.12.3 (registry+https://github.com/rust-lang/crates.io-index)" = "c68f0274ae0e023facc3c97b2e00f076be70e254bc851d972503b328db79b2ec"
--"checksum getopts 0.2.21 (registry+https://github.com/rust-lang/crates.io-index)" = "14dbbfd5c71d70241ecf9e6f13737f7b5ce823821063188d7e46c41d371eebd5"
--"checksum getrandom 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)" = "7abc8dd8451921606d809ba32e95b6111925cd2906060d2dcc29c070220503eb"
--"checksum glib 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)" = "40fb573a09841b6386ddf15fd4bc6655b4f5b106ca962f57ecaecde32a0061c0"
--"checksum glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "95856f3802f446c05feffa5e24859fe6a183a7cb849c8449afc35c86b1e316e2"
--"checksum glob 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "9b919933a397b79c37e33b77bb2aa3dc8eb6e165ad809e58ff75bc7db2e34574"
--"checksum gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "31d1a804f62034eccf370006ccaef3708a71c31d561fee88564abe71177553d9"
--"checksum gstreamer 0.15.7 (registry+https://github.com/rust-lang/crates.io-index)" = "ce8664a114cd6ec16bece783d5eee59496919915b1f6884400ba4a953274a163"
--"checksum gstreamer-app 0.15.6 (registry+https://github.com/rust-lang/crates.io-index)" = "789784e8d42f5add1e1e965cf9f7e2d09e21dd0756bae6148f971db9a761d6a9"
--"checksum gstreamer-app-sys 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)" = "bf869ce152c23bca5d761ab62146b47f750d0b28d4d499731857532897d48167"
--"checksum gstreamer-base 0.15.4 (registry+https://github.com/rust-lang/crates.io-index)" = "42552f75cc6c260b0be180d5c955f4cd74bd170289c622404c25f1210b521c12"
--"checksum gstreamer-base-sys 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)" = "ba384f52174b3c586593fca32642680a9e67961fea9f4cd8419f678965023bed"
--"checksum gstreamer-sys 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)" = "1d18da01b97d0ab5896acd5151e4c155acefd0e6c03c3dd24dd133ba054053db"
--"checksum hermit-abi 0.1.15 (registry+https://github.com/rust-lang/crates.io-index)" = "3deed196b6e7f9e44a2ae8d94225d80302d81208b1bb673fd21fe634645c85a9"
--"checksum hex 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "805026a5d0141ffc30abb3be3173848ad46a1b1664fe632428479619a3644d77"
--"checksum hmac 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)" = "5dcb5e64cda4c23119ab41ba960d1e170a774c8e4b9d9e6a9bc18aabf5e59695"
--"checksum hostname 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "3c731c3e10504cc8ed35cfe2f1db4c9274c3d35fa486e3b31df46f068ef3e867"
--"checksum httparse 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)" = "cd179ae861f0c2e53da70d892f5f3029f9594be0c41dc5269cd371691b1dc2f9"
--"checksum humantime 1.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "df004cfca50ef23c36850aaaa59ad52cc70d0e90243c3c7737a4dd32dc7a3c4f"
--"checksum hyper 0.11.27 (registry+https://github.com/rust-lang/crates.io-index)" = "34a590ca09d341e94cddf8e5af0bbccde205d5fbc2fa3c09dd67c7f85cea59d7"
--"checksum hyper-proxy 0.4.1 (registry+https://github.com/rust-lang/crates.io-index)" = "44f0925de2747e481e6e477dd212c25e8f745567f02f6182e04d27b97c3fbece"
--"checksum idna 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)" = "38f09e0f0b1fb55fdee1f17470ad800da77af5186a1a76c026b679358b7e844e"
--"checksum if-addrs 0.6.5 (registry+https://github.com/rust-lang/crates.io-index)" = "28538916eb3f3976311f5dfbe67b5362d0add1293d0a9cad17debf86f8e3aa48"
--"checksum if-addrs-sys 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "de74b9dd780476e837e5eb5ab7c88b49ed304126e412030a0adba99c8efe79ea"
--"checksum iovec 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "b2b3ea6ff95e175473f8ffe6a7eb7c00d054240321b84c57051175fe3c1e075e"
--"checksum itoa 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)" = "dc6f3ad7b9d11a0c00842ff8de1b60ee58661048eb8049ed33c73594f359d7e6"
--"checksum jack 0.5.7 (registry+https://github.com/rust-lang/crates.io-index)" = "1e15fc592e2e5a74a105ff507083c04db1aa20ba1b90d425362ba000e57422df"
--"checksum jack-sys 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "c0d4ca501477fd3cd93a36df581046e5d6338ed826cf7e9b8d302603521e6cc3"
--"checksum kernel32-sys 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7507624b29483431c0ba2d82aece8ca6cdba9382bff4ddd0f7490560c056098d"
--"checksum language-tags 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "a91d884b6667cd606bb5a69aa0c99ba811a115fc68915e7056ec08a46e93199a"
--"checksum lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
--"checksum lazycell 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "b294d6fa9ee409a054354afc4352b0b9ef7ca222c69b8812cbea9e7d2bf3783f"
--"checksum lewton 0.9.4 (registry+https://github.com/rust-lang/crates.io-index)" = "8d542c1a317036c45c2aa1cf10cc9d403ca91eb2d333ef1a4917e5cb10628bd0"
--"checksum libc 0.2.73 (registry+https://github.com/rust-lang/crates.io-index)" = "bd7d4bd64732af4bf3a67f367c27df8520ad7e230c5817b8ff485864d80242b9"
--"checksum libloading 0.4.3 (registry+https://github.com/rust-lang/crates.io-index)" = "fd38073de8f7965d0c17d30546d4bb6da311ab428d1c7a3fc71dff7f9d4979b9"
--"checksum libloading 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)" = "f2b111a074963af1d37a139918ac6d49ad1d0d5e47f72fd55388619691a7d753"
--"checksum libm 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "c7d73b3f436185384286bd8098d17ec07c9a7d2388a6599f824d8502b529702a"
--"checksum libmdns 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)" = "5d8582c174736c53633bc482ac709b24527c018356c3dc6d8e25a788b06b394e"
--"checksum libpulse-sys 0.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "9bb11b06faf883500c1b625cf4453e6c7737e9df9c7ba01df3f84b22b083e4ac"
--"checksum librespot-tremor 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "97f525bff915d478a76940a7b988e5ea34911ba7280c97bd3a7673f54d68b4fe"
--"checksum linear-map 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "bfae20f6b19ad527b550c223fddc3077a547fc70cda94b9b566575423fd303ee"
--"checksum lock_api 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)" = "c4da24a77a3d8a6d4862d95f72e6fdb9c09a643ecdb402d754004a557f2bec75"
--"checksum log 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)" = "e19e8d5c34a3e0e2223db8e060f9e8264aeeb5c5fc64a4ee9965c062211c024b"
--"checksum log 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)" = "4fabed175da42fed1fa0746b0ea71f412aa9d35e76e95e59b192c64b9dc2bf8b"
--"checksum match_cfg 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ffbee8634e0d45d258acb448e7eaab3fce7a0a467395d4d9f228e3c1f01fb2e4"
--"checksum matches 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)" = "7ffc5c5338469d4d3ea17d269fa8ea3512ad247247c30bd2df69e68309ed0a08"
--"checksum matrixmultiply 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)" = "d4f7ec66360130972f34830bfad9ef05c6610a43938a467bcc9ab9369ab3478f"
--"checksum maybe-uninit 2.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "60302e4db3a61da70c0cb7991976248362f30319e88850c487b9b95bbf059e00"
--"checksum memchr 2.3.3 (registry+https://github.com/rust-lang/crates.io-index)" = "3728d817d99e5ac407411fa471ff9800a778d88a24685968b36824eaf4bee400"
--"checksum memoffset 0.5.5 (registry+https://github.com/rust-lang/crates.io-index)" = "c198b026e1bbf08a937e94c6c60f9ec4a2267f5b0d2eec9c1b21b061ce2be55f"
--"checksum mime 0.3.16 (registry+https://github.com/rust-lang/crates.io-index)" = "2a60c7ce501c71e03a9c9c0d35b861413ae925bd979cc7a4e30d060069aaac8d"
--"checksum mio 0.6.22 (registry+https://github.com/rust-lang/crates.io-index)" = "fce347092656428bc8eaf6201042cb551b8d67855af7374542a92a0fbfcac430"
--"checksum mio-named-pipes 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)" = "0840c1c50fd55e521b247f949c241c9997709f23bd7f023b9762cd561e935656"
--"checksum mio-uds 0.6.8 (registry+https://github.com/rust-lang/crates.io-index)" = "afcb699eb26d4332647cc848492bbc15eafb26f08d0304550d5aa1f612e066f0"
--"checksum miow 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "8c1f2f3b1cf331de6896aabf6e9d55dca90356cc9960cca7eaaf408a355ae919"
--"checksum miow 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)" = "07b88fb9795d4d36d62a012dfbf49a8f5cf12751f36d31a9dbe66d528e58979e"
--"checksum muldiv 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "0419348c027fa7be448d2ae7ea0e4e04c2334c31dc4e74ab29f00a2a7ca69204"
--"checksum multimap 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)" = "d8883adfde9756c1d30b0f519c9b8c502a94b41ac62f696453c37c7fc0a958ce"
--"checksum nalgebra 0.18.1 (registry+https://github.com/rust-lang/crates.io-index)" = "aaa9fddbc34c8c35dd2108515587b8ce0cab396f17977b8c738568e4edb521a2"
--"checksum net2 0.2.34 (registry+https://github.com/rust-lang/crates.io-index)" = "2ba7c918ac76704fb42afcbbb43891e72731f3dcca3bef2a19786297baf14af7"
--"checksum nix 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)" = "a2c5afeb0198ec7be8569d666644b574345aad2e95a53baf3a532da3e0f3fb32"
--"checksum nom 5.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "ffb4262d26ed83a1c0a33a38fe2bb15797329c85770da05e6b828ddb782627af"
--"checksum num 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)" = "4703ad64153382334aa8db57c637364c322d3372e097840c72000dabdcf6156e"
--"checksum num-bigint 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)" = "090c7f9998ee0ff65aa5b723e4009f7b217707f1fb5ea551329cc4d6231fb304"
--"checksum num-complex 0.2.4 (registry+https://github.com/rust-lang/crates.io-index)" = "b6b19411a9719e753aff12e5187b74d60d3dc449ec3f4dc21e3989c3f554bc95"
--"checksum num-integer 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)" = "8d59457e662d541ba17869cf51cf177c0b5f0cbf476c66bdc90bf1edac4f875b"
--"checksum num-iter 0.1.41 (registry+https://github.com/rust-lang/crates.io-index)" = "7a6e6b7c748f995c4c29c5f5ae0248536e04a5739927c74ec0fa564805094b9f"
--"checksum num-rational 0.2.4 (registry+https://github.com/rust-lang/crates.io-index)" = "5c000134b5dbf44adc5cb772486d335293351644b801551abe8f75c84cfa4aef"
--"checksum num-traits 0.2.12 (registry+https://github.com/rust-lang/crates.io-index)" = "ac267bcc07f48ee5f8935ab0d24f316fb722d7a1292e2913f0cc196b29ffd611"
--"checksum num_cpus 1.13.0 (registry+https://github.com/rust-lang/crates.io-index)" = "05499f3756671c15885fee9034446956fff3f243d6077b91e5767df161f766b3"
--"checksum ogg 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "d79f1db9148be9d0e174bb3ac890f6030fcb1ed947267c5a91ee4c91b5a91e15"
--"checksum ogg-sys 0.0.9 (registry+https://github.com/rust-lang/crates.io-index)" = "a95b8c172e17df1a41bf8d666301d3b2c4efeb90d9d0415e2a4dc0668b35fdb2"
--"checksum once_cell 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "0b631f7e854af39a1739f401cf34a8a013dfe09eac4fa4dba91e9768bd28168d"
--"checksum opaque-debug 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)" = "2839e79665f131bdb5782e51f2c6c9599c133c6098982a54c794358bf432529c"
--"checksum parking_lot 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)" = "f842b1982eb6c2fe34036a4fbfb06dd185a3f5c8edfaacdf7d1ea10b07de6252"
--"checksum parking_lot_core 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)" = "b876b1b9e7ac6e1a74a6da34d25c42e17e8862aa409cbbbdcfc8d86c6f3bc62b"
--"checksum paste 0.1.18 (registry+https://github.com/rust-lang/crates.io-index)" = "45ca20c77d80be666aef2b45486da86238fabe33e38306bd3118fe4af33fa880"
--"checksum paste-impl 0.1.18 (registry+https://github.com/rust-lang/crates.io-index)" = "d95a7db200b97ef370c8e6de0088252f7e0dfff7d047a28528e47456c0fc98b6"
--"checksum pbkdf2 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "006c038a43a45995a9670da19e67600114740e8511d4333bf97a56e66a7542d9"
--"checksum peeking_take_while 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "19b17cddbe7ec3f8bc800887bab5e717348c95ea2ca0b1bf0837fb964dc67099"
--"checksum percent-encoding 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)" = "31010dd2e1ac33d5b46a5b413495239882813e0369f8ed8a5e266f173602f831"
--"checksum pin-project 0.4.22 (registry+https://github.com/rust-lang/crates.io-index)" = "12e3a6cdbfe94a5e4572812a0201f8c0ed98c1c452c7b8563ce2276988ef9c17"
--"checksum pin-project-internal 0.4.22 (registry+https://github.com/rust-lang/crates.io-index)" = "6a0ffd45cf79d88737d7cc85bfd5d2894bee1139b356e616fe85dc389c61aaf7"
--"checksum pin-utils 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
--"checksum pkg-config 0.3.18 (registry+https://github.com/rust-lang/crates.io-index)" = "d36492546b6af1463394d46f0c834346f31548646f6ba10849802c9c9a27ac33"
--"checksum portaudio-rs 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "cdb6b5eff96ccc9bf44d34c379ab03ae944426d83d1694345bdf8159d561d562"
--"checksum portaudio-sys 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "5194a4fa953b4ffd851c320ef6f0484cd7278cb7169ea9d6c433e49b23f7b7f5"
--"checksum ppv-lite86 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)" = "237a5ed80e274dbc66f86bd59c1e25edc039660be53194b5fe0a482e0f2612ea"
--"checksum proc-macro-hack 0.5.16 (registry+https://github.com/rust-lang/crates.io-index)" = "7e0456befd48169b9f13ef0f0ad46d492cf9d2dbb918bcf38e01eed4ce3ec5e4"
--"checksum proc-macro-nested 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "eba180dafb9038b050a4c280019bbedf9f2467b61e5d892dcad585bb57aadc5a"
--"checksum proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)" = "cf3d2011ab5c909338f7887f4fc896d35932e29146c12c8d01da6b22a80ba759"
--"checksum proc-macro2 1.0.19 (registry+https://github.com/rust-lang/crates.io-index)" = "04f5f085b5d71e2188cb8271e5da0161ad52c3f227a661a3c135fdf28e258b12"
--"checksum protobuf 2.14.0 (registry+https://github.com/rust-lang/crates.io-index)" = "8e86d370532557ae7573551a1ec8235a0f8d6cb276c7c9e6aa490b511c447485"
--"checksum protobuf-codegen 2.14.0 (registry+https://github.com/rust-lang/crates.io-index)" = "de113bba758ccf2c1ef816b127c958001b7831136c9bc3f8e9ec695ac4e82b0c"
--"checksum protobuf-codegen-pure 2.14.0 (registry+https://github.com/rust-lang/crates.io-index)" = "2d1a4febc73bf0cada1d77c459a0c8e5973179f1cfd5b0f1ab789d45b17b6440"
--"checksum quick-error 1.2.3 (registry+https://github.com/rust-lang/crates.io-index)" = "a1d01941d82fa2ab50be1e79e6714289dd7cde78eba4c074bc5a4374f650dfe0"
--"checksum quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)" = "6ce23b6b870e8f94f81fb0a363d65d86675884b34a09043c81e5562f11c1f8e1"
--"checksum quote 1.0.7 (registry+https://github.com/rust-lang/crates.io-index)" = "aa563d17ecb180e500da1cfd2b028310ac758de548efdd203e18f283af693f37"
--"checksum rand 0.3.23 (registry+https://github.com/rust-lang/crates.io-index)" = "64ac302d8f83c0c1974bf758f6b041c6c8ada916fbb44a609158ca8b064cc76c"
--"checksum rand 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)" = "552840b97013b1a26992c11eac34bdd778e464601a4c2054b5f0bff7c6761293"
--"checksum rand 0.5.6 (registry+https://github.com/rust-lang/crates.io-index)" = "c618c47cd3ebd209790115ab837de41425723956ad3ce2e6a7f09890947cacb9"
--"checksum rand 0.6.5 (registry+https://github.com/rust-lang/crates.io-index)" = "6d71dacdc3c88c1fde3885a3be3fbab9f35724e6ce99467f7d9c5026132184ca"
--"checksum rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)" = "6a6b1679d49b24bbfe0c803429aa1874472f50d9b363131f0e89fc356b544d03"
--"checksum rand_chacha 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "556d3a1ca6600bfcbab7c7c91ccb085ac7fbbcd70e008a98742e7847f4f7bcef"
--"checksum rand_chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "f4c8ed856279c9737206bf725bf36935d8666ead7aa69b52be55af369d193402"
--"checksum rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "7a6fdeb83b075e8266dcc8762c22776f6877a63111121f5f8c7411e5be7eed4b"
--"checksum rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)" = "9c33a3c44ca05fa6f1807d8e6743f3824e8509beca625669633be0acbdf509dc"
--"checksum rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19"
--"checksum rand_hc 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7b40677c7be09ae76218dc623efbf7b18e34bced3f38883af07bb75630a21bc4"
--"checksum rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c"
--"checksum rand_isaac 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "ded997c9d5f13925be2a6fd7e66bf1872597f759fd9dd93513dd7e92e5a5ee08"
--"checksum rand_jitter 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "1166d5c91dc97b88d1decc3285bb0a99ed84b05cfd0bc2341bdf2d43fc41e39b"
--"checksum rand_os 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)" = "7b75f676a1e053fc562eafbb47838d67c84801e38fc1ba459e8f180deabd5071"
--"checksum rand_pcg 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "abf9b09b01790cfe0364f52bf32995ea3c39f4d2dd011eac241d2914146d0b44"
--"checksum rand_xorshift 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "cbf7e9e623549b0e21f6e97cf8ecf247c1a8fd2e8a992ae265314300b2455d5c"
--"checksum rawpointer 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "60a357793950651c4ed0f3f52338f53b2f809f32d83a07f72909fa13e4c6c1e3"
--"checksum rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "678054eb77286b51581ba43620cc911abf02758c91f93f479767aed0f90458b2"
--"checksum redox_syscall 0.1.57 (registry+https://github.com/rust-lang/crates.io-index)" = "41cc0f7e4d5d4544e8861606a285bb08d3e70712ccc7d2b84d7c0ccfaf4b05ce"
--"checksum regex 1.3.9 (registry+https://github.com/rust-lang/crates.io-index)" = "9c3780fcf44b193bc4d09f36d2a3c87b251da4a046c87795a0d35f4f927ad8e6"
--"checksum regex-syntax 0.6.18 (registry+https://github.com/rust-lang/crates.io-index)" = "26412eb97c6b088a6997e05f69403a802a92d520de2f8e63c2b65f9e0f47c4e8"
--"checksum relay 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "1576e382688d7e9deecea24417e350d3062d97e32e45d70b1cde65994ff1489a"
--"checksum remove_dir_all 0.5.3 (registry+https://github.com/rust-lang/crates.io-index)" = "3acd125665422973a33ac9d3dd2df85edad0f4ae9b00dafb1a05e43a9f5ef8e7"
--"checksum rodio 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)" = "5d0f961b254e66d147a7b550c78b01308934c97d807a34b417fd0f5a0a0f3a2d"
--"checksum rpassword 3.0.2 (registry+https://github.com/rust-lang/crates.io-index)" = "c34fa7bcae7fca3c8471e8417088bbc3ad9af8066b0ecf4f3c0d98a0d772716e"
--"checksum rustc-hash 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "08d43f7aa6b08d49f382cde6a7982047c3426db949b1424bc4b7ec9ae12c6ce2"
--"checksum rustc_version 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)" = "138e3e0acb6c9fb258b19b67cb8abd63c00679d2851805ea151465464fe9030a"
--"checksum ryu 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)" = "71d301d4193d031abdd79ff7e3dd721168a9572ef3fe51a1517aba235bd8f86e"
--"checksum safemem 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)" = "ef703b7cb59335eae2eb93ceb664c0eb7ea6bf567079d843e09420219668e072"
--"checksum scoped-tls 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "332ffa32bf586782a3efaeb58f127980944bbc8c4d6913a86107ac2a5ab24b28"
--"checksum scopeguard 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "d29ab0c6d3fc0ee92fe66e2d99f700eab17a8d57d1c1d3b748380fb20baa78cd"
--"checksum sdl2 0.32.2 (registry+https://github.com/rust-lang/crates.io-index)" = "d051a07231e303f5f719da78cb6f7394f6d5b54f733aef5b0b447804a83edd7b"
--"checksum sdl2-sys 0.32.6 (registry+https://github.com/rust-lang/crates.io-index)" = "34e71125077d297d57e4c1acfe8981b5bdfbf5a20e7b589abfdcb33bf1127f86"
--"checksum semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)" = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403"
--"checksum semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3"
--"checksum serde 1.0.114 (registry+https://github.com/rust-lang/crates.io-index)" = "5317f7588f0a5078ee60ef675ef96735a1442132dc645eb1d12c018620ed8cd3"
--"checksum serde_derive 1.0.114 (registry+https://github.com/rust-lang/crates.io-index)" = "2a0be94b04690fbaed37cddffc5c134bf537c8e3329d53e982fe04c374978f8e"
--"checksum serde_json 1.0.56 (registry+https://github.com/rust-lang/crates.io-index)" = "3433e879a558dde8b5e8feb2a04899cf34fdde1fafb894687e52105fc1162ac3"
--"checksum sha-1 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)" = "f7d94d0bede923b3cea61f3f1ff57ff8cdfd77b400fb8f9998949e0cf04163df"
--"checksum sha2 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)" = "a256f46ea78a0c0d9ff00077504903ac881a1dafdc20da66545699e7776b3e69"
--"checksum shannon 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7ea5b41c9427b56caa7b808cb548a04fb50bb5b9e98590b53f28064ff4174561"
--"checksum shell-words 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "39acde55a154c4cd3ae048ac78cc21c25f3a0145e44111b523279113dce0d94a"
--"checksum shlex 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "7fdf1b9db47230893d76faad238fd6097fd6d6a9245cd7a4d90dbd639536bbd2"
--"checksum signal-hook-registry 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "94f478ede9f64724c5d173d7bb56099ec3e2d9fc2774aac65d34b8b890405f41"
--"checksum slab 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "17b4fcaed89ab08ef143da37bc52adbcc04d4a69014f4c1208d6b51f0c47bc23"
--"checksum slab 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)" = "c111b5bd5695e56cffe5129854aa230b39c93a305372fdbb2668ca2394eea9f8"
--"checksum smallvec 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "4c8cbcd6df1e117c2210e13ab5109635ad68a929fcbb8964dc965b76cb5ee013"
--"checksum smallvec 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)" = "f7b0758c52e15a8b5e3691eae6cc559f08eee9406e548a4477ba4e67770a82b6"
--"checksum socket2 0.3.12 (registry+https://github.com/rust-lang/crates.io-index)" = "03088793f677dce356f3ccc2edb1b314ad191ab702a5de3faf49304f7e104918"
--"checksum stdweb 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)" = "ef5430c8e36b713e13b48a9f709cc21e046723fe44ce34587b73a830203b533e"
--"checksum stream-cipher 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "8131256a5896cabcf5eb04f4d6dacbe1aefda854b0d9896e09cb58829ec5638c"
--"checksum subtle 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "2d67a5a62ba6e01cb2192ff309324cb4875d0c451d55fe2319433abe7a05a8ee"
--"checksum syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)" = "9ca4b3b69a77cbe1ffc9e198781b7acb0c7365a883670e8f1c1bc66fba79a5c5"
--"checksum syn 1.0.35 (registry+https://github.com/rust-lang/crates.io-index)" = "fb7f4c519df8c117855e19dd8cc851e89eb746fe7a73f0157e0d95fdec5369b0"
--"checksum synstructure 0.10.2 (registry+https://github.com/rust-lang/crates.io-index)" = "02353edf96d6e4dc81aea2d8490a7e9db177bf8acb0e951c24940bf866cb313f"
--"checksum take 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "b157868d8ac1f56b64604539990685fa7611d8fa9e5476cf0c02cf34d32917c5"
--"checksum tempfile 3.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7a6e24d9338a0a5be79593e2fa15a648add6138caa803e2d5bc782c371732ca9"
--"checksum termcolor 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "bb6bfa289a4d7c5766392812c0a1f4c1ba45afa1ad47803c11e1f407d846d75f"
--"checksum time 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)" = "ca8a50ef2360fbd1eeb0ecd46795a87a19024eb4b53c5dc916ca1fd95fe62438"
--"checksum tinyvec 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)" = "53953d2d3a5ad81d9f844a32f14ebb121f50b650cd59d0ee2a07cf13c617efed"
--"checksum tokio 0.1.22 (registry+https://github.com/rust-lang/crates.io-index)" = "5a09c0b5bb588872ab2f09afa13ee6e9dac11e10a0ec9e8e3ba39a5a5d530af6"
--"checksum tokio-codec 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "25b2998660ba0e70d18684de5d06b70b70a3a747469af9dea7618cc59e75976b"
--"checksum tokio-core 0.1.17 (registry+https://github.com/rust-lang/crates.io-index)" = "aeeffbbb94209023feaef3c196a41cbcdafa06b4a6f893f68779bb5e53796f71"
--"checksum tokio-current-thread 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)" = "b1de0e32a83f131e002238d7ccde18211c0a5397f60cbfffcb112868c2e0e20e"
--"checksum tokio-executor 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)" = "fb2d1b8f4548dbf5e1f7818512e9c406860678f29c300cdf0ebac72d1a3a1671"
--"checksum tokio-fs 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)" = "297a1206e0ca6302a0eed35b700d292b275256f596e2f3fea7729d5e629b6ff4"
--"checksum tokio-io 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)" = "57fc868aae093479e3131e3d165c93b1c7474109d13c90ec0dda2a1bbfff0674"
--"checksum tokio-process 0.2.5 (registry+https://github.com/rust-lang/crates.io-index)" = "382d90f43fa31caebe5d3bc6cfd854963394fff3b8cb59d5146607aaae7e7e43"
--"checksum tokio-proto 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "8fbb47ae81353c63c487030659494b295f6cb6576242f907f203473b191b0389"
--"checksum tokio-reactor 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)" = "09bc590ec4ba8ba87652da2068d150dcada2cfa2e07faae270a5e0409aa51351"
--"checksum tokio-service 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "24da22d077e0f15f55162bdbdc661228c1581892f52074fb242678d015b45162"
--"checksum tokio-signal 0.2.9 (registry+https://github.com/rust-lang/crates.io-index)" = "d0c34c6e548f101053321cba3da7cbb87a610b85555884c41b07da2eb91aff12"
--"checksum tokio-sync 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)" = "edfe50152bc8164fcc456dab7891fa9bf8beaf01c5ee7e1dd43a397c3cf87dee"
--"checksum tokio-tcp 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "98df18ed66e3b72e742f185882a9e201892407957e45fbff8da17ae7a7c51f72"
--"checksum tokio-threadpool 0.1.18 (registry+https://github.com/rust-lang/crates.io-index)" = "df720b6581784c118f0eb4310796b12b1d242a7eb95f716a8367855325c25f89"
--"checksum tokio-timer 0.2.13 (registry+https://github.com/rust-lang/crates.io-index)" = "93044f2d313c95ff1cb7809ce9a7a05735b012288a888b62d4434fd58c94f296"
--"checksum tokio-udp 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "e2a0b10e610b39c38b031a2fcab08e4b82f16ece36504988dcbd81dbba650d82"
--"checksum tokio-uds 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)" = "ab57a4ac4111c8c9dbcf70779f6fc8bc35ae4b2454809febac840ad19bd7e4e0"
--"checksum try-lock 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ee2aa4715743892880f70885373966c83d73ef1b0838a664ef0c76fffd35e7c2"
--"checksum typenum 1.12.0 (registry+https://github.com/rust-lang/crates.io-index)" = "373c8a200f9e67a0c95e62a4f52fbf80c23b4381c05a17845531982fa99e6b33"
--"checksum unicase 2.6.0 (registry+https://github.com/rust-lang/crates.io-index)" = "50f37be617794602aabbeee0be4f259dc1778fabe05e2d67ee8f79326d5cb4f6"
--"checksum unicode-bidi 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)" = "49f2bd0c6468a8230e1db229cff8029217cf623c767ea5d60bfbd42729ea54d5"
--"checksum unicode-normalization 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)" = "6fb19cf769fa8c6a80a162df694621ebeb4dafb606470b2b2fce0be40a98a977"
--"checksum unicode-width 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)" = "9337591893a19b88d8d87f2cec1e73fad5cdfd10e5a6f349f498ad6ea2ffb1e3"
--"checksum unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "fc72304796d0818e357ead4e000d19c9c174ab23dc11093ac919054d20a6a7fc"
--"checksum unicode-xid 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "f7fe0bb3479651439c9112f72b6c505038574c9fbb575ed1bf3b797fa39dd564"
--"checksum url 1.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "dd4e7c0d531266369519a4aa4f399d748bd37043b00bde1e4ff1f60a120b355a"
--"checksum uuid 0.7.4 (registry+https://github.com/rust-lang/crates.io-index)" = "90dbc611eb48397705a6b0f6e917da23ae517e4d127123d2cf7674206627d32a"
--"checksum vergen 3.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "4ce50d8996df1f85af15f2cd8d33daae6e479575123ef4314a51a70a230739cb"
--"checksum version_check 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)" = "b5a972e5669d67ba988ce3dc826706fb0a8b01471c088cb0b6110b805cc36aed"
--"checksum void 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)" = "6a02e4885ed3bc0f2de90ea6dd45ebcbb66dacffe03547fadbb0eeae2770887d"
--"checksum vorbis 0.0.14 (registry+https://github.com/rust-lang/crates.io-index)" = "5e8a194457075360557b82dac78f7ca2d65bbb6679bccfabae5f7c8c706cc776"
--"checksum vorbis-sys 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "3a0a8d7034313748da1d84b0adfa501f83f9ec83250f37fbacfa92a3580327c4"
--"checksum vorbisfile-sys 0.0.8 (registry+https://github.com/rust-lang/crates.io-index)" = "4f4306d7e1ac4699b55e20de9483750b90c250913188efd7484db6bfbe9042d1"
--"checksum want 0.0.4 (registry+https://github.com/rust-lang/crates.io-index)" = "a05d9d966753fa4b5c8db73fcab5eed4549cfe0e1e4e66911e5564a0085c35d1"
--"checksum wasi 0.9.0+wasi-snapshot-preview1 (registry+https://github.com/rust-lang/crates.io-index)" = "cccddf32554fecc6acb585f82a32a72e28b48f8c4c1883ddfeeeaa96f7d8e519"
--"checksum winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)" = "167dc9d6949a9b857f3451275e911c3f44255842c1f7a76f33c55103a909087a"
--"checksum winapi 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)" = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
--"checksum winapi-build 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "2d315eee3b34aca4797b2da6b13ed88266e6d612562a0c46390af8299fc699bc"
--"checksum winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
--"checksum winapi-util 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)" = "70ec6ce85bb158151cae5e5c87f95a8e97d2c0c4b001223f33a334e3ce5de178"
--"checksum winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
--"checksum ws2_32-sys 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "d59cefebd0c892fa2dd6de581e937301d8552cb44489cdff035c6187cb63fa5e"
--"checksum zerocopy 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)" = "992b9b31f80fd4a167f903f879b8ca43d6716cc368ea01df90538baa2dd34056"
--"checksum zerocopy-derive 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "b090467ecd0624026e8a6405d343ac7382592530d54881330b3fc8e400280fa5"
-+ "proc-macro2 0.4.30",
-+ "syn 0.15.44",
-+ "synstructure",
-+]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/librespot/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/librespot/default.nix
index 61f709b33e1..de1952b9912 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/librespot/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/librespot/default.nix
@@ -4,17 +4,16 @@
rustPlatform.buildRustPackage rec {
pname = "librespot";
- version = "0.1.6";
+ version = "0.3.0";
src = fetchFromGitHub {
owner = "librespot-org";
repo = "librespot";
rev = "v${version}";
- sha256 = "153i9n3qwmmwc29f62cz8nbqrlry16iygvibm1sdnvpf0s6wk5f3";
+ sha256 = "0n7h690gplpp47gdj038g6ncgwr7wvwfkg00cbrbvxhv7kzqqa1f";
};
- cargoPatches = [ ./cargo-lock.patch ];
- cargoSha256 = "11d64rpq4b5rdxk5wx0hhzgc6mvs6h2br0w3kfncfklp67vn3v4v";
+ cargoSha256 = "0qakvpxvn84ppgs3qlsfan4flqkmjcgs698w25jasx9ymiv8wc3s";
cargoBuildFlags = with lib; [
"--no-default-features"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/lmms/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/lmms/default.nix
index f791ad51bf9..4830476af2c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/lmms/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/lmms/default.nix
@@ -1,5 +1,5 @@
{ lib, fetchFromGitHub, cmake, pkg-config, alsa-lib ? null, fftwFloat, fltk13
-, fluidsynth_1 ? null, lame ? null, libgig ? null, libjack2 ? null, libpulseaudio ? null
+, fluidsynth ? null, lame ? null, libgig ? null, libjack2 ? null, libpulseaudio ? null
, libsamplerate, libsoundio ? null, libsndfile, libvorbis ? null, portaudio ? null
, qtbase, qtx11extras, qttools, SDL ? null, mkDerivation }:
@@ -21,7 +21,7 @@ mkDerivation rec {
alsa-lib
fftwFloat
fltk13
- fluidsynth_1
+ fluidsynth
lame
libgig
libjack2
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/lollypop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/lollypop/default.nix
index 860a621847d..56427756288 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/lollypop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/lollypop/default.nix
@@ -25,7 +25,7 @@
python3.pkgs.buildPythonApplication rec {
pname = "lollypop";
- version = "1.4.17";
+ version = "1.4.23";
format = "other";
doCheck = false;
@@ -34,7 +34,7 @@ python3.pkgs.buildPythonApplication rec {
url = "https://gitlab.gnome.org/World/lollypop";
rev = "refs/tags/${version}";
fetchSubmodules = true;
- sha256 = "sha256-GrznUXIYUTYOKQ1znsCqmBdm5YImCABMK2NGRtx5fSk=";
+ sha256 = "sha256-wwdH3gMpYt40VGqrL1XfB1dOfg45zLKtTEI23AwjCis=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mimic/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mimic/default.nix
index 5ed51a99895..870584d357e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mimic/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mimic/default.nix
@@ -1,29 +1,37 @@
-{ config, lib, stdenv, autoreconfHook, fetchFromGitHub, pkg-config
-, alsa-lib, libtool, icu
+{ config, lib, stdenv, autoreconfHook, fetchFromGitHub, pkg-config, makeWrapper
+, alsa-lib, alsa-plugins, libtool, icu, pcre2
, pulseaudioSupport ? config.pulseaudio or false, libpulseaudio }:
stdenv.mkDerivation rec {
pname = "mimic";
- version = "1.2.0.2";
+ version = "1.3.0.1";
src = fetchFromGitHub {
- rev = version;
- repo = "mimic";
owner = "MycroftAI";
- sha256 = "1wkpbwk88lsahzkc7pzbznmyy0lc02vsp0vkj8f1ags1gh0lc52j";
+ repo = "mimic1";
+ rev = version;
+ sha256 = "1agwgby9ql8r3x5rd1rgx3xp9y4cdg4pi3kqlz3vanv9na8nf3id";
};
nativeBuildInputs = [
autoreconfHook
pkg-config
+ makeWrapper
];
buildInputs = [
alsa-lib
+ alsa-plugins
libtool
icu
+ pcre2
] ++ lib.optional pulseaudioSupport libpulseaudio;
+ postInstall = ''
+ wrapProgram $out/bin/mimic \
+ --run "export ALSA_PLUGIN_DIR=${alsa-plugins}/lib/alsa-lib"
+ '';
+
meta = {
description = "Mycroft's TTS engine, based on CMU's Flite (Festival Lite)";
homepage = "https://mimic.mycroft.ai/";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/default.nix
index 0deecaec7ff..971b226bc81 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/default.nix
@@ -37,5 +37,7 @@ lib.makeScope newScope (self: with self; {
mopidy-youtube = callPackage ./youtube.nix { };
+ mopidy-ytmusic = callPackage ./ytmusic.nix { };
+
mopidy-subidy = callPackage ./subidy.nix { };
})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/iris.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/iris.nix
index 131b2c680b9..d02ca3d747e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/iris.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/iris.nix
@@ -2,11 +2,11 @@
python3Packages.buildPythonApplication rec {
pname = "Mopidy-Iris";
- version = "3.58.0";
+ version = "3.59.0";
src = python3Packages.fetchPypi {
inherit pname version;
- sha256 = "1bsmc4p7b6v4mm8fi9zsy0knzdccnz1dc6ckrdr18kw2ji0hiyx2";
+ sha256 = "0llvn0khl07ni34jvb3a1r6rnkf0ljizhpqrs5bdishfhpwyhm0j";
};
propagatedBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/mpd.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/mpd.nix
index d686d492898..ab7e33ea3e3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/mpd.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/mpd.nix
@@ -2,11 +2,11 @@
python3Packages.buildPythonApplication rec {
pname = "Mopidy-MPD";
- version = "3.0.0";
+ version = "3.2.0";
src = python3Packages.fetchPypi {
inherit pname version;
- sha256 = "0prjli4352521igcsfcgmk97jmzgbfy4ik8hnli37wgvv252wiac";
+ sha256 = "sha256-oZvKr61lyu7CmXP2A/xtYng1FIUPyveVJMqUuv6UnaM=";
};
propagatedBuildInputs = [mopidy];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/mpris.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/mpris.nix
index 6327ba020bf..6bacfee627c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/mpris.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/mpris.nix
@@ -2,12 +2,12 @@
python3Packages.buildPythonApplication rec {
pname = "mopidy-mpris";
- version = "3.0.2";
+ version = "3.0.3";
src = python3Packages.fetchPypi {
inherit version;
pname = "Mopidy-MPRIS";
- sha256 = "0mmdaikw00f43gzjdbvlcvzff6yppm7v8mv012r79adzd992q9y0";
+ sha256 = "sha256-rHQgNIyludTEL7RDC8dIpyGTMOt1Tazn6i/orKlSP4U=";
};
propagatedBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/youtube.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/youtube.nix
index b84a756c2ce..ecb8128032e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/youtube.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/youtube.nix
@@ -1,29 +1,56 @@
-{ lib, python3Packages, mopidy }:
+{ lib
+, fetchFromGitHub
+, python3
+, mopidy
+}:
-python3Packages.buildPythonApplication rec {
+python3.pkgs.buildPythonApplication rec {
pname = "mopidy-youtube";
- version = "3.2";
+ version = "3.4";
- src = python3Packages.fetchPypi {
- inherit version;
- pname = "Mopidy-YouTube";
- sha256 = "0wmalfqnskglssq3gj6kkrq6h6c9yab503y72afhkm7n9r5c57zz";
- };
+ disabled = python3.pythonOlder "3.7";
- patchPhase = "sed s/bs4/beautifulsoup4/ -i setup.cfg";
+ src = fetchFromGitHub {
+ owner = "natumbri";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "0lm6nn926qkrwzvj64yracdixfrnv5zk243msjskrnlzkhgk01rk";
+ };
- propagatedBuildInputs = [
+ propagatedBuildInputs = with python3.pkgs; [
+ beautifulsoup4
+ cachetools
+ pykka
+ requests
+ youtube-dl
+ ytmusicapi
+ ] ++ [
mopidy
- python3Packages.beautifulsoup4
- python3Packages.cachetools
- python3Packages.youtube-dl
];
- doCheck = false;
+ checkInputs = with python3.pkgs; [
+ vcrpy
+ pytestCheckHook
+ ];
+
+ disabledTests = [
+ # Test requires a YouTube API key
+ "test_get_default_config"
+ ];
+
+ disabledTestPaths = [
+ # Fails with an import error
+ "tests/test_backend.py"
+ ];
+
+ pythonImportsCheck = [
+ "mopidy_youtube"
+ ];
meta = with lib; {
description = "Mopidy extension for playing music from YouTube";
+ homepage = "https://github.com/natumbri/mopidy-youtube";
license = licenses.asl20;
- maintainers = [ maintainers.spwhitt ];
+ maintainers = with maintainers; [ spwhitt ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/ytmusic.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/ytmusic.nix
new file mode 100644
index 00000000000..92b75414785
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mopidy/ytmusic.nix
@@ -0,0 +1,26 @@
+{ lib, python3Packages, mopidy }:
+
+python3Packages.buildPythonApplication rec {
+ pname = "mopidy-ytmusic";
+ version = "0.3.2";
+
+ src = python3Packages.fetchPypi {
+ inherit version;
+ pname = "Mopidy-YTMusic";
+ sha256 = "sha256-BZtW+qHsTnOMj+jdAFI8ZMwGxJc9lNosgPJZGbt4JgU=";
+ };
+
+ propagatedBuildInputs = [
+ mopidy
+ python3Packages.ytmusicapi
+ python3Packages.pytube
+ ];
+
+ doCheck = false;
+
+ meta = with lib; {
+ description = "Mopidy extension for playing music from YouTube Music";
+ license = licenses.asl20;
+ maintainers = [ maintainers.nickhu ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mousai/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mousai/default.nix
index 9044d201c45..f61b7c5b7b9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mousai/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mousai/default.nix
@@ -1,6 +1,7 @@
{ lib
, python3
, fetchFromGitHub
+, substituteAll
, appstream-glib
, desktop-file-utils
, gettext
@@ -13,12 +14,13 @@
, meson
, ninja
, pkg-config
+, pulseaudio
, wrapGAppsHook
}:
python3.pkgs.buildPythonApplication rec {
pname = "mousai";
- version = "0.4.2";
+ version = "0.6.6";
format = "other";
@@ -26,9 +28,16 @@ python3.pkgs.buildPythonApplication rec {
owner = "SeaDve";
repo = "Mousai";
rev = "v${version}";
- sha256 = "sha256-zH++GGFIz3oxkKOYB4zhY6yL3vENEXxtrv8mZZ+41kU=";
+ sha256 = "sha256-nCbFVFg+nVF8BOBfdzQVgdTRXR5UF18PJFC266yTFwg=";
};
+ patches = [
+ (substituteAll {
+ src = ./paths.patch;
+ pactl = "${lib.getBin pulseaudio}/bin/pactl";
+ })
+ ];
+
postPatch = ''
patchShebangs build-aux/meson
'';
@@ -53,6 +62,7 @@ python3.pkgs.buildPythonApplication rec {
gtk4
libadwaita
librsvg
+ pulseaudio
];
propagatedBuildInputs = with python3.pkgs; [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mousai/paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mousai/paths.patch
new file mode 100644
index 00000000000..35b328ad0ea
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mousai/paths.patch
@@ -0,0 +1,13 @@
+diff --git a/src/backend/utils.py b/src/backend/utils.py
+index cebc009..0087c09 100644
+--- a/src/backend/utils.py
++++ b/src/backend/utils.py
+@@ -79,7 +79,7 @@ class Utils:
+ @staticmethod
+ def get_default_audio_sources():
+ pactl_output = subprocess.run(
+- ['/usr/bin/pactl', 'info'],
++ ['@pactl@', 'info'],
+ stdout=subprocess.PIPE,
+ text=True
+ ).stdout.splitlines()
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mpdevil/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mpdevil/default.nix
index 6dd9b07bdb2..b55cf9be351 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mpdevil/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/mpdevil/default.nix
@@ -1,6 +1,7 @@
{ lib, fetchFromGitHub
, python3Packages
, gdk-pixbuf, glib, gobject-introspection, gtk3
+, libnotify
, intltool
, wrapGAppsHook }:
@@ -20,7 +21,7 @@ python3Packages.buildPythonApplication rec {
];
buildInputs = [
- gdk-pixbuf glib
+ gdk-pixbuf glib libnotify
];
propagatedBuildInputs = with python3Packages; [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ncspot/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ncspot/default.nix
index 012315a7d72..d37cec15ffc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ncspot/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ncspot/default.nix
@@ -14,16 +14,16 @@ let
in
rustPlatform.buildRustPackage rec {
pname = "ncspot";
- version = "0.8.2";
+ version = "0.9.0";
src = fetchFromGitHub {
owner = "hrkfdn";
repo = "ncspot";
rev = "v${version}";
- sha256 = "1rs1jy7zzfgqzr64ld8whn0wlw8n7rk1svxx0xfxm3ynmgc7sd68";
+ sha256 = "07qqs5q64zaxl3b2091vjihqb35fm0136cm4zibrgpx21akmbvr2";
};
- cargoSha256 = "10g7gdi1iz751wa60vr4fs0cvfsgs3pfcp8pnywicl0vsdp25fmc";
+ cargoSha256 = "0sdbba32f56z2q7kha5fxw2f00hikbz9sf4zl4wfl2i9b13j7mj0";
cargoBuildFlags = [ "--no-default-features" "--features" "${lib.concatStringsSep "," features}" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/noisetorch/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/noisetorch/default.nix
index 05e17bf7c98..b24c2eebcf7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/noisetorch/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/noisetorch/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "NoiseTorch";
- version = "0.11.3";
+ version = "0.11.4";
src = fetchFromGitHub {
owner = "lawl";
repo = "NoiseTorch";
rev = version;
- sha256 = "0rjs6hbi7dvd179lzjmvqy4rv4pbc9amgzb8jfky4yc0zh8xf5z5";
+ sha256 = "sha256-3+Yk7dqD7eyvd1I5CMmrg085ZtFxD2EnGqL5ttwx8eM=";
};
vendorSha256 = null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/oxefmsynth/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/oxefmsynth/default.nix
index 427029f3c86..0e3e801d18c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/oxefmsynth/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/oxefmsynth/default.nix
@@ -22,7 +22,7 @@ in stdenv.mkDerivation rec {
sha256 = "1rk71ls33a38wx8i22plsi7d89cqqxrfxknq5i4f9igsw1ipm4gn";
};
- NIX_CFLAGS_COMPILE = [ "-Wno-error=narrowing" ];
+ NIX_CFLAGS_COMPILE = [ "-Wno-narrowing" ];
buildFlags = [ "VSTSDK_PATH=${vst-sdk}/VST2_SDK" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pamixer/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pamixer/default.nix
index 244e688fe57..ac3df5c5f95 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pamixer/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pamixer/default.nix
@@ -1,21 +1,19 @@
-{ lib, stdenv, fetchFromGitHub, fetchpatch, boost, libpulseaudio }:
+{ lib, stdenv, fetchFromGitHub, boost, libpulseaudio }:
stdenv.mkDerivation rec {
pname = "pamixer";
- version = "1.4";
+ version = "1.5";
src = fetchFromGitHub {
owner = "cdemoulins";
repo = "pamixer";
rev = version;
- sha256 = "1i14550n8paijwwnhksv5izgfqm3s5q2773bdfp6vyqybkll55f7";
+ sha256 = "sha256-7VNhHAQ1CecQPlqb8SMKK0U1SsFZxDuS+QkPqJfMqrQ=";
};
buildInputs = [ boost libpulseaudio ];
- installPhase = ''
- install -Dm755 pamixer -t $out/bin
- '';
+ makeFlags = [ "PREFIX=$(out)" ];
meta = with lib; {
description = "Pulseaudio command line mixer";
@@ -29,7 +27,9 @@ stdenv.mkDerivation rec {
- Mute or unmute a device
'';
homepage = "https://github.com/cdemoulins/pamixer";
+ maintainers = with maintainers; [ thiagokokada ];
license = licenses.gpl3;
platforms = platforms.linux;
+ mainProgram = "pamixer";
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pavucontrol/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pavucontrol/default.nix
index c7f12a8df6c..41e5eeb8229 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pavucontrol/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pavucontrol/default.nix
@@ -1,26 +1,35 @@
-{ fetchurl, fetchpatch, lib, stdenv, pkg-config, intltool, libpulseaudio,
-gtkmm3 , libcanberra-gtk3, gnome, wrapGAppsHook }:
+{ fetchurl
+, fetchpatch
+, lib
+, stdenv
+, pkg-config
+, intltool
+, libpulseaudio
+, gtkmm3
+, libsigcxx
+, libcanberra-gtk3
+, json-glib
+, gnome
+, wrapGAppsHook
+}:
stdenv.mkDerivation rec {
pname = "pavucontrol";
- version = "4.0";
+ version = "5.0";
src = fetchurl {
url = "https://freedesktop.org/software/pulseaudio/${pname}/${pname}-${version}.tar.xz";
- sha256 = "1qhlkl3g8d7h72xjskii3g1l7la2cavwp69909pzmbi2jyn5pi4g";
+ sha256 = "sha256-zityw7XxpwrQ3xndgXUPlFW9IIcNHTo20gU2ry6PTno=";
};
- patches = [
- # Can be removed with the next version bump
- # https://gitlab.freedesktop.org/pulseaudio/pavucontrol/-/merge_requests/20
- (fetchpatch {
- name = "streamwidget-fix-drop-down-wayland.patch";
- url = "https://gitlab.freedesktop.org/pulseaudio/pavucontrol/-/commit/ae278b8643cf1089f66df18713c8154208d9a505.patch";
- sha256 = "066vhxjz6gmi2sp2n4pa1cdsxjnq6yml5js094g5n7ld34p84dpj";
- })];
-
- buildInputs = [ libpulseaudio gtkmm3 libcanberra-gtk3
- gnome.adwaita-icon-theme ];
+ buildInputs = [
+ libpulseaudio
+ gtkmm3
+ libsigcxx
+ libcanberra-gtk3
+ json-glib
+ gnome.adwaita-icon-theme
+ ];
nativeBuildInputs = [ pkg-config intltool wrapGAppsHook ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/picard/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/picard/default.nix
index dc030cc708b..0a7c28f3a63 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/picard/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/picard/default.nix
@@ -18,13 +18,13 @@ let
in
pythonPackages.buildPythonApplication rec {
pname = "picard";
- version = "2.6.3";
+ version = "2.6.4";
src = fetchFromGitHub {
owner = "metabrainz";
repo = pname;
rev = "release-${version}";
- sha256 = "sha256-bSqGgRXqHGjT+OYCEafsT/btVe+n91+L0kB8fnrywss=";
+ sha256 = "0lm7s9jy7z4an3xxj3gnxxf2xx045i157qaxysbdhcq5lwlmznc7";
};
nativeBuildInputs = [ gettext qt5.wrapQtAppsHook qt5.qtbase ]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/plexamp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/plexamp/default.nix
index 9921c1b7e88..c542517797e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/plexamp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/plexamp/default.nix
@@ -2,13 +2,13 @@
let
pname = "plexamp";
- version = "3.5.0";
+ version = "3.7.1";
name = "${pname}-${version}";
src = fetchurl {
url = "https://plexamp.plex.tv/plexamp.plex.tv/desktop/Plexamp-${version}.AppImage";
name="${pname}-${version}.AppImage";
- sha512 = "NjhrtGQsIbNDmGPEDmEbaHSfvUTFb1e7yPorF/BzWTfwVoFZEJiNzP/1k+zTJ4Yfd4mG0W0GYx0jh8m/micWIg==";
+ sha512 = "jKuuM1vQANGYE2W0OGl+35mB1ve5K/xPcBTk2O1azPRBDlRVU0DHRSQy2T71kwhxES1ASRt91qAV/dATk6oUkw==";
};
appimageContents = appimageTools.extractType2 {
@@ -34,7 +34,7 @@ in appimageTools.wrapType2 {
meta = with lib; {
description = "A beautiful Plex music player for audiophiles, curators, and hipsters";
homepage = "https://plexamp.com/";
- changelog = "https://forums.plex.tv/t/plexamp-release-notes/221280/30";
+ changelog = "https://forums.plex.tv/t/plexamp-release-notes/221280/32";
license = licenses.unfree;
maintainers = with maintainers; [ killercup synthetica ];
platforms = [ "x86_64-linux" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/praat/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/praat/default.nix
index 83456dcb7c8..75a706cff1e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/praat/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/praat/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "praat";
- version = "6.1.51";
+ version = "6.1.53";
src = fetchFromGitHub {
owner = "praat";
repo = "praat";
rev = "v${version}";
- sha256 = "sha256-4goZRNKNFrfKRbGODJMhN6DyOh8U3+nWRDF1VMT7I1E=";
+ sha256 = "sha256-4GOVrKVHl/Cj0PNx+rcLESn5fbyIsnzaheMOFLlEVMU=";
};
configurePhase = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pt2-clone/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pt2-clone/default.nix
index 2724f889337..6abc120379d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pt2-clone/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pt2-clone/default.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation rec {
pname = "pt2-clone";
- version = "1.32";
+ version = "1.34";
src = fetchFromGitHub {
owner = "8bitbubsy";
repo = "pt2-clone";
rev = "v${version}";
- sha256 = "sha256-U1q4xCOzV7n31WgCTGlEXvZaUT/TP797cOAHkecQaLo=";
+ sha256 = "sha256-JT3I06qm3oljsySIgK5xP2RC3KAb5QBrNVdip0ds4KE=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ptcollab/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ptcollab/default.nix
index 2738a865346..ffc2d72891d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ptcollab/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/ptcollab/default.nix
@@ -13,13 +13,13 @@
mkDerivation rec {
pname = "ptcollab";
- version = "0.4.2";
+ version = "0.5.0";
src = fetchFromGitHub {
owner = "yuxshao";
repo = "ptcollab";
rev = "v${version}";
- sha256 = "sha256-AeIjc+FoFsTcyWl261GvyySIHP107rL4JkuMXFhnPbk=";
+ sha256 = "sha256-sN3O8m+ib6Chb/RXTFbNWW6PnrolCHpmC/avRX93AH4=";
};
nativeBuildInputs = [ qmake pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/pyradio/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pyradio/default.nix
similarity index 83%
rename from infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/pyradio/default.nix
rename to infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pyradio/default.nix
index f23359688c6..ec937565aa2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/pyradio/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/pyradio/default.nix
@@ -2,13 +2,13 @@
python3Packages.buildPythonApplication rec {
pname = "pyradio";
- version = "0.8.7.2";
+ version = "0.8.9.9";
src = fetchFromGitHub {
owner = "coderholic";
repo = pname;
rev = version;
- sha256 = "0h2sxaqpmc1d1kpvpbcs9wymgzhx25x0x9p7dbyfw9r90i6123q1";
+ sha256 = "04asw5alkkf2q5iixswarj6ddb0y4a6ixm7cckl6204jiyxpv6kc";
};
checkPhase = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qjackctl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qjackctl/default.nix
index 7196829afa0..7d1ec9f9978 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qjackctl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qjackctl/default.nix
@@ -5,7 +5,7 @@
}:
mkDerivation rec {
- version = "0.9.0";
+ version = "0.9.4";
pname = "qjackctl";
# some dependencies such as killall have to be installed additionally
@@ -14,7 +14,7 @@ mkDerivation rec {
owner = "rncbc";
repo = "qjackctl";
rev = "${pname}_${lib.replaceChars ["."] ["_"] version}";
- sha256 = "044kgwk7pfywad4myza0s2kvfkl21zkqq5wgny7n3c43qlcgs3zr";
+ sha256 = "sha256-eZKrPQ07Z3pF5dArZ4QSclrRCaPHpPb8S5HANLUS9MM=";
};
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qmidinet/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qmidinet/default.nix
index 51772cc135b..556787ca9ea 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qmidinet/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qmidinet/default.nix
@@ -1,12 +1,12 @@
{ mkDerivation, lib, fetchurl, pkg-config, qtbase, qttools, alsa-lib, libjack2 }:
mkDerivation rec {
- version = "0.9.1";
+ version = "0.9.4";
pname = "qmidinet";
src = fetchurl {
url = "mirror://sourceforge/qmidinet/${pname}-${version}.tar.gz";
- sha256 = "sha256-cDgF5hbjy5DzGn4Rlmb76XzRa2wURVwPu2rQRKENxQU=";
+ sha256 = "sha256-7Ui4kUgYgpPVAaaINrd6WGZoYon5UuHszGVaHafb/p0=";
};
hardeningDisable = [ "format" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qsynth/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qsynth/default.nix
index 6d75c32a666..f9eaa890c57 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qsynth/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qsynth/default.nix
@@ -4,11 +4,11 @@
mkDerivation rec {
pname = "qsynth";
- version = "0.9.1";
+ version = "0.9.4";
src = fetchurl {
url = "mirror://sourceforge/qsynth/${pname}-${version}.tar.gz";
- sha256 = "sha256-VNcI5QOVacHBcI6psEvhm7+cOTpwr2pMVXmk7nMXNiY=";
+ sha256 = "sha256-dlgIkMde7uv4UlMKEPhtZ7MfSTBc7RvHs+Q2yk+G/JM=";
};
nativeBuildInputs = [ autoconf pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qtractor/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qtractor/default.nix
index b1d0928b86a..cb564d0514b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qtractor/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/qtractor/default.nix
@@ -30,11 +30,11 @@
mkDerivation rec {
pname = "qtractor";
- version = "0.9.19";
+ version = "0.9.23";
src = fetchurl {
url = "mirror://sourceforge/${pname}/${pname}-${version}.tar.gz";
- sha256 = "sha256-98/trRZRvNRPEA4ASS81qp2rMevpo5TIrtsU1TYMuT0=";
+ sha256 = "sha256-GgDc7WM4nVGlq+8EcwxJ7MnSPYwAej51IMrN0glCTbQ=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/reaper/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/reaper/default.nix
index 773560c83ca..a7063b9f3e2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/reaper/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/reaper/default.nix
@@ -17,12 +17,14 @@
stdenv.mkDerivation rec {
pname = "reaper";
- version = "6.29";
+ version = "6.38";
src = fetchurl {
- url = "https://www.reaper.fm/files/${lib.versions.major version}.x/reaper${builtins.replaceStrings ["."] [""] version}_linux_${stdenv.targetPlatform.qemuArch}.tar.xz";
- hash = if stdenv.isx86_64 then "sha256-DOul6J2Y7szy4+Q4SeO0uG6PSuU+MELE7ky8W3mSpTQ="
- else "sha256-67iTi6bFlbQtyCjnPIjK8K/3aV+zaCsWBRCWmgYonM4=";
+ url = "https://www.reaper.fm/files/${lib.versions.major version}.x/reaper${builtins.replaceStrings ["."] [""] version}_linux_${stdenv.hostPlatform.qemuArch}.tar.xz";
+ hash = {
+ x86_64-linux = "sha256-K5EnrmzP8pyW9dR1fbMzkPzpS6aHm8JF1+m3afnH4rU=";
+ aarch64-linux = "sha256-6wNWDXjQNyfU2l9Xi9JtmAuoKtHuIY5cvNMjYkwh2Sk=";
+ }.${stdenv.hostPlatform.system};
};
nativeBuildInputs = [
@@ -76,6 +78,6 @@ stdenv.mkDerivation rec {
homepage = "https://www.reaper.fm/";
license = licenses.unfree;
platforms = [ "x86_64-linux" "aarch64-linux" ];
- maintainers = with maintainers; [ jfrankenau ilian ];
+ maintainers = with maintainers; [ jfrankenau ilian orivej ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/samplv1/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/samplv1/default.nix
index 8c707051686..95035570e92 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/samplv1/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/samplv1/default.nix
@@ -5,11 +5,11 @@
mkDerivation rec {
pname = "samplv1";
- version = "0.9.20";
+ version = "0.9.23";
src = fetchurl {
url = "mirror://sourceforge/samplv1/${pname}-${version}.tar.gz";
- sha256 = "sha256-9tm72lV9i/155TVweNwO2jpPsCJkh6r82g7Z1wCI1ho=";
+ sha256 = "sha256-eJA6ixH20Wv+cD2CKGomncyfJ4tfpOL3UrTeCkb5/q0=";
};
nativeBuildInputs = [ qttools pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sayonara/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sayonara/default.nix
index 651ac20db22..12a7ab0325e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sayonara/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sayonara/default.nix
@@ -22,13 +22,13 @@ let
in
mkDerivation rec {
pname = "sayonara";
- version = "1.6.0-beta7";
+ version = "1.7.0-stable3";
src = fetchFromGitLab {
owner = "luciocarreras";
repo = "sayonara-player";
rev = version;
- sha256 = "14svszfldx32vn937rszd21rgl31vb5kzs0hnrg41ygx0br61rvd";
+ sha256 = "sha256-tJ/8tGNkmTwWRCpPy/h85SP/6QDAgcaKWJdM5MSAXJw=";
};
nativeBuildInputs = [ cmake ninja pkg-config qttools ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sc68/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sc68/default.nix
index 2ea9b123c08..3f6bb032ec4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sc68/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sc68/default.nix
@@ -13,12 +13,12 @@
stdenv.mkDerivation rec {
pname = "sc68";
- version = "unstable-2020-05-18";
+ version = "unstable-2021-08-23";
src = fetchsvn {
url = "svn://svn.code.sf.net/p/sc68/code/";
- rev = "693";
- sha256 = "0liz5yjwiy41y160ag83zz9s5l8mk72fscxgvjv9g5qf4gwffnfa";
+ rev = "694";
+ sha256 = "1yycnr4ndzfhbmki41c30zskwyizpb9wb8sf0gxcprllmbq6a421";
};
preConfigure = "tools/svn-bootstrap.sh";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sidplayfp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sidplayfp/default.nix
index 18bd8170f1d..73f1f650677 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sidplayfp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sidplayfp/default.nix
@@ -16,13 +16,13 @@
stdenv.mkDerivation rec {
pname = "sidplayfp";
- version = "2.2.1";
+ version = "2.2.2";
src = fetchFromGitHub {
owner = "libsidplayfp";
repo = "sidplayfp";
rev = "v${version}";
- sha256 = "sha256-IlPZmZpWxMaArkRnqu6JCGxiHU7JczRxiySqzAopfxc=";
+ sha256 = "sha256-DBZZf3A0AYkeQxQvHGyHHbsQ2EDuxsZnZPbxkWTNcHA=";
};
nativeBuildInputs = [ autoreconfHook perl pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/snd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/snd/default.nix
index 4881360b82e..56d1dacaf9a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/snd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/snd/default.nix
@@ -4,11 +4,11 @@
stdenv.mkDerivation rec {
pname = "snd";
- version = "21.1";
+ version = "21.7";
src = fetchurl {
url = "mirror://sourceforge/snd/snd-${version}.tar.gz";
- sha256 = "1jxvpgx1vqa6bwdzlzyzrjn2swjf9nfhzi9r1r96ivi0870vvjk3";
+ sha256 = "sha256-GjaPZmJfodvYvhObGcBDRN0mIyc6Vxycd0BZGHdvoJA=";
};
nativeBuildInputs = [ pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/songrec/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/songrec/default.nix
index e9944bc0da3..166784a164d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/songrec/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/songrec/default.nix
@@ -10,16 +10,16 @@
rustPlatform.buildRustPackage rec {
pname = "songrec";
- version = "0.1.9";
+ version = "0.2.0";
src = fetchFromGitHub {
owner = "marin-m";
repo = pname;
rev = version;
- sha256 = "sha256-2n6bj/JlbOTs3AyQKItacutUl1nmb6YsrXvRSp9C+BA=";
+ sha256 = "sha256-9fq2P+F7Olm9bUQ1HbH/Lzb5J2mJCma+x/vuH3wf+zY=";
};
- cargoSha256 = "sha256-3sr7Rtp34Y2oCI+/6mE6C7jRx0xloiljuP0nlYACfMY=";
+ cargoSha256 = "sha256-ATlwBMuT8AufkrZNe1+U74hYRN4V88ZDKYvCWV52iyI=";
nativeBuildInputs = [ pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sonic-pi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sonic-pi/default.nix
index c84aa039c52..f7a3e2e3674 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sonic-pi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/sonic-pi/default.nix
@@ -102,7 +102,12 @@ mkDerivation rec {
dontWrapQtApps = true;
preFixup = ''
wrapQtApp "$out/bin/sonic-pi" \
- --prefix PATH : ${ruby}/bin:${bash}/bin:${supercollider}/bin:${jack2}/bin \
+ --prefix PATH : ${lib.makeBinPath [ bash jack2 ruby supercollider ] } \
+ --set AUBIO_LIB "${aubio}/lib/libaubio.so"
+ makeWrapper \
+ $out/app/server/ruby/bin/sonic-pi-server.rb \
+ $out/bin/sonic-pi-server \
+ --prefix PATH : ${lib.makeBinPath [ bash jack2 ruby supercollider ] } \
--set AUBIO_LIB "${aubio}/lib/libaubio.so"
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/spot/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/spot/default.nix
index 2859a2e942e..aa7a041f40c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/spot/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/spot/default.nix
@@ -10,8 +10,9 @@
, rustPlatform
, pkg-config
, glib
+, libadwaita
, libhandy
-, gtk3
+, gtk4
, openssl
, alsa-lib
, libpulseaudio
@@ -20,19 +21,19 @@
stdenv.mkDerivation rec {
pname = "spot";
- version = "0.1.14";
+ version = "0.2.0";
src = fetchFromGitHub {
owner = "xou816";
repo = "spot";
rev = version;
- sha256 = "eHhbm1amTx3ngqsP32uDEdrhrBeurMftg5SToTQGX9o=";
+ sha256 = "16pri0in514xzy21bsijyvyyjwa0f6lg4zyizmdcmcdw4glrs11m";
};
cargoDeps = rustPlatform.fetchCargoTarball {
inherit src;
name = "${pname}-${version}";
- hash = "sha256-jY7pWoY9IJi5hHVRS1gQKb+Vmfc+wxHvoAwupOtXXQs=";
+ sha256 = "1fvnidxh4rnkzqg3qjk3zlkp2d41qdamm0bfavk8jrazw8sgih84";
};
nativeBuildInputs = [
@@ -41,7 +42,7 @@ stdenv.mkDerivation rec {
ninja
pkg-config
python3 # for meson postinstall script
- gtk3 # for gtk-update-icon-cache
+ gtk4 # for gtk-update-icon-cache
glib # for glib-compile-schemas
desktop-file-utils
rustPlatform.rust.cargo
@@ -52,13 +53,17 @@ stdenv.mkDerivation rec {
buildInputs = [
glib
- gtk3
+ gtk4
+ libadwaita
libhandy
openssl
alsa-lib
libpulseaudio
];
+ # https://github.com/xou816/spot/issues/313
+ mesonBuildType = "release";
+
postPatch = ''
chmod +x build-aux/cargo.sh
patchShebangs build-aux/cargo.sh build-aux/meson/postinstall.py
@@ -74,6 +79,6 @@ stdenv.mkDerivation rec {
description = "Native Spotify client for the GNOME desktop";
homepage = "https://github.com/xou816/spot";
license = licenses.mit;
- maintainers = with maintainers; [ jtojnar ];
+ maintainers = with maintainers; [ jtojnar tomfitzhenry ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/spotify/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/spotify/default.nix
index d81ab4b3ac7..48e6a85f5d2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/spotify/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/spotify/default.nix
@@ -10,20 +10,20 @@ let
# If an update breaks things, one of those might have valuable info:
# https://aur.archlinux.org/packages/spotify/
# https://community.spotify.com/t5/Desktop-Linux
- version = "1.1.55.498.gf9a83c60";
+ version = "1.1.68.628.geb44bd66";
# To get the latest stable revision:
# curl -H 'X-Ubuntu-Series: 16' 'https://api.snapcraft.io/api/v1/snaps/details/spotify?channel=stable' | jq '.download_url,.version,.last_updated'
# To get general information:
# curl -H 'Snap-Device-Series: 16' 'https://api.snapcraft.io/v2/snaps/info/spotify' | jq '.'
# More examples of api usage:
# https://github.com/canonical-websites/snapcraft.io/blob/master/webapp/publisher/snaps/views.py
- rev = "46";
+ rev = "52";
deps = [
alsa-lib
- atk
at-spi2-atk
at-spi2-core
+ atk
cairo
cups
curl
@@ -46,7 +46,10 @@ let
pango
stdenv.cc.cc
systemd
+ xorg.libICE
+ xorg.libSM
xorg.libX11
+ xorg.libxcb
xorg.libXcomposite
xorg.libXcursor
xorg.libXdamage
@@ -56,10 +59,8 @@ let
xorg.libXrandr
xorg.libXrender
xorg.libXScrnSaver
+ xorg.libxshmfence
xorg.libXtst
- xorg.libxcb
- xorg.libSM
- xorg.libICE
zlib
];
@@ -79,7 +80,7 @@ stdenv.mkDerivation {
# https://community.spotify.com/t5/Desktop-Linux/Redistribute-Spotify-on-Linux-Distributions/td-p/1695334
src = fetchurl {
url = "https://api.snapcraft.io/api/v1/snaps/download/pOBIoZ2LrCB3rDohMxoYGnbN14EHOgD7_${rev}.snap";
- sha512 = "dabb55d2ba41f977b6d3f03bfcf147d11785136dd1277efc62011c8371ef25cc04531266bd16608639b9b6a500c1a18a45f44ba7a43e17ab5ac139e36eff7149";
+ sha512 = "be6f1cb650924eb9e244497374d1dfe6136d28056dbecc7000a03341a4bb4c6ab2c83ec6c707bd6f57afde95262230eafbde08e9c7a7dfcacdf660eb10499f3a";
};
nativeBuildInputs = [ makeWrapper wrapGAppsHook squashfsTools ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/tauon/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/tauon/default.nix
new file mode 100644
index 00000000000..8213aeb5fe5
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/tauon/default.nix
@@ -0,0 +1,110 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, pkg-config
+, python3Packages
+, ffmpeg
+, flac
+, gobject-introspection
+, gtk3
+, libnotify
+, libsamplerate
+, libvorbis
+, mpg123
+, libopenmpt
+, opusfile
+, pango
+, pulseaudio
+, withDiscordRPC ? false
+}:
+
+stdenv.mkDerivation rec {
+ pname = "tauon";
+ version = "6.7.1";
+
+ src = fetchFromGitHub {
+ owner = "Taiko2k";
+ repo = "TauonMusicBox";
+ rev = "v${version}";
+ sha256 = "1hm82yfq7q2akrrvff3vmwrd3bz34d2dk8jzhnizhnhar6xc6fzp";
+ };
+
+ postPatch = ''
+ substituteInPlace tauon.py \
+ --replace 'install_mode = False' 'install_mode = True' \
+ --replace 'install_directory = os.path.dirname(__file__)' 'install_directory = "${placeholder "out"}/share/tauon"'
+
+ substituteInPlace t_modules/t_main.py \
+ --replace 'install_mode = False' 'install_mode = True' \
+ --replace 'install_directory = sys.path[0]' 'install_directory = "${placeholder "out"}/share/tauon"' \
+ --replace 'libopenmpt.so' '${lib.getLib libopenmpt}/lib/libopenmpt.so' \
+ --replace 'lib/libphazor.so' '../../lib/libphazor.so'
+
+ substituteInPlace t_modules/t_phazor.py \
+ --replace 'lib/libphazor.so' '../../lib/libphazor.so'
+
+ patchShebangs compile-phazor.sh
+ '';
+
+ postBuild = ''
+ ./compile-phazor.sh
+ '';
+
+ nativeBuildInputs = [
+ pkg-config
+ python3Packages.wrapPython
+ ];
+
+ buildInputs = [
+ flac
+ gobject-introspection
+ gtk3
+ libnotify
+ libopenmpt
+ libsamplerate
+ libvorbis
+ mpg123
+ opusfile
+ pango
+ pulseaudio
+ ];
+
+ pythonPath = with python3Packages; [
+ dbus-python
+ isounidecode
+ musicbrainzngs
+ mutagen
+ pillow
+ pulsectl
+ pycairo
+ pylast
+ pygobject3
+ pylyrics
+ pysdl2
+ requests
+ send2trash
+ ] ++ lib.optional withDiscordRPC pypresence;
+
+ makeWrapperArgs = [
+ "--prefix PATH : ${lib.makeBinPath [ffmpeg]}"
+ "--prefix PYTHONPATH : $out/share/tauon"
+ "--set GI_TYPELIB_PATH $GI_TYPELIB_PATH"
+ ];
+
+ installPhase = ''
+ install -Dm755 tauon.py $out/bin/tauon
+ mkdir -p $out/share/tauon
+ cp -r lib $out
+ cp -r assets input.txt t_modules theme $out/share/tauon
+
+ wrapPythonPrograms
+ '';
+
+ meta = with lib; {
+ description = "The Linux desktop music player from the future";
+ homepage = "https://tauonmusicbox.rocks/";
+ license = licenses.gpl3;
+ maintainers = with maintainers; [ SuperSandro2000 ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/tonelib-gfx/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/tonelib-gfx/default.nix
index 00be0934552..108f39925b4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/tonelib-gfx/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/tonelib-gfx/default.nix
@@ -1,65 +1,58 @@
-{ stdenv
-, dpkg
-, lib
-, autoPatchelfHook
+{ lib
+, stdenv
, fetchurl
-, gtk3
-, glib
-, desktop-file-utils
+, autoPatchelfHook
+, dpkg
, alsa-lib
-, libjack2
-, harfbuzz
-, fribidi
-, pango
, freetype
+, libglvnd
, curl
+, libXcursor
+, libXinerama
+, libXrandr
+, libXrender
+, libjack2
}:
stdenv.mkDerivation rec {
pname = "tonelib-gfx";
- version = "4.6.6";
+ version = "4.7.0";
src = fetchurl {
- url = "https://www.tonelib.net/download/0509/ToneLib-GFX-amd64.deb";
- sha256 = "sha256-wdX3SQSr0IZHsTUl+1Y0iETme3gTyryexhZ/9XHkGeo=";
+ url = "https://www.tonelib.net/download/0930/ToneLib-GFX-amd64.deb";
+ hash = "sha256-BcbX0dz94B4mj6QeQsnuZmwXAaXH+yJjnrUPgEYVqkU=";
};
+ nativeBuildInputs = [ autoPatchelfHook dpkg ];
+
buildInputs = [
- dpkg
- gtk3
- glib
- desktop-file-utils
+ stdenv.cc.cc.lib
alsa-lib
- libjack2
- harfbuzz
- fribidi
- pango
freetype
+ libglvnd
+ ] ++ runtimeDependencies;
+
+ runtimeDependencies = map lib.getLib [
+ curl
+ libXcursor
+ libXinerama
+ libXrandr
+ libXrender
+ libjack2
];
- nativeBuildInputs = [
- autoPatchelfHook
- ];
-
- unpackPhase = ''
- mkdir -p $TMP/ $out/
- dpkg -x $src $TMP
- '';
+ unpackCmd = "dpkg -x $curSrc source";
installPhase = ''
- cp -R $TMP/usr/* $out/
- mv $out/bin/ToneLib-GFX $out/bin/tonelib-gfx
- '';
-
- runtimeDependencies = [
- (lib.getLib curl)
- ];
+ mv usr $out
+ substituteInPlace $out/share/applications/ToneLib-GFX.desktop --replace /usr/ $out/
+ '';
meta = with lib; {
description = "Tonelib GFX is an amp and effects modeling software for electric guitar and bass.";
homepage = "https://tonelib.net/";
license = licenses.unfree;
- maintainers = with maintainers; [ dan4ik605743 ];
- platforms = platforms.linux;
+ maintainers = with maintainers; [ dan4ik605743 orivej ];
+ platforms = [ "x86_64-linux" ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/vmpk/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/vmpk/default.nix
index 45142568f98..a9b1ac96f10 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/vmpk/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/audio/vmpk/default.nix
@@ -5,11 +5,11 @@
mkDerivation rec {
pname = "vmpk";
- version = "0.8.2";
+ version = "0.8.4";
src = fetchurl {
url = "mirror://sourceforge/${pname}/${version}/${pname}-${version}.tar.bz2";
- sha256 = "1kv256j13adk4ib7r464gsl4vjhih820bq37ddhqfyfd07wh53a2";
+ sha256 = "sha256-SSdD8dyn6abti8qkd7N5n8EYr5yMW+EPYUnRm7S9CE4=";
};
nativeBuildInputs = [ cmake pkg-config qttools docbook-xsl-nons ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/backup/deja-dup/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/backup/deja-dup/default.nix
index ccd121f5da7..337ee31f03d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/backup/deja-dup/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/backup/deja-dup/default.nix
@@ -14,7 +14,7 @@
, libsecret
, libhandy
, wrapGAppsHook
-, libgpgerror
+, libgpg-error
, json-glib
, duplicity
}:
@@ -54,7 +54,7 @@ stdenv.mkDerivation rec {
gtk3
libsecret
libhandy
- libgpgerror
+ libgpg-error
json-glib
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/backup/pika-backup/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/backup/pika-backup/default.nix
index fd6c0f0cf83..e0b8b2fca14 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/backup/pika-backup/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/backup/pika-backup/default.nix
@@ -19,20 +19,20 @@
stdenv.mkDerivation rec {
pname = "pika-backup";
- version = "0.3.2";
+ version = "0.3.5";
src = fetchFromGitLab {
domain = "gitlab.gnome.org";
owner = "World";
repo = "pika-backup";
rev = "v${version}";
- sha256 = "sha256-dKVyvB4s1MZHri0dFJDBUXQKsi2KgP30ZhsJ486M+og=";
+ sha256 = "sha256-8jT3n+bTNjhm64AMS24Ju+San75ytfqFXloH/TOgO1g=";
};
cargoDeps = rustPlatform.fetchCargoTarball {
inherit src;
name = "${pname}-${version}";
- sha256 = "1vsh8vqgmfady82d7wfxkknmrp7mq7nizpif2zwg3kqbl964mp3y";
+ sha256 = "198bs4z7l22sh8ck7v46s45mj8zpfbg03n1xzc6pnafdd8hf3q15";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/bisq-desktop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/bisq-desktop/default.nix
index 16bcc71653b..4077442b341 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/bisq-desktop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/bisq-desktop/default.nix
@@ -35,11 +35,11 @@ let
in
stdenv.mkDerivation rec {
pname = "bisq-desktop";
- version = "1.7.2";
+ version = "1.7.4";
src = fetchurl {
url = "https://github.com/bisq-network/bisq/releases/download/v${version}/Bisq-64bit-${version}.deb";
- sha256 = "0b2rh9sphc9wffkawprrl20frgv0rah7y2k5sfxpjc3shgkqsw80";
+ sha256 = "1yhxq6pv8hc0pz8g993a9nng2srnmmajkqxf0lfvkypy13k9zdg4";
};
nativeBuildInputs = [ makeWrapper copyDesktopItems imagemagick dpkg gnutar zip xz ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/bitcoin/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/bitcoin/default.nix
index 8bbeda2e0d4..7a0237ed2b1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/bitcoin/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/bitcoin/default.nix
@@ -24,10 +24,10 @@
with lib;
let
- version = "0.21.1";
- majorMinorVersion = versions.majorMinor version;
+ version = "22.0";
+ majorVersion = versions.major version;
desktop = fetchurl {
- url = "https://raw.githubusercontent.com/bitcoin-core/packaging/${majorMinorVersion}/debian/bitcoin-qt.desktop";
+ url = "https://raw.githubusercontent.com/bitcoin-core/packaging/${majorVersion}.x/debian/bitcoin-qt.desktop";
sha256 = "0cpna0nxcd1dw3nnzli36nf9zj28d2g9jf5y0zl9j18lvanvniha";
};
in
@@ -40,7 +40,7 @@ stdenv.mkDerivation rec {
"https://bitcoincore.org/bin/bitcoin-core-${version}/bitcoin-${version}.tar.gz"
"https://bitcoin.org/bin/bitcoin-core-${version}/bitcoin-${version}.tar.gz"
];
- sha256 = "caff23449220cf45753f312cefede53a9eac64000bb300797916526236b6a1e0";
+ sha256 = "d0e9d089b57048b1555efa7cd5a63a7ed042482045f6f33402b1df425bf9613b";
};
nativeBuildInputs =
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/btcpayserver/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/btcpayserver/default.nix
index 8d549c96c34..a5d9432b143 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/btcpayserver/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/btcpayserver/default.nix
@@ -15,13 +15,13 @@ in
stdenv.mkDerivation rec {
pname = "btcpayserver";
- version = "1.2.0";
+ version = "1.2.4";
src = fetchFromGitHub {
owner = pname;
repo = pname;
rev = "v${version}";
- sha256 = "sha256-pRc0oud8k6ulC6tVXv6Mr7IEC2a/+FhkMDyxz1zFKTE=";
+ sha256 = "sha256-vjNJ08twsJ036TTFF6srOGshDpP7ZwWCGN0XjrtFT/g=";
};
nativeBuildInputs = [ dotnetSdk dotnetPackages.Nuget makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/btcpayserver/deps.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/btcpayserver/deps.nix
index 38ce6130229..f92b2f7a856 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/btcpayserver/deps.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/btcpayserver/deps.nix
@@ -21,48 +21,48 @@
})
(fetchNuGet {
name = "BIP78.Sender";
- version = "0.2.0";
- sha256 = "0gyynn15rc1x9p2703ffi4jnbpbd0k3wvg839xrk2skmaw8nxamf";
+ version = "0.2.2";
+ sha256 = "12pm2s35c0qzc06099q2z1pxwq94rq85n74yz8fs8gwvm2ksgp4p";
})
(fetchNuGet {
name = "BTCPayServer.Hwi";
- version = "2.0.1";
- sha256 = "18pp3f0z10c0q1bbllxi2j6ix8f0x58d0dndi5faf9p3hb58ly9k";
+ version = "2.0.2";
+ sha256 = "0lh3n1qncqs4kbrmx65xs271f0d9c7irrs9qnsa9q51cbbqbljh9";
})
(fetchNuGet {
name = "BTCPayServer.Lightning.All";
- version = "1.2.10";
- sha256 = "0c3bi5r7sckzml44bqy0j1cd6l3xc29cdyf6rib52b5gmgrvcam2";
+ version = "1.2.12";
+ sha256 = "0sciwh9m7h6ns59bsrzpd6zyxxdkiy33bdsq0phd3r2yxakvw68n";
})
(fetchNuGet {
name = "BTCPayServer.Lightning.Charge";
- version = "1.2.5";
- sha256 = "02mf7yhr9lfy5368c5mn1wgxxka52f0s5vx31w97sdkpc5pivng5";
+ version = "1.2.7";
+ sha256 = "02x3p0am5zqp8f4fycdlrp26alicxc5vrlvgxg6d8wsa35q473xc";
})
(fetchNuGet {
name = "BTCPayServer.Lightning.CLightning";
- version = "1.2.6";
- sha256 = "1p4bzbrd2d0izjd9q06mnagl31q50hpz5jla9gfja1bhn3xqvwsy";
+ version = "1.2.8";
+ sha256 = "06c6dnp72iwvnjm3pil24fnk4vp2v1f9gg84a381yn1xah9jghky";
})
(fetchNuGet {
name = "BTCPayServer.Lightning.Common";
- version = "1.2.4";
- sha256 = "1bdj1cdf6sirwm19hq1k2fmh2jiqkcyzrqms6q9d0wqba9xggwyn";
+ version = "1.2.6";
+ sha256 = "09p2ks1qgy6jnpcfwgdnxvldyyadwnh3mwmq9z89vvzmmgs19xkk";
})
(fetchNuGet {
name = "BTCPayServer.Lightning.Eclair";
- version = "1.2.4";
- sha256 = "1l68sc9g4ffsi1bbgrbbx8zmqw811hjq17761q1han9gsykl5rr1";
+ version = "1.2.6";
+ sha256 = "0lf55w8v997kqh808545ry5mlwxpzxzlkbz38fl4nfm85yydw0fc";
})
(fetchNuGet {
name = "BTCPayServer.Lightning.LND";
- version = "1.2.6";
- sha256 = "16wipkzzfrcjhi3whqxdfjq7qxnwjzf4gckpf1qjgdxbzggh6l3d";
+ version = "1.2.8";
+ sha256 = "1g1siy3xwf3rmyl4qrcg42wpww4b2qmfs88x0rxccwxjy5inzkz6";
})
(fetchNuGet {
name = "BTCPayServer.Lightning.Ptarmigan";
- version = "1.2.4";
- sha256 = "1j80m4pb3nn4dnqmxda13lp87pgviwxai456pki097rmc0vmqj83";
+ version = "1.2.6";
+ sha256 = "10g785jh92z5x7aqh41ma3d1nw0718bk7ibb58ychhdk1v2wwmh4";
})
(fetchNuGet {
name = "BuildBundlerMinifier";
@@ -756,23 +756,18 @@
})
(fetchNuGet {
name = "NBitcoin.Altcoins";
- version = "3.0.3";
- sha256 = "0129mgnyyb55haz68d8z694g1q2rlc0qylx08d5qnfpq1r03cdqd";
+ version = "3.0.4";
+ sha256 = "03aia31sznw81jjr9k6dkwgvm9dc38fgp1z8y5i45vlkf5fp89pb";
})
(fetchNuGet {
name = "NBitcoin";
- version = "5.0.40";
- sha256 = "1rqzn84yaww4afagwg8jg1l5qdkvqyjdfcyd5widddqwxabbsjvh";
- })
- (fetchNuGet {
- name = "NBitcoin";
- version = "5.0.67";
- sha256 = "049marx1jwr7srlpqspimrqqgahh53gi2iyp7bpzn5npsbzh9v3h";
+ version = "5.0.33";
+ sha256 = "030q609b9lhapq4wfl1w3impjw5m40kz2rg1s9jn3bn8yjfmsi4a";
})
(fetchNuGet {
name = "NBitcoin";
- version = "5.0.81";
- sha256 = "1fba94kc8yzykb1m5lvpx1hm63mpycpww9cz5zfp85phs1spdn8x";
+ version = "5.0.40";
+ sha256 = "1rqzn84yaww4afagwg8jg1l5qdkvqyjdfcyd5widddqwxabbsjvh";
})
(fetchNuGet {
name = "NBitcoin";
@@ -781,8 +776,8 @@
})
(fetchNuGet {
name = "NBitcoin";
- version = "6.0.7";
- sha256 = "0mk8n8isrrww0240x63rx3zx12nz5v08i3w62qp1n18mmdw3rdy6";
+ version = "6.0.8";
+ sha256 = "1f90zyrd35fzx0vgvd83jhd6hczd4037h2k198xiyxj04l4m3wm5";
})
(fetchNuGet {
name = "NBitpayClient";
@@ -791,8 +786,8 @@
})
(fetchNuGet {
name = "NBXplorer.Client";
- version = "4.0.3";
- sha256 = "0x9iggc5cyv06gnwnwrk3riv2j3g0833imdf3jx8ghmrxvim88b3";
+ version = "4.1.0";
+ sha256 = "1al9j14k51ql7m6yd2w89xlnklj64jqjikq8wpl2vwi1zy2gxqrl";
})
(fetchNuGet {
name = "Nethereum.ABI";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/charge-lnd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/charge-lnd/default.nix
index c76da7ae746..1571e09e3e9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/charge-lnd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/charge-lnd/default.nix
@@ -2,13 +2,13 @@
python3Packages.buildPythonApplication rec {
pname = "charge-lnd";
- version = "0.2.3";
+ version = "0.2.4";
src = fetchFromGitHub {
owner = "accumulator";
repo = pname;
rev = "v${version}";
- sha256 = "1cj8ggahnbn55wlkxzf5b9n8rvm30mc95vgcw8b60pzs47q6vncp";
+ sha256 = "1d1cbpmpppp7z1bmsarwfs314c7ypchlyr4calx0fzxfpxzfks5k";
};
propagatedBuildInputs = with python3Packages; [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/chia/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/chia/default.nix
index 7e44fc80dc3..b4ffd2a4f2a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/chia/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/chia/default.nix
@@ -1,30 +1,27 @@
{ lib
, cacert
, fetchFromGitHub
-, fetchpatch
, python3Packages
}:
-python3Packages.buildPythonApplication rec {
+let chia = python3Packages.buildPythonApplication rec {
pname = "chia";
- version = "1.2.3";
+ version = "1.2.9";
src = fetchFromGitHub {
owner = "Chia-Network";
repo = "chia-blockchain";
rev = version;
fetchSubmodules = true;
- sha256 = "sha256-nK/Zk2zgIdrRtw3+VkUXQWfI9j29XFDOR95Dvbn07eA=";
+ sha256 = "sha256-ZDWkVCga/NsKOnj5HP0lnmnX6vqw+I0b3a1Wr1t1VN0=";
};
- patches = [
- # Allow later websockets release, https://github.com/Chia-Network/chia-blockchain/pull/6304
- (fetchpatch {
- name = "later-websockets.patch";
- url = "https://github.com/Chia-Network/chia-blockchain/commit/a188f161bf15a30e8e2efc5eec824e53e2a98a5b.patch";
- sha256 = "1s5qjhd4kmi28z6ni7pc5n09czxvh8qnbwmnqsmms7cpw700g78s";
- })
- ];
+ postPatch = ''
+ substituteInPlace setup.py \
+ --replace "==" ">="
+
+ ln -sf ${cacert}/etc/ssl/certs/ca-bundle.crt mozilla-ca/cacert.pem
+ '';
nativeBuildInputs = [
python3Packages.setuptools-scm
@@ -45,15 +42,18 @@ python3Packages.buildPythonApplication rec {
clvm
clvm-rs
clvm-tools
+ colorama
colorlog
concurrent-log-handler
cryptography
dnspython
+ fasteners
keyrings-cryptfile
pyyaml
setproctitle
setuptools # needs pkg_resources at runtime
sortedcontainers
+ watchdog
websockets
];
@@ -61,28 +61,25 @@ python3Packages.buildPythonApplication rec {
pytestCheckHook
];
+ # Testsuite is expensive and non-deterministic, so it is available in
+ # passthru.tests instead.
+ doCheck = false;
+
disabledTests = [
"test_spend_through_n"
"test_spend_zero_coin"
+ "test_default_cached_master_passphrase"
+ "test_using_legacy_keyring"
];
- postPatch = ''
- # tweak version requirements to what's available in Nixpkgs
- substituteInPlace setup.py \
- --replace "aiohttp==3.7.4" "aiohttp>=3.7.4" \
- --replace "sortedcontainers==2.3.0" "sortedcontainers>=2.3.0" \
- --replace "click==7.1.2" "click>=7.1.2" \
- --replace "clvm_rs==0.1.8" "clvm_rs>=0.1.8" \
- --replace "clvm==0.9.7" "clvm>=0.9.7" \
- --replace "bitstring==3.1.7" "bitstring>=3.1.9" \
-
- ln -sf ${cacert}/etc/ssl/certs/ca-bundle.crt mozilla-ca/cacert.pem
- '';
-
preCheck = ''
export HOME=`mktemp -d`
'';
+ passthru.tests = {
+ chiaWithTests = chia.overrideAttrs (_: { doCheck = true; });
+ };
+
meta = with lib; {
homepage = "https://www.chia.net/";
description = "Chia is a modern cryptocurrency built from scratch, designed to be efficient, decentralized, and secure.";
@@ -90,4 +87,5 @@ python3Packages.buildPythonApplication rec {
maintainers = teams.chia.members;
platforms = platforms.all;
};
-}
+};
+in chia
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/dogecoin/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/dogecoin/default.nix
index 9985113b08a..16ac7a787fb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/dogecoin/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/dogecoin/default.nix
@@ -7,13 +7,13 @@
with lib;
stdenv.mkDerivation rec {
name = "dogecoin" + (toString (optional (!withGui) "d")) + "-" + version;
- version = "1.14.3";
+ version = "1.14.4";
src = fetchFromGitHub {
owner = "dogecoin";
repo = "dogecoin";
rev = "v${version}";
- sha256 = "sha256-kozUnIislQDtgjeesYHKu4sB1j9juqaWvyax+Lb/0pc=";
+ sha256 = "sha256-uITX5DSyC/m0ynwCkkbGgUj8kMuNgnsNo8H8RQSGPEA=";
};
nativeBuildInputs = [ pkg-config autoreconfHook ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/electrs/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/electrs/default.nix
index cf2f4d3d47e..d37ace71073 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/electrs/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/electrs/default.nix
@@ -1,25 +1,34 @@
{ lib
+, stdenv
, rustPlatform
, fetchFromGitHub
, llvmPackages
+, rocksdb
+, Security
}:
rustPlatform.buildRustPackage rec {
pname = "electrs";
- version = "0.8.10";
+ version = "0.9.1";
src = fetchFromGitHub {
owner = "romanz";
repo = pname;
rev = "v${version}";
- sha256 = "0q7mvpflnzzm88jbsdxgvhk9jr5mvn23hhj2iwy2grnfngxsmz3y";
+ hash = "sha256-GDO8iGntQncvdJiDMBJk9GrGF9JToasbLRzju3S0TS0=";
};
+ cargoHash = "sha256-Ms785+3Z4xEUW8FRRu1FIHk7HSWYLBThKlJDFjW6j0I=";
+
# needed for librocksdb-sys
nativeBuildInputs = [ llvmPackages.clang ];
LIBCLANG_PATH = "${llvmPackages.libclang.lib}/lib";
- cargoSha256 = "0i8npa840g4kz50n6x40z22x9apq8snw6xgjz4vn2kh67xc4c738";
+ # link rocksdb dynamically
+ ROCKSDB_INCLUDE_DIR = "${rocksdb}/include";
+ ROCKSDB_LIB_DIR = "${rocksdb}/lib";
+
+ buildInputs = lib.optionals stdenv.isDarwin [ Security ];
meta = with lib; {
description = "An efficient re-implementation of Electrum Server in Rust";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/electrs/update.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/electrs/update.sh
new file mode 100755
index 00000000000..3e4d90db59d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/electrs/update.sh
@@ -0,0 +1,39 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i bash -p coreutils curl jq git gnupg common-updater-scripts
+set -euo pipefail
+
+# Fetch latest release, GPG-verify the tag, update derivation
+
+scriptDir=$(cd "${BASH_SOURCE[0]%/*}" && pwd)
+nixpkgs=$(realpath "$scriptDir"/../../../..)
+
+oldVersion=$(nix-instantiate --eval -E "(import \"$nixpkgs\" { config = {}; overlays = []; }).electrs.version" | tr -d '"')
+version=$(curl -s --show-error "https://api.github.com/repos/romanz/electrs/releases/latest" | jq -r '.tag_name' | tail -c +2)
+
+if [[ $version == $oldVersion ]]; then
+ echo "Already at latest version $version"
+ exit 0
+fi
+echo "New version: $version"
+
+tmpdir=$(mktemp -d /tmp/electrs-verify-gpg.XXX)
+repo=$tmpdir/repo
+trap "rm -rf $tmpdir" EXIT
+
+git clone --depth 1 --branch v${version} -c advice.detachedHead=false https://github.com/romanz/electrs $repo
+
+export GNUPGHOME=$tmpdir
+echo
+echo "Fetching romanz's key"
+gpg --keyserver hkps://keys.openpgp.org --recv-keys 15c8c3574ae4f1e25f3f35c587cae5fa46917cbb 2> /dev/null
+echo
+echo "Verifying commit"
+git -C $repo verify-tag v${version}
+
+rm -rf $repo/.git
+hash=$(nix hash path $repo)
+
+(cd "$nixpkgs" && update-source-version electrs "$version" "$hash")
+sed -i 's|cargoHash = .*|cargoHash = "sha256-AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA=";|' "$scriptDir/default.nix"
+echo
+echo "electrs: $oldVersion -> $version"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/erigon.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/erigon.nix
index cfd3d5da932..3258aec6c30 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/erigon.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/erigon.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "erigon";
- version = "2021.08.05";
+ version = "2021.09.04";
src = fetchFromGitHub {
owner = "ledgerwatch";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-bCREY3UbMgSTu1nVytrYFsGgdMEaMLy5ZGrLqDNu9YM=";
+ sha256 = "0l0w1badhvlh1rgqzvlmy5k7xhb1nf4f5dmhkl935a5ila08aak3";
};
- vendorSha256 = "0a0d6n2c0anp36z7kvkadd6zvxzvsywfpk5qv6aq4ji4qd0hlq8q";
+ vendorSha256 = "1hbfmq76zm50zwmlh3jblriwq2k1mp99d8lg8xzxwy56hncgfj8k";
runVend = true;
# Build errors in mdbx when format hardening is enabled:
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/ledger-live-desktop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/ledger-live-desktop/default.nix
index 4b3ba00fb96..89e0a9291d1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/ledger-live-desktop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/ledger-live-desktop/default.nix
@@ -1,21 +1,34 @@
-{ lib, fetchurl, appimageTools, imagemagick }:
+{ lib, fetchurl, appimageTools, imagemagick, systemd }:
let
pname = "ledger-live-desktop";
- version = "2.32.2";
+ version = "2.33.1";
name = "${pname}-${version}";
src = fetchurl {
url = "https://github.com/LedgerHQ/${pname}/releases/download/v${version}/${pname}-${version}-linux-x86_64.AppImage";
- sha256 = "14agkl6xf0f9s5qldla6p6kzl8zlx61q5m8qy63lq215hrzh9d50";
+ sha256 = "1k1h37fbpsib9h8867m2dsfacdjs78gdm61gvrin5gpw1zj10syz";
};
appimageContents = appimageTools.extractType2 {
inherit name src;
};
-in appimageTools.wrapType2 rec {
+
+ # Hotplug events from udevd are fired into the kernel, which then re-broadcasts them over a
+ # special socket, to every libudev client listening for hotplug when the kernel does that. It will
+ # try to preserve the uid of the sender but a non-root namespace (like the fhs-env) cant map root
+ # to a uid, for security reasons, so the uid of the sender becomes nobody and libudev actively
+ # rejects such messages. This patch disables that bit of security in libudev.
+ # See: https://github.com/NixOS/nixpkgs/issues/116361
+ systemdPatched = systemd.overrideAttrs ({ patches ? [ ], ... }: {
+ patches = patches ++ [ ./systemd.patch ];
+ });
+in
+appimageTools.wrapType2 rec {
inherit name src;
+ extraPkgs = pkgs: [ systemdPatched ];
+
extraInstallCommands = ''
mv $out/bin/${name} $out/bin/${pname}
install -m 444 -D ${appimageContents}/ledger-live-desktop.desktop $out/share/applications/ledger-live-desktop.desktop
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/ledger-live-desktop/systemd.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/ledger-live-desktop/systemd.patch
new file mode 100644
index 00000000000..a70053d7118
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/ledger-live-desktop/systemd.patch
@@ -0,0 +1,14 @@
+diff --git a/src/libsystemd/sd-device/device-monitor.c b/src/libsystemd/sd-device/device-monitor.c
+index fd5900704d..f9106fdbe5 100644
+--- a/src/libsystemd/sd-device/device-monitor.c
++++ b/src/libsystemd/sd-device/device-monitor.c
+@@ -445,9 +445,6 @@ int device_monitor_receive_device(sd_device_monitor *m, sd_device **ret) {
+ "sd-device-monitor: No sender credentials received, message ignored.");
+
+ cred = (struct ucred*) CMSG_DATA(cmsg);
+- if (cred->uid != 0)
+- return log_debug_errno(SYNTHETIC_ERRNO(EAGAIN),
+- "sd-device-monitor: Sender uid="UID_FMT", message ignored.", cred->uid);
+
+ if (streq(buf.raw, "libudev")) {
+ /* udev message needs proper version magic */
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/lightning-pool/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/lightning-pool/default.nix
index 978509cc237..f33ede49398 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/lightning-pool/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/lightning-pool/default.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "lightning-pool";
- version = "0.5.0-alpha";
+ version = "0.5.1-alpha";
src = fetchFromGitHub {
owner = "lightninglabs";
repo = "pool";
rev = "v${version}";
- sha256 = "0i8qkxnrx3a89aw3v0mx7przlldl8kc0ng6g1m435366y6nzdarb";
+ sha256 = "147s0p4arfxl2akzm267p8zfy6hgssym5rwxv78kp8i39mfinpkn";
};
- vendorSha256 = "04v2788w8l734n5xz6fwjbwkqlbk8q77nwncjpn7890mw75yd3rn";
+ vendorSha256 = "0zd3bwqi0hnk0562x9hd62cwjw1xj386m83jagg41kzz0cpcr7zl";
subPackages = [ "cmd/pool" "cmd/poold" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/lnd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/lnd/default.nix
index c956bca9a1a..62dcac327f7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/lnd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/lnd/default.nix
@@ -6,16 +6,16 @@
buildGoModule rec {
pname = "lnd";
- version = "0.13.1-beta";
+ version = "0.13.3-beta";
src = fetchFromGitHub {
owner = "lightningnetwork";
repo = "lnd";
rev = "v${version}";
- sha256 = "07cs9yq83laajmfwfv42xfkfai3q873wg4qg7bfzw18w5fllivkg";
+ sha256 = "05ai8nyrc8likq5n7i9klfi9550ki8sqklv8axjvi6ql8v9bzk61";
};
- vendorSha256 = "1hk67x8nlc0wm1pg8k8hywih623p4c0klfhfyy26b7mqq62lazia";
+ vendorSha256 = "0xf8395g6hifbqwbgapllx38y0759xp374sja7j1wk8sdj5ngql5";
subPackages = ["cmd/lncli" "cmd/lnd"];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/monero-gui/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/monero-gui/default.nix
index d7a27dd4bcc..303cc6c54c5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/monero-gui/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/monero-gui/default.nix
@@ -7,20 +7,20 @@
, qtmacextras
, monero, miniupnpc, unbound, readline
, boost, libunwind, libsodium, pcsclite
-, randomx, zeromq, libgcrypt, libgpgerror
+, randomx, zeromq, libgcrypt, libgpg-error
, hidapi, rapidjson, quirc
, trezorSupport ? true, libusb1, protobuf, python3
}:
stdenv.mkDerivation rec {
pname = "monero-gui";
- version = "0.17.2.2";
+ version = "0.17.2.3";
src = fetchFromGitHub {
owner = "monero-project";
repo = "monero-gui";
rev = "v${version}";
- sha256 = "1k3grbd3wydy5gv6d8x35skv1v97lhh6awd9i87im9lz4kn8ywkd";
+ sha256 = "1d8y5yqyw0db2jdv9mwkczwm2qcwhzyslvq994yq5rvs4vkd8xjg";
};
nativeBuildInputs = [
@@ -33,7 +33,7 @@ stdenv.mkDerivation rec {
qtmultimedia qtquickcontrols qtquickcontrols2
qtxmlpatterns
monero miniupnpc unbound readline
- randomx libgcrypt libgpgerror
+ randomx libgcrypt libgpg-error
boost libunwind libsodium pcsclite
zeromq hidapi rapidjson quirc
] ++ lib.optionals trezorSupport [ libusb1 protobuf python3 ]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/monero/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/monero/default.nix
index 7dd2e569021..ad07c3eba0b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/monero/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/monero/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "monero";
- version = "0.17.2.0";
+ version = "0.17.2.3";
src = fetchFromGitHub {
owner = "monero-project";
repo = "monero";
rev = "v${version}";
- sha256 = "0jwlmrpzisvw1c06cvd5b3s3hd4w0pa1qmrypfwah67qj3x6hnb6";
+ sha256 = "0nax991fshfh51grhh2ryfrwwws35k16gzl1l3niva28zff2xmq6";
fetchSubmodules = true;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/mycrypto/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/mycrypto/default.nix
index d8867da5fd5..f5dbfeb5ff9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/mycrypto/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/mycrypto/default.nix
@@ -4,13 +4,13 @@
let
pname = "MyCrypto";
- version = "1.7.16";
- hash = "sha256-fvV/dT9tj8/d/kjM0dVj3IC/O7Y/yG8fscDCzUBwHKI=";
+ version = "1.7.17";
+ sha256 = "20eb48989b5ae5e60e438eff6830ac79a0d89ac26dff058097260e747e866444"; # Taken from release's checksums.txt.gpg
name = "${pname}-${version}";
src = fetchurl {
url = "https://github.com/mycryptohq/mycrypto/releases/download/${version}/linux-x86-64_${version}_MyCrypto.AppImage";
- inherit hash;
+ inherit sha256;
};
appimageContents = appimageTools.extractType2 {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/nbxplorer/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/nbxplorer/default.nix
index 65d845988f9..c55965054b7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/nbxplorer/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/nbxplorer/default.nix
@@ -15,13 +15,13 @@ in
stdenv.mkDerivation rec {
pname = "nbxplorer";
- version = "2.1.58";
+ version = "2.2.11";
src = fetchFromGitHub {
owner = "dgarage";
repo = "NBXplorer";
rev = "v${version}";
- sha256 = "sha256-rhD0owLEx7WxZnGPNaq4QpZopMsFQDOTnA0fs539Wxg=";
+ sha256 = "sha256-ZDqzkANGMdvv3e5gWCYcacUYKLJRquXRHLr8RAzT9hY=";
};
nativeBuildInputs = [ dotnetSdk dotnetPackages.Nuget makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/nbxplorer/deps.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/nbxplorer/deps.nix
index f5ab743e138..d53e784ea11 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/nbxplorer/deps.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/nbxplorer/deps.nix
@@ -1,8 +1,8 @@
{ fetchNuGet }: [
(fetchNuGet {
name = "DBTrie";
- version = "1.0.38";
- sha256 = "09n9f2j0pha2np9cpbgjfs19jwvfmrglws89izarq71gl8jia6d9";
+ version = "1.0.39";
+ sha256 = "0kbvl3kf73hrh1w2n3d2wshlxpqsv1pwydhwv2wxigmvs70fn1xp";
})
(fetchNuGet {
name = "Microsoft.AspNetCore.JsonPatch";
@@ -181,23 +181,18 @@
})
(fetchNuGet {
name = "NBitcoin.Altcoins";
- version = "3.0.3";
- sha256 = "0129mgnyyb55haz68d8z694g1q2rlc0qylx08d5qnfpq1r03cdqd";
+ version = "3.0.7";
+ sha256 = "0nrkdbsc4k9fd4588axnkfa9gmif9b59wxw8fnmpg0nf4x8scm4n";
})
(fetchNuGet {
name = "NBitcoin.TestFramework";
- version = "3.0.3";
- sha256 = "1j3ajj4jrwqzlhzhkg7vicwab0aq2y50x53rindd8cq09jxvzk62";
+ version = "3.0.5";
+ sha256 = "09cgjzbkxvsi65qzns0ignp0x89z630vqacsgwj3b1h30dycwqdr";
})
(fetchNuGet {
name = "NBitcoin";
- version = "6.0.6";
- sha256 = "1kf2rjrnh97zlh00affsv95f94bwgr2h7b00njqac4qgv9cac7sa";
- })
- (fetchNuGet {
- name = "NBitcoin";
- version = "6.0.8";
- sha256 = "1f90zyrd35fzx0vgvd83jhd6hczd4037h2k198xiyxj04l4m3wm5";
+ version = "6.0.10";
+ sha256 = "00m0j74pqyjqal1wc28j6734rfd9zd7ajqb1p3fsdpqr16kfg56s";
})
(fetchNuGet {
name = "NETStandard.Library";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/nbxplorer/util/update-common.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/nbxplorer/util/update-common.sh
index a9912b8b368..ed507622240 100755
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/nbxplorer/util/update-common.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/nbxplorer/util/update-common.sh
@@ -1,5 +1,5 @@
#!/usr/bin/env nix-shell
-#!nix-shell -i bash -p coreutils curl jq common-updater-scripts dotnet-sdk_3 git gnupg
+#!nix-shell -i bash -p coreutils curl jq common-updater-scripts dotnet-sdk_3 git gnupg nix
set -euo pipefail
# This script uses the following env vars:
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/parity-ui/env.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/parity-ui/env.nix
index 8b7d706c013..5f485ed78a9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/parity-ui/env.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/parity-ui/env.nix
@@ -1,11 +1,11 @@
{ stdenv, lib, zlib, glib, alsa-lib, dbus, gtk2, atk, pango, freetype, fontconfig
-, libgnome-keyring3, gdk-pixbuf, cairo, cups, expat, libgpgerror, nspr
+, libgnome-keyring3, gdk-pixbuf, cairo, cups, expat, libgpg-error, nspr
, nss, xorg, libcap, systemd, libnotify, libsecret, gnome2 }:
let
packages = [
stdenv.cc.cc zlib glib dbus gtk2 atk pango freetype libgnome-keyring3
- fontconfig gdk-pixbuf cairo cups expat libgpgerror alsa-lib nspr nss
+ fontconfig gdk-pixbuf cairo cups expat libgpg-error alsa-lib nspr nss
xorg.libXrender xorg.libX11 xorg.libXext xorg.libXdamage xorg.libXtst
xorg.libXcomposite xorg.libXi xorg.libXfixes xorg.libXrandr
xorg.libXcursor xorg.libxkbfile xorg.libXScrnSaver libcap systemd libnotify
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/particl-core/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/particl-core/default.nix
index 99bc49e8db3..d5838a4f4f2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/particl-core/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/particl-core/default.nix
@@ -17,11 +17,11 @@ with lib;
stdenv.mkDerivation rec {
pname = "particl-core";
- version = "0.19.2.5";
+ version = "0.19.2.14";
src = fetchurl {
url = "https://github.com/particl/particl-core/archive/v${version}.tar.gz";
- sha256 = "sha256-uI4T8h6RvCikk8h/sZmGlj3Uj3Xhu0vDn/fPb6rLcSg=";
+ sha256 = "sha256-UMU3384r4RGVl0/7OPwdDva09vhQr+9Lqb1oD/PTva8=";
};
nativeBuildInputs = [ pkg-config autoreconfHook ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/polkadot/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/polkadot/default.nix
index 656c5974bea..b7277fc1f77 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/polkadot/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/polkadot/default.nix
@@ -7,16 +7,16 @@
}:
rustPlatform.buildRustPackage rec {
pname = "polkadot";
- version = "0.9.9-1";
+ version = "0.9.11";
src = fetchFromGitHub {
owner = "paritytech";
repo = "polkadot";
rev = "v${version}";
- sha256 = "sha256-EmnrwBMHb9jpEZAG393yyMaFRRQJ6YYDRvsp+ATT7MY=";
+ sha256 = "17a0g4sijc1p9fy5xh8krs3y1hc75s17ak0hfhpi231gs4fl20pd";
};
- cargoHash = "sha256-WzsaUrqe7F4x+ShqG14kq78MTSWIxIMRa3pdr3RXrwk=";
+ cargoSha256 = "07yzdchpzs2g1f8fzhaj11yybd2d8lv9ib859z7122anxzdr0028";
nativeBuildInputs = [ clang ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/trezor-suite/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/trezor-suite/default.nix
index 8d2da4c3665..10418c418f6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/trezor-suite/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/trezor-suite/default.nix
@@ -8,7 +8,7 @@
let
pname = "trezor-suite";
- version = "21.7.1";
+ version = "21.10.2";
name = "${pname}-${version}";
suffix = {
@@ -18,10 +18,9 @@ let
src = fetchurl {
url = "https://github.com/trezor/${pname}/releases/download/v${version}/Trezor-Suite-${version}-${suffix}.AppImage";
- # sha512 hashes are obtained from latest-linux-arm64.yml and latest-linux.yml
- sha512 = {
- aarch64-linux = "sha512-GEu1Zx3IQws8wsVsZUaIKvC0kTe8l/BBPSdu5q44tDpszmPugz8G/8FDAO/Ra50dzyiHhRheybZPuf2BBGGb7A==";
- x86_64-linux = "sha512-ghPbQa/MstzfUOWve1KNwB1t9dxK0+eYunBSoShWKpb85hgK69+ncTmhY8HejT28OkjFnGk6h4PWbrnQetj8MA==";
+ sha512 = { # curl -Lfs https://github.com/trezor/trezor-suite/releases/latest/download/latest-linux{-arm64,}.yml | grep ^sha512 | sed 's/: /-/'
+ aarch64-linux = "sha512-+qXN9cQk1u18ZzeMecPNfhkTTsw61iM/IJYksPJl9+zx2AKldv9tAFUnnmKZ65LabiaIXI+emN185SRRcaOndw==";
+ x86_64-linux = "sha512-WpiG8VOEODqsQ1/jERiEEGwVqR0zbMqERGjOysEVYorA0p3xZyl7OSpWOSWaUjPBZpQtJIBdqhSXeoSRcvfJgg==";
}.${stdenv.hostPlatform.system} or (throw "Unsupported system: ${stdenv.hostPlatform.system}");
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/wasabibackend/create_deps.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/wasabibackend/create_deps.sh
old mode 100644
new mode 100755
index 814f92a341a..79bc88ca899
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/wasabibackend/create_deps.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/wasabibackend/create_deps.sh
@@ -1,13 +1,26 @@
#! /usr/bin/env nix-shell
-#! nix-shell -i bash -p dotnet-sdk_3 nixfmt
+#! nix-shell -i bash -p dotnet-sdk_3 jq xmlstarlet curl nixfmt
+set -euo pipefail
# Run this script to generate deps.nix
-# ./create_deps.sh /path/to/package/source/checkout > deps.nix
# TODO: consolidate with other dotnet deps generation scripts by which
# this script is inspired:
# - pkgs/servers/nosql/eventstore/create-deps.sh
# - pkgs/development/dotnet-modules/python-language-server/create_deps.sh
+# - pkgs/misc/emulators/ryujinx/updater.sh
+
+cd "$(dirname "${BASH_SOURCE[0]}")"
+
+deps_file="$(realpath "./deps.nix")"
+
+exec 2>&1 6> "$deps_file"
+
+store_src="$( nix-build ../../../.. -A wasabibackend.src --no-out-link )"
+src="$(mktemp -d)"
+cp -rT "$store_src" "$src"
+chmod -R +w "$src"
+pushd "$src"
URLBASE="https://www.nuget.org/api/v2/package"
@@ -30,69 +43,56 @@ DEPS_TEMPLATE="
sha256 = \"%s\";
})"
+tmpdir="$(mktemp -d -p "$(pwd)")" # must be under source root
+trap 'rm -rf "$tmpdir"' EXIT
+
+HOME="$tmpdir" dotnet restore --packages "$tmpdir"/.nuget/packages \
+ --no-cache --force --runtime linux-x64 \
+ WalletWasabi.Backend/WalletWasabi.Backend.csproj >&2
+
+mapfile -t repos < <(
+ xmlstarlet sel -t -v 'configuration/packageSources/add/@value' -n NuGet.config "$tmpdir"/.nuget/NuGet/NuGet.Config |
+ while IFS= read index
+ do
+ curl --compressed -fsL "$index" | \
+ jq -r '.resources[] | select(."@type" == "PackageBaseAddress/3.0.0")."@id"'
+ done
+)
+
+echo $DEPS_HEADER >&6
+
+cd "$tmpdir/.nuget/packages"
+for package in *
+do
+ cd "$package"
+ for version in *
+ do
+ found=false
+ for repo in "${repos[@]}"
+ do
+ url="$repo$package/$version/$package.$version.nupkg"
+ if curl -fsL "$url" -o /dev/null
+ then
+ found=true
+ break
+ fi
+ done
+
+ if ! $found
+ then
+ echo "couldn't find $package $version" >&2
+ exit 1
+ fi
+
+ sha256=$(nix-prefetch-url "$url" 2>/dev/null)
+
+ printf "$DEPS_TEMPLATE" $package $version $sha256 >&6
+ done
+ cd ..
+done
+
+echo $DEPS_FOOTER >&6
+
+exec 6>&-
-function generate_restore_log() {
- checkout_path=$1
- >&2 echo "generating restore log for $checkout_path..."
- cd $checkout_path
- dotnet nuget locals all --clear
- dotnet restore -v normal --no-cache WalletWasabi.Backend -r linux-x64
- cd -
-}
-
-function process_restore_log() {
- restore_log=$1
- >&2 echo "processing restore log..."
- while read line; do
- if echo $line | grep -q "^[[:space:]]*Installing"; then
- l=$(echo $line | xargs)
- l=${l#Installing }
- l=${l%.}
- echo $l
- fi
- done < $restore_log
-}
-
-function prefetch_deps() {
- processed_log=$1
- >&2 echo "prefetching deps..."
- while read line; do
- name=$(echo $line | cut -d' ' -f1)
- >&2 echo "prefetching '$name' version: $version"
- version=$(echo $line | cut -d' ' -f2)
- hash=$(nix-prefetch-url "$URLBASE/$name/$version" 2>/dev/null)
- echo "$name $version $hash"
- done < $processed_log
-}
-
-function generate_deps_expression() {
- packages=$1
- >&2 echo "generating deps nix-expression..."
- echo $DEPS_HEADER
- while read line; do
- name=$(echo $line | cut -d' ' -f1)
- version=$(echo $line | cut -d' ' -f2)
- hash=$(echo $line | cut -d' ' -f3)
- printf "$DEPS_TEMPLATE" $name $version $hash
- done < $packages
- echo $DEPS_FOOTER
-}
-
-function main() {
- checkout_path=$1
- tmpdir=$(mktemp -d)
- generate_restore_log $checkout_path > $tmpdir/restore.log
- process_restore_log $tmpdir/restore.log > $tmpdir/processed.log
- prefetch_deps $tmpdir/processed.log > $tmpdir/prefetched.log
- generate_deps_expression $tmpdir/prefetched.log > $tmpdir/deps.nix
- nixfmt $tmpdir/deps.nix
- cat $tmpdir/deps.nix
- rm -rf $tmpdir
-}
-
-if [ ! -d "$1" ]; then
- >&2 echo "First argument must be a directory, the path to the package source checkout"
- exit 1
-fi
-
-main $@
+nixfmt "$deps_file"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/wasabibackend/deps.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/wasabibackend/deps.nix
index 4d4489efa3c..47ee3f9bfe5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/wasabibackend/deps.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/wasabibackend/deps.nix
@@ -9,948 +9,948 @@ let
in [
(fetchNuGet {
- name = "System.Xml.XmlSerializer";
- version = "4.0.11";
- sha256 = "01nzc3gdslw90qfykq4qzr2mdnqxjl4sj0wp3fixiwdmlmvpib5z";
- })
- (fetchNuGet {
- name = "System.Globalization.Extensions";
- version = "4.3.0";
- sha256 = "02a5zfxavhv3jd437bsncbhd2fp1zv4gxzakp1an9l6kdq1mcqls";
- })
- (fetchNuGet {
- name = "System.Runtime.Handles";
- version = "4.3.0";
- sha256 = "0sw2gfj2xr7sw9qjn0j3l9yw07x73lcs97p8xfc9w1x9h5g5m7i8";
- })
- (fetchNuGet {
- name = "System.Dynamic.Runtime";
- version = "4.0.11";
- sha256 = "1pla2dx8gkidf7xkciig6nifdsb494axjvzvann8g2lp3dbqasm9";
+ name = "microsoft.aspnetcore.app.runtime.linux-x64";
+ version = "3.1.19";
+ sha256 = "19z4zrchaxcz0a33c33n1qd11z9khj4323nfzsbzah0xxkkj8ka8";
})
(fetchNuGet {
- name = "System.Threading.Overlapped";
- version = "4.0.1";
- sha256 = "0fi79az3vmqdp9mv3wh2phblfjls89zlj6p9nc3i9f6wmfarj188";
+ name = "microsoft.aspnetcore.jsonpatch";
+ version = "3.1.1";
+ sha256 = "0c0aaz9rlh9chc53dnv5jryp0x0415hipaizrmih3kzwd3fmqpml";
})
(fetchNuGet {
- name = "System.Security.Principal";
- version = "4.0.1";
- sha256 = "1nbzdfqvzzbgsfdd5qsh94d7dbg2v4sw0yx6himyn52zf8z6007p";
+ name = "microsoft.aspnetcore.mvc.newtonsoftjson";
+ version = "3.1.1";
+ sha256 = "1c2lrlp64kkacnjgdyygr6fqdawk10l8j4qgppii6rq61yjwhcig";
})
(fetchNuGet {
- name = "System.Private.DataContractSerialization";
- version = "4.1.1";
- sha256 = "1xk9wvgzipssp1393nsg4n16zbr5481k03nkdlj954hzq5jkx89r";
+ name = "microsoft.build";
+ version = "15.3.409";
+ sha256 = "0vzq6csp2yys9s96c7i37bjml439rdi47g8f5rzqdr7xf5a1jk81";
})
(fetchNuGet {
- name = "Microsoft.Win32.Registry";
- version = "4.0.0";
- sha256 = "1spf4m9pikkc19544p29a47qnhcd885klncahz133hbnyqbkmz9k";
+ name = "microsoft.build.framework";
+ version = "15.3.409";
+ sha256 = "1dhanwb9ihbfay85xj7cwn0byzmmdz94hqfi3q6r1ncwdjd8y1s2";
})
(fetchNuGet {
- name = "System.Reflection.Emit";
- version = "4.0.1";
- sha256 = "0ydqcsvh6smi41gyaakglnv252625hf29f7kywy2c70nhii2ylqp";
+ name = "microsoft.build.runtime";
+ version = "15.3.409";
+ sha256 = "135ycnqz5jfg61y5zaapgc7xdpjx2aq4icmxb9ph7h5inl445q7q";
})
(fetchNuGet {
- name = "System.Reflection.Emit.Lightweight";
- version = "4.0.1";
- sha256 = "1s4b043zdbx9k39lfhvsk68msv1nxbidhkq6nbm27q7sf8xcsnxr";
+ name = "microsoft.build.tasks.core";
+ version = "15.3.409";
+ sha256 = "135swyygp7cz2civwsz6a7dj7h8bzp7yrybmgxjanxwrw66hm933";
})
(fetchNuGet {
- name = "System.Reflection.Emit.ILGeneration";
- version = "4.0.1";
- sha256 = "1pcd2ig6bg144y10w7yxgc9d22r7c7ww7qn1frdfwgxr24j9wvv0";
+ name = "microsoft.build.utilities.core";
+ version = "15.3.409";
+ sha256 = "1p8a0l9sxmjj86qha748qjw2s2n07q8mn41mj5r6apjnwl27ywnf";
})
(fetchNuGet {
- name = "System.Globalization.Extensions";
- version = "4.0.1";
- sha256 = "0hjhdb5ri8z9l93bw04s7ynwrjrhx2n0p34sf33a9hl9phz69fyc";
+ name = "microsoft.csharp";
+ version = "4.3.0";
+ sha256 = "0gw297dgkh0al1zxvgvncqs0j15lsna9l1wpqas4rflmys440xvb";
})
(fetchNuGet {
- name = "System.Diagnostics.DiagnosticSource";
- version = "4.0.0";
- sha256 = "1n6c3fbz7v8d3pn77h4v5wvsfrfg7v1c57lg3nff3cjyh597v23m";
+ name = "microsoft.csharp";
+ version = "4.7.0";
+ sha256 = "0gd67zlw554j098kabg887b5a6pq9kzavpa3jjy5w53ccjzjfy8j";
})
(fetchNuGet {
- name = "System.Threading.Tasks.Extensions";
- version = "4.0.0";
- sha256 = "1cb51z062mvc2i8blpzmpn9d9mm4y307xrwi65di8ri18cz5r1zr";
+ name = "microsoft.extensions.apidescription.server";
+ version = "3.0.0";
+ sha256 = "13a47xcqyi5gz85swxd4mgp7ndgl4kknrvv3xwmbn71hsh953hsh";
})
(fetchNuGet {
- name = "System.Security.Cryptography.Cng";
- version = "4.2.0";
- sha256 = "118jijz446kix20blxip0f0q8mhsh9bz118mwc2ch1p6g7facpzc";
+ name = "microsoft.extensions.fileproviders.abstractions";
+ version = "2.0.0";
+ sha256 = "0d6y5isjy6jpf4w3f3w89cwh9p40glzhwvm7cwhx05wkqd8bk9w4";
})
(fetchNuGet {
- name = "System.Security.Cryptography.Csp";
- version = "4.0.0";
- sha256 = "1cwv8lqj8r15q81d2pz2jwzzbaji0l28xfrpw29kdpsaypm92z2q";
+ name = "microsoft.extensions.fileproviders.physical";
+ version = "2.0.0";
+ sha256 = "0l0l92g7sq4122n139av1pn1jl6wlw92hjmdnr47xdss0ndmwrs3";
})
(fetchNuGet {
- name = "Microsoft.VisualStudio.Web.CodeGeneration.Tools";
- version = "2.0.2";
- sha256 = "0fkjm06irs53d77z29i6dwj5pjhgj9ivhad8v39ghnrwasc0ivq6";
+ name = "microsoft.extensions.filesystemglobbing";
+ version = "2.0.0";
+ sha256 = "02lzy6r14ghwfwm384xajq08vv3pl3ww0mi5isrr10vivhijhgg4";
})
(fetchNuGet {
- name = "System.Security.Cryptography.OpenSsl";
- version = "4.0.0";
- sha256 = "16sx3cig3d0ilvzl8xxgffmxbiqx87zdi8fc73i3i7zjih1a7f4q";
+ name = "microsoft.extensions.logging.abstractions";
+ version = "1.0.0";
+ sha256 = "1sh9bidmhy32gkz6fkli79mxv06546ybrzppfw5v2aq0bda1ghka";
})
(fetchNuGet {
- name = "Microsoft.VisualStudio.Web.CodeGeneration.Contracts";
- version = "2.0.2";
- sha256 = "1fs6sbjn0chx6rv38d61zgk8mhyyxz44xp4wsfya0lvkckyszyn1";
+ name = "microsoft.extensions.primitives";
+ version = "2.0.0";
+ sha256 = "1xppr5jbny04slyjgngxjdm0maxdh47vq481ps944d7jrfs0p3mb";
})
(fetchNuGet {
- name = "runtime.native.System.Net.Http";
- version = "4.0.1";
- sha256 = "1hgv2bmbaskx77v8glh7waxws973jn4ah35zysnkxmf0196sfxg6";
+ name = "microsoft.netcore.app";
+ version = "2.0.5";
+ sha256 = "0qb7k624w7l0zhapdp519ymqg84a67r8zyd8cpj42hywsgb0dqv6";
})
(fetchNuGet {
- name = "Microsoft.Extensions.FileProviders.Physical";
- version = "2.0.0";
- sha256 = "0l0l92g7sq4122n139av1pn1jl6wlw92hjmdnr47xdss0ndmwrs3";
+ name = "microsoft.netcore.app.runtime.linux-x64";
+ version = "3.1.19";
+ sha256 = "10c9bq1z8j173n9jzamgplbxq101yscwdhksshn1ybisn7cr5g0h";
})
(fetchNuGet {
- name = "runtime.native.System.IO.Compression";
- version = "4.1.0";
- sha256 = "0d720z4lzyfcabmmnvh0bnj76ll7djhji2hmfh3h44sdkjnlkknk";
+ name = "microsoft.netcore.dotnetapphost";
+ version = "2.0.5";
+ sha256 = "00bsxdg9c8msjxyffvfi8siqk8v2m7ca8fqy1npv7b2pzg3byjws";
})
(fetchNuGet {
- name = "Microsoft.NETCore.App";
+ name = "microsoft.netcore.dotnethostpolicy";
version = "2.0.5";
- sha256 = "0qb7k624w7l0zhapdp519ymqg84a67r8zyd8cpj42hywsgb0dqv6";
+ sha256 = "0v5csskiwpk8kz8wclqad8kcjmxr7ik4w99wl05740qvaag3qysk";
})
(fetchNuGet {
- name = "runtime.native.System.Security.Cryptography";
- version = "4.0.0";
- sha256 = "0k57aa2c3b10wl3hfqbgrl7xq7g8hh3a3ir44b31dn5p61iiw3z9";
+ name = "microsoft.netcore.dotnethostresolver";
+ version = "2.0.5";
+ sha256 = "1sz2fdp8fdwz21x3lr2m1zhhrbix6iz699fjkwiryqdjl4ygd3hw";
})
(fetchNuGet {
- name = "NuGet.Frameworks";
- version = "4.0.0";
- sha256 = "0nar684cm53cvzx28gzl6kmpg9mrfr1yv29323din7xqal4pscgq";
+ name = "microsoft.netcore.platforms";
+ version = "1.0.1";
+ sha256 = "01al6cfxp68dscl15z7rxfw9zvhm64dncsw09a1vmdkacsa2v6lr";
})
(fetchNuGet {
- name = "Microsoft.Build.Runtime";
- version = "15.3.409";
- sha256 = "135ycnqz5jfg61y5zaapgc7xdpjx2aq4icmxb9ph7h5inl445q7q";
+ name = "microsoft.netcore.platforms";
+ version = "1.1.0";
+ sha256 = "08vh1r12g6ykjygq5d3vq09zylgb84l63k49jc4v8faw9g93iqqm";
})
(fetchNuGet {
- name = "runtime.native.System";
- version = "4.0.0";
- sha256 = "1ppk69xk59ggacj9n7g6fyxvzmk1g5p4fkijm0d7xqfkig98qrkf";
+ name = "microsoft.netcore.platforms";
+ version = "2.0.1";
+ sha256 = "1j2hmnivgb4plni2dd205kafzg6mkg7r4knrd3s7mg75wn2l25np";
})
(fetchNuGet {
- name = "System.Buffers";
- version = "4.0.0";
- sha256 = "13s659bcmg9nwb6z78971z1lr6bmh2wghxi1ayqyzl4jijd351gr";
+ name = "microsoft.netcore.platforms";
+ version = "3.1.0";
+ sha256 = "1gc1x8f95wk8yhgznkwsg80adk1lc65v9n5rx4yaa4bc5dva0z3j";
})
(fetchNuGet {
- name = "Microsoft.NETCore.Targets";
+ name = "microsoft.netcore.targets";
version = "1.0.1";
sha256 = "0ppdkwy6s9p7x9jix3v4402wb171cdiibq7js7i13nxpdky7074p";
})
(fetchNuGet {
- name = "Newtonsoft.Json";
- version = "10.0.1";
- sha256 = "15ncqic3p2rzs8q8ppi0irl2miq75kilw4lh8yfgjq96id0ds3hv";
+ name = "microsoft.netcore.targets";
+ version = "1.1.0";
+ sha256 = "193xwf33fbm0ni3idxzbr5fdq3i2dlfgihsac9jj7whj0gd902nh";
})
(fetchNuGet {
- name = "Microsoft.NETCore.DotNetAppHost";
- version = "2.0.5";
- sha256 = "00bsxdg9c8msjxyffvfi8siqk8v2m7ca8fqy1npv7b2pzg3byjws";
+ name = "microsoft.openapi";
+ version = "1.1.4";
+ sha256 = "1sn79829nhx6chi2qxsza1801di7zdl5fd983m0jakawzbjhjcb3";
})
(fetchNuGet {
- name = "System.Runtime.CompilerServices.Unsafe";
- version = "4.4.0";
- sha256 = "0a6ahgi5b148sl5qyfpyw383p3cb4yrkm802k29fsi4mxkiwir29";
+ name = "microsoft.visualstudio.web.codegeneration.contracts";
+ version = "2.0.2";
+ sha256 = "1fs6sbjn0chx6rv38d61zgk8mhyyxz44xp4wsfya0lvkckyszyn1";
})
(fetchNuGet {
- name = "System.Reflection.Emit.Lightweight";
- version = "4.3.0";
- sha256 = "0ql7lcakycrvzgi9kxz1b3lljd990az1x6c4jsiwcacrvimpib5c";
+ name = "microsoft.visualstudio.web.codegeneration.tools";
+ version = "2.0.2";
+ sha256 = "0fkjm06irs53d77z29i6dwj5pjhgj9ivhad8v39ghnrwasc0ivq6";
})
(fetchNuGet {
- name = "System.IO.FileSystem";
- version = "4.3.0";
- sha256 = "0z2dfrbra9i6y16mm9v1v6k47f0fm617vlb7s5iybjjsz6g1ilmw";
+ name = "microsoft.win32.primitives";
+ version = "4.0.1";
+ sha256 = "1n8ap0cmljbqskxpf8fjzn7kh1vvlndsa75k01qig26mbw97k2q7";
})
(fetchNuGet {
- name = "Microsoft.Extensions.FileSystemGlobbing";
- version = "2.0.0";
- sha256 = "02lzy6r14ghwfwm384xajq08vv3pl3ww0mi5isrr10vivhijhgg4";
+ name = "microsoft.win32.registry";
+ version = "4.0.0";
+ sha256 = "1spf4m9pikkc19544p29a47qnhcd885klncahz133hbnyqbkmz9k";
})
(fetchNuGet {
- name = "Microsoft.Extensions.FileProviders.Abstractions";
- version = "2.0.0";
- sha256 = "0d6y5isjy6jpf4w3f3w89cwh9p40glzhwvm7cwhx05wkqd8bk9w4";
+ name = "microsoft.win32.registry";
+ version = "4.7.0";
+ sha256 = "0bx21jjbs7l5ydyw4p6cn07chryxpmchq2nl5pirzz4l3b0q4dgs";
})
(fetchNuGet {
- name = "Microsoft.NETCore.Platforms";
- version = "2.0.1";
- sha256 = "1j2hmnivgb4plni2dd205kafzg6mkg7r4knrd3s7mg75wn2l25np";
+ name = "nbitcoin";
+ version = "5.0.47";
+ sha256 = "1plri6q83jn80m95np0zxdg3nk2f36z8v42j4sg5wjv8qppp866d";
})
(fetchNuGet {
- name = "Microsoft.NETCore.DotNetHostPolicy";
- version = "2.0.5";
- sha256 = "0v5csskiwpk8kz8wclqad8kcjmxr7ik4w99wl05740qvaag3qysk";
+ name = "nbitcoin.secp256k1";
+ version = "1.0.3";
+ sha256 = "08d4db64j1qz8ax9fg8zi6n7g1n53clnkajbbvv2hgaqyfrsnqxj";
})
(fetchNuGet {
- name = "System.IO.FileSystem.Primitives";
- version = "4.3.0";
- sha256 = "0j6ndgglcf4brg2lz4wzsh1av1gh8xrzdsn9f0yznskhqn1xzj9c";
+ name = "netstandard.library";
+ version = "1.6.0";
+ sha256 = "0nmmv4yw7gw04ik8ialj3ak0j6pxa9spih67hnn1h2c38ba8h58k";
})
(fetchNuGet {
- name = "NETStandard.Library";
+ name = "netstandard.library";
version = "2.0.1";
sha256 = "0d44wjxphs1ck838v7dapm0ag0b91zpiy33cr5vflsrwrqgj51dk";
})
(fetchNuGet {
- name = "System.Threading.Tasks.Extensions";
- version = "4.3.0";
- sha256 = "1xxcx2xh8jin360yjwm4x4cf5y3a2bwpn2ygkfkwkicz7zk50s2z";
+ name = "newtonsoft.json";
+ version = "10.0.1";
+ sha256 = "15ncqic3p2rzs8q8ppi0irl2miq75kilw4lh8yfgjq96id0ds3hv";
})
(fetchNuGet {
- name = "System.Collections.Specialized";
- version = "4.3.0";
- sha256 = "1sdwkma4f6j85m3dpb53v9vcgd0zyc9jb33f8g63byvijcj39n20";
+ name = "newtonsoft.json";
+ version = "11.0.1";
+ sha256 = "1z68j07if1xf71lbsrgbia52r812i2dv541sy44ph4dzjjp7pd4m";
})
(fetchNuGet {
- name = "System.ComponentModel";
- version = "4.3.0";
- sha256 = "0986b10ww3nshy30x9sjyzm0jx339dkjxjj3401r3q0f6fx2wkcb";
+ name = "newtonsoft.json";
+ version = "12.0.2";
+ sha256 = "0w2fbji1smd2y7x25qqibf1qrznmv4s6s0jvrbvr6alb7mfyqvh5";
})
(fetchNuGet {
- name = "System.Collections.NonGeneric";
- version = "4.3.0";
- sha256 = "07q3k0hf3mrcjzwj8fwk6gv3n51cb513w4mgkfxzm3i37sc9kz7k";
+ name = "newtonsoft.json.bson";
+ version = "1.0.2";
+ sha256 = "0c27bhy9x3c2n26inq32kmp6drpm71n6mqnmcr19wrlcaihglj35";
})
(fetchNuGet {
- name = "System.ComponentModel.Primitives";
- version = "4.3.0";
- sha256 = "1svfmcmgs0w0z9xdw2f2ps05rdxmkxxhf0l17xk9l1l8xfahkqr0";
+ name = "nuget.frameworks";
+ version = "4.0.0";
+ sha256 = "0nar684cm53cvzx28gzl6kmpg9mrfr1yv29323din7xqal4pscgq";
})
(fetchNuGet {
- name = "System.Runtime.InteropServices";
- version = "4.3.0";
- sha256 = "00hywrn4g7hva1b2qri2s6rabzwgxnbpw9zfxmz28z09cpwwgh7j";
+ name = "runtime.any.system.collections";
+ version = "4.0.11";
+ sha256 = "1x44bm1cgv28zmrp095wf9mn8a6a0ivnzp9v14dcbhx06igxzgg0";
})
(fetchNuGet {
- name = "NETStandard.Library";
- version = "1.6.0";
- sha256 = "0nmmv4yw7gw04ik8ialj3ak0j6pxa9spih67hnn1h2c38ba8h58k";
+ name = "runtime.any.system.diagnostics.tracing";
+ version = "4.1.0";
+ sha256 = "041im8hmp1zdgrx6jzyrdch6kshvbddmkar7r2mlm1ksb5c5kwpq";
})
(fetchNuGet {
- name = "Microsoft.Build.Framework";
- version = "15.3.409";
- sha256 = "1dhanwb9ihbfay85xj7cwn0byzmmdz94hqfi3q6r1ncwdjd8y1s2";
+ name = "runtime.any.system.globalization";
+ version = "4.0.11";
+ sha256 = "0240rp66pi5bw1xklmh421hj7arwcdmjmgfkiq1cbc6nrm8ah286";
})
(fetchNuGet {
- name = "Microsoft.Build.Tasks.Core";
- version = "15.3.409";
- sha256 = "135swyygp7cz2civwsz6a7dj7h8bzp7yrybmgxjanxwrw66hm933";
+ name = "runtime.any.system.io";
+ version = "4.1.0";
+ sha256 = "0kasfkjiml2kk8prnyn1990nhsahnjggvqwszqjdsfwfl43vpcb5";
})
(fetchNuGet {
- name = "System.Text.Encoding.CodePages";
- version = "4.0.1";
- sha256 = "00wpm3b9y0k996rm9whxprngm8l500ajmzgy2ip9pgwk0icp06y3";
+ name = "runtime.any.system.reflection";
+ version = "4.1.0";
+ sha256 = "06kcs059d5czyakx75rvlwa2mr86156w18fs7chd03f7084l7mq6";
})
(fetchNuGet {
- name = "Microsoft.Build.Utilities.Core";
- version = "15.3.409";
- sha256 = "1p8a0l9sxmjj86qha748qjw2s2n07q8mn41mj5r6apjnwl27ywnf";
+ name = "runtime.any.system.reflection.primitives";
+ version = "4.0.1";
+ sha256 = "1zxrpvixr5fqzkxpnin6g6gjq6xajy1snghz99ds2dwbhm276rhz";
})
(fetchNuGet {
- name = "Microsoft.Build";
- version = "15.3.409";
- sha256 = "0vzq6csp2yys9s96c7i37bjml439rdi47g8f5rzqdr7xf5a1jk81";
+ name = "runtime.any.system.resources.resourcemanager";
+ version = "4.0.1";
+ sha256 = "1jmgs7hynb2rff48623wnyb37558bbh1q28k9c249j5r5sgsr5kr";
})
(fetchNuGet {
- name = "System.Runtime.Serialization.Formatters";
- version = "4.3.0";
- sha256 = "114j35n8gcvn3sqv9ar36r1jjq0y1yws9r0yk8i6wm4aq7n9rs0m";
+ name = "runtime.any.system.runtime";
+ version = "4.1.0";
+ sha256 = "0mjr2bi7wvnkphfjqgkyf8vfyvy15a829jz6mivl6jmksh2bx40m";
})
(fetchNuGet {
- name = "System.Runtime.Serialization.Primitives";
- version = "4.3.0";
- sha256 = "01vv2p8h4hsz217xxs0rixvb7f2xzbh6wv1gzbfykcbfrza6dvnf";
+ name = "runtime.any.system.runtime.handles";
+ version = "4.0.1";
+ sha256 = "1kswgqhy34qvc49i981fk711s7knd6z13bp0rin8ms6axkh98nas";
})
(fetchNuGet {
- name = "System.ObjectModel";
- version = "4.3.0";
- sha256 = "191p63zy5rpqx7dnrb3h7prvgixmk168fhvvkkvhlazncf8r3nc2";
+ name = "runtime.any.system.runtime.interopservices";
+ version = "4.1.0";
+ sha256 = "0gm8if0hcmp1qys1wmx4970k2x62pqvldgljsyzbjhiy5644vl8z";
})
(fetchNuGet {
- name = "System.Diagnostics.Debug";
- version = "4.3.0";
- sha256 = "00yjlf19wjydyr6cfviaph3vsjzg3d5nvnya26i2fvfg53sknh3y";
+ name = "runtime.any.system.text.encoding";
+ version = "4.0.11";
+ sha256 = "0m4vgmzi1ky8xlj0r7xcyazxln3j9dlialnk6d2gmgrfnzf8f9m7";
})
(fetchNuGet {
- name = "System.Resources.ResourceManager";
- version = "4.3.0";
- sha256 = "0sjqlzsryb0mg4y4xzf35xi523s4is4hz9q4qgdvlvgivl7qxn49";
+ name = "runtime.any.system.threading.tasks";
+ version = "4.0.11";
+ sha256 = "1qzdp09qs8br5qxzlm1lgbjn4n57fk8vr1lzrmli2ysdg6x1xzvk";
})
(fetchNuGet {
- name = "System.Reflection.Extensions";
- version = "4.3.0";
- sha256 = "02bly8bdc98gs22lqsfx9xicblszr2yan7v2mmw3g7hy6miq5hwq";
+ name = "runtime.native.system";
+ version = "4.0.0";
+ sha256 = "1ppk69xk59ggacj9n7g6fyxvzmk1g5p4fkijm0d7xqfkig98qrkf";
})
(fetchNuGet {
- name = "System.Reflection.Emit";
- version = "4.3.0";
- sha256 = "11f8y3qfysfcrscjpjym9msk7lsfxkk4fmz9qq95kn3jd0769f74";
+ name = "runtime.native.system.io.compression";
+ version = "4.1.0";
+ sha256 = "0d720z4lzyfcabmmnvh0bnj76ll7djhji2hmfh3h44sdkjnlkknk";
})
(fetchNuGet {
- name = "System.Text.Encoding.Extensions";
- version = "4.3.0";
- sha256 = "11q1y8hh5hrp5a3kw25cb6l00v5l5dvirkz8jr3sq00h1xgcgrxy";
+ name = "runtime.native.system.net.http";
+ version = "4.0.1";
+ sha256 = "1hgv2bmbaskx77v8glh7waxws973jn4ah35zysnkxmf0196sfxg6";
})
(fetchNuGet {
- name = "System.Text.Encoding";
- version = "4.3.0";
- sha256 = "1f04lkir4iladpp51sdgmis9dj4y8v08cka0mbmsy0frc9a4gjqr";
+ name = "runtime.native.system.security.cryptography";
+ version = "4.0.0";
+ sha256 = "0k57aa2c3b10wl3hfqbgrl7xq7g8hh3a3ir44b31dn5p61iiw3z9";
})
(fetchNuGet {
- name = "System.Xml.XmlDocument";
- version = "4.3.0";
- sha256 = "0bmz1l06dihx52jxjr22dyv5mxv6pj4852lx68grjm7bivhrbfwi";
+ name = "runtime.unix.system.diagnostics.debug";
+ version = "4.0.11";
+ sha256 = "05ndbai4vpqrry0ghbfgqc8xblmplwjgndxmdn1zklqimczwjg2d";
})
(fetchNuGet {
- name = "System.Reflection.Emit.ILGeneration";
- version = "4.3.0";
- sha256 = "0w1n67glpv8241vnpz1kl14sy7zlnw414aqwj4hcx5nd86f6994q";
+ name = "runtime.unix.system.private.uri";
+ version = "4.0.1";
+ sha256 = "0ic5dgc45jkhcr1g9xmmzjm7ffiw4cymm0fprczlx4fnww4783nm";
})
(fetchNuGet {
- name = "System.Runtime.Numerics";
- version = "4.3.0";
- sha256 = "19rav39sr5dky7afygh309qamqqmi9kcwvz3i0c5700v0c5cg61z";
+ name = "runtime.unix.system.runtime.extensions";
+ version = "4.1.0";
+ sha256 = "0x1cwd7cvifzmn5x1wafvj75zdxlk3mxy860igh3x1wx0s8167y4";
})
(fetchNuGet {
- name = "System.Globalization";
- version = "4.3.0";
- sha256 = "1cp68vv683n6ic2zqh2s1fn4c2sd87g5hpp6l4d4nj4536jz98ki";
+ name = "swashbuckle.aspnetcore";
+ version = "5.0.0";
+ sha256 = "0rn2awmzrsrppk97xbbwk4kq1mys9bygb5xhl6mphbk0hchrvh09";
})
(fetchNuGet {
- name = "System.Reflection.TypeExtensions";
- version = "4.3.0";
- sha256 = "0y2ssg08d817p0vdag98vn238gyrrynjdj4181hdg780sif3ykp1";
+ name = "swashbuckle.aspnetcore.swagger";
+ version = "5.0.0";
+ sha256 = "1341nv8nmh6avs3y7w2szzir5qd0bndxwrkdmvvj3hcxj1126w2f";
})
(fetchNuGet {
- name = "System.Threading";
- version = "4.3.0";
- sha256 = "0rw9wfamvhayp5zh3j7p1yfmx9b5khbf4q50d8k5rk993rskfd34";
+ name = "swashbuckle.aspnetcore.swaggergen";
+ version = "5.0.0";
+ sha256 = "00swg2avqnb38q2bsxljd34n8rpknp74h9vbn0fdnfds3a32cqr4";
})
(fetchNuGet {
- name = "System.Reflection.Primitives";
- version = "4.3.0";
- sha256 = "04xqa33bld78yv5r93a8n76shvc8wwcdgr1qvvjh959g3rc31276";
+ name = "swashbuckle.aspnetcore.swaggerui";
+ version = "5.0.0";
+ sha256 = "0d7vjq489rz208j6k3rb7vq6mzxzff3mqg83yk2rqy25vklrsbjd";
})
(fetchNuGet {
- name = "System.Linq";
- version = "4.3.0";
- sha256 = "1w0gmba695rbr80l1k2h4mrwzbzsyfl2z4klmpbsvsg5pm4a56s7";
+ name = "system.appcontext";
+ version = "4.1.0";
+ sha256 = "0fv3cma1jp4vgj7a8hqc9n7hr1f1kjp541s6z0q1r6nazb4iz9mz";
})
(fetchNuGet {
- name = "System.Diagnostics.Tools";
- version = "4.3.0";
- sha256 = "0in3pic3s2ddyibi8cvgl102zmvp9r9mchh82ns9f0ms4basylw1";
+ name = "system.buffers";
+ version = "4.0.0";
+ sha256 = "13s659bcmg9nwb6z78971z1lr6bmh2wghxi1ayqyzl4jijd351gr";
})
(fetchNuGet {
- name = "Microsoft.NETCore.Targets";
- version = "1.1.0";
- sha256 = "193xwf33fbm0ni3idxzbr5fdq3i2dlfgihsac9jj7whj0gd902nh";
+ name = "system.collections";
+ version = "4.0.11";
+ sha256 = "1ga40f5lrwldiyw6vy67d0sg7jd7ww6kgwbksm19wrvq9hr0bsm6";
})
(fetchNuGet {
- name = "System.Collections";
+ name = "system.collections";
version = "4.3.0";
sha256 = "19r4y64dqyrq6k4706dnyhhw7fs24kpp3awak7whzss39dakpxk9";
})
(fetchNuGet {
- name = "Microsoft.NETCore.Platforms";
- version = "1.1.0";
- sha256 = "08vh1r12g6ykjygq5d3vq09zylgb84l63k49jc4v8faw9g93iqqm";
+ name = "system.collections.concurrent";
+ version = "4.0.12";
+ sha256 = "07y08kvrzpak873pmyxs129g1ch8l27zmg51pcyj2jvq03n0r0fc";
})
(fetchNuGet {
- name = "System.IO";
- version = "4.3.0";
- sha256 = "05l9qdrzhm4s5dixmx68kxwif4l99ll5gqmh7rqgw554fx0agv5f";
+ name = "system.collections.immutable";
+ version = "1.2.0";
+ sha256 = "1jm4pc666yiy7af1mcf7766v710gp0h40p228ghj6bavx7xfa38m";
})
(fetchNuGet {
- name = "System.Threading.Tasks.Dataflow";
- version = "4.6.0";
- sha256 = "0a1davr71wssyn4z1hr75lk82wqa0daz0vfwkmg1fm3kckfd72k1";
+ name = "system.collections.nongeneric";
+ version = "4.0.1";
+ sha256 = "19994r5y5bpdhj7di6w047apvil8lh06lh2c2yv9zc4fc5g9bl4d";
})
(fetchNuGet {
- name = "System.Xml.XDocument";
+ name = "system.collections.nongeneric";
version = "4.3.0";
- sha256 = "08h8fm4l77n0nd4i4fk2386y809bfbwqb7ih9d7564ifcxr5ssxd";
+ sha256 = "07q3k0hf3mrcjzwj8fwk6gv3n51cb513w4mgkfxzm3i37sc9kz7k";
})
(fetchNuGet {
- name = "System.IO.Pipes";
- version = "4.0.0";
- sha256 = "0fxfvcf55s9q8zsykwh8dkq2xb5jcqnml2ycq8srfry2l07h18za";
+ name = "system.collections.specialized";
+ version = "4.3.0";
+ sha256 = "1sdwkma4f6j85m3dpb53v9vcgd0zyc9jb33f8g63byvijcj39n20";
})
(fetchNuGet {
- name = "System.Diagnostics.FileVersionInfo";
- version = "4.0.0";
- sha256 = "1s5vxhy7i09bmw51kxqaiz9zaj9am8wsjyz13j85sp23z267hbv3";
+ name = "system.componentmodel";
+ version = "4.3.0";
+ sha256 = "0986b10ww3nshy30x9sjyzm0jx339dkjxjj3401r3q0f6fx2wkcb";
})
(fetchNuGet {
- name = "System.Threading.Tasks";
+ name = "system.componentmodel.primitives";
version = "4.3.0";
- sha256 = "134z3v9abw3a6jsw17xl3f6hqjpak5l682k2vz39spj4kmydg6k7";
+ sha256 = "1svfmcmgs0w0z9xdw2f2ps05rdxmkxxhf0l17xk9l1l8xfahkqr0";
+ })
+ (fetchNuGet {
+ name = "system.componentmodel.typeconverter";
+ version = "4.3.0";
+ sha256 = "17ng0p7v3nbrg3kycz10aqrrlw4lz9hzhws09pfh8gkwicyy481x";
})
(fetchNuGet {
- name = "System.Diagnostics.Contracts";
+ name = "system.console";
+ version = "4.0.0";
+ sha256 = "0ynxqbc3z1nwbrc11hkkpw9skw116z4y9wjzn7id49p9yi7mzmlf";
+ })
+ (fetchNuGet {
+ name = "system.diagnostics.contracts";
version = "4.0.1";
sha256 = "0y6dkd9n5k98vzhc3w14r2pbhf10qjn2axpghpmfr6rlxx9qrb9j";
})
(fetchNuGet {
- name = "System.Reflection";
- version = "4.3.0";
- sha256 = "0xl55k0mw8cd8ra6dxzh974nxif58s3k1rjv1vbd7gjbjr39j11m";
+ name = "system.diagnostics.debug";
+ version = "4.0.11";
+ sha256 = "0gmjghrqmlgzxivd2xl50ncbglb7ljzb66rlx8ws6dv8jm0d5siz";
})
(fetchNuGet {
- name = "System.Dynamic.Runtime";
+ name = "system.diagnostics.debug";
version = "4.3.0";
- sha256 = "1d951hrvrpndk7insiag80qxjbf2y0y39y8h5hnq9612ws661glk";
+ sha256 = "00yjlf19wjydyr6cfviaph3vsjzg3d5nvnya26i2fvfg53sknh3y";
})
(fetchNuGet {
- name = "System.Runtime.Loader";
+ name = "system.diagnostics.diagnosticsource";
version = "4.0.0";
- sha256 = "0lpfi3psqcp6zxsjk2qyahal7zaawviimc8lhrlswhip2mx7ykl0";
+ sha256 = "1n6c3fbz7v8d3pn77h4v5wvsfrfg7v1c57lg3nff3cjyh597v23m";
})
(fetchNuGet {
- name = "System.Threading.ThreadPool";
- version = "4.0.10";
- sha256 = "0fdr61yjcxh5imvyf93n2m3n5g9pp54bnw2l1d2rdl9z6dd31ypx";
+ name = "system.diagnostics.fileversioninfo";
+ version = "4.0.0";
+ sha256 = "1s5vxhy7i09bmw51kxqaiz9zaj9am8wsjyz13j85sp23z267hbv3";
})
(fetchNuGet {
- name = "System.Runtime.Extensions";
- version = "4.3.0";
- sha256 = "1ykp3dnhwvm48nap8q23893hagf665k0kn3cbgsqpwzbijdcgc60";
+ name = "system.diagnostics.process";
+ version = "4.1.0";
+ sha256 = "061lrcs7xribrmq7kab908lww6kn2xn1w3rdc41q189y0jibl19s";
})
(fetchNuGet {
- name = "System.Runtime.Serialization.Xml";
- version = "4.1.1";
- sha256 = "11747an5gbz821pwahaim3v82gghshnj9b5c4cw539xg5a3gq7rk";
+ name = "system.diagnostics.tools";
+ version = "4.0.1";
+ sha256 = "19cknvg07yhakcvpxg3cxa0bwadplin6kyxd8mpjjpwnp56nl85x";
})
(fetchNuGet {
- name = "System.Text.RegularExpressions";
+ name = "system.diagnostics.tools";
version = "4.3.0";
- sha256 = "1bgq51k7fwld0njylfn7qc5fmwrk2137gdq7djqdsw347paa9c2l";
+ sha256 = "0in3pic3s2ddyibi8cvgl102zmvp9r9mchh82ns9f0ms4basylw1";
})
(fetchNuGet {
- name = "System.Collections.Immutable";
- version = "1.2.0";
- sha256 = "1jm4pc666yiy7af1mcf7766v710gp0h40p228ghj6bavx7xfa38m";
+ name = "system.diagnostics.tracesource";
+ version = "4.0.0";
+ sha256 = "1mc7r72xznczzf6mz62dm8xhdi14if1h8qgx353xvhz89qyxsa3h";
})
(fetchNuGet {
- name = "Microsoft.CSharp";
- version = "4.3.0";
- sha256 = "0gw297dgkh0al1zxvgvncqs0j15lsna9l1wpqas4rflmys440xvb";
+ name = "system.diagnostics.tracing";
+ version = "4.1.0";
+ sha256 = "1d2r76v1x610x61ahfpigda89gd13qydz6vbwzhpqlyvq8jj6394";
})
(fetchNuGet {
- name = "System.ComponentModel.TypeConverter";
+ name = "system.dynamic.runtime";
+ version = "4.0.11";
+ sha256 = "1pla2dx8gkidf7xkciig6nifdsb494axjvzvann8g2lp3dbqasm9";
+ })
+ (fetchNuGet {
+ name = "system.dynamic.runtime";
version = "4.3.0";
- sha256 = "17ng0p7v3nbrg3kycz10aqrrlw4lz9hzhws09pfh8gkwicyy481x";
+ sha256 = "1d951hrvrpndk7insiag80qxjbf2y0y39y8h5hnq9612ws661glk";
})
(fetchNuGet {
- name = "System.Reflection.Metadata";
- version = "1.3.0";
- sha256 = "1y5m6kryhjpqqm2g3h3b6bzig13wkiw954x3b7icqjm6xypm1x3b";
+ name = "system.globalization";
+ version = "4.0.11";
+ sha256 = "070c5jbas2v7smm660zaf1gh0489xanjqymkvafcs4f8cdrs1d5d";
})
(fetchNuGet {
- name = "System.Xml.ReaderWriter";
+ name = "system.globalization";
version = "4.3.0";
- sha256 = "0c47yllxifzmh8gq6rq6l36zzvw4kjvlszkqa9wq3fr59n0hl3s1";
+ sha256 = "1cp68vv683n6ic2zqh2s1fn4c2sd87g5hpp6l4d4nj4536jz98ki";
})
(fetchNuGet {
- name = "System.Linq.Parallel";
+ name = "system.globalization.calendars";
version = "4.0.1";
- sha256 = "0i33x9f4h3yq26yvv6xnq4b0v51rl5z8v1bm7vk972h5lvf4apad";
+ sha256 = "0bv0alrm2ck2zk3rz25lfyk9h42f3ywq77mx1syl6vvyncnpg4qh";
})
(fetchNuGet {
- name = "System.Linq.Expressions";
+ name = "system.globalization.extensions";
+ version = "4.0.1";
+ sha256 = "0hjhdb5ri8z9l93bw04s7ynwrjrhx2n0p34sf33a9hl9phz69fyc";
+ })
+ (fetchNuGet {
+ name = "system.globalization.extensions";
version = "4.3.0";
- sha256 = "0ky2nrcvh70rqq88m9a5yqabsl4fyd17bpr63iy2mbivjs2nyypv";
+ sha256 = "02a5zfxavhv3jd437bsncbhd2fp1zv4gxzakp1an9l6kdq1mcqls";
})
(fetchNuGet {
- name = "System.Diagnostics.Process";
+ name = "system.io";
version = "4.1.0";
- sha256 = "061lrcs7xribrmq7kab908lww6kn2xn1w3rdc41q189y0jibl19s";
+ sha256 = "1g0yb8p11vfd0kbkyzlfsbsp5z44lwsvyc0h3dpw6vqnbi035ajp";
})
(fetchNuGet {
- name = "System.Runtime";
+ name = "system.io";
version = "4.3.0";
- sha256 = "066ixvgbf2c929kgknshcxqj6539ax7b9m570cp8n179cpfkapz7";
- })
- (fetchNuGet {
- name = "System.Xml.XmlDocument";
- version = "4.0.1";
- sha256 = "0ihsnkvyc76r4dcky7v3ansnbyqjzkbyyia0ir5zvqirzan0bnl1";
+ sha256 = "05l9qdrzhm4s5dixmx68kxwif4l99ll5gqmh7rqgw554fx0agv5f";
})
(fetchNuGet {
- name = "Microsoft.Extensions.Primitives";
- version = "2.0.0";
- sha256 = "1xppr5jbny04slyjgngxjdm0maxdh47vq481ps944d7jrfs0p3mb";
+ name = "system.io.compression";
+ version = "4.1.0";
+ sha256 = "0iym7s3jkl8n0vzm3jd6xqg9zjjjqni05x45dwxyjr2dy88hlgji";
})
(fetchNuGet {
- name = "Microsoft.NETCore.DotNetHostResolver";
- version = "2.0.5";
- sha256 = "1sz2fdp8fdwz21x3lr2m1zhhrbix6iz699fjkwiryqdjl4ygd3hw";
+ name = "system.io.compression.zipfile";
+ version = "4.0.1";
+ sha256 = "0h72znbagmgvswzr46mihn7xm7chfk2fhrp5krzkjf29pz0i6z82";
})
(fetchNuGet {
- name = "System.Runtime.Serialization.Primitives";
- version = "4.1.1";
- sha256 = "042rfjixknlr6r10vx2pgf56yming8lkjikamg3g4v29ikk78h7k";
+ name = "system.io.filesystem";
+ version = "4.0.1";
+ sha256 = "0kgfpw6w4djqra3w5crrg8xivbanh1w9dh3qapb28q060wb9flp1";
})
(fetchNuGet {
- name = "Microsoft.NETCore.Platforms";
- version = "1.0.1";
- sha256 = "01al6cfxp68dscl15z7rxfw9zvhm64dncsw09a1vmdkacsa2v6lr";
+ name = "system.io.filesystem";
+ version = "4.3.0";
+ sha256 = "0z2dfrbra9i6y16mm9v1v6k47f0fm617vlb7s5iybjjsz6g1ilmw";
})
(fetchNuGet {
- name = "System.AppContext";
- version = "4.1.0";
- sha256 = "0fv3cma1jp4vgj7a8hqc9n7hr1f1kjp541s6z0q1r6nazb4iz9mz";
+ name = "system.io.filesystem.primitives";
+ version = "4.0.1";
+ sha256 = "1s0mniajj3lvbyf7vfb5shp4ink5yibsx945k6lvxa96r8la1612";
})
(fetchNuGet {
- name = "System.Diagnostics.Debug";
- version = "4.0.11";
- sha256 = "0gmjghrqmlgzxivd2xl50ncbglb7ljzb66rlx8ws6dv8jm0d5siz";
+ name = "system.io.filesystem.primitives";
+ version = "4.3.0";
+ sha256 = "0j6ndgglcf4brg2lz4wzsh1av1gh8xrzdsn9f0yznskhqn1xzj9c";
})
(fetchNuGet {
- name = "System.Diagnostics.TraceSource";
+ name = "system.io.pipes";
version = "4.0.0";
- sha256 = "1mc7r72xznczzf6mz62dm8xhdi14if1h8qgx353xvhz89qyxsa3h";
+ sha256 = "0fxfvcf55s9q8zsykwh8dkq2xb5jcqnml2ycq8srfry2l07h18za";
})
(fetchNuGet {
- name = "System.Resources.ResourceManager";
- version = "4.0.1";
- sha256 = "0b4i7mncaf8cnai85jv3wnw6hps140cxz8vylv2bik6wyzgvz7bi";
+ name = "system.linq";
+ version = "4.1.0";
+ sha256 = "1ppg83svb39hj4hpp5k7kcryzrf3sfnm08vxd5sm2drrijsla2k5";
})
(fetchNuGet {
- name = "System.Globalization.Calendars";
- version = "4.0.1";
- sha256 = "0bv0alrm2ck2zk3rz25lfyk9h42f3ywq77mx1syl6vvyncnpg4qh";
+ name = "system.linq";
+ version = "4.3.0";
+ sha256 = "1w0gmba695rbr80l1k2h4mrwzbzsyfl2z4klmpbsvsg5pm4a56s7";
})
(fetchNuGet {
- name = "System.Xml.XPath";
- version = "4.0.1";
- sha256 = "0fjqgb6y66d72d5n8qq1h213d9nv2vi8mpv8p28j3m9rccmsh04m";
+ name = "system.linq.expressions";
+ version = "4.1.0";
+ sha256 = "1gpdxl6ip06cnab7n3zlcg6mqp7kknf73s8wjinzi4p0apw82fpg";
})
(fetchNuGet {
- name = "System.Diagnostics.Tools";
- version = "4.0.1";
- sha256 = "19cknvg07yhakcvpxg3cxa0bwadplin6kyxd8mpjjpwnp56nl85x";
+ name = "system.linq.expressions";
+ version = "4.3.0";
+ sha256 = "0ky2nrcvh70rqq88m9a5yqabsl4fyd17bpr63iy2mbivjs2nyypv";
})
(fetchNuGet {
- name = "System.Text.Encoding.Extensions";
- version = "4.0.11";
- sha256 = "08nsfrpiwsg9x5ml4xyl3zyvjfdi4mvbqf93kjdh11j4fwkznizs";
+ name = "system.linq.parallel";
+ version = "4.0.1";
+ sha256 = "0i33x9f4h3yq26yvv6xnq4b0v51rl5z8v1bm7vk972h5lvf4apad";
})
(fetchNuGet {
- name = "System.Diagnostics.Tracing";
+ name = "system.net.http";
version = "4.1.0";
- sha256 = "1d2r76v1x610x61ahfpigda89gd13qydz6vbwzhpqlyvq8jj6394";
+ sha256 = "1i5rqij1icg05j8rrkw4gd4pgia1978mqhjzhsjg69lvwcdfg8yb";
})
(fetchNuGet {
- name = "System.Resources.Writer";
- version = "4.0.0";
- sha256 = "07hp218kjdcvpl27djspnixgnacbp9apma61zz3wsca9fx5g3lmv";
+ name = "system.net.primitives";
+ version = "4.0.11";
+ sha256 = "10xzzaynkzkakp7jai1ik3r805zrqjxiz7vcagchyxs2v26a516r";
})
(fetchNuGet {
- name = "System.Reflection.TypeExtensions";
+ name = "system.net.sockets";
version = "4.1.0";
- sha256 = "1bjli8a7sc7jlxqgcagl9nh8axzfl11f4ld3rjqsyxc516iijij7";
- })
- (fetchNuGet {
- name = "System.Collections.NonGeneric";
- version = "4.0.1";
- sha256 = "19994r5y5bpdhj7di6w047apvil8lh06lh2c2yv9zc4fc5g9bl4d";
+ sha256 = "1385fvh8h29da5hh58jm1v78fzi9fi5vj93vhlm2kvqpfahvpqls";
})
(fetchNuGet {
- name = "System.Console";
- version = "4.0.0";
- sha256 = "0ynxqbc3z1nwbrc11hkkpw9skw116z4y9wjzn7id49p9yi7mzmlf";
+ name = "system.objectmodel";
+ version = "4.0.12";
+ sha256 = "1sybkfi60a4588xn34nd9a58png36i0xr4y4v4kqpg8wlvy5krrj";
})
(fetchNuGet {
- name = "System.Security.Cryptography.Primitives";
- version = "4.0.0";
- sha256 = "0i7cfnwph9a10bm26m538h5xcr8b36jscp9sy1zhgifksxz4yixh";
+ name = "system.objectmodel";
+ version = "4.3.0";
+ sha256 = "191p63zy5rpqx7dnrb3h7prvgixmk168fhvvkkvhlazncf8r3nc2";
})
(fetchNuGet {
- name = "System.Runtime.Numerics";
- version = "4.0.1";
- sha256 = "1y308zfvy0l5nrn46mqqr4wb4z1xk758pkk8svbz8b5ij7jnv4nn";
+ name = "system.private.datacontractserialization";
+ version = "4.1.1";
+ sha256 = "1xk9wvgzipssp1393nsg4n16zbr5481k03nkdlj954hzq5jkx89r";
})
(fetchNuGet {
- name = "Microsoft.Win32.Primitives";
+ name = "system.private.uri";
version = "4.0.1";
- sha256 = "1n8ap0cmljbqskxpf8fjzn7kh1vvlndsa75k01qig26mbw97k2q7";
+ sha256 = "0k57qhawjysm4cpbfpc49kl4av7lji310kjcamkl23bwgij5ld9j";
})
(fetchNuGet {
- name = "System.IO.Compression.ZipFile";
- version = "4.0.1";
- sha256 = "0h72znbagmgvswzr46mihn7xm7chfk2fhrp5krzkjf29pz0i6z82";
+ name = "system.reflection";
+ version = "4.1.0";
+ sha256 = "1js89429pfw79mxvbzp8p3q93il6rdff332hddhzi5wqglc4gml9";
})
(fetchNuGet {
- name = "System.Xml.XPath.XmlDocument";
- version = "4.0.1";
- sha256 = "0l7yljgif41iv5g56l3nxy97hzzgck2a7rhnfnljhx9b0ry41bvc";
+ name = "system.reflection";
+ version = "4.3.0";
+ sha256 = "0xl55k0mw8cd8ra6dxzh974nxif58s3k1rjv1vbd7gjbjr39j11m";
})
(fetchNuGet {
- name = "System.Net.Sockets";
- version = "4.1.0";
- sha256 = "1385fvh8h29da5hh58jm1v78fzi9fi5vj93vhlm2kvqpfahvpqls";
+ name = "system.reflection.emit";
+ version = "4.0.1";
+ sha256 = "0ydqcsvh6smi41gyaakglnv252625hf29f7kywy2c70nhii2ylqp";
})
(fetchNuGet {
- name = "System.Xml.XDocument";
- version = "4.0.11";
- sha256 = "0n4lvpqzy9kc7qy1a4acwwd7b7pnvygv895az5640idl2y9zbz18";
+ name = "system.reflection.emit";
+ version = "4.3.0";
+ sha256 = "11f8y3qfysfcrscjpjym9msk7lsfxkk4fmz9qq95kn3jd0769f74";
})
(fetchNuGet {
- name = "System.Reflection.Extensions";
+ name = "system.reflection.emit.ilgeneration";
version = "4.0.1";
- sha256 = "0m7wqwq0zqq9gbpiqvgk3sr92cbrw7cp3xn53xvw7zj6rz6fdirn";
+ sha256 = "1pcd2ig6bg144y10w7yxgc9d22r7c7ww7qn1frdfwgxr24j9wvv0";
})
(fetchNuGet {
- name = "System.Runtime.InteropServices.RuntimeInformation";
- version = "4.0.0";
- sha256 = "0glmvarf3jz5xh22iy3w9v3wyragcm4hfdr17v90vs7vcrm7fgp6";
+ name = "system.reflection.emit.ilgeneration";
+ version = "4.3.0";
+ sha256 = "0w1n67glpv8241vnpz1kl14sy7zlnw414aqwj4hcx5nd86f6994q";
})
(fetchNuGet {
- name = "System.Resources.Reader";
- version = "4.0.0";
- sha256 = "1jafi73dcf1lalrir46manq3iy6xnxk2z7gpdpwg4wqql7dv3ril";
+ name = "system.reflection.emit.lightweight";
+ version = "4.0.1";
+ sha256 = "1s4b043zdbx9k39lfhvsk68msv1nxbidhkq6nbm27q7sf8xcsnxr";
})
(fetchNuGet {
- name = "System.Threading.Thread";
- version = "4.0.0";
- sha256 = "1gxxm5fl36pjjpnx1k688dcw8m9l7nmf802nxis6swdaw8k54jzc";
+ name = "system.reflection.emit.lightweight";
+ version = "4.3.0";
+ sha256 = "0ql7lcakycrvzgi9kxz1b3lljd990az1x6c4jsiwcacrvimpib5c";
})
(fetchNuGet {
- name = "System.Threading.Timer";
+ name = "system.reflection.extensions";
version = "4.0.1";
- sha256 = "15n54f1f8nn3mjcjrlzdg6q3520571y012mx7v991x2fvp73lmg6";
+ sha256 = "0m7wqwq0zqq9gbpiqvgk3sr92cbrw7cp3xn53xvw7zj6rz6fdirn";
})
(fetchNuGet {
- name = "System.IO.FileSystem.Primitives";
- version = "4.0.1";
- sha256 = "1s0mniajj3lvbyf7vfb5shp4ink5yibsx945k6lvxa96r8la1612";
+ name = "system.reflection.extensions";
+ version = "4.3.0";
+ sha256 = "02bly8bdc98gs22lqsfx9xicblszr2yan7v2mmw3g7hy6miq5hwq";
+ })
+ (fetchNuGet {
+ name = "system.reflection.metadata";
+ version = "1.3.0";
+ sha256 = "1y5m6kryhjpqqm2g3h3b6bzig13wkiw954x3b7icqjm6xypm1x3b";
})
(fetchNuGet {
- name = "System.IO.FileSystem";
+ name = "system.reflection.primitives";
version = "4.0.1";
- sha256 = "0kgfpw6w4djqra3w5crrg8xivbanh1w9dh3qapb28q060wb9flp1";
+ sha256 = "1bangaabhsl4k9fg8khn83wm6yial8ik1sza7401621jc6jrym28";
})
(fetchNuGet {
- name = "System.Security.Cryptography.Encoding";
- version = "4.0.0";
- sha256 = "0a8y1a5wkmpawc787gfmnrnbzdgxmx1a14ax43jf3rj9gxmy3vk4";
+ name = "system.reflection.primitives";
+ version = "4.3.0";
+ sha256 = "04xqa33bld78yv5r93a8n76shvc8wwcdgr1qvvjh959g3rc31276";
})
(fetchNuGet {
- name = "System.Runtime";
+ name = "system.reflection.typeextensions";
version = "4.1.0";
- sha256 = "02hdkgk13rvsd6r9yafbwzss8kr55wnj8d5c7xjnp8gqrwc8sn0m";
+ sha256 = "1bjli8a7sc7jlxqgcagl9nh8axzfl11f4ld3rjqsyxc516iijij7";
})
(fetchNuGet {
- name = "System.Security.Cryptography.Algorithms";
- version = "4.2.0";
- sha256 = "148s9g5dgm33ri7dnh19s4lgnlxbpwvrw2jnzllq2kijj4i4vs85";
+ name = "system.reflection.typeextensions";
+ version = "4.3.0";
+ sha256 = "0y2ssg08d817p0vdag98vn238gyrrynjdj4181hdg780sif3ykp1";
})
(fetchNuGet {
- name = "System.Reflection.Primitives";
- version = "4.0.1";
- sha256 = "1bangaabhsl4k9fg8khn83wm6yial8ik1sza7401621jc6jrym28";
+ name = "system.resources.reader";
+ version = "4.0.0";
+ sha256 = "1jafi73dcf1lalrir46manq3iy6xnxk2z7gpdpwg4wqql7dv3ril";
})
(fetchNuGet {
- name = "System.Runtime.Handles";
+ name = "system.resources.resourcemanager";
version = "4.0.1";
- sha256 = "1g0zrdi5508v49pfm3iii2hn6nm00bgvfpjq1zxknfjrxxa20r4g";
+ sha256 = "0b4i7mncaf8cnai85jv3wnw6hps140cxz8vylv2bik6wyzgvz7bi";
})
(fetchNuGet {
- name = "System.ObjectModel";
- version = "4.0.12";
- sha256 = "1sybkfi60a4588xn34nd9a58png36i0xr4y4v4kqpg8wlvy5krrj";
+ name = "system.resources.resourcemanager";
+ version = "4.3.0";
+ sha256 = "0sjqlzsryb0mg4y4xzf35xi523s4is4hz9q4qgdvlvgivl7qxn49";
})
(fetchNuGet {
- name = "System.Net.Primitives";
- version = "4.0.11";
- sha256 = "10xzzaynkzkakp7jai1ik3r805zrqjxiz7vcagchyxs2v26a516r";
+ name = "system.resources.writer";
+ version = "4.0.0";
+ sha256 = "07hp218kjdcvpl27djspnixgnacbp9apma61zz3wsca9fx5g3lmv";
})
(fetchNuGet {
- name = "System.Text.Encoding";
- version = "4.0.11";
- sha256 = "1dyqv0hijg265dwxg6l7aiv74102d6xjiwplh2ar1ly6xfaa4iiw";
+ name = "system.runtime";
+ version = "4.1.0";
+ sha256 = "02hdkgk13rvsd6r9yafbwzss8kr55wnj8d5c7xjnp8gqrwc8sn0m";
})
(fetchNuGet {
- name = "System.Collections.Concurrent";
- version = "4.0.12";
- sha256 = "07y08kvrzpak873pmyxs129g1ch8l27zmg51pcyj2jvq03n0r0fc";
+ name = "system.runtime";
+ version = "4.3.0";
+ sha256 = "066ixvgbf2c929kgknshcxqj6539ax7b9m570cp8n179cpfkapz7";
})
(fetchNuGet {
- name = "System.IO.Compression";
- version = "4.1.0";
- sha256 = "0iym7s3jkl8n0vzm3jd6xqg9zjjjqni05x45dwxyjr2dy88hlgji";
+ name = "system.runtime.compilerservices.unsafe";
+ version = "4.4.0";
+ sha256 = "0a6ahgi5b148sl5qyfpyw383p3cb4yrkm802k29fsi4mxkiwir29";
})
(fetchNuGet {
- name = "System.IO";
+ name = "system.runtime.extensions";
version = "4.1.0";
- sha256 = "1g0yb8p11vfd0kbkyzlfsbsp5z44lwsvyc0h3dpw6vqnbi035ajp";
+ sha256 = "0rw4rm4vsm3h3szxp9iijc3ksyviwsv6f63dng3vhqyg4vjdkc2z";
})
(fetchNuGet {
- name = "System.Reflection";
- version = "4.1.0";
- sha256 = "1js89429pfw79mxvbzp8p3q93il6rdff332hddhzi5wqglc4gml9";
+ name = "system.runtime.extensions";
+ version = "4.3.0";
+ sha256 = "1ykp3dnhwvm48nap8q23893hagf665k0kn3cbgsqpwzbijdcgc60";
})
(fetchNuGet {
- name = "System.Collections";
- version = "4.0.11";
- sha256 = "1ga40f5lrwldiyw6vy67d0sg7jd7ww6kgwbksm19wrvq9hr0bsm6";
+ name = "system.runtime.handles";
+ version = "4.0.1";
+ sha256 = "1g0zrdi5508v49pfm3iii2hn6nm00bgvfpjq1zxknfjrxxa20r4g";
})
(fetchNuGet {
- name = "System.Linq";
- version = "4.1.0";
- sha256 = "1ppg83svb39hj4hpp5k7kcryzrf3sfnm08vxd5sm2drrijsla2k5";
+ name = "system.runtime.handles";
+ version = "4.3.0";
+ sha256 = "0sw2gfj2xr7sw9qjn0j3l9yw07x73lcs97p8xfc9w1x9h5g5m7i8";
})
(fetchNuGet {
- name = "System.Globalization";
- version = "4.0.11";
- sha256 = "070c5jbas2v7smm660zaf1gh0489xanjqymkvafcs4f8cdrs1d5d";
+ name = "system.runtime.interopservices";
+ version = "4.1.0";
+ sha256 = "01kxqppx3dr3b6b286xafqilv4s2n0gqvfgzfd4z943ga9i81is1";
})
(fetchNuGet {
- name = "System.Threading";
- version = "4.0.11";
- sha256 = "19x946h926bzvbsgj28csn46gak2crv2skpwsx80hbgazmkgb1ls";
+ name = "system.runtime.interopservices";
+ version = "4.3.0";
+ sha256 = "00hywrn4g7hva1b2qri2s6rabzwgxnbpw9zfxmz28z09cpwwgh7j";
})
(fetchNuGet {
- name = "System.Text.RegularExpressions";
- version = "4.1.0";
- sha256 = "1mw7vfkkyd04yn2fbhm38msk7dz2xwvib14ygjsb8dq2lcvr18y7";
+ name = "system.runtime.interopservices.runtimeinformation";
+ version = "4.0.0";
+ sha256 = "0glmvarf3jz5xh22iy3w9v3wyragcm4hfdr17v90vs7vcrm7fgp6";
})
(fetchNuGet {
- name = "System.Security.Cryptography.X509Certificates";
- version = "4.1.0";
- sha256 = "0clg1bv55mfv5dq00m19cp634zx6inm31kf8ppbq1jgyjf2185dh";
+ name = "system.runtime.loader";
+ version = "4.0.0";
+ sha256 = "0lpfi3psqcp6zxsjk2qyahal7zaawviimc8lhrlswhip2mx7ykl0";
})
(fetchNuGet {
- name = "System.Xml.ReaderWriter";
- version = "4.0.11";
- sha256 = "0c6ky1jk5ada9m94wcadih98l6k1fvf6vi7vhn1msjixaha419l5";
+ name = "system.runtime.numerics";
+ version = "4.0.1";
+ sha256 = "1y308zfvy0l5nrn46mqqr4wb4z1xk758pkk8svbz8b5ij7jnv4nn";
})
(fetchNuGet {
- name = "System.Net.Http";
- version = "4.1.0";
- sha256 = "1i5rqij1icg05j8rrkw4gd4pgia1978mqhjzhsjg69lvwcdfg8yb";
+ name = "system.runtime.numerics";
+ version = "4.3.0";
+ sha256 = "19rav39sr5dky7afygh309qamqqmi9kcwvz3i0c5700v0c5cg61z";
})
(fetchNuGet {
- name = "System.Runtime.Extensions";
- version = "4.1.0";
- sha256 = "0rw4rm4vsm3h3szxp9iijc3ksyviwsv6f63dng3vhqyg4vjdkc2z";
+ name = "system.runtime.serialization.formatters";
+ version = "4.3.0";
+ sha256 = "114j35n8gcvn3sqv9ar36r1jjq0y1yws9r0yk8i6wm4aq7n9rs0m";
})
(fetchNuGet {
- name = "System.Threading.Tasks";
- version = "4.0.11";
- sha256 = "0nr1r41rak82qfa5m0lhk9mp0k93bvfd7bbd9sdzwx9mb36g28p5";
+ name = "system.runtime.serialization.primitives";
+ version = "4.1.1";
+ sha256 = "042rfjixknlr6r10vx2pgf56yming8lkjikamg3g4v29ikk78h7k";
})
(fetchNuGet {
- name = "System.Linq.Expressions";
- version = "4.1.0";
- sha256 = "1gpdxl6ip06cnab7n3zlcg6mqp7kknf73s8wjinzi4p0apw82fpg";
+ name = "system.runtime.serialization.primitives";
+ version = "4.3.0";
+ sha256 = "01vv2p8h4hsz217xxs0rixvb7f2xzbh6wv1gzbfykcbfrza6dvnf";
})
(fetchNuGet {
- name = "System.Runtime.InteropServices";
- version = "4.1.0";
- sha256 = "01kxqppx3dr3b6b286xafqilv4s2n0gqvfgzfd4z943ga9i81is1";
+ name = "system.runtime.serialization.xml";
+ version = "4.1.1";
+ sha256 = "11747an5gbz821pwahaim3v82gghshnj9b5c4cw539xg5a3gq7rk";
})
(fetchNuGet {
- name = "Microsoft.AspNetCore.App.Runtime.linux-x64";
- version = "3.1.8";
- sha256 = "140zr3nwkmf6xc52gq4iz6ycyh95fxy0jpgn637pkd9z423z8135";
+ name = "system.security.accesscontrol";
+ version = "4.7.0";
+ sha256 = "0n0k0w44flkd8j0xw7g3g3vhw7dijfm51f75xkm1qxnbh4y45mpz";
})
(fetchNuGet {
- name = "Microsoft.NETCore.App.Runtime.linux-x64";
- version = "3.1.8";
- sha256 = "1bv9n9wzsqf9g8h6z10p61xkcx8ad4nnip83qv8yyfvhr4kdmbsa";
+ name = "system.security.cryptography.algorithms";
+ version = "4.2.0";
+ sha256 = "148s9g5dgm33ri7dnh19s4lgnlxbpwvrw2jnzllq2kijj4i4vs85";
})
(fetchNuGet {
- name = "Microsoft.CSharp";
- version = "4.7.0";
- sha256 = "0gd67zlw554j098kabg887b5a6pq9kzavpa3jjy5w53ccjzjfy8j";
+ name = "system.security.cryptography.cng";
+ version = "4.2.0";
+ sha256 = "118jijz446kix20blxip0f0q8mhsh9bz118mwc2ch1p6g7facpzc";
})
(fetchNuGet {
- name = "Microsoft.NETCore.Platforms";
- version = "3.1.0";
- sha256 = "1gc1x8f95wk8yhgznkwsg80adk1lc65v9n5rx4yaa4bc5dva0z3j";
+ name = "system.security.cryptography.csp";
+ version = "4.0.0";
+ sha256 = "1cwv8lqj8r15q81d2pz2jwzzbaji0l28xfrpw29kdpsaypm92z2q";
})
(fetchNuGet {
- name = "Newtonsoft.Json";
- version = "11.0.1";
- sha256 = "1z68j07if1xf71lbsrgbia52r812i2dv541sy44ph4dzjjp7pd4m";
+ name = "system.security.cryptography.encoding";
+ version = "4.0.0";
+ sha256 = "0a8y1a5wkmpawc787gfmnrnbzdgxmx1a14ax43jf3rj9gxmy3vk4";
})
(fetchNuGet {
- name = "Microsoft.Extensions.Logging.Abstractions";
- version = "1.0.0";
- sha256 = "1sh9bidmhy32gkz6fkli79mxv06546ybrzppfw5v2aq0bda1ghka";
+ name = "system.security.cryptography.openssl";
+ version = "4.0.0";
+ sha256 = "16sx3cig3d0ilvzl8xxgffmxbiqx87zdi8fc73i3i7zjih1a7f4q";
})
(fetchNuGet {
- name = "Newtonsoft.Json.Bson";
- version = "1.0.2";
- sha256 = "0c27bhy9x3c2n26inq32kmp6drpm71n6mqnmcr19wrlcaihglj35";
+ name = "system.security.cryptography.primitives";
+ version = "4.0.0";
+ sha256 = "0i7cfnwph9a10bm26m538h5xcr8b36jscp9sy1zhgifksxz4yixh";
})
(fetchNuGet {
- name = "Microsoft.AspNetCore.JsonPatch";
- version = "3.1.1";
- sha256 = "0c0aaz9rlh9chc53dnv5jryp0x0415hipaizrmih3kzwd3fmqpml";
+ name = "system.security.cryptography.x509certificates";
+ version = "4.1.0";
+ sha256 = "0clg1bv55mfv5dq00m19cp634zx6inm31kf8ppbq1jgyjf2185dh";
})
(fetchNuGet {
- name = "Newtonsoft.Json";
- version = "12.0.2";
- sha256 = "0w2fbji1smd2y7x25qqibf1qrznmv4s6s0jvrbvr6alb7mfyqvh5";
+ name = "system.security.principal";
+ version = "4.0.1";
+ sha256 = "1nbzdfqvzzbgsfdd5qsh94d7dbg2v4sw0yx6himyn52zf8z6007p";
})
(fetchNuGet {
- name = "System.Security.Principal.Windows";
+ name = "system.security.principal.windows";
version = "4.7.0";
sha256 = "1a56ls5a9sr3ya0nr086sdpa9qv0abv31dd6fp27maqa9zclqq5d";
})
(fetchNuGet {
- name = "System.Security.AccessControl";
- version = "4.7.0";
- sha256 = "0n0k0w44flkd8j0xw7g3g3vhw7dijfm51f75xkm1qxnbh4y45mpz";
+ name = "system.text.encoding";
+ version = "4.0.11";
+ sha256 = "1dyqv0hijg265dwxg6l7aiv74102d6xjiwplh2ar1ly6xfaa4iiw";
})
(fetchNuGet {
- name = "NBitcoin";
- version = "5.0.47";
- sha256 = "1plri6q83jn80m95np0zxdg3nk2f36z8v42j4sg5wjv8qppp866d";
+ name = "system.text.encoding";
+ version = "4.3.0";
+ sha256 = "1f04lkir4iladpp51sdgmis9dj4y8v08cka0mbmsy0frc9a4gjqr";
})
(fetchNuGet {
- name = "Microsoft.AspNetCore.Mvc.NewtonsoftJson";
- version = "3.1.1";
- sha256 = "1c2lrlp64kkacnjgdyygr6fqdawk10l8j4qgppii6rq61yjwhcig";
+ name = "system.text.encoding.codepages";
+ version = "4.0.1";
+ sha256 = "00wpm3b9y0k996rm9whxprngm8l500ajmzgy2ip9pgwk0icp06y3";
})
(fetchNuGet {
- name = "Microsoft.Win32.Registry";
- version = "4.7.0";
- sha256 = "0bx21jjbs7l5ydyw4p6cn07chryxpmchq2nl5pirzz4l3b0q4dgs";
+ name = "system.text.encoding.extensions";
+ version = "4.0.11";
+ sha256 = "08nsfrpiwsg9x5ml4xyl3zyvjfdi4mvbqf93kjdh11j4fwkznizs";
})
(fetchNuGet {
- name = "NBitcoin.Secp256k1";
- version = "1.0.3";
- sha256 = "08d4db64j1qz8ax9fg8zi6n7g1n53clnkajbbvv2hgaqyfrsnqxj";
+ name = "system.text.encoding.extensions";
+ version = "4.3.0";
+ sha256 = "11q1y8hh5hrp5a3kw25cb6l00v5l5dvirkz8jr3sq00h1xgcgrxy";
})
(fetchNuGet {
- name = "Microsoft.OpenApi";
- version = "1.1.4";
- sha256 = "1sn79829nhx6chi2qxsza1801di7zdl5fd983m0jakawzbjhjcb3";
+ name = "system.text.regularexpressions";
+ version = "4.1.0";
+ sha256 = "1mw7vfkkyd04yn2fbhm38msk7dz2xwvib14ygjsb8dq2lcvr18y7";
})
(fetchNuGet {
- name = "Swashbuckle.AspNetCore.SwaggerUI";
- version = "5.0.0";
- sha256 = "0d7vjq489rz208j6k3rb7vq6mzxzff3mqg83yk2rqy25vklrsbjd";
+ name = "system.text.regularexpressions";
+ version = "4.3.0";
+ sha256 = "1bgq51k7fwld0njylfn7qc5fmwrk2137gdq7djqdsw347paa9c2l";
})
(fetchNuGet {
- name = "Swashbuckle.AspNetCore";
- version = "5.0.0";
- sha256 = "0rn2awmzrsrppk97xbbwk4kq1mys9bygb5xhl6mphbk0hchrvh09";
+ name = "system.threading";
+ version = "4.0.11";
+ sha256 = "19x946h926bzvbsgj28csn46gak2crv2skpwsx80hbgazmkgb1ls";
})
(fetchNuGet {
- name = "Swashbuckle.AspNetCore.SwaggerGen";
- version = "5.0.0";
- sha256 = "00swg2avqnb38q2bsxljd34n8rpknp74h9vbn0fdnfds3a32cqr4";
+ name = "system.threading";
+ version = "4.3.0";
+ sha256 = "0rw9wfamvhayp5zh3j7p1yfmx9b5khbf4q50d8k5rk993rskfd34";
})
(fetchNuGet {
- name = "Microsoft.Extensions.ApiDescription.Server";
- version = "3.0.0";
- sha256 = "13a47xcqyi5gz85swxd4mgp7ndgl4kknrvv3xwmbn71hsh953hsh";
+ name = "system.threading.overlapped";
+ version = "4.0.1";
+ sha256 = "0fi79az3vmqdp9mv3wh2phblfjls89zlj6p9nc3i9f6wmfarj188";
})
(fetchNuGet {
- name = "Swashbuckle.AspNetCore.Swagger";
- version = "5.0.0";
- sha256 = "1341nv8nmh6avs3y7w2szzir5qd0bndxwrkdmvvj3hcxj1126w2f";
+ name = "system.threading.tasks";
+ version = "4.0.11";
+ sha256 = "0nr1r41rak82qfa5m0lhk9mp0k93bvfd7bbd9sdzwx9mb36g28p5";
})
(fetchNuGet {
- name = "runtime.unix.System.Private.Uri";
- version = "4.0.1";
- sha256 = "0ic5dgc45jkhcr1g9xmmzjm7ffiw4cymm0fprczlx4fnww4783nm";
+ name = "system.threading.tasks";
+ version = "4.3.0";
+ sha256 = "134z3v9abw3a6jsw17xl3f6hqjpak5l682k2vz39spj4kmydg6k7";
})
(fetchNuGet {
- name = "runtime.any.System.Text.Encoding";
- version = "4.0.11";
- sha256 = "0m4vgmzi1ky8xlj0r7xcyazxln3j9dlialnk6d2gmgrfnzf8f9m7";
+ name = "system.threading.tasks.dataflow";
+ version = "4.6.0";
+ sha256 = "0a1davr71wssyn4z1hr75lk82wqa0daz0vfwkmg1fm3kckfd72k1";
})
(fetchNuGet {
- name = "System.Private.Uri";
- version = "4.0.1";
- sha256 = "0k57qhawjysm4cpbfpc49kl4av7lji310kjcamkl23bwgij5ld9j";
+ name = "system.threading.tasks.extensions";
+ version = "4.0.0";
+ sha256 = "1cb51z062mvc2i8blpzmpn9d9mm4y307xrwi65di8ri18cz5r1zr";
})
(fetchNuGet {
- name = "runtime.any.System.Runtime.Handles";
- version = "4.0.1";
- sha256 = "1kswgqhy34qvc49i981fk711s7knd6z13bp0rin8ms6axkh98nas";
+ name = "system.threading.tasks.extensions";
+ version = "4.3.0";
+ sha256 = "1xxcx2xh8jin360yjwm4x4cf5y3a2bwpn2ygkfkwkicz7zk50s2z";
})
(fetchNuGet {
- name = "runtime.any.System.Reflection.Primitives";
- version = "4.0.1";
- sha256 = "1zxrpvixr5fqzkxpnin6g6gjq6xajy1snghz99ds2dwbhm276rhz";
+ name = "system.threading.thread";
+ version = "4.0.0";
+ sha256 = "1gxxm5fl36pjjpnx1k688dcw8m9l7nmf802nxis6swdaw8k54jzc";
})
(fetchNuGet {
- name = "runtime.any.System.IO";
- version = "4.1.0";
- sha256 = "0kasfkjiml2kk8prnyn1990nhsahnjggvqwszqjdsfwfl43vpcb5";
+ name = "system.threading.threadpool";
+ version = "4.0.10";
+ sha256 = "0fdr61yjcxh5imvyf93n2m3n5g9pp54bnw2l1d2rdl9z6dd31ypx";
})
(fetchNuGet {
- name = "runtime.any.System.Runtime";
- version = "4.1.0";
- sha256 = "0mjr2bi7wvnkphfjqgkyf8vfyvy15a829jz6mivl6jmksh2bx40m";
+ name = "system.threading.timer";
+ version = "4.0.1";
+ sha256 = "15n54f1f8nn3mjcjrlzdg6q3520571y012mx7v991x2fvp73lmg6";
})
(fetchNuGet {
- name = "runtime.any.System.Threading.Tasks";
+ name = "system.xml.readerwriter";
version = "4.0.11";
- sha256 = "1qzdp09qs8br5qxzlm1lgbjn4n57fk8vr1lzrmli2ysdg6x1xzvk";
+ sha256 = "0c6ky1jk5ada9m94wcadih98l6k1fvf6vi7vhn1msjixaha419l5";
})
(fetchNuGet {
- name = "runtime.any.System.Diagnostics.Tracing";
- version = "4.1.0";
- sha256 = "041im8hmp1zdgrx6jzyrdch6kshvbddmkar7r2mlm1ksb5c5kwpq";
+ name = "system.xml.readerwriter";
+ version = "4.3.0";
+ sha256 = "0c47yllxifzmh8gq6rq6l36zzvw4kjvlszkqa9wq3fr59n0hl3s1";
})
(fetchNuGet {
- name = "runtime.unix.System.Runtime.Extensions";
- version = "4.1.0";
- sha256 = "0x1cwd7cvifzmn5x1wafvj75zdxlk3mxy860igh3x1wx0s8167y4";
+ name = "system.xml.xdocument";
+ version = "4.0.11";
+ sha256 = "0n4lvpqzy9kc7qy1a4acwwd7b7pnvygv895az5640idl2y9zbz18";
})
(fetchNuGet {
- name = "runtime.any.System.Runtime.InteropServices";
- version = "4.1.0";
- sha256 = "0gm8if0hcmp1qys1wmx4970k2x62pqvldgljsyzbjhiy5644vl8z";
+ name = "system.xml.xdocument";
+ version = "4.3.0";
+ sha256 = "08h8fm4l77n0nd4i4fk2386y809bfbwqb7ih9d7564ifcxr5ssxd";
})
(fetchNuGet {
- name = "runtime.any.System.Reflection";
- version = "4.1.0";
- sha256 = "06kcs059d5czyakx75rvlwa2mr86156w18fs7chd03f7084l7mq6";
+ name = "system.xml.xmldocument";
+ version = "4.0.1";
+ sha256 = "0ihsnkvyc76r4dcky7v3ansnbyqjzkbyyia0ir5zvqirzan0bnl1";
})
(fetchNuGet {
- name = "runtime.any.System.Collections";
- version = "4.0.11";
- sha256 = "1x44bm1cgv28zmrp095wf9mn8a6a0ivnzp9v14dcbhx06igxzgg0";
+ name = "system.xml.xmldocument";
+ version = "4.3.0";
+ sha256 = "0bmz1l06dihx52jxjr22dyv5mxv6pj4852lx68grjm7bivhrbfwi";
})
(fetchNuGet {
- name = "runtime.any.System.Globalization";
+ name = "system.xml.xmlserializer";
version = "4.0.11";
- sha256 = "0240rp66pi5bw1xklmh421hj7arwcdmjmgfkiq1cbc6nrm8ah286";
+ sha256 = "01nzc3gdslw90qfykq4qzr2mdnqxjl4sj0wp3fixiwdmlmvpib5z";
})
(fetchNuGet {
- name = "runtime.any.System.Resources.ResourceManager";
+ name = "system.xml.xpath";
version = "4.0.1";
- sha256 = "1jmgs7hynb2rff48623wnyb37558bbh1q28k9c249j5r5sgsr5kr";
+ sha256 = "0fjqgb6y66d72d5n8qq1h213d9nv2vi8mpv8p28j3m9rccmsh04m";
})
(fetchNuGet {
- name = "runtime.unix.System.Diagnostics.Debug";
- version = "4.0.11";
- sha256 = "05ndbai4vpqrry0ghbfgqc8xblmplwjgndxmdn1zklqimczwjg2d";
+ name = "system.xml.xpath.xmldocument";
+ version = "4.0.1";
+ sha256 = "0l7yljgif41iv5g56l3nxy97hzzgck2a7rhnfnljhx9b0ry41bvc";
})
]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/wasabiwallet/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/wasabiwallet/default.nix
index 2fe128f1d29..0b90fc10bbc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/wasabiwallet/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/wasabiwallet/default.nix
@@ -2,7 +2,7 @@
, fetchurl
, makeDesktopItem
, curl
-, dotnet-netcore
+, dotnetCorePackages
, fontconfig
, krb5
, openssl
@@ -11,9 +11,10 @@
}:
let
+ dotnet-runtime = dotnetCorePackages.runtime_5_0;
libPath = lib.makeLibraryPath [
curl
- dotnet-netcore
+ dotnet-runtime
fontconfig.lib
krb5
openssl
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/zcash/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/zcash/default.nix
index 298b678a316..5157ea3857e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/zcash/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/blockchains/zcash/default.nix
@@ -1,24 +1,24 @@
{ rust, rustPlatform, stdenv, lib, fetchFromGitHub, autoreconfHook, makeWrapper
-, cargo, pkg-config, curl, coreutils, boost174, db62, hexdump, libsodium
+, cargo, pkg-config, curl, coreutils, boost175, db62, hexdump, libsodium
, libevent, utf8cpp, util-linux, withDaemon ? true, withMining ? true
, withUtils ? true, withWallet ? true, withZmq ? true, zeromq
}:
rustPlatform.buildRustPackage.override { stdenv = stdenv; } rec {
pname = "zcash";
- version = "4.4.1";
+ version = "4.5.1";
src = fetchFromGitHub {
owner = "zcash";
repo = "zcash";
rev = "v${version}";
- sha256 = "0nhrjizx518khrl8aygag6a1ianzzqpchasggi963f807kv7ipb7";
+ sha256 = "0kyk3hv1y13b3vwg9kjcrpvz9v3l8lp0ikj977nykd5ms8b1rifa";
};
- cargoSha256 = "101j8cn2lg3l1gn53yg3svzwx783z331g9kzn9ici4azindyx903";
+ cargoSha256 = "1mwprsg74xv6qlxf00w7xapnkisb1aid9hkyr8r90zcwdcy8783r";
nativeBuildInputs = [ autoreconfHook cargo hexdump makeWrapper pkg-config ];
- buildInputs = [ boost174 libevent libsodium utf8cpp ]
+ buildInputs = [ boost175 libevent libsodium utf8cpp ]
++ lib.optional withWallet db62
++ lib.optional withZmq zeromq;
@@ -37,7 +37,7 @@ rustPlatform.buildRustPackage.override { stdenv = stdenv; } rec {
configureFlags = [
"--disable-tests"
- "--with-boost-libdir=${lib.getLib boost174}/lib"
+ "--with-boost-libdir=${lib.getLib boost175}/lib"
"CXXFLAGS=-I${lib.getDev utf8cpp}/include/utf8cpp"
"RUST_TARGET=${rust.toRustTargetSpec stdenv.hostPlatform}"
] ++ lib.optional (!withWallet) "--disable-wallet"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/android-studio/common.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/android-studio/common.nix
index 8062d26b284..1393ae94302 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/android-studio/common.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/android-studio/common.nix
@@ -214,9 +214,9 @@ in runCommand
# source-code itself).
platforms = [ "x86_64-linux" ];
maintainers = with maintainers; rec {
- stable = [ meutraa ];
- beta = [ meutraa ];
- canary = [ meutraa ];
+ stable = [ meutraa fabianhjr ];
+ beta = [ meutraa fabianhjr ];
+ canary = [ meutraa fabianhjr ];
dev = canary;
}."${channel}";
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/android-studio/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/android-studio/default.nix
index f31265c2e4c..af4fc5c997c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/android-studio/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/android-studio/default.nix
@@ -9,16 +9,16 @@ let
inherit buildFHSUserEnv;
};
stableVersion = {
- version = "2020.3.1.23"; # "Android Studio Arctic Fox (2020.3.1)"
- sha256Hash = "06xjdibb5lxiga3jg9akmvbazjwk11akyhy3g4pc562hcifsa5sk";
+ version = "2020.3.1.25"; # "Android Studio Arctic Fox (2020.3.1)"
+ sha256Hash = "10gpwb130bzp6a9g958cjqcb2gsm0vdgm08nm5xy45xdh54nxjfg";
};
betaVersion = {
- version = "2020.3.1.21"; # "Android Studio Arctic Fox (2020.3.1) RC 1"
- sha256Hash = "04k7c328bl8ixi8bvp2mm33q2hmv40yc9p5dff5cghyycarwpd3f";
+ version = "2021.1.1.14"; # "Android Studio Bumblebee (2021.1.1) Beta 1"
+ sha256Hash = "1j1fxl4vzq3bln2z9ycxn9imjgy55yd1nbl7ycmsi90bdp96pzj0";
};
latestVersion = { # canary & dev
- version = "2021.1.1.5"; # "Android Studio Bumblebee (2021.1.1) Canary 5"
- sha256Hash = "0fx6nnazg4548rhb11wzaccm5c2si57mj8qwyl5j17x4k5r3m7nh";
+ version = "2021.2.1.1"; # "Android Studio Chipmunk (2021.2.1) Canary 1"
+ sha256Hash = "1fn0jv6ybgdhgpwhamw16fjqbg2961ir9jhbjzanysi7y3935nbv";
};
in {
# Attributes are named by their corresponding release channels
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/apostrophe/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/apostrophe/default.nix
index df0be4ccfbe..e5cfeb964bd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/apostrophe/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/apostrophe/default.nix
@@ -1,8 +1,8 @@
-{ lib, stdenv, fetchFromGitLab, meson, ninja, cmake
+{ lib, stdenv, fetchFromGitLab, meson, ninja
, wrapGAppsHook, pkg-config, desktop-file-utils
, appstream-glib, pythonPackages, glib, gobject-introspection
, gtk3, webkitgtk, glib-networking, gnome, gspell, texlive
-, shared-mime-info, libhandy, fira
+, shared-mime-info, libhandy, fira, sassc
}:
let
@@ -13,18 +13,18 @@ let
in stdenv.mkDerivation rec {
pname = "apostrophe";
- version = "2.4";
+ version = "2.5";
src = fetchFromGitLab {
- owner = "somas";
+ owner = "World";
repo = pname;
domain = "gitlab.gnome.org";
rev = "v${version}";
- sha256 = "1qzy3zhi18wf42m034s8kcmx9gl05j620x3hf6rnycq2fvy7g4gz";
+ sha256 = "06yfiflmj3ip7ppcz41nb3xpgb5ggw5h74w0v87yaqqkq7qh31lp";
};
- nativeBuildInputs = [ meson ninja cmake pkg-config desktop-file-utils
- appstream-glib wrapGAppsHook ];
+ nativeBuildInputs = [ meson ninja pkg-config desktop-file-utils
+ appstream-glib wrapGAppsHook sassc ];
buildInputs = [ glib pythonEnv gobject-introspection gtk3
gnome.adwaita-icon-theme webkitgtk gspell texlive
@@ -49,7 +49,7 @@ in stdenv.mkDerivation rec {
'';
meta = with lib; {
- homepage = "https://gitlab.gnome.org/somas/apostrophe";
+ homepage = "https://gitlab.gnome.org/World/apostrophe";
description = "A distraction free Markdown editor for GNU/Linux";
license = licenses.gpl3;
platforms = platforms.linux;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/atom/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/atom/default.nix
index 03a46479871..84704e71cea 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/atom/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/atom/default.nix
@@ -3,14 +3,14 @@
let
versions = {
atom = {
- version = "1.57.0";
- sha256 = "1jzxjvaljk8p3gzjvs5bn3d128x37pcgn6by7srhs9qclc5j2664";
+ version = "1.58.0";
+ sha256 = "sha256-QxDhr4gwlS9O/lk0nfqsw5sFiPckSTFL15XtRpQh0tU=";
};
atom-beta = {
- version = "1.58.0";
+ version = "1.59.0";
beta = 0;
- sha256 = "0amhilmpiwn2jfn0nrcrhzminqdp3xm5p3w3ldc3qk761pn3lbpd";
+ sha256 = "sha256-s1XHR2e4JPywdLiIcjTqMRILARDthHxBeTQOCIkhmXE=";
broken = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/atom/env.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/atom/env.nix
index 253518b276b..e59b03beba8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/atom/env.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/atom/env.nix
@@ -1,5 +1,5 @@
{ stdenv, lib, zlib, glib, alsa-lib, dbus, gtk3, atk, pango, freetype, fontconfig
-, libgnome-keyring3, gdk-pixbuf, cairo, cups, expat, libgpgerror, nspr
+, libgnome-keyring3, gdk-pixbuf, cairo, cups, expat, libgpg-error, nspr
, gconf, nss, xorg, libcap, systemd, libnotify, libsecret, libuuid, at-spi2-atk
, at-spi2-core, libdbusmenu, libdrm, mesa
}:
@@ -7,7 +7,7 @@
let
packages = [
stdenv.cc.cc zlib glib dbus gtk3 atk pango freetype libgnome-keyring3
- fontconfig gdk-pixbuf cairo cups expat libgpgerror alsa-lib nspr gconf nss
+ fontconfig gdk-pixbuf cairo cups expat libgpg-error alsa-lib nspr gconf nss
xorg.libXrender xorg.libX11 xorg.libXext xorg.libXdamage xorg.libXtst
xorg.libXcomposite xorg.libXi xorg.libXfixes xorg.libXrandr
xorg.libXcursor xorg.libxkbfile xorg.libXScrnSaver libcap systemd libnotify
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/cudatext/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/cudatext/default.nix
index ad06c80f3a4..993c046d2c9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/cudatext/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/cudatext/default.nix
@@ -38,13 +38,13 @@ let
in
stdenv.mkDerivation rec {
pname = "cudatext";
- version = "1.142.0";
+ version = "1.146.0";
src = fetchFromGitHub {
owner = "Alexey-T";
repo = "CudaText";
rev = version;
- sha256 = "sha256-4kVi921dromMqiAuFjm2EOCDXCq4oT+ijko4/uT4LLs=";
+ sha256 = "sha256-YK4nLQvRdgS7hq5a9uVfVjUAgkM/sYXiKjbt0QNzcok=";
};
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/cudatext/deps.json b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/cudatext/deps.json
index 49dfd7e8dfe..42b7af5abb4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/cudatext/deps.json
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/cudatext/deps.json
@@ -11,28 +11,28 @@
},
"ATFlatControls": {
"owner": "Alexey-T",
- "rev": "2021.07.22",
- "sha256": "sha256-sAF/klzPa8fCKKBtpj0h9B+zoGDvA80uL4u4VTikUaI="
+ "rev": "2021.09.14",
+ "sha256": "sha256-j69UkRNdVdzMITBHMT1QwAsYX9S0fts5/0PCroCGtL8="
},
"ATSynEdit": {
"owner": "Alexey-T",
- "rev": "2021.08.20",
- "sha256": "sha256-cVl1HJHLsYTFKQ/Ov+rcP6UAwRJPp7rtmLlZC9S+Jek="
+ "rev": "2021.10.03",
+ "sha256": "sha256-JGw/GbQNLAgHhDm/EgCGvzPpd8rqQo2FhmAL51XIekw="
},
"ATSynEdit_Cmp": {
"owner": "Alexey-T",
- "rev": "2021.08.20",
- "sha256": "sha256-PZtP/J4tJN2Egk/Bp/5DtHlV46yRjhcZL9xhDk6xjBk="
+ "rev": "2021.09.14",
+ "sha256": "sha256-6eC75zAtWbM1XEI9OM3iqy/a8Vj1l5WU7HGJBpmoQsA="
},
"EControl": {
"owner": "Alexey-T",
- "rev": "2021.08.12",
- "sha256": "sha256-Ht7jfFGlvb7khLD0OekuBvkU9ROyDiyUSe+lLI/Rm64="
+ "rev": "2021.10.03",
+ "sha256": "sha256-Kbjzn4Rp+/oTNgFMlzlkQEeob0Z4VidqJ/+wuNHS580="
},
"ATSynEdit_Ex": {
"owner": "Alexey-T",
- "rev": "2021.07.29",
- "sha256": "sha256-mCT3F0GPC+Hl7WOtYznxErMTyr9cH4ghaanYMum+3Fg="
+ "rev": "2021.09.03",
+ "sha256": "sha256-XYFnTfRa0n9XF9l/hL6z5RFZgdpVP9o1If4qln905Yc="
},
"Python-for-Lazarus": {
"owner": "Alexey-T",
@@ -51,7 +51,7 @@
},
"bgrabitmap": {
"owner": "bgrabitmap",
- "rev": "v11.3.1",
- "sha256": "1f95rdpfwqy9fipzybi17nbhq46zj45yjps21p2hplhinrr49n2p"
+ "rev": "v11.4",
+ "sha256": "sha256-jZL8lzjua033E76IL0HIk/fihC73ifCb4LqMni7vvb0="
}
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/eclipse/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/eclipse/default.nix
index 000726dd81a..77cf5088a40 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/eclipse/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/eclipse/default.nix
@@ -16,10 +16,10 @@ assert stdenv ? glibc;
let
platform_major = "4";
- platform_minor = "19";
+ platform_minor = "21";
year = "2021";
- month = "03";
- timestamp = "${year}${month}031800";
+ month = "09";
+ timestamp = "${year}${month}060500";
gtk = gtk3;
in rec {
@@ -37,7 +37,7 @@ in rec {
src =
fetchurl {
url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/technology/epp/downloads/release/${year}-${month}/R/eclipse-cpp-${year}-${month}-R-linux-gtk-x86_64.tar.gz";
- sha512 = "3j0lmll0glcr9p0hf49jiaq9xr8hadsy0y58wbbkdpldj3rclxr056dkswmiw2bkypfiwrjygbli5qxyp6mz380562hc2kjwijqq476";
+ sha512 = "3xdj7b0mlhdys9q4l19kkf1hm0d67dwx55gzfmgv90nvswv0jhyvs42my4wrlrmkh6lz8m0z6dakadhl1bxf2fd8pdp5sm4bv0w0gwc";
};
};
@@ -49,7 +49,7 @@ in rec {
src =
fetchurl {
url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/technology/epp/downloads/release/${year}-${month}/R/eclipse-modeling-${year}-${month}-R-linux-gtk-x86_64.tar.gz";
- sha512 = "0iqz9a3ixcbmaci6lnspdnzwd2h1fcygi54hmsl89pq3d1k5scyhcl123ixi24csi782w847bn0lq00n0zwras9akmnhsflra4mw5pz";
+ sha512 = "20xy4vzqlmg4sdvqimz2nc212vb45k5kwh40qagm13r6h3vfv3yrl8bznnappaf4msfa9xdaxns2kz0x94hw444zjmrnbf7614a48xi";
};
};
@@ -61,7 +61,7 @@ in rec {
src =
fetchurl {
url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/eclipse/downloads/drops${platform_major}/R-${platform_major}.${platform_minor}-${timestamp}/eclipse-platform-${platform_major}.${platform_minor}-linux-gtk-x86_64.tar.gz";
- sha512 = "03v1ly7j9d9qnl3d9rl5a9kp483dz8i8v3cfnh55ksm9fk8iy2fzg6wq178ggnx2z5x9k88a4wk6n647yilh2hgc2l7926imkh2j1ly";
+ sha512 = "29hab3ha3spk0048k3mf2x5m80hlh1l6nazsykx0xxrqy9vdkdibv6mq74dzf1n93h1bd5qh9axicnhs465kp7r1irdl04761c1wibi";
};
};
@@ -86,7 +86,7 @@ in rec {
src =
fetchurl {
url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/eclipse/downloads/drops${platform_major}/R-${platform_major}.${platform_minor}-${timestamp}/eclipse-SDK-${platform_major}.${platform_minor}-linux-gtk-x86_64.tar.gz";
- sha512 = "37m91my121pch12bwpwk5svfqkm7vl07wjx4fkhpy947v5kjf36hm6x0i45swdg7f0hk72y2qz5ka15ki5jv890qy5psj6z7ax9sys7";
+ sha512 = "3ag7nfpnn1149gkva58x0037pbdb5wds0qpwv21lif7a6b1a1n7g2n056bn43a7fkxkkj38752gkz29nvqh5g8hqkg29lax8sjlm7sm";
};
};
@@ -98,7 +98,7 @@ in rec {
src =
fetchurl {
url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/technology/epp/downloads/release/${year}-${month}/R/eclipse-java-${year}-${month}-R-linux-gtk-x86_64.tar.gz";
- sha512 = "3qrnj6krhrqc9rfwlim3v7kshwfhsi050pszw6xdfbj56mzr9whr7l76isbpxd5j0zipgfw3qrzrx379pdp899d35fv284ilvllzl4k";
+ sha512 = "27h5wjr4k0jhi256rk74kbjbm5h7xi4hbml89n1jhppq1yfyv2mf75zb32iaia2pxclx6hc0cd1hvq85fwvcshnq79fw8za687yvbhv";
};
};
@@ -110,7 +110,7 @@ in rec {
src =
fetchurl {
url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/technology/epp/downloads/release/${year}-${month}/R/eclipse-jee-${year}-${month}-R-linux-gtk-x86_64.tar.gz";
- sha512 = "04k4x9imabxddqlrgajn33ak8i58wcap40ll09xz23d1sxn9a8prh01s06ymgwg6ldg939srphvbz4112p8p0b1hl7m25a02qll91zv";
+ sha512 = "03li2bkhkdybwp411xs8i3cp2hmrfg2xd7inbdsxh07y4b9806spi3q10vga97m7ngl6fl5n0mvgxwj8dbdvp133wn9mgrlajb1n4n8";
};
};
@@ -122,7 +122,7 @@ in rec {
src =
fetchurl {
url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/technology/epp/downloads/release/${year}-${month}/R/eclipse-committers-${year}-${month}-R-linux-gtk-x86_64.tar.gz";
- sha512 = "2yksl3w7yr1a3h4zdpa9zf394r5c185zqxhigdv858ldg46kmr9h0l2c7shbgb16kkybcnrk4x44dhjvh60x8xw6ma05klp4lp9v5va";
+ sha512 = "38xwwvg59bdp0a6brmcvq0wlfikik0wnqq897abf5a8vyr0ci7xp5f4ii90x2l5sj5gmcc6jiwvi99c03cjbgivpalr741yka0p3pv5";
};
};
@@ -134,7 +134,7 @@ in rec {
src =
fetchurl {
url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/technology/epp/downloads/release/${year}-${month}/R/eclipse-rcp-${year}-${month}-R-linux-gtk-x86_64.tar.gz";
- sha512 = "3fhrhwbyqcys56c93s1vl9rbvn269nn5y3cb9f3n1qwgw6i97mim2zy98jl3r8cksf97jwsmqmsqclsgz9v799wcckv81dj1l628382";
+ sha512 = "30hhy83lmjldcwwbjpk5q9zjai5r3xyhlrddalgrw8mspknayncaa2l32gg327fw0a8qaakzgwkh68gj81pmk3dps5wzy881pf22dhc";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/eclipse/plugins.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/eclipse/plugins.nix
index 5937311b5c9..46a9b6c477a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/eclipse/plugins.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/eclipse/plugins.nix
@@ -248,12 +248,12 @@ rec {
cdt = buildEclipseUpdateSite rec {
name = "cdt-${version}";
# find current version at https://www.eclipse.org/cdt/downloads.php
- version = "10.2.0";
+ version = "10.4.1";
src = fetchzip {
stripRoot = false;
- url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/tools/cdt/releases/10.2/${name}/${name}.zip";
- sha256 = "1r30cbpbzw3dfcsn54p6sqip86dqhydhsppjgaz60b6z138vzx49";
+ url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/tools/cdt/releases/${lib.versions.majorMinor version}/${name}/${name}.zip";
+ sha256 = "1l3v6dryaqifwrv2h4knwmpyf11qbyl04p7gcvgrx3hczc82a6p1";
};
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/agda-input/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/agda-input/default.nix
new file mode 100644
index 00000000000..a96f7393dfa
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/agda-input/default.nix
@@ -0,0 +1,18 @@
+{ trivialBuild
+, haskellPackages
+}:
+
+trivialBuild {
+ pname = "agda-input";
+
+ inherit (haskellPackages.Agda) src version;
+
+ postUnpack = ''
+ mv $sourceRoot/src/data/emacs-mode/agda-input.el $sourceRoot
+ '';
+
+ meta = {
+ inherit (haskellPackages.Agda.meta) homepage license;
+ description = "Standalone package providing the agda-input method without building Agda.";
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/agda2-mode/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/agda2-mode/default.nix
new file mode 100644
index 00000000000..2533153bd44
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/agda2-mode/default.nix
@@ -0,0 +1,27 @@
+{ trivialBuild
+, haskellPackages
+}:
+
+trivialBuild rec {
+ pname = "agda-mode";
+ version = haskellPackages.Agda.version;
+
+ dontUnpack = true;
+
+ # already byte-compiled by Agda builder
+ buildPhase = ''
+ agda=`${haskellPackages.Agda}/bin/agda-mode locate`
+ cp `dirname $agda`/*.el* .
+ '';
+
+ meta = {
+ inherit (haskellPackages.Agda.meta) homepage license;
+ description = "Agda2-mode for Emacs extracted from Agda package";
+ longDescription = ''
+ Wrapper packages that liberates init.el from `agda-mode locate` magic.
+ Simply add this to user profile or systemPackages and do `(require
+ 'agda2)` in init.el.
+ '';
+ };
+}
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/apheleia/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/apheleia/default.nix
index 658a18c0586..0d9028e59fc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/apheleia/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/apheleia/default.nix
@@ -1,36 +1,30 @@
-{ stdenv, fetchFromGitHub, emacs, lib }:
+{ lib
+, stdenv
+, trivialBuild
+, fetchFromGitHub
+, emacs
+}:
-stdenv.mkDerivation {
+trivialBuild rec {
pname = "apheleia";
- version = "2021-05-23";
+ version = "1.1.2+unstable=2021-10-03";
src = fetchFromGitHub {
owner = "raxod502";
- repo = "apheleia";
- rev = "f865c165dac606187a66b2b25a57d5099b452120";
- sha256 = "sha256-n37jJsNOGhSjUtQysG3NVIjjayhbOa52iTXBc8SyKXE=";
+ repo = pname;
+ rev = "8b9d576f2fda10d0c9051fc03c1eb1d9791e32fd";
+ hash = "sha256-QwGlCdHBll16mbfQxGw1EORZFUxYCZSt8ThYTTGjRpo=";
};
- buildInputs = [ emacs ];
+ buildInputs = [
+ emacs
+ ];
- buildPhase = ''
- runHook preBuild
- emacs -L . --batch -f batch-byte-compile *.el
- runHook postBuild
- '';
-
- installPhase = ''
- runHook preInstall
- install -d $out/share/emacs/site-lisp
- install *.el *.elc $out/share/emacs/site-lisp
- runHook postInstall
- '';
-
- meta = {
- description = "Reformat buffer stably";
+ meta = with lib; {
homepage = "https://github.com/raxod502/apheleia";
- license = lib.licenses.mit;
- maintainers = with lib.maintainers; [ leungbk ];
+ description = "Asynchronous buffer reformat";
+ license = licenses.mit;
+ maintainers = with maintainers; [ AndersonTorres leungbk ];
platforms = emacs.meta.platforms;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/bqn-mode/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/bqn-mode/default.nix
new file mode 100644
index 00000000000..876392c0810
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/bqn-mode/default.nix
@@ -0,0 +1,22 @@
+{ lib
+, trivialBuild
+, fetchFromGitHub
+}:
+
+trivialBuild {
+ pname = "bqn-mode";
+ version = "0.pre+unstable=2021-09-27";
+
+ src = fetchFromGitHub {
+ owner = "AndersonTorres";
+ repo = "bqn-mode";
+ rev = "5bdc713ade78f11d756231739429440552d7faf8";
+ hash = "sha256-ztGHWKVgMP9N4hV9k0PY9LxqXgHxkycyF3N0eZ+jIZs=";
+ };
+
+ meta = with lib; {
+ description = "Emacs mode for BQN programming language";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ sternenseemann AndersonTorres ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/cedille/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/cedille/default.nix
index f03aa92c3af..e9a5e912881 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/cedille/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/cedille/default.nix
@@ -1,30 +1,33 @@
-{ stdenv, cedille, emacs }:
+{ stdenv
+, cedille
+, emacs
+}:
stdenv.mkDerivation {
pname = "cedille-mode";
- version = cedille.version;
- src = cedille.src;
+ inherit (cedille) version src;
buildInputs = [ emacs ];
dontBuild = true;
installPhase = ''
+ runHook preInstall
+
install -d $out/share/emacs/site-lisp
install se-mode/*.el se-mode/*.elc $out/share/emacs/site-lisp
install cedille-mode/*.el cedille-mode/*.elc $out/share/emacs/site-lisp
install *.el *.elc $out/share/emacs/site-lisp
substituteInPlace $out/share/emacs/site-lisp/cedille-mode.el \
- --replace /usr/bin/cedille ${cedille}/bin/cedille \
+ --replace /usr/bin/cedille ${cedille}/bin/cedille
+ runHook postInstall
'';
meta = {
+ inherit (cedille.meta)
+ homepage license maintainers platforms;
description = "Emacs major mode for Cedille";
- homepage = cedille.meta.homepage;
- license = cedille.meta.license ;
- platforms = cedille.meta.platforms;
- maintainers = cedille.meta.maintainers;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/color-theme-solarized/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/color-theme-solarized/default.nix
index ce85e9be4e9..1ac8b2f7076 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/color-theme-solarized/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/color-theme-solarized/default.nix
@@ -1,33 +1,38 @@
-{lib, stdenv, fetchzip, emacs, color-theme}:
-let
- commit = "f3ca8902ea056fb8e46cb09f09c96294e31cd4ee";
-in
-stdenv.mkDerivation {
- name = "color-theme-solarized-1.0.0";
+{ lib
+, trivialBuild
+, fetchFromGitHub
+, emacs
+, color-theme
+}:
- src = fetchzip {
+trivialBuild {
+ pname = "color-theme-solarized";
+ version = "0.pre+unstable=2017-10-24";
- url = "https://github.com/sellout/emacs-color-theme-solarized/archive/${commit}.zip";
- sha256 = "16d7adqi07lzzr0qipl1fbag9l8kiyr3xrqxi528pimcisbg85d3";
+ src = fetchFromGitHub {
+ owner = "sellout";
+ repo = "emacs-color-theme-solarized";
+ rev = "f3ca8902ea056fb8e46cb09f09c96294e31cd4ee";
+ hash = "sha256-oxX0lo6sxotEiR3nPrKPE9H01HKB3ohB/p8eEHFTp5k=";
};
buildInputs = [ emacs ];
propagatedUserEnvPkgs = [ color-theme ];
buildPhase = ''
- emacs -L . -L ${color-theme}/share/emacs/site-lisp/elpa/color-theme-* --batch -f batch-byte-compile *.el
- '';
+ runHook preBuild
+
+ emacs -L . -L ${color-theme}/share/emacs/site-lisp/elpa/color-theme-* \
+ --batch -f batch-byte-compile *.el
- installPhase = ''
- mkdir -p $out/share/emacs/site-lisp
- install *.el* $out/share/emacs/site-lisp
+ runHook postBuild
'';
meta = with lib; {
- description = "Precision colors for machines and people";
homepage = "http://ethanschoonover.com/solarized";
- maintainers = [ maintainers.samuelrivas ];
+ description = "Precision colors for machines and people; Emacs implementation";
license = licenses.mit;
- platforms = platforms.all;
+ maintainers = with maintainers; [ samuelrivas AndersonTorres ];
+ inherit (emacs.meta) platforms;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ebuild-mode/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ebuild-mode/default.nix
index f3adf4b9ea7..3202e5f5ac5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ebuild-mode/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ebuild-mode/default.nix
@@ -2,11 +2,11 @@
trivialBuild rec {
pname = "ebuild-mode";
- version = "1.52";
+ version = "1.53";
src = fetchurl {
url = "https://dev.gentoo.org/~ulm/emacs/${pname}-${version}.tar.xz";
- sha256 = "10nikbbwh612qlnms2i31963a0h3ccyg85vrxlizdpsqs4cjpg6h";
+ sha256 = "1l740qp71df9ids0c49kvp942rk8k1rfkg1hyv7ysfns5shk7b9l";
};
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/elpa-generated.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/elpa-generated.nix
index 31ef6a3e4f0..7eb9f12a5a0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/elpa-generated.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/elpa-generated.nix
@@ -234,10 +234,10 @@
elpaBuild {
pname = "auctex";
ename = "auctex";
- version = "13.0.13";
+ version = "13.0.14";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/auctex-13.0.13.tar";
- sha256 = "06cb9jphqd0nysc60b4x24zmdryljb168cw66adl7b2x7sdzpkmn";
+ url = "https://elpa.gnu.org/packages/auctex-13.0.14.tar";
+ sha256 = "1gmqdcg9s6xf8kvzh1j27nbimakd5cy8pwsn0il19l026kxjimr8";
};
packageRequires = [ emacs ];
meta = {
@@ -365,6 +365,36 @@
license = lib.licenses.free;
};
}) {};
+ boxy = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "boxy";
+ ename = "boxy";
+ version = "1.0.4";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/boxy-1.0.4.tar";
+ sha256 = "0cwzjyj8yjg13b63va6pnj01m6kc5g3zx69c9w2ysl2wk24zn6dz";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/boxy.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ boxy-headings = callPackage ({ boxy, elpaBuild, emacs, fetchurl, lib, org }:
+ elpaBuild {
+ pname = "boxy-headings";
+ ename = "boxy-headings";
+ version = "2.1.0";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/boxy-headings-2.1.0.tar";
+ sha256 = "021w4ic028jsq7vxz1jgnfny9dymcz6v112b3b3nwyw3g3dnc62f";
+ };
+ packageRequires = [ boxy emacs org ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/boxy-headings.html";
+ license = lib.licenses.free;
+ };
+ }) {};
brief = callPackage ({ elpaBuild, fetchurl, lib }:
elpaBuild {
pname = "brief";
@@ -636,10 +666,10 @@
elpaBuild {
pname = "consult";
ename = "consult";
- version = "0.11";
+ version = "0.12";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/consult-0.11.tar";
- sha256 = "14zjhsfhq5g9257maivyzpj5qxi1kprcsdk1qalwybyylyb4q4kk";
+ url = "https://elpa.gnu.org/packages/consult-0.12.tar";
+ sha256 = "0xcr7jki9m30hppy24z74nrw7xv5nahm1yrjilcck32mxfkrc69x";
};
packageRequires = [ emacs ];
meta = {
@@ -666,10 +696,10 @@
elpaBuild {
pname = "corfu";
ename = "corfu";
- version = "0.11";
+ version = "0.13";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/corfu-0.11.tar";
- sha256 = "1sf7ll25ry7vwff4bvqgdh84zviqj6wifmqdb2z8hf12awz63icz";
+ url = "https://elpa.gnu.org/packages/corfu-0.13.tar";
+ sha256 = "0psvkxr7fjqq7gkqdzl0ma367zjlxgixk563vpv9hmwfwymddyyb";
};
packageRequires = [ emacs ];
meta = {
@@ -707,6 +737,21 @@
license = lib.licenses.free;
};
}) {};
+ crdt = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "crdt";
+ ename = "crdt";
+ version = "0.2.7";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/crdt-0.2.7.tar";
+ sha256 = "0f6v937zbxj4kci07dv0a1h4q1ak0qabkjq2j258ydxyivvqyvsw";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/crdt.html";
+ license = lib.licenses.free;
+ };
+ }) {};
crisp = callPackage ({ elpaBuild, fetchurl, lib }:
elpaBuild {
pname = "crisp";
@@ -726,10 +771,10 @@
elpaBuild {
pname = "csharp-mode";
ename = "csharp-mode";
- version = "1.0.0";
+ version = "1.0.2";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/csharp-mode-1.0.0.tar";
- sha256 = "0vhm443fkcjsj95r4rs9r0mz9vzfk92883nxhyi35d3jaf112gm1";
+ url = "https://elpa.gnu.org/packages/csharp-mode-1.0.2.tar";
+ sha256 = "1xddnd6g6qz3xnzl6dmd38qvzvm32acdyhmm27hfdpqcbg6isfad";
};
packageRequires = [ emacs ];
meta = {
@@ -741,10 +786,10 @@
elpaBuild {
pname = "csv-mode";
ename = "csv-mode";
- version = "1.15";
+ version = "1.16";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/csv-mode-1.15.tar";
- sha256 = "0pigqhqg5mfza6jdskcr9yvrzdxnd68iyp3vyb8p8wskdacmbiyx";
+ url = "https://elpa.gnu.org/packages/csv-mode-1.16.tar";
+ sha256 = "1i43b2p31xhrf97xbdi35y550ysp69fasa5gcrhg6iyxw176807p";
};
packageRequires = [ cl-lib emacs ];
meta = {
@@ -786,10 +831,10 @@
elpaBuild {
pname = "dash";
ename = "dash";
- version = "2.19.0";
+ version = "2.19.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/dash-2.19.0.tar";
- sha256 = "0qszjs60xxqjiqf5f2bgmnbx5jiqii4ghcydwg500za0n2j0f5sx";
+ url = "https://elpa.gnu.org/packages/dash-2.19.1.tar";
+ sha256 = "0c11lm7wpgmqk8zbdcpmyas12ylml5yhp99mj9h1wqqw0p33xaiw";
};
packageRequires = [ emacs ];
meta = {
@@ -816,10 +861,10 @@
elpaBuild {
pname = "debbugs";
ename = "debbugs";
- version = "0.28";
+ version = "0.29";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/debbugs-0.28.tar";
- sha256 = "1qks38hpg3drhxzw66n5yxfq0v6fj9ya7d9dc6x0xwfp6r2x0li0";
+ url = "https://elpa.gnu.org/packages/debbugs-0.29.tar";
+ sha256 = "1bn21d9dr9pb3vdak3v07x056xafym89kdpxavjf4avy6bry6s4d";
};
packageRequires = [ emacs soap-client ];
meta = {
@@ -1007,6 +1052,21 @@
license = lib.licenses.free;
};
}) {};
+ easy-escape = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "easy-escape";
+ ename = "easy-escape";
+ version = "0.2.1";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/easy-escape-0.2.1.tar";
+ sha256 = "19blpwka440y6r08hzzaz61gb24jr6a046pai2j1a3jg6x9fr3j5";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/easy-escape.html";
+ license = lib.licenses.free;
+ };
+ }) {};
easy-kill = callPackage ({ cl-lib ? null, elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "easy-kill";
@@ -1026,10 +1086,10 @@
elpaBuild {
pname = "ebdb";
ename = "ebdb";
- version = "0.8.2";
+ version = "0.8.6";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/ebdb-0.8.2.tar";
- sha256 = "1idwih5v287q9vdhsnr1bsibdv4h61vmy3b82svf2x9aik1a7vir";
+ url = "https://elpa.gnu.org/packages/ebdb-0.8.6.tar";
+ sha256 = "0amr1s1q5w4513qw31qsr8gpsfgj5b2j7qn017rmwbaf1mj0k6z0";
};
packageRequires = [ emacs seq ];
meta = {
@@ -1086,10 +1146,10 @@
elpaBuild {
pname = "eev";
ename = "eev";
- version = "20210822";
+ version = "20211011";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/eev-20210822.tar";
- sha256 = "1682hl8s15snz9vq2r0q7jfpf81gbhlyxp55l2alsmxll4qq72wh";
+ url = "https://elpa.gnu.org/packages/eev-20211011.tar";
+ sha256 = "1a71qam6z5s3zl7fvxpsnabbqxh8a7llm1524nxs2353pb6ksfra";
};
packageRequires = [ emacs ];
meta = {
@@ -1209,10 +1269,10 @@
elpaBuild {
pname = "emms";
ename = "emms";
- version = "7.6";
+ version = "7.7";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/emms-7.6.tar";
- sha256 = "03cp6mr0kxy41dg4ri5ymbzpkw7bd8zg7hx0a2rb4axiss5qmx7i";
+ url = "https://elpa.gnu.org/packages/emms-7.7.tar";
+ sha256 = "0n9nx4wgjxkr8nsxcq8svg0x0qkqj7bsd2j0ihy4jzj29xmyxl0h";
};
packageRequires = [ cl-lib nadvice seq ];
meta = {
@@ -1220,6 +1280,21 @@
license = lib.licenses.free;
};
}) {};
+ engrave-faces = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "engrave-faces";
+ ename = "engrave-faces";
+ version = "0.2.0";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/engrave-faces-0.2.0.tar";
+ sha256 = "1d0hsfg3wvwbs82gjyvfjvy1sszcm7qa50bch1b6jy05kbc543ip";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/engrave-faces.html";
+ license = lib.licenses.free;
+ };
+ }) {};
enwc = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "enwc";
@@ -1250,6 +1325,21 @@
license = lib.licenses.free;
};
}) {};
+ erc = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "erc";
+ ename = "erc";
+ version = "5.4.1";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/erc-5.4.1.tar";
+ sha256 = "0hghqwqrx11f8qa1zhyhjqp99w01l686azsmd24z9w0l93fz598a";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/erc.html";
+ license = lib.licenses.free;
+ };
+ }) {};
ergoemacs-mode = callPackage ({ cl-lib ? null
, elpaBuild
, emacs
@@ -1375,16 +1465,16 @@
license = lib.licenses.free;
};
}) {};
- flymake = callPackage ({ eldoc, elpaBuild, emacs, fetchurl, lib }:
+ flymake = callPackage ({ eldoc, elpaBuild, emacs, fetchurl, lib, project }:
elpaBuild {
pname = "flymake";
ename = "flymake";
- version = "1.1.1";
+ version = "1.2.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/flymake-1.1.1.tar";
- sha256 = "0lk2v34b59b24j3hsmi8d0v7fgpwcipv7ka9i88cdgjmjjmzgz5q";
+ url = "https://elpa.gnu.org/packages/flymake-1.2.1.tar";
+ sha256 = "1j4j1mxqvkpdccrm5khykmdpm8z9p0pxvnsw4cz9b76xzfdzy5pz";
};
- packageRequires = [ eldoc emacs ];
+ packageRequires = [ eldoc emacs project ];
meta = {
homepage = "https://elpa.gnu.org/packages/flymake.html";
license = lib.licenses.free;
@@ -1798,10 +1888,10 @@
elpaBuild {
pname = "ioccur";
ename = "ioccur";
- version = "2.4";
+ version = "2.5";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/ioccur-2.4.el";
- sha256 = "1isid3kgsi5qkz27ipvmp9v5knx0qigmv7lz12mqdkwv8alns1p9";
+ url = "https://elpa.gnu.org/packages/ioccur-2.5.tar";
+ sha256 = "06a6djln2rry3qnb063yarji3p18hcpp5zrw7q43a45k7qaiaji8";
};
packageRequires = [ cl-lib emacs ];
meta = {
@@ -1908,10 +1998,10 @@
elpaBuild {
pname = "ivy-posframe";
ename = "ivy-posframe";
- version = "0.6.1";
+ version = "0.6.2";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/ivy-posframe-0.6.1.tar";
- sha256 = "1nay2sfbwm2fkp3f1y89innd9h6j3q70q9y4yddrwa69cxlj9m23";
+ url = "https://elpa.gnu.org/packages/ivy-posframe-0.6.2.tar";
+ sha256 = "1x6pm0pry2j7yazhxvq1gydbymwll9yg85m8qi4sh8s0pnm0vjzk";
};
packageRequires = [ emacs ivy posframe ];
meta = {
@@ -2009,16 +2099,16 @@
license = lib.licenses.free;
};
}) {};
- kiwix = callPackage ({ elpaBuild, elquery, emacs, fetchurl, lib, request }:
+ kiwix = callPackage ({ elpaBuild, emacs, fetchurl, lib, request }:
elpaBuild {
pname = "kiwix";
ename = "kiwix";
- version = "1.1.0";
+ version = "1.1.4";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/kiwix-1.1.0.tar";
- sha256 = "1clp0q34bs395d0hrqdyvm9ds665hgf5qrdiqa14k31h4lbv2wsn";
+ url = "https://elpa.gnu.org/packages/kiwix-1.1.4.tar";
+ sha256 = "1ls11a7fc6d4gj85g8m09r95fvc4ppc0k0fs28d1hzybmgl89rgl";
};
- packageRequires = [ elquery emacs request ];
+ packageRequires = [ emacs request ];
meta = {
homepage = "https://elpa.gnu.org/packages/kiwix.html";
license = lib.licenses.free;
@@ -2178,10 +2268,10 @@
elpaBuild {
pname = "map";
ename = "map";
- version = "3.1";
+ version = "3.2.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/map-3.1.tar";
- sha256 = "1akkp34psm71ylbf1i02m56ga1dkswhz069j98amixrhw20hq4nx";
+ url = "https://elpa.gnu.org/packages/map-3.2.1.tar";
+ sha256 = "1vy231m2fm5cgz5nib14ib7ifprajhnbmzf6x4id48h2491m1n24";
};
packageRequires = [ emacs ];
meta = {
@@ -2193,10 +2283,10 @@
elpaBuild {
pname = "marginalia";
ename = "marginalia";
- version = "0.8";
+ version = "0.9";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/marginalia-0.8.tar";
- sha256 = "0afry11i7kgfa0q83p63hmahl7jzsqhn4sl1rnkjy98pnikwv9kd";
+ url = "https://elpa.gnu.org/packages/marginalia-0.9.tar";
+ sha256 = "0jnw9ys7p2rhi7sx2wxi3xs95ryg9vr34xb2jdfiz0p1xv04a300";
};
packageRequires = [ emacs ];
meta = {
@@ -2362,10 +2452,10 @@
elpaBuild {
pname = "modus-themes";
ename = "modus-themes";
- version = "1.5.0";
+ version = "1.6.0";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/modus-themes-1.5.0.tar";
- sha256 = "0y5a7g66iiai20fvc6qff3ki792bzca87zxbmxl8hpks4a6znc80";
+ url = "https://elpa.gnu.org/packages/modus-themes-1.6.0.tar";
+ sha256 = "03ahavpvd57z7cw1n46k6lq5335p1ld7kkjcylyx5fvq1rc1jw44";
};
packageRequires = [ emacs ];
meta = {
@@ -2392,6 +2482,21 @@
license = lib.licenses.free;
};
}) {};
+ multi-mode = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "multi-mode";
+ ename = "multi-mode";
+ version = "1.14";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/multi-mode-1.14.tar";
+ sha256 = "0aslndqr0277ai0iwywbmj07vmz88vpmc0mgydcy4li8fkn8h066";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/multi-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
multishell = callPackage ({ cl-lib ? null, elpaBuild, fetchurl, lib }:
elpaBuild {
pname = "multishell";
@@ -2487,6 +2592,51 @@
license = lib.licenses.free;
};
}) {};
+ nano-agenda = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "nano-agenda";
+ ename = "nano-agenda";
+ version = "0.1";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/nano-agenda-0.1.tar";
+ sha256 = "1bylgd4ly6dybpg66ndgsmgs5w0y5ymfq3s2pbwjnl46fnrmggz0";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/nano-agenda.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ nano-modeline = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "nano-modeline";
+ ename = "nano-modeline";
+ version = "0.2";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/nano-modeline-0.2.tar";
+ sha256 = "13m8j8jnd33wwv1siv6frzdbs7bhspg859sflq58vimv444zjzac";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/nano-modeline.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ nano-theme = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "nano-theme";
+ ename = "nano-theme";
+ version = "0.2.1";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/nano-theme-0.2.1.tar";
+ sha256 = "0m98kq40dhbrn55x4bp2x5d5j1gps4y7z4086mgnj8wr1y3w8kdl";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/nano-theme.html";
+ license = lib.licenses.free;
+ };
+ }) {};
nhexl-mode = callPackage ({ cl-lib ? null
, elpaBuild
, emacs
@@ -2645,10 +2795,10 @@
elpaBuild {
pname = "org";
ename = "org";
- version = "9.4.6";
+ version = "9.5";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/org-9.4.6.tar";
- sha256 = "1k49ymsi77366as2wi4kzv2f1xnbwpb47iw7iw07yxwlhmm7vskq";
+ url = "https://elpa.gnu.org/packages/org-9.5.tar";
+ sha256 = "16cflg5nms5nb8w86nvwkg49zkl0rvdhigkf4xpvbs0v7zb5y3ky";
};
packageRequires = [ emacs ];
meta = {
@@ -2671,6 +2821,21 @@
license = lib.licenses.free;
};
}) {};
+ org-real = callPackage ({ boxy, elpaBuild, emacs, fetchurl, lib, org }:
+ elpaBuild {
+ pname = "org-real";
+ ename = "org-real";
+ version = "1.0.4";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/org-real-1.0.4.tar";
+ sha256 = "0bn9vyx74lki2nggzir02mcrww94dnqpbkryjr7a4i6am0ylf705";
+ };
+ packageRequires = [ boxy emacs org ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/org-real.html";
+ license = lib.licenses.free;
+ };
+ }) {};
org-translate = callPackage ({ elpaBuild, emacs, fetchurl, lib, org }:
elpaBuild {
pname = "org-translate";
@@ -2825,10 +2990,10 @@
elpaBuild {
pname = "phps-mode";
ename = "phps-mode";
- version = "0.4.6";
+ version = "0.4.7";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/phps-mode-0.4.6.tar";
- sha256 = "0mfwyz9rwnrs0xcd1jmq1ngdhbwygm6hbfhyr14djywxx0b4hpm5";
+ url = "https://elpa.gnu.org/packages/phps-mode-0.4.7.tar";
+ sha256 = "0y5milfjf45bi7gj7brl2lhyla8nsj3dc1a4nfq1wx3zw8arlc50";
};
packageRequires = [ emacs ];
meta = {
@@ -2885,10 +3050,10 @@
elpaBuild {
pname = "project";
ename = "project";
- version = "0.6.1";
+ version = "0.8.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/project-0.6.1.tar";
- sha256 = "174fli3swbn67qcs9isv70vwrf6r41mak6dbs98gia89rlb71c8v";
+ url = "https://elpa.gnu.org/packages/project-0.8.1.tar";
+ sha256 = "1x3zkbjsi04v5ny3yxqrb75vcacrj9kxmpm9mvkp0n07j5g34f68";
};
packageRequires = [ emacs xref ];
meta = {
@@ -2960,10 +3125,10 @@
elpaBuild {
pname = "python";
ename = "python";
- version = "0.27.1";
+ version = "0.28";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/python-0.27.1.el";
- sha256 = "0jygl2w8x73v22w0rzq75i2hnm3f46dzgg5x1ckz720nznvwwkka";
+ url = "https://elpa.gnu.org/packages/python-0.28.tar";
+ sha256 = "1pvhsdjla1rvw223h7irmbzzsrixnpy1rsskiq9xmkpkc688b6pm";
};
packageRequires = [ cl-lib emacs ];
meta = {
@@ -3381,10 +3546,10 @@
elpaBuild {
pname = "seq";
ename = "seq";
- version = "2.22";
+ version = "2.23";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/seq-2.22.tar";
- sha256 = "0zlqcbabzj8crg36ird2l74dbg5k7w1zf5iwva0h2dyvwyf9grma";
+ url = "https://elpa.gnu.org/packages/seq-2.23.tar";
+ sha256 = "1lbxnrzq88z8k9dyylg2636pg9vc8bzfprs1hxwp9ah0zkvsn52p";
};
packageRequires = [];
meta = {
@@ -3396,10 +3561,10 @@
elpaBuild {
pname = "setup";
ename = "setup";
- version = "1.0.0";
+ version = "1.1.0";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/setup-1.0.0.tar";
- sha256 = "05k65r5mgkpbj6f84qscgq4gjbj4wyn7c60b9xjvadw9b55yvfxk";
+ url = "https://elpa.gnu.org/packages/setup-1.1.0.tar";
+ sha256 = "1xbh4fix6n47avv57gz48zf4ad1l6mfj30qr5lwvk6pz5gpnjg7i";
};
packageRequires = [ emacs ];
meta = {
@@ -3411,10 +3576,10 @@
elpaBuild {
pname = "shelisp";
ename = "shelisp";
- version = "0.9.1";
+ version = "1.0.0";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/shelisp-0.9.1.el";
- sha256 = "15z8rpx8nhx53q77z5fqcpww255di80lb5mm28mnn2myalrr8b59";
+ url = "https://elpa.gnu.org/packages/shelisp-1.0.0.tar";
+ sha256 = "05r26gy1ajl47ir0yz5gn62xw2f31vdq04n3r8ywlzxbqyvzlc0d";
};
packageRequires = [];
meta = {
@@ -3426,10 +3591,10 @@
elpaBuild {
pname = "shell-command-plus";
ename = "shell-command+";
- version = "2.2.1";
+ version = "2.3.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/shell-command+-2.2.1.tar";
- sha256 = "1dmi7fn4g55va5ljpyswr3dpgj471747kkdixfyp8zpsbhvr5yf6";
+ url = "https://elpa.gnu.org/packages/shell-command+-2.3.1.tar";
+ sha256 = "0g8pcrkkh3bxcxxbasnz834gi3pvhlkpf011fvmlhwzswypcyqmy";
};
packageRequires = [ emacs ];
meta = {
@@ -3546,10 +3711,10 @@
elpaBuild {
pname = "so-long";
ename = "so-long";
- version = "1.1.1";
+ version = "1.1.2";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/so-long-1.1.1.tar";
- sha256 = "0qgdnkb702mkm886v0zv0hnm5y7zlifgx9ji6xmdsxycpsfkjz1f";
+ url = "https://elpa.gnu.org/packages/so-long-1.1.2.tar";
+ sha256 = "053msvy2pyispwg4zzpaczfkl6rvnwfklm4jdsbjhqm0kx4vlcs9";
};
packageRequires = [ emacs ];
meta = {
@@ -3707,6 +3872,21 @@
license = lib.licenses.free;
};
}) {};
+ svg-lib = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "svg-lib";
+ ename = "svg-lib";
+ version = "0.2";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/svg-lib-0.2.tar";
+ sha256 = "0361w1paqrgqlv8wj5vf9ifssddrk2bwlarp2c2wzlxks3ahdf2x";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/svg-lib.html";
+ license = lib.licenses.free;
+ };
+ }) {};
swiper = callPackage ({ elpaBuild, emacs, fetchurl, ivy, lib }:
elpaBuild {
pname = "swiper";
@@ -3752,6 +3932,21 @@
license = lib.licenses.free;
};
}) {};
+ taxy = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "taxy";
+ ename = "taxy";
+ version = "0.8";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/taxy-0.8.tar";
+ sha256 = "00pc6lh35gj8vzcsn17fyazb9jsc4m6nr7cvb32w02isadv8qd3m";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/taxy.html";
+ license = lib.licenses.free;
+ };
+ }) {};
temp-buffer-browse = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "temp-buffer-browse";
@@ -3820,10 +4015,10 @@
elpaBuild {
pname = "tramp";
ename = "tramp";
- version = "2.5.1.1";
+ version = "2.5.1.3";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/tramp-2.5.1.1.tar";
- sha256 = "0v3rvvhjcnyvg6l4vyxz6513mxzvv9n0skkmr62ry8yi5x9wnqp1";
+ url = "https://elpa.gnu.org/packages/tramp-2.5.1.3.tar";
+ sha256 = "1qcwdavfrbw8yyfy5rbzbcfyqavqbz13jncahkqlgwbkqvmgh7y5";
};
packageRequires = [ emacs ];
meta = {
@@ -3990,6 +4185,36 @@
license = lib.licenses.free;
};
}) {};
+ vc-backup = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "vc-backup";
+ ename = "vc-backup";
+ version = "1.0.0";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/vc-backup-1.0.0.tar";
+ sha256 = "0vcrbb4s1rzar9q882kfcslycxvycp61923sg82i29b7yd0yrgdr";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/vc-backup.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ vc-got = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "vc-got";
+ ename = "vc-got";
+ version = "1.0";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/vc-got-1.0.tar";
+ sha256 = "1lx52g261zr52gy63vjll8mvczcbdzbsx3wa47qdajrq9bwmj99j";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/vc-got.html";
+ license = lib.licenses.free;
+ };
+ }) {};
vc-hgcmd = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "vc-hgcmd";
@@ -4056,10 +4281,10 @@
elpaBuild {
pname = "verilog-mode";
ename = "verilog-mode";
- version = "2021.4.12.188864585";
+ version = "2021.9.23.89128420";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/verilog-mode-2021.4.12.188864585.tar";
- sha256 = "0np2q0jhf1fbb1nl5nx1q9hw40yg62bhlddp2raqryxbkvsh0nbv";
+ url = "https://elpa.gnu.org/packages/verilog-mode-2021.9.23.89128420.tar";
+ sha256 = "1sgmkmif44npghz4nnag1w91qrrylq36175cjj87lcdp22s6isgk";
};
packageRequires = [];
meta = {
@@ -4379,10 +4604,10 @@
elpaBuild {
pname = "xref";
ename = "xref";
- version = "1.1.0";
+ version = "1.3.0";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/xref-1.1.0.tar";
- sha256 = "1s7pwk09bry4nqr4bc78a3mbwyrxagai2gpsd49x47czy2x7m3ax";
+ url = "https://elpa.gnu.org/packages/xref-1.3.0.tar";
+ sha256 = "0bw2cbxmjavzhmpd9gyl41d4c201p535jrfz3b7jb5zw12jdnppl";
};
packageRequires = [ emacs ];
meta = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/elpa-packages.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/elpa-packages.nix
index 495a3cbfd0f..b7f861a4cd7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/elpa-packages.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/elpa-packages.nix
@@ -6,7 +6,7 @@ To update the list of packages from MELPA,
1. Run `./update-elpa`.
2. Check for evaluation errors:
- `nix-instantiate ../../../../../ -A emacs.pkgs.elpaPackages`.
+ env NIXPKGS_ALLOW_BROKEN=1 nix-instantiate ../../../../../ -A emacs.pkgs.elpaPackages
3. Run `git commit -m "elpa-packages $(date -Idate)" -- elpa-generated.nix`
## Update from overlay
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/emacs2nix.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/emacs2nix.nix
index c2ea756a06e..20bb0efdd66 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/emacs2nix.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/emacs2nix.nix
@@ -4,8 +4,8 @@ let
src = pkgs.fetchgit {
url = "https://github.com/nix-community/emacs2nix.git";
fetchSubmodules = true;
- rev = "703b144eeb490e87133c777f82e198b4e515c312";
- sha256 = "sha256-YBbRh/Cb8u9+Pn6/Bc0atI6knKVjr8jiTGgFkD2FNGI=";
+ rev = "2e8d2c644397be57455ad32c2849f692eeac7797";
+ sha256 = "sha256-qnOYDYHAQ+r5eegKP9GqHz5R2ig96B2W7M+uYa1ti9M=";
};
in
pkgs.mkShell {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/emacspeak/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/emacspeak/default.nix
index 577f5136326..84d724c3518 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/emacspeak/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/emacspeak/default.nix
@@ -1,16 +1,33 @@
-{ stdenv, fetchurl, makeWrapper, emacs, tcl, tclx, espeak-ng, lib }:
+{ lib
+, stdenv
+, fetchFromGitHub
+, makeWrapper
+, emacs
+, tcl
+, tclx
+, espeak-ng
+}:
stdenv.mkDerivation rec {
pname = "emacspeak";
version = "54.0";
- src = fetchurl {
- url = "https://github.com/tvraman/emacspeak/releases/download/${version}/${pname}-${version}.tar.bz2";
- sha256 = "sha256-wsIqiW4UtgdAhqPqgCKgF37+hAtmAelAEnme1W9PKes=";
+ src = fetchFromGitHub {
+ owner = "tvraman";
+ repo = pname;
+ rev = version;
+ hash= "sha256-aOZ8PmkASJKETPhXhE9WQXyJS7SPe+d97fK/piqqzqc=";
};
- nativeBuildInputs = [ makeWrapper emacs ];
- buildInputs = [ tcl tclx espeak-ng ];
+ nativeBuildInputs = [
+ emacs
+ makeWrapper
+ ];
+ buildInputs = [
+ espeak-ng
+ tcl
+ tclx
+ ];
preConfigure = ''
make config
@@ -32,11 +49,11 @@ stdenv.mkDerivation rec {
--add-flags '-l "${placeholder "out"}/share/emacs/site-lisp/emacspeak/lisp/emacspeak-setup.elc"'
'';
- meta = {
+ meta = with lib; {
homepage = "https://github.com/tvraman/emacspeak/";
description = "Emacs extension that provides spoken output";
- license = lib.licenses.gpl2;
- maintainers = [ ];
- platforms = lib.platforms.linux;
+ license = licenses.gpl2Plus;
+ maintainers = [ maintainers.AndersonTorres ];
+ platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ement/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ement/default.nix
new file mode 100644
index 00000000000..73fd2f4a0a9
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ement/default.nix
@@ -0,0 +1,36 @@
+{ trivialBuild
+, lib
+, fetchFromGitHub
+, curl
+, plz
+, cl-lib
+, ts
+}:
+
+trivialBuild {
+ pname = "ement";
+ version = "unstable-2021-09-16";
+
+ src = fetchFromGitHub {
+ owner = "alphapapa";
+ repo = "ement.el";
+ rev = "c07e914f077199c95b0e7941a421675c95d4687e";
+ sha256 = "sha256-kYVb2NrHYC87mY/hFUMAjb4TLJ9A2L2RrHoiAXvRaGg=";
+ };
+
+ packageRequires = [
+ plz
+ cl-lib
+ ts
+ ];
+
+ patches = [
+ ./handle-nil-images.patch
+ ];
+
+ meta = {
+ description = "Ement.el is a Matrix client for Emacs";
+ license = lib.licenses.gpl3Only;
+ platforms = lib.platforms.all;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ement/handle-nil-images.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ement/handle-nil-images.patch
new file mode 100644
index 00000000000..547fee16405
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ement/handle-nil-images.patch
@@ -0,0 +1,28 @@
+diff --git a/ement.el b/ement.el
+index c9596a7..1b33045 100644
+--- a/ement.el
++++ b/ement.el
+@@ -682,14 +682,15 @@ can cause undesirable underlining."
+ "Return a copy of IMAGE set to MAX-WIDTH and MAX-HEIGHT.
+ IMAGE should be one as created by, e.g. `create-image'."
+ ;; It would be nice if the image library had some simple functions to do this sort of thing.
+- (let ((new-image (cl-copy-list image)))
+- (when (fboundp 'imagemagick-types)
+- ;; Only do this when ImageMagick is supported.
+- ;; FIXME: When requiring Emacs 27+, remove this (I guess?).
+- (setf (image-property new-image :type) 'imagemagick))
+- (setf (image-property new-image :max-width) max-width
+- (image-property new-image :max-height) max-height)
+- new-image))
++ (when image
++ (let ((new-image (cl-copy-list image)))
++ (when (fboundp 'imagemagick-types)
++ ;; Only do this when ImageMagick is supported.
++ ;; FIXME: When requiring Emacs 27+, remove this (I guess?).
++ (setf (image-property new-image :type) 'imagemagick))
++ (setf (image-property new-image :max-width) max-width
++ (image-property new-image :max-height) max-height)
++ new-image)))
+
+ ;;;;; Reading/writing sessions
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ess-R-object-popup/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ess-R-object-popup/default.nix
index a92471a9493..fa7725fbb94 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ess-R-object-popup/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ess-R-object-popup/default.nix
@@ -1,22 +1,23 @@
-{ lib, stdenv, fetchgit }:
+{ lib
+, trivialBuild
+, fetchFromGitHub
+, emacs
+}:
-stdenv.mkDerivation {
- name = "ess-R-object-popup-20130302";
+trivialBuild rec {
+ pname = "ess-R-object-popup";
+ version = "1.0";
- src = fetchgit {
- url = "https://github.com/myuhe/ess-R-object-popup.el.git";
- rev = "7e1f601bfba72de0fda44d9c82f96028ecbb9948";
- sha256 = "0q8pbaa6wahli6fh0kng5zmnypsxi1fr2bzs2mfk3h8vf4nikpv0";
+ src = fetchFromGitHub {
+ owner = "myuhe";
+ repo = "ess-R-object-popup.el";
+ rev = "v${version}";
+ hash = "sha256-YN8ZLXEbwTFdFfovkV2IXV9v6y/PTgCdiRQqbpRaF2E=";
};
- installPhase = ''
- mkdir -p $out/share/emacs/site-lisp
- cp *.el *.elc $out/share/emacs/site-lisp/
- '';
-
meta = {
- description = "Popup descriptions of R objects";
homepage = "https://github.com/myuhe/ess-R-object-popup.el";
- platforms = lib.platforms.all;
+ description = "Popup descriptions of R objects";
+ inherit (emacs.meta) platforms;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/evil-markdown/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/evil-markdown/default.nix
index 74fc1a17921..a2605f33778 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/evil-markdown/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/evil-markdown/default.nix
@@ -1,46 +1,34 @@
-{ stdenv, fetchFromGitHub, emacs, emacsPackages, lib }:
+{ lib
+, trivialBuild
+, fetchFromGitHub
+, emacs
+}:
-let
- runtimeDeps = with emacsPackages; [
- evil
- markdown-mode
- ];
-in
-stdenv.mkDerivation {
+trivialBuild rec {
pname = "evil-markdown";
- version = "2020-06-01";
+ version = "0.pre+unstable=2021-07-21";
src = fetchFromGitHub {
owner = "Somelauw";
repo = "evil-markdown";
- rev = "064fe9b4767470472356d20bdd08e2f30ebbc9ac";
- sha256 = "sha256-Kt2wxG1XCFowavVWtj0urM/yURKegonpZcxTy/+CrJY=";
+ rev = "8e6cc68af83914b2fa9fd3a3b8472573dbcef477";
+ hash = "sha256-HBBuZ1VWIn6kwK5CtGIvHM1+9eiNiKPH0GUsyvpUVN8=";
};
buildInputs = [
emacs
- ] ++ runtimeDeps;
-
- propagatedUserEnvPkgs = runtimeDeps;
+ ] ++ propagatedUserEnvPkgs;
- buildPhase = ''
- runHook preBuild
- emacs -L . --batch -f batch-byte-compile *.el
- runHook postBuild
- '';
-
- installPhase = ''
- runHook preInstall
- install -d $out/share/emacs/site-lisp
- install *.el *.elc $out/share/emacs/site-lisp
- runHook postInstall
- '';
+ propagatedUserEnvPkgs = with emacs.pkgs; [
+ evil
+ markdown-mode
+ ];
- meta = {
- description = "Vim-like keybindings for markdown-mode";
+ meta = with lib; {
homepage = "https://github.com/Somelauw/evil-markdown";
- license = lib.licenses.gpl3Plus;
- maintainers = with lib.maintainers; [ leungbk ];
- platforms = emacs.meta.platforms;
+ description = "Integrates Emacs evil and markdown";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ leungbk ];
+ inherit (emacs.meta) platforms;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/font-lock-plus/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/font-lock-plus/default.nix
index 3cea9ae4ef0..019d241f507 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/font-lock-plus/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/font-lock-plus/default.nix
@@ -1,17 +1,22 @@
-{ fetchurl, lib, trivialBuild, writeText }:
+{ lib
+, fetchFromGitHub
+, trivialBuild
+}:
trivialBuild {
- pname = "font-lock+";
- version = "20180101.25";
+ pname = "font-lock-plus";
+ version = "208+unstable=2018-01-01";
- src = fetchurl {
- url = "https://www.emacswiki.org/emacs/download/font-lock%2b.el?revision=25";
- sha256 = "0197yzn4hbjmw5h3m08264b7zymw63pdafph5f3yzfm50q8p7kp4";
- name = "font-lock+.el";
+ src = fetchFromGitHub {
+ owner = "emacsmirror";
+ repo = "font-lock-plus";
+ rev = "f2c1ddcd4c9d581bd32be88fad026b49f98b6541";
+ hash = "sha256-lFmdVMXIIXZ9ZohAJw5rhxpTv017qIyzmpuKOWDdeJ4=";
};
- meta = {
- homepage = "https://melpa.org/#/font-lock+";
- license = lib.licenses.gpl2Plus;
+ meta = with lib; {
+ homepage = "https://github.com/emacsmirror/font-lock-plus";
+ description = "Enhancements to standard library font-lock.el";
+ license = licenses.gpl2Plus;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/git-undo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/git-undo/default.nix
index 1b4da6340dc..503554412b5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/git-undo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/git-undo/default.nix
@@ -1,8 +1,13 @@
-{ stdenv, fetchFromGitHub, emacs, lib }:
+{ lib
+, stdenv
+, fetchFromGitHub
+, emacs
+, trivialBuild
+}:
-stdenv.mkDerivation {
+trivialBuild {
pname = "git-undo";
- version = "2019-10-13";
+ version = "0.pre+unstable=2019-12-21";
src = fetchFromGitHub {
owner = "jwiegley";
@@ -11,26 +16,11 @@ stdenv.mkDerivation {
sha256 = "sha256-cVkK9EF6qQyVV3uVqnBEjF8e9nEx/8ixnM8PvxqCyYE=";
};
- buildInputs = [ emacs ];
-
- buildPhase = ''
- runHook preBuild
- emacs -L . --batch -f batch-byte-compile *.el
- runHook postBuild
- '';
-
- installPhase = ''
- runHook preInstall
- install -d $out/share/emacs/site-lisp
- install *.el *.elc $out/share/emacs/site-lisp
- runHook postInstall
- '';
-
- meta = {
- description = "Revert region to most recent Git-historical version";
+ meta = with lib; {
homepage = "https://github.com/jwiegley/git-undo-el";
- license = lib.licenses.gpl2Plus;
- maintainers = with lib.maintainers; [ leungbk ];
- platforms = emacs.meta.platforms;
+ description = "Revert region to most recent Git-historical version";
+ license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ leungbk ];
+ inherit (emacs.meta) platforms;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/helm-words/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/helm-words/default.nix
index 0678492500d..21a65614398 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/helm-words/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/helm-words/default.nix
@@ -1,23 +1,28 @@
-{ lib, stdenv, fetchgit }:
+{ lib
+, trivialBuild
+, fetchFromGitHub
+, dictionary
+, emacs
+, helm
+}:
-stdenv.mkDerivation {
- name = "helm-words-20190917";
+trivialBuild rec {
+ pname = "helm-words";
+ version = "0.pre+unstable=2019-03-12";
- src = fetchgit {
- url = "https://github.com/pronobis/helm-words.git";
+ src = fetchFromGitHub {
+ owner = "emacsmirror";
+ repo = pname;
rev = "e6387ece1940a06695b9d910de3d90252efb8d29";
- sha256 = "1ly0mbzlgc26fqvf7rxpmy698g0cf9qldrwrx022ar6r68l1h7xf";
+ hash = "sha256-rh8YKDLZZCUE6JnnRnFyDDyUjK+35+M2dkawR/+qwNM=";
};
- installPhase = ''
- mkdir -p $out/share/emacs/site-lisp
- cp *.el *.elc $out/share/emacs/site-lisp/
- '';
+ packageRequires = [ helm dictionary ];
- meta = {
- description = "Emacs major mode for jade and stylus";
- homepage = "https://github.com/brianc/helm-words";
- license = lib.licenses.gpl3;
- platforms = lib.platforms.all;
+ meta = with lib; {
+ homepage = "https://github.com/emacsmirror/helm-words";
+ description = "Helm extension for looking up words in dictionaries and thesauri";
+ license = licenses.gpl3Plus;
+ inherit (emacs.meta) platforms;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ido-ubiquitous/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ido-ubiquitous/default.nix
deleted file mode 100644
index eaa0f2c2a5b..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ido-ubiquitous/default.nix
+++ /dev/null
@@ -1,27 +0,0 @@
-{ stdenv, fetchFromGitHub, emacs }:
-
-let
- version = "3.6-4-gb659bf8";
-in
-stdenv.mkDerivation {
- pname = "ido-ubiquitous";
- inherit version;
-
- src = fetchFromGitHub {
- owner = "DarwinAwardWinner";
- repo = "ido-ubiquitous";
- rev = version;
- sha256 = "06r8qpfr60gc673w881m0nplj91b6bfw77bxgl6irz1z9bp7cc4y";
- };
-
- buildInputs = [ emacs ];
-
- buildPhase = ''
- emacs -L . --batch -f batch-byte-compile *.el
- '';
-
- installPhase = ''
- install -d $out/share/emacs/site-lisp
- install *.el *.elc $out/share/emacs/site-lisp
- '';
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/isearch-plus/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/isearch-plus/default.nix
index 64cfbde98b7..c28a1774342 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/isearch-plus/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/isearch-plus/default.nix
@@ -1,36 +1,25 @@
-{ stdenv, fetchFromGitHub, emacs, lib }:
+{ lib
+, trivialBuild
+, fetchFromGitHub
+, emacs
+}:
-stdenv.mkDerivation {
+trivialBuild {
pname = "isearch-plus";
- version = "2021-01-01";
+ version = "3434+unstable=2021-08-23";
src = fetchFromGitHub {
owner = "emacsmirror";
repo = "isearch-plus";
- rev = "376a8f9f8a9666d7e61d125abcdb645847cb8619";
- sha256 = "sha256-Kd5vpu+mI1tJPcsu7EpnnBcPVdVAijkAeTz+bLB3WlQ=";
+ rev = "93088ea0ac4d51bdb76c4c32ea53172f6c435852";
+ hash = "sha256-kD+Fyps3fc5YK6ATU1nrkKHazGMYJnU2gRcpQZf6A1E=";
};
- buildInputs = [ emacs ];
-
- buildPhase = ''
- runHook preBuild
- emacs -L . --batch -f batch-byte-compile *.el
- runHook postBuild
- '';
-
- installPhase = ''
- runHook preInstall
- install -d $out/share/emacs/site-lisp
- install *.el *.elc $out/share/emacs/site-lisp
- runHook postInstall
- '';
-
- meta = {
+ meta = with lib; {
+ homepage = "https://www.emacswiki.org/emacs/IsearchPlus";
description = "Extensions to isearch";
- homepage = "https://www.emacswiki.org/emacs/download/isearch%2b.el";
- license = lib.licenses.gpl2Plus;
- maintainers = with lib.maintainers; [ leungbk ];
- platforms = emacs.meta.platforms;
+ license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ leungbk AndersonTorres ];
+ inherit (emacs.meta) platforms;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/isearch-prop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/isearch-prop/default.nix
index 0db28255f70..6251fd6932a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/isearch-prop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/isearch-prop/default.nix
@@ -1,36 +1,26 @@
-{ stdenv, fetchFromGitHub, emacs, lib }:
+{ lib
+, stdenv
+, fetchFromGitHub
+, trivialBuild
+, emacs
+}:
-stdenv.mkDerivation {
+trivialBuild {
pname = "isearch-prop";
- version = "2019-05-01";
+ version = "0.pre+unstable=2019-05-01";
src = fetchFromGitHub {
owner = "emacsmirror";
repo = "isearch-prop";
rev = "4a2765f835dd115d472142da05215c4c748809f4";
- sha256 = "sha256-A1Kt4nm7iRV9J5yaLupwiNL5g7ddZvQs79dggmqZ7Rk=";
+ hash = "sha256-A1Kt4nm7iRV9J5yaLupwiNL5g7ddZvQs79dggmqZ7Rk=";
};
- buildInputs = [ emacs ];
-
- buildPhase = ''
- runHook preBuild
- emacs -L . --batch -f batch-byte-compile *.el
- runHook postBuild
- '';
-
- installPhase = ''
- runHook preInstall
- install -d $out/share/emacs/site-lisp
- install *.el *.elc $out/share/emacs/site-lisp
- runHook postInstall
- '';
-
- meta = {
+ meta = with lib; {
+ homepage = "https://www.emacswiki.org/emacs/IsearchPlus";
description = "Search text- or overlay-property contexts";
- homepage = "https://www.emacswiki.org/emacs/download/isearch-prop.el";
- license = lib.licenses.gpl3Plus;
- maintainers = with lib.maintainers; [ leungbk ];
- platforms = emacs.meta.platforms;
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ leungbk ];
+ inherit (emacs.meta) platforms;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/jam-mode/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/jam-mode/default.nix
index 0fd698ad2c1..09b7877c9de 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/jam-mode/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/jam-mode/default.nix
@@ -1,16 +1,23 @@
-{ trivialBuild, lib, fetchurl }:
+{ lib
+, trivialBuild
+, fetchurl
+}:
trivialBuild rec {
pname = "jam-mode";
version = "0.3";
src = fetchurl {
- url = "https://dev.gentoo.org/~ulm/distfiles/jam-mode-${version}.el.xz";
- sha256 = "1jchgiy2rgvnb3swr6ar72yas6pj4inpgpcq78q01q6snflmi2fh";
+ url = "https://dev.gentoo.org/~ulm/distfiles/${pname}-${version}.el.xz";
+ hash = "sha256-0IlYqbPa4AAwOpjdd20k8hqtvDhZmcz1WHa/LHx8kMk=";
};
unpackPhase = ''
+ runHook preUnpack
+
xz -cd $src > jam-mode.el
+
+ runHook postUnpack
'';
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/llvm-mode/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/llvm-mode/default.nix
new file mode 100644
index 00000000000..26f9e25ad66
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/llvm-mode/default.nix
@@ -0,0 +1,17 @@
+{ trivialBuild
+, llvmPackages
+}:
+
+trivialBuild {
+ pname = "llvm-mode";
+ inherit (llvmPackages.llvm) src version;
+
+ postUnpack = ''
+ sourceRoot="$sourceRoot/utils/emacs"
+ '';
+
+ meta = {
+ inherit (llvmPackages.llvm.meta) homepage license;
+ description = "Major mode for the LLVM assembler language";
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/manual-packages.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/manual-packages.nix
index 015a8e27f8e..3f5dd795ccb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/manual-packages.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/manual-packages.nix
@@ -42,42 +42,6 @@
};
};
- agda2-mode = trivialBuild {
- pname = "agda-mode";
- version = pkgs.haskellPackages.Agda.version;
-
- dontUnpack = true;
-
- # already byte-compiled by Agda builder
- buildPhase = ''
- agda=`${pkgs.haskellPackages.Agda}/bin/agda-mode locate`
- cp `dirname $agda`/*.el* .
- '';
-
- meta = {
- description = "Agda2-mode for Emacs extracted from Agda package";
- longDescription = ''
- Wrapper packages that liberates init.el from `agda-mode locate` magic.
- Simply add this to user profile or systemPackages and do `(require 'agda2)` in init.el.
- '';
- homepage = pkgs.haskellPackages.Agda.meta.homepage;
- license = pkgs.haskellPackages.Agda.meta.license;
- };
- };
-
- agda-input = self.trivialBuild {
- pname = "agda-input";
-
- inherit (pkgs.haskellPackages.Agda) src version;
-
- postUnpack = "mv $sourceRoot/src/data/emacs-mode/agda-input.el $sourceRoot";
-
- meta = {
- description = "Standalone package providing the agda-input method without building Agda.";
- inherit (pkgs.haskellPackages.Agda.meta) homepage license;
- };
- };
-
ghc-mod = melpaBuild {
pname = "ghc";
version = pkgs.haskellPackages.ghc-mod.version;
@@ -100,8 +64,6 @@
};
};
- git-undo = callPackage ./git-undo { };
-
haskell-unicode-input-method = let
rev = "d8d168148c187ed19350bb7a1a190217c2915a63";
in melpaBuild {
@@ -131,21 +93,6 @@
};
};
- llvm-mode = trivialBuild {
- pname = "llvm-mode";
- inherit (pkgs.llvmPackages.llvm) src version;
-
- dontConfigure = true;
- buildPhase = ''
- cp utils/emacs/*.el .
- '';
-
- meta = {
- inherit (pkgs.llvmPackages.llvm.meta) homepage license;
- description = "Major mode for the LLVM assembler language.";
- };
- };
-
matrix-client = let
rev = "d2ac55293c96d4c95971ed8e2a3f6f354565c5ed";
in melpaBuild
@@ -201,58 +148,50 @@
};
- ott-mode = self.trivialBuild {
- pname = "ott-mod";
-
- inherit (pkgs.ott) src version;
+ agda2-mode = callPackage ./agda2-mode { };
- postUnpack = "mv $sourceRoot/emacs/ott-mode.el $sourceRoot";
-
- meta = {
- description = "Standalone package providing ott-mode without building ott and with compiled bytecode.";
- inherit (pkgs.haskellPackages.Agda.meta) homepage license;
- };
- };
+ agda-input = callPackage ./agda-input{ };
- urweb-mode = self.trivialBuild {
- pname = "urweb-mode";
+ bqn-mode = callPackage ./bqn-mode { };
- inherit (pkgs.urweb) src version;
+ llvm-mode = callPackage ./llvm-mode { };
- packageRequires = [
- self.cl-lib
- self.flycheck
- ];
+ ott-mode = callPackage ./ott-mode { };
- postUnpack = "sourceRoot=$sourceRoot/src/elisp";
-
- meta = {
- description = "Major mode for editing Ur/Web";
- inherit (pkgs.urweb.meta) license homepage;
- maintainers = [ lib.maintainers.sternenseemann ];
- };
- };
+ urweb-mode = callPackage ./urweb-mode { };
# Packages made the classical callPackage way
+ apheleia = callPackage ./apheleia { };
+
ebuild-mode = callPackage ./ebuild-mode { };
+ evil-markdown = callPackage ./evil-markdown { };
+
emacspeak = callPackage ./emacspeak { };
+ ement = callPackage ./ement { };
+
ess-R-object-popup = callPackage ./ess-R-object-popup { };
font-lock-plus = callPackage ./font-lock-plus { };
+ git-undo = callPackage ./git-undo { };
+
helm-words = callPackage ./helm-words { };
+ isearch-plus = callPackage ./isearch-plus { };
+
+ isearch-prop = callPackage ./isearch-prop { };
+
jam-mode = callPackage ./jam-mode { };
nano-theme = callPackage ./nano-theme { };
- org-mac-link = callPackage ./org-mac-link { };
-
perl-completion = callPackage ./perl-completion { };
+ plz = callPackage ./plz { };
+
pod-mode = callPackage ./pod-mode { };
power-mode = callPackage ./power-mode { };
@@ -267,15 +206,12 @@
youtube-dl = callPackage ./youtube-dl { };
- zeitgeist = callPackage ./zeitgeist { };
-
# From old emacsPackages (pre emacsPackagesNg)
cedet = callPackage ./cedet { };
cedille = callPackage ./cedille { cedille = pkgs.cedille; };
color-theme-solarized = callPackage ./color-theme-solarized { };
session-management-for-emacs = callPackage ./session-management-for-emacs { };
hsc3-mode = callPackage ./hsc3 { };
- ido-ubiquitous = callPackage ./ido-ubiquitous { };
prolog-mode = callPackage ./prolog { };
rect-mark = callPackage ./rect-mark { };
sunrise-commander = callPackage ./sunrise-commander { };
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/mu4e-patch/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/mu4e-patch/default.nix
deleted file mode 100644
index 4a436339ebc..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/mu4e-patch/default.nix
+++ /dev/null
@@ -1,38 +0,0 @@
-{ stdenv, fetchFromGitHub, emacs, lib }:
-
-stdenv.mkDerivation {
- pname = "mu4e-patch";
- version = "2019-05-09";
-
- src = fetchFromGitHub {
- owner = "seanfarley";
- repo = "mu4e-patch";
- rev = "522da46c1653b1cacc79cde91d6534da7ae9517d";
- sha256 = "sha256-1lV4dDuCdyCUXi/In2DzYJPEHuAc9Jfbz2ZecNZwn4I=";
- };
-
- buildInputs = [
- emacs
- ];
-
- buildPhase = ''
- runHook preBuild
- emacs -L . --batch -f batch-byte-compile *.el
- runHook postBuild
- '';
-
- installPhase = ''
- runHook preInstall
- install -d $out/share/emacs/site-lisp
- install *.el *.elc $out/share/emacs/site-lisp
- runHook postInstall
- '';
-
- meta = {
- description = "Colorize patch emails in mu4e";
- homepage = "https://github.com/seanfarley/mu4e-patch";
- license = lib.licenses.gpl3Plus;
- maintainers = with lib.maintainers; [ leungbk ];
- platforms = emacs.meta.platforms;
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/nano-theme/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/nano-theme/default.nix
index 8034f41a72d..1dcf27e64eb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/nano-theme/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/nano-theme/default.nix
@@ -1,27 +1,20 @@
{ lib
-, stdenv
+, trivialBuild
, fetchFromGitHub
, emacs
}:
-stdenv.mkDerivation rec {
+trivialBuild rec {
pname = "nano-theme";
- version = "2021-06-05";
+ version = "0.pre+unstable=2021-06-29";
src = fetchFromGitHub {
owner = "rougier";
repo = pname;
- rev = "99ff1c5e78296a073c6e63b966045e0d83a136e7";
- hash = "sha256-IDVnl4J4hx2mlLaiA+tKxxRGcIyBULr2HBeY/GMHD90=";
+ rev = "4a231787a32b3019f9f0abb3511a112fd54bf685";
+ hash = "sha256-eco9BMKLhPuwFJb5QesbM6g3cZv3FdVvQ9fXq6D3Ifc=";
};
- installPhase = ''
- runHook preInstall
- mkdir -p $out/share/emacs/site-lisp
- install *.el $out/share/emacs/site-lisp
- runHook postInstall
- '';
-
meta = {
homepage = "https://github.com/rougier/nano-theme";
description = "GNU Emacs / N Λ N O Theme";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/nongnu-generated.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/nongnu-generated.nix
index 0ab44fccc8e..ac2fdc4b373 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/nongnu-generated.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/nongnu-generated.nix
@@ -1,17 +1,625 @@
{ callPackage }:
{
- caml = callPackage ({ elpaBuild, fetchurl, lib }:
+ apache-mode = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "apache-mode";
+ ename = "apache-mode";
+ version = "2.2.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/apache-mode-2.2.0.tar";
+ sha256 = "022s7rw7ary1cx3riszzvb7wi0y078vixkcyggjdg5j2ckjpc8gb";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/apache-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ arduino-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib, spinner }:
+ elpaBuild {
+ pname = "arduino-mode";
+ ename = "arduino-mode";
+ version = "1.3.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/arduino-mode-1.3.0.tar";
+ sha256 = "1270mbjgj0kmmjqqblwaipmd2667yp31mgspib3c5d7d6acs1bfx";
+ };
+ packageRequires = [ emacs spinner ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/arduino-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ bison-mode = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "bison-mode";
+ ename = "bison-mode";
+ version = "0.4";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/bison-mode-0.4.tar";
+ sha256 = "19n9kz1ycjpxngd3clzr8lzrnnw19l8sfvlx1yqn35hk7017z7ab";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/bison-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ caml = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "caml";
ename = "caml";
+ version = "4.9";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/caml-4.9.tar";
+ sha256 = "00ldvz6r10vwwmk6f3az534p0340ywn7knsg2bmvbvh3q51vyl9i";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/caml.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ clojure-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "clojure-mode";
+ ename = "clojure-mode";
+ version = "5.13.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/clojure-mode-5.13.0.tar";
+ sha256 = "16xll0sp7mqzwldfsihp7j3dlm6ps1l1awi122ff8w7xph7b0wfh";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/clojure-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ crux = callPackage ({ elpaBuild, fetchurl, lib, seq }:
+ elpaBuild {
+ pname = "crux";
+ ename = "crux";
+ version = "0.4.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/crux-0.4.0.tar";
+ sha256 = "01yg54s2l3zr4h7h3nw408bqzrr4yds9rfgc575b76006v5d3ciy";
+ };
+ packageRequires = [ seq ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/crux.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ d-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "d-mode";
+ ename = "d-mode";
+ version = "202003130913";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/d-mode-202003130913.tar";
+ sha256 = "1pad0ib8l1zkjmh97n1pkwph1xdbcqidnicm3nwmcbmbi61lddsx";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/d-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ dart-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "dart-mode";
+ ename = "dart-mode";
+ version = "1.0.7";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/dart-mode-1.0.7.tar";
+ sha256 = "13n0fmnxgnq8vjw0n5vwgdgfm5lznvrm3xkak4snkdw7w3rd3a20";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/dart-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ editorconfig = callPackage ({ cl-lib ? null
+ , elpaBuild
+ , emacs
+ , fetchurl
+ , lib
+ , nadvice }:
+ elpaBuild {
+ pname = "editorconfig";
+ ename = "editorconfig";
+ version = "0.8.2";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/editorconfig-0.8.2.tar";
+ sha256 = "1ff8hwyzb249lf78j023sbibgfmimmk6mxkjmcnqqnk1jafprk02";
+ };
+ packageRequires = [ cl-lib emacs nadvice ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/editorconfig.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ evil = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "evil";
+ ename = "evil";
+ version = "1.14.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/evil-1.14.0.tar";
+ sha256 = "11hzx3ya1119kr8dwlg264biixiqgvi7zwxxksql0a9hqp57rdpx";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/evil.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ flymake-kondor = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "flymake-kondor";
+ ename = "flymake-kondor";
+ version = "0.1.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/flymake-kondor-0.1.0.tar";
+ sha256 = "0fn9vnrqy5nmv07jv2ry0xs90rkb92qhrh7j5pdikw7zykcwlbdd";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/flymake-kondor.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ geiser = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "geiser";
+ ename = "geiser";
+ version = "0.18";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/geiser-0.18.tar";
+ sha256 = "131j4f82hl4pqj07qsl1f2dz4105v5fyll3bc97ggayzvrdiy58i";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/geiser.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ geiser-chez = callPackage ({ elpaBuild, emacs, fetchurl, geiser, lib }:
+ elpaBuild {
+ pname = "geiser-chez";
+ ename = "geiser-chez";
+ version = "0.16";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/geiser-chez-0.16.tar";
+ sha256 = "016b7n5rv7fyrw4lqcprhhf2rai5vvmmc8a13l4w3a30rwcgm7cd";
+ };
+ packageRequires = [ emacs geiser ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/geiser-chez.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ geiser-chibi = callPackage ({ elpaBuild, emacs, fetchurl, geiser, lib }:
+ elpaBuild {
+ pname = "geiser-chibi";
+ ename = "geiser-chibi";
+ version = "0.16";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/geiser-chibi-0.16.tar";
+ sha256 = "0j9dgg2q01ya6yawpfc15ywrfykd5gzbh118k1x4mghfkfnqn1zi";
+ };
+ packageRequires = [ emacs geiser ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/geiser-chibi.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ geiser-chicken = callPackage ({ elpaBuild, emacs, fetchurl, geiser, lib }:
+ elpaBuild {
+ pname = "geiser-chicken";
+ ename = "geiser-chicken";
+ version = "0.16";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/geiser-chicken-0.16.tar";
+ sha256 = "1zmb8c86akrd5f1v59s4xkbpgsqbdcbc6d5f9h6kxa55ylc4dn6a";
+ };
+ packageRequires = [ emacs geiser ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/geiser-chicken.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ geiser-gambit = callPackage ({ elpaBuild, emacs, fetchurl, geiser, lib }:
+ elpaBuild {
+ pname = "geiser-gambit";
+ ename = "geiser-gambit";
+ version = "0.16";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/geiser-gambit-0.16.tar";
+ sha256 = "0bc38qlqj7a3cnrcnqrb6m3jvjh2ia5iby9i50vcn0jbs52rfsnz";
+ };
+ packageRequires = [ emacs geiser ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/geiser-gambit.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ geiser-gauche = callPackage ({ elpaBuild, emacs, fetchurl, geiser, lib }:
+ elpaBuild {
+ pname = "geiser-gauche";
+ ename = "geiser-gauche";
+ version = "0.0.2";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/geiser-gauche-0.0.2.tar";
+ sha256 = "0wd0yddasryy36ms5ghf0gs8wf80sgdxci2hd8k0fvnyi7c3wnj5";
+ };
+ packageRequires = [ emacs geiser ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/geiser-gauche.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ geiser-guile = callPackage ({ elpaBuild, emacs, fetchurl, geiser, lib }:
+ elpaBuild {
+ pname = "geiser-guile";
+ ename = "geiser-guile";
+ version = "0.17";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/geiser-guile-0.17.tar";
+ sha256 = "0g4982rfxjp08qi6nxz73lsbdwf388fx511394yw4s7ml6v1m4kd";
+ };
+ packageRequires = [ emacs geiser ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/geiser-guile.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ geiser-kawa = callPackage ({ elpaBuild, emacs, fetchurl, geiser, lib }:
+ elpaBuild {
+ pname = "geiser-kawa";
+ ename = "geiser-kawa";
+ version = "0.0.1";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/geiser-kawa-0.0.1.tar";
+ sha256 = "1qh4qr406ahk4k8g46nzkiic1fidhni0a5zv4i84cdypv1c4473p";
+ };
+ packageRequires = [ emacs geiser ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/geiser-kawa.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ geiser-mit = callPackage ({ elpaBuild, emacs, fetchurl, geiser, lib }:
+ elpaBuild {
+ pname = "geiser-mit";
+ ename = "geiser-mit";
+ version = "0.13";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/geiser-mit-0.13.tar";
+ sha256 = "1y2cgrcvdp358x7lpcz8x8nw5g1y4h03d9gbkbd6k85643cwrkbi";
+ };
+ packageRequires = [ emacs geiser ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/geiser-mit.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ geiser-racket = callPackage ({ elpaBuild, emacs, fetchurl, geiser, lib }:
+ elpaBuild {
+ pname = "geiser-racket";
+ ename = "geiser-racket";
+ version = "0.16";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/geiser-racket-0.16.tar";
+ sha256 = "0lf2lbgpl8pvx7yhiydb7j5hk3kdx34zvhva4zqnzya6zf30w257";
+ };
+ packageRequires = [ emacs geiser ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/geiser-racket.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ geiser-stklos = callPackage ({ elpaBuild, emacs, fetchurl, geiser, lib }:
+ elpaBuild {
+ pname = "geiser-stklos";
+ ename = "geiser-stklos";
+ version = "1.3";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/geiser-stklos-1.3.tar";
+ sha256 = "1wkhnkdhdrhrh0vipgnlmyimi859za6jhf2ldpwfmk8r2aj8ywan";
+ };
+ packageRequires = [ emacs geiser ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/geiser-stklos.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ git-commit = callPackage ({ dash
+ , elpaBuild
+ , emacs
+ , fetchurl
+ , lib
+ , transient
+ , with-editor }:
+ elpaBuild {
+ pname = "git-commit";
+ ename = "git-commit";
+ version = "3.3.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/git-commit-3.3.0.tar";
+ sha256 = "0lp6r4w1k0idvfc2h0chlplap2i4x2slva9cw3iw1rhhxbcvlmdx";
+ };
+ packageRequires = [ dash emacs transient with-editor ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/git-commit.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ gnuplot = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "gnuplot";
+ ename = "gnuplot";
+ version = "0.8.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/gnuplot-0.8.0.tar";
+ sha256 = "1f27y18ivcdwlkgr3ql4qcbgzdp6vk1bkw2wlryrclpydbb1nya3";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/gnuplot.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ go-mode = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "go-mode";
+ ename = "go-mode";
+ version = "1.5.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/go-mode-1.5.0.tar";
+ sha256 = "0v4lw5dkijajpxyigin4cd5q4ldrabljaz65zr5f7mgqn5sizj3q";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/go-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ goto-chg = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "goto-chg";
+ ename = "goto-chg";
+ version = "1.7.4";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/goto-chg-1.7.4.tar";
+ sha256 = "1sg2gp48b83gq0j821lk241lwyxkhqr6w5d1apbnkm3qf08qjwba";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/goto-chg.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ guru-mode = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "guru-mode";
+ ename = "guru-mode";
+ version = "1.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/guru-mode-1.0.tar";
+ sha256 = "18vz80yc7nv6dgyyxmlxslwim7qpb1dx2y5382c2wbdqp0icg41g";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/guru-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ haskell-mode = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "haskell-mode";
+ ename = "haskell-mode";
version = "4.7.1";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/caml-4.7.1.tar";
- sha256 = "1bv2fscy7zg7r1hyg4rpvh3991vmhy4zid7bv1qbhxa95m9c49j3";
+ url = "https://elpa.nongnu.org/nongnu/haskell-mode-4.7.1.tar";
+ sha256 = "07x7440xi8dkv1zpzwi7p96jy3zd6pdv1mhs066l8bp325516wyb";
};
packageRequires = [];
meta = {
- homepage = "https://elpa.gnu.org/packages/caml.html";
+ homepage = "https://elpa.gnu.org/packages/haskell-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ haskell-tng-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib, popup }:
+ elpaBuild {
+ pname = "haskell-tng-mode";
+ ename = "haskell-tng-mode";
+ version = "0.0.1";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/haskell-tng-mode-0.0.1.tar";
+ sha256 = "1dndnxb9bdjnixyl09025065wdrk0h8q721rbwvransq308fijwy";
+ };
+ packageRequires = [ emacs popup ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/haskell-tng-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ highlight-parentheses = callPackage ({ elpaBuild
+ , emacs
+ , fetchurl
+ , lib }:
+ elpaBuild {
+ pname = "highlight-parentheses";
+ ename = "highlight-parentheses";
+ version = "2.1.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/highlight-parentheses-2.1.0.tar";
+ sha256 = "1rsixbvglar0k0x24xkxw80sx9i85q48jdzx6wbyjz2clz974ja5";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/highlight-parentheses.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ htmlize = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "htmlize";
+ ename = "htmlize";
+ version = "1.57";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/htmlize-1.57.tar";
+ sha256 = "1k4maqkcicvpl4yxkx6ha98x36ppcfdp2clcdg4fjx945yamx80s";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/htmlize.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ idris-mode = callPackage ({ cl-lib ? null
+ , elpaBuild
+ , emacs
+ , fetchurl
+ , lib
+ , prop-menu }:
+ elpaBuild {
+ pname = "idris-mode";
+ ename = "idris-mode";
+ version = "0.9.18";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/idris-mode-0.9.18.tar";
+ sha256 = "1z4wsqzxsmn1vdqp44b32m4wzs4bbnsyzv09v9ggr4l4h2j4c3x5";
+ };
+ packageRequires = [ cl-lib emacs prop-menu ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/idris-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ inf-clojure = callPackage ({ clojure-mode
+ , elpaBuild
+ , emacs
+ , fetchurl
+ , lib }:
+ elpaBuild {
+ pname = "inf-clojure";
+ ename = "inf-clojure";
+ version = "3.1.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/inf-clojure-3.1.0.tar";
+ sha256 = "0jw6rzplicbv2l7si46naspzp5lqwj20b1nmfs9zal58z1gx6zjk";
+ };
+ packageRequires = [ clojure-mode emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/inf-clojure.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ j-mode = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "j-mode";
+ ename = "j-mode";
+ version = "1.1.1";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/j-mode-1.1.1.tar";
+ sha256 = "0l0l71z5i725dnw4l9w2cfjhrijwx9z8mgyf2dfcbly1cl2nvnx2";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/j-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ julia-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "julia-mode";
+ ename = "julia-mode";
+ version = "0.4";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/julia-mode-0.4.tar";
+ sha256 = "1qi6z6007q2jgcb96iy34m87jsg9ss3jhzlnl2cl8dn26yqmdky4";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/julia-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ lua-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "lua-mode";
+ ename = "lua-mode";
+ version = "20210802";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/lua-mode-20210802.tar";
+ sha256 = "1yarwai9a0w4yywd0ajdkif4g26z98zw91lg1z78qw0k61qjmnh6";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/lua-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ macrostep = callPackage ({ cl-lib ? null, elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "macrostep";
+ ename = "macrostep";
+ version = "0.9";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/macrostep-0.9.tar";
+ sha256 = "10crvq9xww4nvrswqq888y9ah3fl4prj0ha865aqbyrhhbpg18gd";
+ };
+ packageRequires = [ cl-lib ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/macrostep.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ magit = callPackage ({ dash
+ , elpaBuild
+ , emacs
+ , fetchurl
+ , git-commit
+ , lib
+ , magit-section
+ , transient
+ , with-editor }:
+ elpaBuild {
+ pname = "magit";
+ ename = "magit";
+ version = "3.3.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/magit-3.3.0.tar";
+ sha256 = "0ihrds45z12z155c1y7haz1mxc95w6v4rynh0izm159xhz44121z";
+ };
+ packageRequires = [
+ dash
+ emacs
+ git-commit
+ magit-section
+ transient
+ with-editor
+ ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/magit.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ magit-section = callPackage ({ dash, elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "magit-section";
+ ename = "magit-section";
+ version = "3.3.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/magit-section-3.3.0.tar";
+ sha256 = "08ac10vips6f2gy4x4w2wkz2ki3q0d6dhynkmlpdinsdmgagziny";
+ };
+ packageRequires = [ dash emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/magit-section.html";
license = lib.licenses.free;
};
}) {};
@@ -30,14 +638,59 @@
license = lib.licenses.free;
};
}) {};
+ multiple-cursors = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "multiple-cursors";
+ ename = "multiple-cursors";
+ version = "1.4.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/multiple-cursors-1.4.0.tar";
+ sha256 = "0f7rk8vw42bgdf5yb4qpnrc3bxvbaafmdqd7kiiqnj5m029yr14f";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/multiple-cursors.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ nasm-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "nasm-mode";
+ ename = "nasm-mode";
+ version = "1.1.1";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/nasm-mode-1.1.1.tar";
+ sha256 = "1smndl3mbiaaphy173zc405zg4wv0mv041vzy11fr74r5w4p232j";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/nasm-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ nginx-mode = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "nginx-mode";
+ ename = "nginx-mode";
+ version = "1.1.9";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/nginx-mode-1.1.9.tar";
+ sha256 = "04jy0zx058hj37ab2n6wwbbwyycsbsb2fj8s4a5f1is2in35nqy0";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/nginx-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
org-contrib = callPackage ({ elpaBuild, emacs, fetchurl, lib, org }:
elpaBuild {
pname = "org-contrib";
ename = "org-contrib";
- version = "0.1";
+ version = "0.3";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/org-contrib-0.1.tar";
- sha256 = "07hzywvgj11wd21dw4lbkvqv32da03407f9qynlzgg1qa7wknm2k";
+ url = "https://elpa.nongnu.org/nongnu/org-contrib-0.3.tar";
+ sha256 = "0fqhyby7624drskfsasgvzyxbgjb42rd6vw8l6xgb3h22kaprl0q";
};
packageRequires = [ emacs org ];
meta = {
@@ -45,6 +698,66 @@
license = lib.licenses.free;
};
}) {};
+ php-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "php-mode";
+ ename = "php-mode";
+ version = "1.24.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/php-mode-1.24.0.tar";
+ sha256 = "158850zdmz5irjy6cjai1i8j7qs1vwp95a2dli9f341lbpv2jvzp";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/php-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ popup = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "popup";
+ ename = "popup";
+ version = "0.5.8";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/popup-0.5.8.tar";
+ sha256 = "1amwxsymzvzmj8696fa6i0cqx4ac581rvr4dwkri7akkr7amh3yh";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/popup.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ projectile = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "projectile";
+ ename = "projectile";
+ version = "2.5.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/projectile-2.5.0.tar";
+ sha256 = "09gsm6xbqj3357vlshs1w7ygfm004gpgs0pqrvwl6xmccxpqzmi0";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/projectile.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ prop-menu = callPackage ({ cl-lib ? null, elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "prop-menu";
+ ename = "prop-menu";
+ version = "0.1.2";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/prop-menu-0.1.2.tar";
+ sha256 = "1csx5aycl478v4hia6lyrdb32hs1haf9n39ngfrbx9ysp7gkj0va";
+ };
+ packageRequires = [ cl-lib emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/prop-menu.html";
+ license = lib.licenses.free;
+ };
+ }) {};
request = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "request";
@@ -60,6 +773,85 @@
license = lib.licenses.free;
};
}) {};
+ rubocop = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "rubocop";
+ ename = "rubocop";
+ version = "0.6.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/rubocop-0.6.0.tar";
+ sha256 = "1gw30ya6xyi359k9fihjx75h7ahs067i9bvkyla0rbhmc5xdz6ww";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/rubocop.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ rust-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "rust-mode";
+ ename = "rust-mode";
+ version = "0.5.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/rust-mode-0.5.0.tar";
+ sha256 = "03z1nsq1s3awaczirlxixq4gwhz9bf1x5zwd5xfb88ay4kzcmjwc";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/rust-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ sass-mode = callPackage ({ cl-lib ? null
+ , elpaBuild
+ , fetchurl
+ , haml-mode
+ , lib }:
+ elpaBuild {
+ pname = "sass-mode";
+ ename = "sass-mode";
+ version = "3.0.16";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/sass-mode-3.0.16.tar";
+ sha256 = "1nkp7cvsc2dbxkfv346hwsly34nhv1hhc8lgcs470xzdxi908p61";
+ };
+ packageRequires = [ cl-lib haml-mode ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/sass-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ scala-mode = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "scala-mode";
+ ename = "scala-mode";
+ version = "0.23";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/scala-mode-0.23.tar";
+ sha256 = "0dmyh5x519f5b9h034a1yjgmr1ai8pd22a032x31zgdkwl2xyrfd";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/scala-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ slime = callPackage ({ cl-lib ? null, elpaBuild, fetchurl, lib, macrostep }:
+ elpaBuild {
+ pname = "slime";
+ ename = "slime";
+ version = "2.26.1";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/slime-2.26.1.tar";
+ sha256 = "0f7absmq0nnhhq0i8nfgn2862ydvwlqyzhcq4s6m91mn72d7dw5i";
+ };
+ packageRequires = [ cl-lib macrostep ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/slime.html";
+ license = lib.licenses.free;
+ };
+ }) {};
sly = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "sly";
@@ -75,6 +867,51 @@
license = lib.licenses.free;
};
}) {};
+ smartparens = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "smartparens";
+ ename = "smartparens";
+ version = "4.7.1";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/smartparens-4.7.1.tar";
+ sha256 = "0si9wb7j760c4vdv7p049bgppppw5crrh50038bsh8sghq2gdld8";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/smartparens.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ swift-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib, seq }:
+ elpaBuild {
+ pname = "swift-mode";
+ ename = "swift-mode";
+ version = "8.4.1";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/swift-mode-8.4.1.tar";
+ sha256 = "0f87bjgva0iv818bh2dqvc1svrwh5zm134jpxcmvmzr1yqazx4qp";
+ };
+ packageRequires = [ emacs seq ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/swift-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ systemd = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "systemd";
+ ename = "systemd";
+ version = "1.6";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/systemd-1.6.tar";
+ sha256 = "1khfnx2qmg1i4m6axyya0xbzr3c9j136b8pzmqdnd6jamxh43wcg";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/systemd.html";
+ license = lib.licenses.free;
+ };
+ }) {};
tuareg = callPackage ({ caml, elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "tuareg";
@@ -90,4 +927,109 @@
license = lib.licenses.free;
};
}) {};
+ vc-fossil = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "vc-fossil";
+ ename = "vc-fossil";
+ version = "20210928";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/vc-fossil-20210928.tar";
+ sha256 = "0n4h1cj1336mv5cswq0139bkry5gnv4hrrwzd4bqhrxp5kbhqa5y";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/vc-fossil.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ web-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "web-mode";
+ ename = "web-mode";
+ version = "17.0.4";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/web-mode-17.0.4.tar";
+ sha256 = "0ji40fcw3y2n4dw0cklbvsybv04wmfqfnqnykgp05aai388rp3j1";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/web-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ wgrep = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "wgrep";
+ ename = "wgrep";
+ version = "2.3.3";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/wgrep-2.3.3.tar";
+ sha256 = "12w9vsawqnd0rvsahx8vdiabds8rl1zkpmspmcqn28jprbql734r";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/wgrep.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ with-editor = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "with-editor";
+ ename = "with-editor";
+ version = "3.0.5";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/with-editor-3.0.5.tar";
+ sha256 = "0bri6jr99133k9w0d754rw2f6hgjzndczngfw2lf2rvxks448krm";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/with-editor.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ yaml-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "yaml-mode";
+ ename = "yaml-mode";
+ version = "0.0.15";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/yaml-mode-0.0.15.tar";
+ sha256 = "19r2kc894dd59f0r3q4gx52iw5cwj5gi1jjkmi8r9y0dya50rzfx";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/yaml-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ yasnippet-snippets = callPackage ({ elpaBuild, fetchurl, lib, yasnippet }:
+ elpaBuild {
+ pname = "yasnippet-snippets";
+ ename = "yasnippet-snippets";
+ version = "1.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/yasnippet-snippets-1.0.tar";
+ sha256 = "0p2a10wfh1dvmxbjlbj6p241xaldjim2h8vrv9aghvm3ryfixcpb";
+ };
+ packageRequires = [ yasnippet ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/yasnippet-snippets.html";
+ license = lib.licenses.free;
+ };
+ }) {};
+ zig-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "zig-mode";
+ ename = "zig-mode";
+ version = "0.0.8";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/zig-mode-0.0.8.tar";
+ sha256 = "1v9qpc86n9zg765cy93365hj942z0gndkz6grjl2pk31087n3axy";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/zig-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/nongnu-packages.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/nongnu-packages.nix
index 66ca61499d9..dcd7e92c642 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/nongnu-packages.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/nongnu-packages.nix
@@ -5,8 +5,9 @@
To update the list of packages from nongnu (ELPA),
1. Run `./update-nongnu`.
-2. Check for evaluation errors: `nix-instantiate ../../../../.. -A emacs.pkgs.nongnuPackages`.
-3. Run `git commit -m "org-packages $(date -Idate)" -- nongnu-generated.nix`
+2. Check for evaluation errors:
+ env NIXPKGS_ALLOW_BROKEN=1 nix-instantiate ../../../../.. -A emacs.pkgs.nongnuPackages
+3. Run `git commit -m "nongnu-packages $(date -Idate)" -- nongnu-generated.nix`
*/
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/org-generated.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/org-generated.nix
index 10c63bc0b88..1e47b281736 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/org-generated.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/org-generated.nix
@@ -4,10 +4,10 @@
elpaBuild {
pname = "org";
ename = "org";
- version = "20210823";
+ version = "20210920";
src = fetchurl {
- url = "https://orgmode.org/elpa/org-20210823.tar";
- sha256 = "0yd2ydkkfy9lmlnb0lpsm8ywbk88sq9n4i7dasfzslv7czgccyh7";
+ url = "https://orgmode.org/elpa/org-20210920.tar";
+ sha256 = "01b44npf0rxq7c4ddygc3n3cv3h7afs41az0nfs67a5x7ag6c1jj";
};
packageRequires = [];
meta = {
@@ -19,10 +19,10 @@
elpaBuild {
pname = "org-plus-contrib";
ename = "org-plus-contrib";
- version = "20210823";
+ version = "20210920";
src = fetchurl {
- url = "https://orgmode.org/elpa/org-plus-contrib-20210823.tar";
- sha256 = "17lyhsi22wg3l3j4k67glvq9p12r3nlc7fs6ka5jr2xrvfypb5aj";
+ url = "https://orgmode.org/elpa/org-plus-contrib-20210920.tar";
+ sha256 = "1m376fnm8hrm83hgx4b0y21lzdrbxjp83bv45plvrjky44qfdwfn";
};
packageRequires = [];
meta = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/org-mac-link/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/org-mac-link/default.nix
deleted file mode 100644
index a1328d8e8f4..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/org-mac-link/default.nix
+++ /dev/null
@@ -1,32 +0,0 @@
-{ lib, stdenv, fetchurl, emacs }:
-
-stdenv.mkDerivation {
- pname = "org-mac-link";
- version = "1.2";
-
- src = fetchurl {
- url = "https://raw.githubusercontent.com/stuartsierra/org-mode/master/contrib/lisp/org-mac-link.el";
- sha256 = "1gkzlfbhg289r1hbqd25szan1wizgk6s99h9xxjip5bjv0jywcx5";
- };
-
- dontUnpack = true;
-
- buildInputs = [ emacs ];
-
- buildPhase = ''
- cp $src org-mac-link.el
- emacs --batch -f batch-byte-compile org-mac-link.el
- '';
-
- installPhase = ''
- install -d $out/share/emacs/site-lisp
- install org-mac-link.el $out/share/emacs/site-lisp
- '';
-
- meta = {
- description = "Insert org-mode links to items selected in various Mac apps";
- homepage = "https://orgmode.org/worg/org-contrib/org-mac-link.html";
- license = lib.licenses.gpl3;
- platforms = lib.platforms.all;
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/org-packages.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/org-packages.nix
index dcddbe71af8..12680336e0e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/org-packages.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/org-packages.nix
@@ -5,7 +5,8 @@
To update the list of packages from Org (ELPA),
1. Run `./update-org`.
-2. Check for evaluation errors: `nix-instantiate ../../../../.. -A emacs.pkgs.orgPackages`.
+2. Check for evaluation errors:
+ env NIXPKGS_ALLOW_BROKEN=1 nix-instantiate ../../../../.. -A emacs.pkgs.orgPackages
3. Run `git commit -m "org-packages $(date -Idate)" -- org-generated.nix`
*/
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ott-mode/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ott-mode/default.nix
new file mode 100644
index 00000000000..6eeead468ff
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/ott-mode/default.nix
@@ -0,0 +1,19 @@
+{ trivialBuild
+, ott
+, haskellPackages
+}:
+
+trivialBuild {
+ pname = "ott-mode";
+
+ inherit (ott) src version;
+
+ postUnpack = ''
+ mv $sourceRoot/emacs/ott-mode.el $sourceRoot
+ '';
+
+ meta = {
+ description = "Emacs ott mode (from ott sources)";
+ inherit (haskellPackages.Agda.meta) homepage license;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/plz/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/plz/default.nix
new file mode 100644
index 00000000000..9992fb877a9
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/plz/default.nix
@@ -0,0 +1,23 @@
+{ trivialBuild, lib, fetchFromGitHub, curl }:
+
+trivialBuild {
+ pname = "plz";
+ version = "unstable-2021-08-22";
+
+ src = fetchFromGitHub {
+ owner = "alphapapa";
+ repo = "plz.el";
+ rev = "7e456638a651bab3a814e3ea81742dd917509cbb";
+ sha256 = "sha256-8kn9ax1AVF6f9iCTqvVeJZihs03pYAhLjUDooG/ubxY=";
+ };
+
+ postPatch = ''
+ substituteInPlace ./plz.el --replace 'plz-curl-program "curl"' 'plz-curl-program "${curl}/bin/curl"'
+ '';
+
+ meta = {
+ description = "plz is an HTTP library for Emacs";
+ license = lib.licenses.gpl3Only;
+ platforms = lib.platforms.all;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/power-mode/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/power-mode/default.nix
index 035c906c8ab..d02283f25ad 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/power-mode/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/power-mode/default.nix
@@ -1,12 +1,12 @@
{ lib
-, stdenv
+, trivialBuild
, fetchFromGitHub
, emacs
}:
-stdenv.mkDerivation rec {
+trivialBuild rec {
pname = "power-mode";
- version = "2021-06-06";
+ version = "0.pre+unstable=2021-06-06";
src = fetchFromGitHub {
owner = "elizagamedev";
@@ -15,15 +15,8 @@ stdenv.mkDerivation rec {
hash = "sha256-Wy8o9QTWqvH9cP7xsTpF5QSd4mWNIPXJTadoADKeHWY=";
};
- installPhase = ''
- runHook preInstall
- mkdir -p $out/share/emacs/site-lisp
- install *.el $out/share/emacs/site-lisp
- runHook postInstall
- '';
-
meta = {
- homepage = "https://github.com/rougier/nano-theme";
+ homepage = "https://github.com/elizagamedev/power-mode.el";
description = "Imbue Emacs with power!";
inherit (emacs.meta) platforms;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/prolog/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/prolog/default.nix
index deac73a194e..24df2b0d5de 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/prolog/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/prolog/default.nix
@@ -6,7 +6,7 @@ stdenv.mkDerivation {
src = fetchurl {
url = "http://bruda.ca/_media/emacs/prolog.el";
- sha256 = "oCMzks4xuor8Il8Ll8PXh1zIvMl5qN0RCFJ9yKiHOHU=";
+ sha256 = "ZzIDFQWPq1vI9z3btgsHgn0axN6uRQn9Tt8TnqGybOk=";
};
buildCommand = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/railgun/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/railgun/default.nix
index 240a2f29edf..87a20caf9f0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/railgun/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/railgun/default.nix
@@ -1,22 +1,25 @@
-{ lib, stdenv, fetchgit }:
+{ lib
+, trivialBuild
+, fetchFromGitHub
+, emacs
+}:
-stdenv.mkDerivation {
- name = "railgun-2012-10-17";
+trivialBuild {
+ pname = "railgun";
+ version= "0.pre+unstable=2012-10-17";
- src = fetchgit {
- url = "https://github.com/mbriggs/railgun.el.git";
+ src = fetchFromGitHub {
+ owner = "mbriggs";
+ repo = "railgun.el";
rev = "66aaa1b091baef53a69d0d7425f48d184b865fb8";
- sha256 = "00x09vjd3jz5f73qkf5v1y402zn8vl8dsyfwlq9z646p18ba7gyh";
+ hash = "sha256-0L+jFgrXEPMTptx53RDdyH4BiA+7uInHceXL0eROoAM=";
};
- installPhase = ''
- mkdir -p $out/share/emacs/site-lisp
- cp *.el *.elc $out/share/emacs/site-lisp/
- '';
+ buildInputs = [ emacs ];
- meta = {
- description = "Propel yourself through a rails project with the power of magnets";
+ meta = with lib; {
homepage = "https://github.com/mbriggs/railgun.el";
- platforms = lib.platforms.all;
+ description = "Propel yourself through a rails project with the power of magnets";
+ inherit (emacs.meta) platforms;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/recipes-archive-melpa.json b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/recipes-archive-melpa.json
index 2d2044ded8d..5b2372f634f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/recipes-archive-melpa.json
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/recipes-archive-melpa.json
@@ -159,20 +159,20 @@
"repo": "plexus/a.el",
"unstable": {
"version": [
- 20201203,
- 1927
+ 20210929,
+ 1510
],
- "commit": "3d341eb7813ee02b00ab28e11c915295bfd4b5a7",
- "sha256": "1mc40rcm6364iyckcv9ppjwrs65w3y0zh3yl20dhk8yjilhygpqq"
+ "commit": "9ad2d18252b729174fe22ed0b2b7670c88f60c31",
+ "sha256": "0zkv4xvw1jdsfxqqkxskl2l380gfs13n86hj4hhzrqf0sb6aymws"
},
"stable": {
"version": [
- 0,
1,
- 1
+ 0,
+ 0
],
- "commit": "8583685c32069a73ccae0100e990e7b39c901737",
- "sha256": "00v9w6qg3bkwdhypq0ssf0phdh0f4bcq59c20lngd6vhk0204dqi"
+ "commit": "9ad2d18252b729174fe22ed0b2b7670c88f60c31",
+ "sha256": "0zkv4xvw1jdsfxqqkxskl2l380gfs13n86hj4hhzrqf0sb6aymws"
}
},
{
@@ -213,11 +213,11 @@
"repo": "ymarco/auto-activating-snippets",
"unstable": {
"version": [
- 20210605,
- 1143
+ 20211002,
+ 1952
],
- "commit": "118ed7fc948b6d91eea727df35a1639521bf5fdb",
- "sha256": "0qnsyvvb0knarvd4lvnzazf8y756iwx435zswym5lwsw5v847l8d"
+ "commit": "ea9d91be117056f1e49479c94d034e8c6f8df5a0",
+ "sha256": "0z0ilyq0h1ic62gk3gwfiagp8hv4vl2z663fhxwl9g5r94rc3pxi"
},
"stable": {
"version": [
@@ -279,11 +279,11 @@
"repo": "afroisalreadyinu/abl-mode",
"unstable": {
"version": [
- 20210122,
- 1508
+ 20210923,
+ 950
],
- "commit": "fdd83e732b2c870f4ddc0f62b5b261e03bfb212a",
- "sha256": "1ny3386n5h3s3lg9235vj17vwsx6n1y99kln6vgqy6kk37q0ig42"
+ "commit": "fc0eeb780d22aa1aac337f06cc9b479c51600243",
+ "sha256": "1vv3p6fkp352chrjm7jwc3frifzfral1jyrkx4m8pfq0cyj2g197"
}
},
{
@@ -297,8 +297,8 @@
20210519,
322
],
- "commit": "fb1fe91ab8ec75dcd52130c38f13759f19d20fe9",
- "sha256": "05fqxsk0fk6llc5sgk4gqnpx4xy598nyl2kkjv6rhld2xjaps3q9"
+ "commit": "85d0512e239f2ec2217da7f316a5aed350041fd9",
+ "sha256": "0ca375q90fg29c0y47s7ljb5ymwf8wnq6b8v375r06rkqvi7svdx"
},
"stable": {
"version": [
@@ -1044,22 +1044,22 @@
"auto-complete",
"yasnippet"
],
- "commit": "e29075f810af73f6bf7803eebf15d96bffee7154",
- "sha256": "08vfdp7q6x5fk2nn5dl884cyysxrl2gw8f16g7wqvf7v24jmx71d"
+ "commit": "933805013e026991d29a7abfb425075d104aa1cf",
+ "sha256": "0qzb6wlh2hf0kp9n74m2q6hrf4rar62dfxfh8yj1rjx2brpi1qdq"
},
"stable": {
"version": [
2,
4,
- 1
+ 2
],
"deps": [
"ac-php-core",
"auto-complete",
"yasnippet"
],
- "commit": "9770c95bf2df93d9cb0f200723b03b3d9a480640",
- "sha256": "188z1i209z61nwfcgffgp90rdcsnl75izxpqv4x1vbaay5fvg33f"
+ "commit": "e29075f810af73f6bf7803eebf15d96bffee7154",
+ "sha256": "08vfdp7q6x5fk2nn5dl884cyysxrl2gw8f16g7wqvf7v24jmx71d"
}
},
{
@@ -1070,8 +1070,8 @@
"repo": "xcwen/ac-php",
"unstable": {
"version": [
- 20210820,
- 1000
+ 20210909,
+ 918
],
"deps": [
"dash",
@@ -1081,14 +1081,14 @@
"s",
"xcscope"
],
- "commit": "e29075f810af73f6bf7803eebf15d96bffee7154",
- "sha256": "08vfdp7q6x5fk2nn5dl884cyysxrl2gw8f16g7wqvf7v24jmx71d"
+ "commit": "933805013e026991d29a7abfb425075d104aa1cf",
+ "sha256": "0qzb6wlh2hf0kp9n74m2q6hrf4rar62dfxfh8yj1rjx2brpi1qdq"
},
"stable": {
"version": [
2,
4,
- 1
+ 2
],
"deps": [
"dash",
@@ -1098,8 +1098,8 @@
"s",
"xcscope"
],
- "commit": "9770c95bf2df93d9cb0f200723b03b3d9a480640",
- "sha256": "188z1i209z61nwfcgffgp90rdcsnl75izxpqv4x1vbaay5fvg33f"
+ "commit": "e29075f810af73f6bf7803eebf15d96bffee7154",
+ "sha256": "08vfdp7q6x5fk2nn5dl884cyysxrl2gw8f16g7wqvf7v24jmx71d"
}
},
{
@@ -1148,8 +1148,8 @@
"auto-complete",
"rtags"
],
- "commit": "3a057f127b931c683288f8731f05ba5e2aab4133",
- "sha256": "1brf05grh0xdcjllaiixpjxmcg2j130gcrxkqm5v4ryb1w9fki7g"
+ "commit": "cdff9b47fc17710aad7815652490c3c620b5e792",
+ "sha256": "0mrb2dayd8ls56cjlp63315ai0ds09d4qsajgv5kks2gqqxbkrjb"
},
"stable": {
"version": [
@@ -1296,11 +1296,11 @@
"repo": "tam17aki/ace-isearch",
"unstable": {
"version": [
- 20200912,
- 754
+ 20210830,
+ 746
],
- "commit": "422aaa50b6452c2399682782cbf23168ed4357c6",
- "sha256": "1gx106znzb6wq72yixq3z8arj1hhh215yy3324shvjwgqkyc3xi8"
+ "commit": "8439136206a42e41ef95af923e0dc3bbd4fa306c",
+ "sha256": "00mqd02l3fx5jicjwm27xwmr98l3f8v08q4jfxdzh1cjqpi8c5pp"
},
"stable": {
"version": [
@@ -1489,15 +1489,15 @@
"repo": "cute-jumper/ace-pinyin",
"unstable": {
"version": [
- 20210806,
- 316
+ 20210827,
+ 355
],
"deps": [
"avy",
"pinyinlib"
],
- "commit": "4dc565807a9b74ba637122f746d1614c60f92af8",
- "sha256": "1q1s2xwa4wb0h3r255j9wy37yzim6fa1kl42gnxlg5zwgcb2la9w"
+ "commit": "47662c0b05775ba353464b44c0f1a037c85e746e",
+ "sha256": "0qidfljvjqwyi5xvgr6hli45k1d4w8g5zn2mssyv9xzrslcigdzv"
},
"stable": {
"version": [
@@ -1878,25 +1878,25 @@
"repo": "minad/affe",
"unstable": {
"version": [
- 20210812,
- 1934
+ 20211011,
+ 725
],
"deps": [
"consult"
],
- "commit": "cc63708913fc5d16073bcb96f483c2e207151032",
- "sha256": "10764rcakd5v9x2xz0cbv8wnvd1b5m9cwjb75gvbgnhfaxqnxb8n"
+ "commit": "0ee5e2374339c1a57d36c06818247afeecadc2c5",
+ "sha256": "0r9ziscf2f4plp740ggd2vh73cgax31xsvzmc1f5w9cy88i9f8nn"
},
"stable": {
"version": [
0,
- 2
+ 3
],
"deps": [
"consult"
],
- "commit": "be08c4ec49681474cbebaf0957568f27e42c4b3c",
- "sha256": "0lpqpy33bmsh84ib1i278sr8ldn8y9ydqdikch1xi56via4ic2x2"
+ "commit": "0ee5e2374339c1a57d36c06818247afeecadc2c5",
+ "sha256": "0r9ziscf2f4plp740ggd2vh73cgax31xsvzmc1f5w9cy88i9f8nn"
}
},
{
@@ -1955,15 +1955,15 @@
"repo": "agda/agda",
"unstable": {
"version": [
- 20210809,
- 721
+ 20210903,
+ 1114
],
"deps": [
"annotation",
"eri"
],
- "commit": "2bab72d99ae3330cbf3a94450a647158838a1d1b",
- "sha256": "1xb1rawj2hl12xmpn9xk2zw69wpvx2ssd3wj4k32dhgi2vcg2rck"
+ "commit": "070d0c841788d3a09e4557d92fd954bb1b6a7e9e",
+ "sha256": "055cyxcanmj29yphvw7g6k022bj8gs19xrhamxm4xii7bwbd5imv"
},
"stable": {
"version": [
@@ -2194,15 +2194,15 @@
"repo": "alan-platform/AlanForEmacs",
"unstable": {
"version": [
- 20210802,
- 1950
+ 20210916,
+ 1135
],
"deps": [
"flycheck",
"s"
],
- "commit": "9e66137860d05e9c8e1d70a087bfd9cb5ca5ec07",
- "sha256": "1xnb2n77bj3ynrgrl13pwdjbbka9s6gwdskz99cjdky2m7z1xh0z"
+ "commit": "217ffe99e3acf7d545827605ec95434e392a9f5f",
+ "sha256": "09wd1k3hnf1hri8c9m27g8cnqka59szr2anfkkh35s52bynvpxf2"
},
"stable": {
"version": [
@@ -2338,26 +2338,26 @@
"repo": "cpitclaudel/alectryon",
"unstable": {
"version": [
- 20210817,
- 49
+ 20210925,
+ 414
],
"deps": [
"flycheck"
],
- "commit": "95a31c4232e71047a64956976622969df9226381",
- "sha256": "09rhs337cnb24blf9qcxc3hgg8s9h4rsyiwpnkqd2by4kkhdyign"
+ "commit": "bddc1dc5757bd6ef308f21ed70811281a2ad5298",
+ "sha256": "1vpsddfjxpvylq70r7ip6c0iaqn10jdkxmwd93r1zzkxg30hzsf3"
},
"stable": {
"version": [
1,
- 3,
- 1
+ 4,
+ 0
],
"deps": [
"flycheck"
],
- "commit": "ef9b4d4653c95388b9e0b242bc24fea9922cfcbb",
- "sha256": "0w6p5qy3cdlngrn1nv79gbgv97idkpxmw47xfcw8fn615wa5j9q4"
+ "commit": "bddc1dc5757bd6ef308f21ed70811281a2ad5298",
+ "sha256": "1vpsddfjxpvylq70r7ip6c0iaqn10jdkxmwd93r1zzkxg30hzsf3"
}
},
{
@@ -2452,11 +2452,11 @@
"repo": "domtronn/all-the-icons.el",
"unstable": {
"version": [
- 20210817,
- 2252
+ 20210831,
+ 1317
],
- "commit": "b43d2b32f6de83c0dc7792a957f64e8444e59beb",
- "sha256": "066kldj7wz6s1qdvkxifv2zx0wimxncgh11r0pchfrji4b0h6pyh"
+ "commit": "c0d288a41faea2ecb7e8dd947486764a2ee17ec9",
+ "sha256": "1r1905irz9rh05qzmzk1cbdnk4667ax8wm71r1prv8dnx8nq05kp"
},
"stable": {
"version": [
@@ -2476,14 +2476,14 @@
"repo": "iyefrat/all-the-icons-completion",
"unstable": {
"version": [
- 20210728,
- 2119
+ 20211009,
+ 2207
],
"deps": [
"all-the-icons"
],
- "commit": "96500418541b7376cd0b3e4583b9509c0dd92b27",
- "sha256": "0sn5jxmhdpnnjn8x99vc9m9d31kw9alkkdr0xc345aa0ssjlkf6f"
+ "commit": "a0f34d68cc12330ab3992a7521f9caa1de3b8470",
+ "sha256": "18dd37p1vh8ixc2q07jqwzpc82qq31m89nzps192pdgkfffhdp8r"
}
},
{
@@ -2494,14 +2494,14 @@
"repo": "wyuenho/all-the-icons-dired",
"unstable": {
"version": [
- 20210614,
- 1350
+ 20211007,
+ 1729
],
"deps": [
"all-the-icons"
],
- "commit": "a758766878b6e8b9eaaf41d68599a2df99e37f48",
- "sha256": "1shla7nyhml9m3g81p6yy8k4pdq289gb42900xzfp7zl4qvnm2vy"
+ "commit": "5e9b097f9950cc9f86de922b07903a4e5fefc733",
+ "sha256": "0p09rdq97hshg9gaivsqz9zvi2ba2p1a2ja1i8z6f896xv5jqs98"
},
"stable": {
"version": [
@@ -2542,14 +2542,14 @@
"repo": "seagle0128/all-the-icons-ibuffer",
"unstable": {
"version": [
- 20210727,
- 808
+ 20210927,
+ 1407
],
"deps": [
"all-the-icons"
],
- "commit": "165f1702f6f49f4fc2fb15534ede141102657aef",
- "sha256": "0k985lg08dml5cpw9piqhwrh27bbxwqgsy4zcj4f40niaallk6fy"
+ "commit": "f689582a413ba5bb722067ea470829819e1f1131",
+ "sha256": "1r4v86jgp656cs1mxxsb30i1kwka29nzfri151bjrnbyy0z99qrg"
},
"stable": {
"version": [
@@ -2604,15 +2604,15 @@
"repo": "seagle0128/all-the-icons-ivy-rich",
"unstable": {
"version": [
- 20210823,
- 1544
+ 20210927,
+ 1411
],
"deps": [
"all-the-icons",
"ivy-rich"
],
- "commit": "09b887c01aeb33d715a1f8269f45c38594614d93",
- "sha256": "0l6brqdqqgcijd2jfycy3i2n39bwcq7m12km8hg3kx4bv0zmn00g"
+ "commit": "8c0cd543c8d79cf223216b3f44ac3a4b0695c484",
+ "sha256": "0yhg39gg5w3gjhwfgz6v33ld0qyjj4v627ka9az97biz2xvvvrl1"
},
"stable": {
"version": [
@@ -2678,8 +2678,8 @@
20200723,
1037
],
- "commit": "4aba676d49b0705cb4431b7e7c733ef8eac7d5aa",
- "sha256": "1z5b5ivn81hmvndd7ari07kj1bsp9ziyxcrgf7xq21g1dfsbq8cs"
+ "commit": "fb8550cb690b0ec954968afc7e8e953fd6859cdb",
+ "sha256": "1flw5msh1sda3ymkkg8xcgixpa5jgm2i1ligna5h501xbybnk1iz"
},
"stable": {
"version": [
@@ -3204,11 +3204,11 @@
"repo": "bastibe/annotate.el",
"unstable": {
"version": [
- 20210819,
- 1443
+ 20211001,
+ 946
],
- "commit": "4ae1d4f2a6b6d7e598285c6a43ae1785d44147e5",
- "sha256": "0i1ldw5iz61p3sp8anihf3a16z56jqgznrwphgf0b1kl0sdsq6lq"
+ "commit": "b9c908f24c2119d99cd93c86a0920223ef0568e9",
+ "sha256": "169nwa7jfsdcjk6mbm3yabk3j8iwfixfkypwk5336dy2ncf90cjc"
},
"stable": {
"version": [
@@ -3246,8 +3246,8 @@
20200914,
644
],
- "commit": "2bab72d99ae3330cbf3a94450a647158838a1d1b",
- "sha256": "1xb1rawj2hl12xmpn9xk2zw69wpvx2ssd3wj4k32dhgi2vcg2rck"
+ "commit": "070d0c841788d3a09e4557d92fd954bb1b6a7e9e",
+ "sha256": "055cyxcanmj29yphvw7g6k022bj8gs19xrhamxm4xii7bwbd5imv"
},
"stable": {
"version": [
@@ -3505,11 +3505,11 @@
"repo": "emacsorphanage/anzu",
"unstable": {
"version": [
- 20201203,
- 529
+ 20211002,
+ 2255
],
- "commit": "bdb3da5028935a4aea55c40769bc191a81afb54e",
- "sha256": "1jfn5nm6r68wa0gn2k2zy6sdq6c8shw8x04ylzzm5cw7zm60jw0n"
+ "commit": "5abb37455ea44fa401d5f4c1bdc58adb2448db67",
+ "sha256": "1rxw9l0mhb7m17h6mh3ndpa6sw1kh4awipvar6w7n6xc3wv4pajy"
},
"stable": {
"version": [
@@ -3567,11 +3567,11 @@
"repo": "dieter-wilhelm/apdl-mode",
"unstable": {
"version": [
- 20210819,
- 2136
+ 20211014,
+ 612
],
- "commit": "9e80feb61a173d776495f8a36545b966acac21f2",
- "sha256": "00wihhzn779rqmhb41n1f2wd69cfcqq5z86l5hmcyx7lhn0nykyj"
+ "commit": "30616b0924d85a99ca381f21d4717cb6eccc9f95",
+ "sha256": "09jb6xagdiyr0qvigsr4ij9kqgmm3qrq37z1djrh1r95qlq9b6wf"
},
"stable": {
"version": [
@@ -3598,6 +3598,30 @@
"sha256": "1b4ljzq4qyslwxxl6n2izghbl20wwzxxvcq5wk111blnwap4kddw"
}
},
+ {
+ "ename": "apheleia",
+ "commit": "74ef92045e0f64b34cdb1ca686c9159b0623e61f",
+ "sha256": "0dmjvj7gw8qd8vh9m8ibs5pqgs63f5pv02686k97sawbkav7mg1r",
+ "fetcher": "github",
+ "repo": "raxod502/apheleia",
+ "unstable": {
+ "version": [
+ 20211003,
+ 1818
+ ],
+ "commit": "8b9d576f2fda10d0c9051fc03c1eb1d9791e32fd",
+ "sha256": "16j6lcqlsn1qy6nr82aq9hamkr0h6mnc9l5pk5x5v5n1s44sa0a3"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 1,
+ 2
+ ],
+ "commit": "53ac964e53e75b9a35a7bd173f23290d0f42d95c",
+ "sha256": "0f2dqid4h0psdyx3p18c7xn7nf8zr6y4qq98yvyjfbwq5lcjk4rn"
+ }
+ },
{
"ename": "apib-mode",
"commit": "dc2ebb04f975d8226a76260895399c937d6a1940",
@@ -3658,11 +3682,11 @@
"repo": "alexmurray/apparmor-mode",
"unstable": {
"version": [
- 20201213,
- 1118
+ 20211014,
+ 2319
],
- "commit": "8c0c20b6896bba65c7f6cfe0a21e22b21a12c5f9",
- "sha256": "1pr2qpvwfmqd0qysbdvaz0r0y7zznifridy0jyd8lwkddyi8jypf"
+ "commit": "9d1937af52cd8ecfeec27185644ea8cbf0dc1c08",
+ "sha256": "1kzvqxz0m2lgcm0whb121g1580vi7bvk4fj780d5xqqqlv0091a0"
}
},
{
@@ -3889,14 +3913,14 @@
"repo": "stardiviner/arduino-mode",
"unstable": {
"version": [
- 20210527,
- 1341
+ 20210907,
+ 1455
],
"deps": [
"spinner"
],
- "commit": "d7c87812c205bc01c8c8a7ab02f201b6138c7e57",
- "sha256": "08hjyxz187hc07d0g8s7z7d3pa2z9f8lwdzramki95gm27q08n4y"
+ "commit": "6d2d1122924370ffa17ce337e3b02ecab79d861b",
+ "sha256": "039h4hkl7n9ypdwi8zqs10fcbvwh5c2qmlz86x66xlp0wamg827r"
}
},
{
@@ -4133,8 +4157,8 @@
20210823,
528
],
- "commit": "d834cd90fe6f1d87dab86b637d23958241cadac3",
- "sha256": "00n9w9qh84fp60swcpccimch4lq0rcwqm7aqjym5hqmkc1ncz2bp"
+ "commit": "5d365ffc6a2c2041657eaa5d762c395ea748c8d7",
+ "sha256": "0fn2dyj43ag7abh7x2m4qcn7ij1kp65d3vqlkcri780fv4wp7mc4"
},
"stable": {
"version": [
@@ -4220,8 +4244,8 @@
20201026,
339
],
- "commit": "375488bed4f279cf56a5c60ff236b320d3bfa169",
- "sha256": "1kms5dkxz5ppf2iw95p4mvnkssp2iwp483mn4x0xvv53lglnjlxw"
+ "commit": "781e07c6972591e4147edf81f6314f297cc4c0df",
+ "sha256": "0gzhf8004fz0a3zi9nihdgyhya01zihhcqfzr2wdp8a9rczlavrb"
},
"stable": {
"version": [
@@ -4244,8 +4268,8 @@
20210731,
609
],
- "commit": "e586473d49acbb16c092017e3e65bf8798c397dc",
- "sha256": "0xpbxzv5rc3260bl3d54n7r3r14r1pkvwz48p2nl15hr2fzxaass"
+ "commit": "69780e11cfccbd05516b7c2724e02242e3d188d7",
+ "sha256": "0vp4hm4xgi7kq97b4gyzafs7sbyd9mjrzwnv8xwacib71jn74vnr"
},
"stable": {
"version": [
@@ -4442,6 +4466,24 @@
"sha256": "0lgfgvnaln5rhhwgcrzwrhbj0gz8sgaf6xxdl7njf3sa6bfgngsz"
}
},
+ {
+ "ename": "audacious",
+ "commit": "cae5fea61b0007626ec1a52783b58165e3bebd9f",
+ "sha256": "13gzvrwm48jxxr0mjammz64blsdb95lhv2hnwhwq2j5bzfy2bjy1",
+ "fetcher": "github",
+ "repo": "shishimaru/audacious.el",
+ "unstable": {
+ "version": [
+ 20210917,
+ 51
+ ],
+ "deps": [
+ "helm"
+ ],
+ "commit": "65c37f12a5c774a0ae434beee27ff7737006dd2f",
+ "sha256": "1pj3ryi1crnfvq3m8wyysb6pyvsp0x2wrvddlnpj031qk7xxdd6h"
+ }
+ },
{
"ename": "audio-notes-mode",
"commit": "1e6aed365c42987d64d0cd9a8a6178339b1b39e8",
@@ -4527,8 +4569,8 @@
"keytar",
"s"
],
- "commit": "ab6f89a412ae47d257352b26f9667c3c062a7328",
- "sha256": "1ha0v6np9qwg7lqcj0srq0qljs6yx2rgdj0dzwk74mqlk1xb5lzv"
+ "commit": "30d8d0dac138eae9423c90d59f5bce2957c0de77",
+ "sha256": "17jaaxj0nk5iylgcqlwvj9xb9cjng9gba1j131vn4b8hvkm2ccb5"
},
"stable": {
"version": [
@@ -4992,14 +5034,14 @@
"repo": "jcs-elpa/auto-highlight-symbol",
"unstable": {
"version": [
- 20210715,
- 1416
+ 20211006,
+ 603
],
"deps": [
"ht"
],
- "commit": "3425ee2eac724d1d64170a8b9d23afc18f8951a9",
- "sha256": "08m6wyfvy6i99q25nk6b7d1bvlfalvdlafh7ajglj6fnpdjmnk0h"
+ "commit": "1a54a61fda6206c5e0fa843d16635133241292ba",
+ "sha256": "0b90i17rvdszdbmm4snzx6z5xgj705ahy0b0brfqs0b9m9s1iy13"
},
"stable": {
"version": [
@@ -5148,8 +5190,8 @@
20210805,
1344
],
- "commit": "0967cc8e5aeaf7f6a36793e2d36717fd125647a8",
- "sha256": "0y1hwwk2rijfpjkagn9c3rfvf350d8nas9g3lqgc7baq9jragizi"
+ "commit": "0f138b6e64d79d16ccdd0b74995d7e30aff9555a",
+ "sha256": "0a2inh57vipf24ahjp00lbb31v26z8gj1931pb5rxz6nry9app3n"
},
"stable": {
"version": [
@@ -5353,11 +5395,11 @@
"url": "https://git.sr.ht/~pkal/autocrypt",
"unstable": {
"version": [
- 20210720,
- 1810
+ 20210917,
+ 1556
],
- "commit": "b2c8d431f89788d1e01d42c55e65612e6fc11b44",
- "sha256": "05378j4pyxb9s8wpffmmrcn09inxjipiw1sy8jqgc5cslpd1jl3g"
+ "commit": "709dc5b3bf5963f527006cbd504e7d6d558562db",
+ "sha256": "0w9rm66d6dvb8qmpsfwxhmjgcpwmn3jkgsiq91qhphwqvmgl3vvy"
}
},
{
@@ -5368,11 +5410,11 @@
"repo": "gbalats/autodisass-java-bytecode",
"unstable": {
"version": [
- 20151005,
- 1612
+ 20211005,
+ 1920
],
- "commit": "3d61dbe266133c950b39e880f78d142751c7dc4c",
- "sha256": "1pf2mwnicj5x2kksxwmrzz2vfxj9y9r6rzgc1fl8028mfrmrmg8s"
+ "commit": "9eaddd63645e64825b2d07805999c5a645248c53",
+ "sha256": "136rcri491hk3dfqy5cggfw9j27cqpqm03s3sp6mgpyfnf2npfy2"
},
"stable": {
"version": [
@@ -5561,8 +5603,8 @@
"avy",
"embark"
],
- "commit": "ca517fdabd182b0b905d0ef0cb380facb6697670",
- "sha256": "1pb6rarb86x9bl064jqick3d7znl0a7ibx27yx30nivi5plrkb3j"
+ "commit": "010b7356af782a3723fcfbbfc943bc8082c54c27",
+ "sha256": "0ag3s7qyihh0231y7zmv33yafpb8ly01djxgpvdr0vhsflp0c7v6"
},
"stable": {
"version": [
@@ -6341,11 +6383,11 @@
"repo": "bazelbuild/emacs-bazel-mode",
"unstable": {
"version": [
- 20210804,
- 1431
+ 20211006,
+ 855
],
- "commit": "c4292f69c3bf4faa8393438489a3820c1d52b6ee",
- "sha256": "0pk8j1vang644rpy8ch126lnxjpj5hz0qyy511q43q5fnx9fi30d"
+ "commit": "41745212f75b4deafb27fc790df1a74ae344df84",
+ "sha256": "12h7sndahwpyqc1sr2dgf3wz5g6hizb908iw3rfyhxjgss2yai3b"
}
},
{
@@ -6711,38 +6753,6 @@
"sha256": "0dgwh3z1ni619kxpdxv8r2k0jhgj5h6ssxp6l8s26mhpmy1bkm6c"
}
},
- {
- "ename": "bento",
- "commit": "aad104fd26adb419c5da1e3472807244794e8949",
- "sha256": "0a7yaikvaxxk8wmr7f9darpwb4r17rkzxcxaagfypn8n5kswddj4",
- "fetcher": "github",
- "repo": "returntocorp/bento-emacs",
- "unstable": {
- "version": [
- 20191024,
- 2123
- ],
- "deps": [
- "f",
- "flycheck"
- ],
- "commit": "31546a03475fc2b3ffd3159fe1beda55f7762224",
- "sha256": "0ny0bably9h4ak2fr01z4a80mp9kjalzhw232a92n8dvmz4b7mq7"
- },
- "stable": {
- "version": [
- 0,
- 1,
- 0
- ],
- "deps": [
- "f",
- "flycheck"
- ],
- "commit": "116839c7d50342e62a2832715ba850d8bf04362e",
- "sha256": "0gxqy2l1a4pddh4pdci2nyflmv76rhl8wx3zmh5j0aqk5ahh1ln5"
- }
- },
{
"ename": "berrys-theme",
"commit": "d7043d5fcdf995a6278c8a92aa451ce4b58694b3",
@@ -6823,8 +6833,8 @@
20210715,
1004
],
- "commit": "eb389204f9dadd8a040a78e79a17732daca7e253",
- "sha256": "1m3v51hnhrfxpqqalkx26d1x6v109w83w7h5mwfa64hmgpax9r7i"
+ "commit": "319c24d9aa46a66d43cf689134c7e1703288d251",
+ "sha256": "033kaj3pbfggm55dgb9xagfdzzmrybsmz7kr358az7233cl9qasm"
},
"stable": {
"version": [
@@ -7067,15 +7077,15 @@
"repo": "bdarcus/bibtex-actions",
"unstable": {
"version": [
- 20210825,
- 1743
+ 20211014,
+ 2143
],
"deps": [
"bibtex-completion",
"parsebib"
],
- "commit": "f4d9af720d3854ce0e746312061372ae30e2cc97",
- "sha256": "0lynllvkyy9svi9c5gsqs5vyp0fgyq43a83drf67x0x14lfhdr1a"
+ "commit": "b96728a7ccaa578360f7275bb01080c28bebd216",
+ "sha256": "17mif19zax6xhx0qbiwimfq1q3m18rka28nv6c9x5g3l6f0hby4i"
},
"stable": {
"version": [
@@ -7108,8 +7118,8 @@
"parsebib",
"s"
],
- "commit": "12079bb09f203dda5cc2dd003bd60a6ad490f762",
- "sha256": "11y1yif6z26cc502s72p310z9m6130p5kyqb2py74r3x0k0nc61s"
+ "commit": "bb47f355b0da8518aa3fb516019120c14c8747c9",
+ "sha256": "10y6k1jch43jykd8g8xi10k8wq98x2w2xap64smrhxvgp53y2765"
},
"stable": {
"version": [
@@ -7417,8 +7427,8 @@
"mmm-mode",
"s"
],
- "commit": "4896ff48712a6be37009605ba697a7104462e2fd",
- "sha256": "0hrqgi3xck8sfs56igxhmvb3vpwm8kj00sqi6f13r7szpxy6cnrq"
+ "commit": "ba58bd051457ba0abd2fbc955ea0e75e78ff2c64",
+ "sha256": "09ncblz9x2qz6lqfywvj3b7qagrq34qb0wg17y03p1r3416g1zwr"
}
},
{
@@ -7961,30 +7971,29 @@
"repo": "jyp/boon",
"unstable": {
"version": [
- 20210518,
- 1244
+ 20210921,
+ 1154
],
"deps": [
"dash",
"expand-region",
- "multiple-cursors",
- "pcre2el"
+ "multiple-cursors"
],
- "commit": "db1cbdcdfb3ea246d0d0090534d998f7c9c19f4f",
- "sha256": "1saij86j2br814lp7yl4qpy2kaywdxlv08wxph464npx1rykykk3"
+ "commit": "ee88a9bbb3d39e2fa216984b6349a122a80e3c99",
+ "sha256": "0y28i8zqy6i93bajqldfwqwvlln75s81aadqq04sy6krc5nlfldy"
},
"stable": {
"version": [
1,
- 1
+ 2
],
"deps": [
"dash",
"expand-region",
"multiple-cursors"
],
- "commit": "270ae67b3136ac355d2aed5b4690ae28edaf7c29",
- "sha256": "1ss9bjs34q41pa0g0nbdzd8fwpjcbd2239rdlx5aykfv9v0b8j77"
+ "commit": "d31550b3336d706b57df0e43bedf3e95a615ce0d",
+ "sha256": "18il2licf8pgfcrpx2bk55gpf8f537kb9cxqz83jldkhiyry74il"
}
},
{
@@ -7995,15 +8004,15 @@
"repo": "emacscollective/borg",
"unstable": {
"version": [
- 20210812,
- 1005
+ 20211001,
+ 2148
],
"deps": [
"epkg",
"magit"
],
- "commit": "018d5334b4c9af297799f0644e09946a13d55edd",
- "sha256": "03h5cgsk3wxpw6g946ia0dbzazlbpip93zhirjh7vxcqp4wwyvcf"
+ "commit": "069859e8857d70ca0cc755466ffdd35c18780607",
+ "sha256": "0ca5gmj437zxmzcvxfnbwpsdxblss9fv3689v9q6dqpy0p9vz0wq"
},
"stable": {
"version": [
@@ -8344,11 +8353,11 @@
"repo": "topikettunen/brutal-emacs",
"unstable": {
"version": [
- 20210226,
- 1538
+ 20211014,
+ 2212
],
- "commit": "8173b7d041cccfa3e5bb3f3f85ec8c6109fd264b",
- "sha256": "1y6b9q3byvwsi6d5sjc642189c5cjbinylqis3d248qws2dp6kvq"
+ "commit": "ce00e434baec93bdf846195516f083190edf3662",
+ "sha256": "0ax0qy597xknrqg8bp4sf7ag4nl7pdlgg1zicfis25xbdanyg9ar"
}
},
{
@@ -8362,8 +8371,8 @@
20181023,
1222
],
- "commit": "6568844b83dc916a5d6aa69960cbc85ded5f7d73",
- "sha256": "1b76hvk87p3glrlbm8gj4w6r7y7gqa5yq8hdxq31m2swqg8h3k52"
+ "commit": "72adc339c433a98e944cbe76da4c45b9ba4400f5",
+ "sha256": "068a0z66bidzllz8jhkcfqjksjyffhzqkvddpazcbcnj9fq6ircy"
}
},
{
@@ -8547,27 +8556,27 @@
"repo": "plandes/buffer-manage",
"unstable": {
"version": [
- 20201221,
- 122
+ 20210914,
+ 1251
],
"deps": [
"choice-program",
"dash"
],
- "commit": "800f22e024a2f364ac69d9efddd25ea0ac7c49c0",
- "sha256": "04bpqd8rrg32y0z912d6x5bb55asp47vh6lnlwbs5ia0q53fqkgd"
+ "commit": "b903e97e47b463e08468011dc74689d61b2e52ce",
+ "sha256": "0fd1zzhvp2a7dvzm5vcywxx3iigcdz8vp7fw505mwc7hhbxv3gv0"
},
"stable": {
"version": [
- 0,
- 12
+ 1,
+ 0
],
"deps": [
"choice-program",
"dash"
],
- "commit": "800f22e024a2f364ac69d9efddd25ea0ac7c49c0",
- "sha256": "04bpqd8rrg32y0z912d6x5bb55asp47vh6lnlwbs5ia0q53fqkgd"
+ "commit": "b903e97e47b463e08468011dc74689d61b2e52ce",
+ "sha256": "0fd1zzhvp2a7dvzm5vcywxx3iigcdz8vp7fw505mwc7hhbxv3gv0"
}
},
{
@@ -8602,29 +8611,30 @@
"repo": "countvajhula/buffer-ring",
"unstable": {
"version": [
- 20210707,
- 1745
+ 20211008,
+ 1508
],
"deps": [
"dynaring",
"ht",
"s"
],
- "commit": "25c44a39742b21122e1e2adf1f6c5828148cd3ee",
- "sha256": "130304bmlz46z6g56bsr745zfb8mxw5kzkslb8vdz7hvcp75wfc4"
+ "commit": "7336ae668c0b26e3a53bcd36577ea84a8090ec21",
+ "sha256": "1gzgp7w4j8dlig4psqc9g4ns69dd70hj83347al0jqcnrhw0ysy3"
},
"stable": {
"version": [
0,
- 2
+ 3,
+ 3
],
"deps": [
"dynaring",
"ht",
"s"
],
- "commit": "30572b4d8fff519c4996078a5ad743583fb22b0e",
- "sha256": "1xg6kbjj4fccsr5awnh3ba9x33qznnala3kmnfwpmj94rd72whiy"
+ "commit": "7336ae668c0b26e3a53bcd36577ea84a8090ec21",
+ "sha256": "1gzgp7w4j8dlig4psqc9g4ns69dd70hj83347al0jqcnrhw0ysy3"
}
},
{
@@ -8710,8 +8720,8 @@
20200924,
345
],
- "commit": "a14568210e212a4dfb93898218c4df58ff204089",
- "sha256": "0b7lc14sn88r3wf8yqnx41wr704fm8kd6nxbd4874jaw01yp8x63"
+ "commit": "db7ab16c98307855e7e258f215703a54911be22c",
+ "sha256": "05g1k43ilkfx9mxqmikkd8v6yv89lri5m4mr0prpq4yqb3xv0bx3"
},
"stable": {
"version": [
@@ -8731,8 +8741,8 @@
"repo": "alphapapa/bufler.el",
"unstable": {
"version": [
- 20210722,
- 1703
+ 20210907,
+ 1145
],
"deps": [
"dash",
@@ -8741,8 +8751,8 @@
"map",
"pretty-hydra"
],
- "commit": "b951e621bc4a4bb07babf8b32dc318d91ae261c9",
- "sha256": "14d2mcx6ppjzkpv63m7iir0j2dn549gkxr30bxx8qvc1v7r7r6wn"
+ "commit": "a68e0eb2719c67ab8a3ad56c4036364061d06004",
+ "sha256": "155g4p2yw88cpc8ydfzybc4r6ab2qwcmzdwkrrhnra4psimahjq6"
},
"stable": {
"version": [
@@ -8957,14 +8967,14 @@
"repo": "alphapapa/burly.el",
"unstable": {
"version": [
- 20210726,
- 125
+ 20211005,
+ 1159
],
"deps": [
"map"
],
- "commit": "59fa9e92abdf1e730f8f3908d5a42852c10c5e2b",
- "sha256": "1jbfsr28fhf945lhhbds89a9g5c8rbpmykwg8z5adp8ncfj6pw99"
+ "commit": "c94fe0a355859fe6ddfa34cf7d362dca896f38a1",
+ "sha256": "0jl8dj4mk4zc0kckdj3qmrhc21xxchp4x9cgd9fdhza50icbmy92"
},
"stable": {
"version": [
@@ -9077,28 +9087,28 @@
"repo": "AshtonKem/Butler",
"unstable": {
"version": [
- 20150812,
- 8
+ 20210928,
+ 230
],
"deps": [
"deferred",
"json"
],
- "commit": "8ceb35737107572455cca9a61ff46b3ff78f1016",
- "sha256": "0pp604r2gzzdpfajw920607pklwflk842difdyl4hy9w87fgc0jg"
+ "commit": "10943ccdf2030187b2f7bd97337d78acb7fd31c9",
+ "sha256": "028c5mqhxpq007s7c6rha47zzyj6nsf49mnh99b0mfg9d95s4057"
},
"stable": {
"version": [
0,
2,
- 4
+ 6
],
"deps": [
"deferred",
"json"
],
- "commit": "0e91e0f01ac9c09422f076a096ee567ee138e7a4",
- "sha256": "1pii9dw4skq7nr4na6qxqasl36av8cwjp71bf1fgppqpcd9z8skj"
+ "commit": "454cb9d3980b9ac555f3f77e4e48056de07f051b",
+ "sha256": "1wsk5isza8xqr84w6haal95ssifz6j2lrr5phbsdc90jb9hicbff"
}
},
{
@@ -9729,20 +9739,19 @@
"repo": "ocaml/caml-mode",
"unstable": {
"version": [
- 20210825,
- 649
+ 20210907,
+ 2124
],
- "commit": "3b6913ee6af31139bdee2c236ce2b3a10eabc74b",
- "sha256": "0gsbhwymr2c3fy6mzrvk70q874r9yxf46vlkyljwp1srw095xi7x"
+ "commit": "2905a436e956c5bba16c4633a6e4c4fceefa6535",
+ "sha256": "0i1p4w9zkbvpcplhvkk8n8ymcp8i7cxn2j6can70rlwwbcnyvzjf"
},
"stable": {
"version": [
4,
- 7,
- 1
+ 9
],
- "commit": "9803cf37ac52bbfa5130fde0f228dc51c4590c2d",
- "sha256": "13gz0s7bnjsnab7wn8mk0zva7756hf68izqp9agd8vqnm0c75nlp"
+ "commit": "2905a436e956c5bba16c4633a6e4c4fceefa6535",
+ "sha256": "0i1p4w9zkbvpcplhvkk8n8ymcp8i7cxn2j6can70rlwwbcnyvzjf"
}
},
{
@@ -9790,17 +9799,17 @@
20210707,
2310
],
- "commit": "86ae7e69e2d07d5dfe9eac66c2b359d098c682a3",
- "sha256": "0kvadcjrrj60p3ijbz6f51f2ziapig06zzmkycaahailhf9v1s0b"
+ "commit": "cd6587efb64f58dc0e542f08734c875b93e63968",
+ "sha256": "094flwbwvvdr8asx5wgr07gbxz4n3fxb8ywbg13f69c9wagk6rkp"
},
"stable": {
"version": [
0,
9,
- 0
+ 1
],
- "commit": "7f554a89784d3455970fe1edfb9f0044ac570aeb",
- "sha256": "038i40apywn8sg95kwld4mg9p9m08izcw5xj7mwkmshycmqw65na"
+ "commit": "b49431c48d40490ef979247d308af63345376cee",
+ "sha256": "0cbiwkmd29abih8rjjm35dfkrkr8c6axbzq3fkryay6jyvpi42c5"
}
},
{
@@ -9841,14 +9850,14 @@
"repo": "kwrooijen/cargo.el",
"unstable": {
"version": [
- 20210813,
- 721
+ 20211007,
+ 739
],
"deps": [
"markdown-mode"
],
- "commit": "794f902bb84437afcc5d677d4a7a996c1f98359b",
- "sha256": "0df3ldjwrk0kdyv417k1aq4nph749cgip48af56pq7rcffccdyk2"
+ "commit": "c5e66a31eff5bdc0cc89e946e6cbf16af91602ec",
+ "sha256": "0kyb492w35igdzn2s1mhjpy7apydw8irv6sa098lwzbq7c9xm484"
},
"stable": {
"version": [
@@ -9901,11 +9910,11 @@
"repo": "fritzgrabo/cascading-dir-locals",
"unstable": {
"version": [
- 20210221,
- 1516
+ 20211013,
+ 1955
],
- "commit": "53967a3f4b2ac742ab8fd6b639c87cbb0229d5f8",
- "sha256": "0pvvwxi7qbbg9h9hax6ispz69h0wk4c0adc26dyj1a2dpdxz2r5p"
+ "commit": "345d4b70e837d45ee84014684127e7399932d5e6",
+ "sha256": "160ay9rf1s4hm9xmmsd7z0mkhqrj9wpm8dpd49hhibcng8hv6z6a"
}
},
{
@@ -9950,8 +9959,8 @@
"repo": "cask/cask",
"unstable": {
"version": [
- 20210801,
- 1509
+ 20211001,
+ 1042
],
"deps": [
"ansi",
@@ -9962,8 +9971,8 @@
"s",
"shut-up"
],
- "commit": "09c3851c118d2e850314e7f3b9efa786932a145b",
- "sha256": "1fdnphlg9ip9cwvl1cxjhvlvgd9hxd5c466r79hrzq81vzn076cg"
+ "commit": "fe66b65944be8e03359ffe6f06618ecab8232f6b",
+ "sha256": "0mw6adyvjf4x5d83iy1gf5v36nr4dm09806ybgfkfvivsd348k97"
},
"stable": {
"version": [
@@ -10351,8 +10360,8 @@
"cl-lib",
"powerline"
],
- "commit": "9c7c936e4e1de6f4f4095d70e43c9ae738d05086",
- "sha256": "0h0v3yiz9qis99l83x588b94va13jzanfwacmgvq29ygp0a87n65"
+ "commit": "8b4249c40581368faf7bb8e06f86b9eee199c3c6",
+ "sha256": "185q3iplgycmq6zyyjn3aqq1gylvbb7r8zd1q9km2xl1fzg94jxi"
},
"stable": {
"version": [
@@ -10479,8 +10488,8 @@
20171115,
2108
],
- "commit": "90bf324af47e6c607a4d7312a1c69701c2b180fb",
- "sha256": "0s3sdvv3r93bz62sk7bk6bdrj5gx6qb9mqd7fd1gkcrryhwb05np"
+ "commit": "ffa981bace8ab7dc1166c335b4b906b3bc0c6d32",
+ "sha256": "0ly2mnxpr27axvr4rxrk9a45jl0frfp190i86azd3sb9fj2klvq7"
},
"stable": {
"version": [
@@ -10598,16 +10607,16 @@
"repo": "Alexander-Miller/cfrs",
"unstable": {
"version": [
- 20210609,
- 1805
+ 20211013,
+ 1802
],
"deps": [
"dash",
"posframe",
"s"
],
- "commit": "2cb7f1cbf9292b0efe167ef372cfb5a7600564eb",
- "sha256": "1y75mijqchkzhq185961clyl2idj22kz0a1gp69y29qhhfvs43yk"
+ "commit": "c1f639d7bfd3e728cf85dbe224b06a4be76158f4",
+ "sha256": "1bic67769xvjdhinq88jqxnb4dql8gssmnx1wvrl69338zjqqjzg"
},
"stable": {
"version": [
@@ -11126,16 +11135,16 @@
"url": "https://tildegit.org/contrapunctus/chronometrist.git",
"unstable": {
"version": [
- 20210707,
- 2147
+ 20210905,
+ 1942
],
"deps": [
"dash",
"seq",
"ts"
],
- "commit": "524ba9592fc7095209e380392915b376f75bec00",
- "sha256": "07fclq7dllz4nsrx51j4vrds1ciylxhkp9g945vc7xk6bi8syl4d"
+ "commit": "d673f00e5a43f8ac276b89c85622dcdf4cbd8148",
+ "sha256": "0cppwh15wb4kkhmqpi5cndvvyqlb6jjfj634cxlhkkvwbr0rmnjv"
},
"stable": {
"version": [
@@ -11192,14 +11201,14 @@
"url": "https://tildegit.org/contrapunctus/chronometrist.git",
"unstable": {
"version": [
- 20210617,
- 1707
+ 20210904,
+ 1359
],
"deps": [
"chronometrist"
],
- "commit": "524ba9592fc7095209e380392915b376f75bec00",
- "sha256": "07fclq7dllz4nsrx51j4vrds1ciylxhkp9g945vc7xk6bi8syl4d"
+ "commit": "d673f00e5a43f8ac276b89c85622dcdf4cbd8148",
+ "sha256": "0cppwh15wb4kkhmqpi5cndvvyqlb6jjfj634cxlhkkvwbr0rmnjv"
},
"stable": {
"version": [
@@ -11270,8 +11279,8 @@
"repo": "clojure-emacs/cider",
"unstable": {
"version": [
- 20210825,
- 1937
+ 20211013,
+ 2024
],
"deps": [
"clojure-mode",
@@ -11282,8 +11291,8 @@
"sesman",
"spinner"
],
- "commit": "fd5232d03f62c2304daebbdbdf99f6ad860fa702",
- "sha256": "1aj289145kza226xlg2zqi8m9wfrpr05xx6b6dvv1g9508jhxvw6"
+ "commit": "0a9d0ef429e76ee36c34e116c4633c69cea96c67",
+ "sha256": "06hzm7dpb7gsdrbxn3v3zjdci6qdm6mcnm7f03bgij3qcjg0isky"
},
"stable": {
"version": [
@@ -11552,8 +11561,8 @@
"repo": "andras-simonyi/citeproc-el",
"unstable": {
"version": [
- 20210822,
- 1949
+ 20211014,
+ 1115
],
"deps": [
"dash",
@@ -11564,8 +11573,8 @@
"s",
"string-inflection"
],
- "commit": "299e52b2dda37960d609efa78c40e255001d163a",
- "sha256": "0dqnyff2vfncw3ais2ml7k7lsdsrwjvw3kpynw9mb5si88sxh24b"
+ "commit": "c8ff95862823cdff067e8cc9bb7f5ef537e8f1d9",
+ "sha256": "1dqs5slpd9i8dj6fgryg46zabd6c134qrdq8dkj1i5n0k17ni0h1"
},
"stable": {
"version": [
@@ -11631,43 +11640,42 @@
"repo": "universal-ctags/citre",
"unstable": {
"version": [
- 20210823,
- 1603
+ 20211010,
+ 1654
],
- "commit": "9a0dcc5dad0b0796c8febba1470db49b3e845f2b",
- "sha256": "13zl0bxnxqm6cq71lj02d906mpmmd1xpwji3d23fvy80nkxzrm86"
+ "commit": "047aece5a6d8e1ed267e542c53f5f013293fce21",
+ "sha256": "09szz5m8gw3j86c3pd449wghrff1zbs1nxypbxxagry59kvsdxkf"
},
"stable": {
"version": [
0,
- 1,
- 1
+ 2
],
- "commit": "b74147e2a166e27c7c6074ffeaa5f273d4f938bf",
- "sha256": "04vpcn8x188kl43pra3y57n1kky1fm96q1ym8f8kq93qnbjz0b9x"
+ "commit": "32b79a94db62194d96e73064ab804b7efa920795",
+ "sha256": "10lryjy3771hs8lavh7818a5ia9ia1qwrhzfmgr5sb4c0gn36wcg"
}
},
{
"ename": "cl-format",
- "commit": "855ea20024b606314f8590129259747cac0bcc97",
- "sha256": "09jwy0fgaz2f04dvcdns6w859s6izvrkp8ib4lws3x8kx8z918fy",
- "fetcher": "github",
- "repo": "alvinfrancis/cl-format",
+ "commit": "f62ceac846a4fb4ff380a799fb3aa7e52a097025",
+ "sha256": "14xpzp8jiaqdqybkijcm78gq298n32qf4lglq0i23sqfj68yw87j",
+ "fetcher": "gitlab",
+ "repo": "akater/elisp-cl-format",
"unstable": {
"version": [
- 20210824,
- 2016
+ 20210831,
+ 530
],
- "commit": "a391d7696bea972ad9a968426e712feddc284ef4",
- "sha256": "191jkjka1pv2ssggk138gni38ckbndjlk344px3r1p0mnv3gmfaq"
+ "commit": "ad1a4fb6bc91e65ea90bcf6792cc5a1be5380f9d",
+ "sha256": "10z53j111wvgy0fbnxm3mpc9an75dblvy5zkq9733vjliycbbgv4"
},
"stable": {
"version": [
1,
- 1
+ 2
],
- "commit": "4380cb8009c47cc6d9098b383082b93b1aefa460",
- "sha256": "108s96viral3s62a77jfgvjam08hdk97frfmxjg3xpp2ifccjs7h"
+ "commit": "ad1a4fb6bc91e65ea90bcf6792cc5a1be5380f9d",
+ "sha256": "10z53j111wvgy0fbnxm3mpc9an75dblvy5zkq9733vjliycbbgv4"
}
},
{
@@ -11985,8 +11993,8 @@
"repo": "clojure-emacs/clj-refactor.el",
"unstable": {
"version": [
- 20210628,
- 1154
+ 20211005,
+ 1722
],
"deps": [
"cider",
@@ -11999,8 +12007,8 @@
"seq",
"yasnippet"
],
- "commit": "466822ff6f9da584f7cf72c868017b8840574dbd",
- "sha256": "1jvmqb4sj3www6fq8srq13yjixp4ixx5i6b0xhiv2bi6r41m3ina"
+ "commit": "9e1f92033449a4abc6218ce31670d89e3e6a4dc5",
+ "sha256": "0nd1hn4qswnhyg5ak175sjsk4y6z6l8xn6j9bcdm2vx7slcghlmc"
},
"stable": {
"version": [
@@ -12397,26 +12405,26 @@
"repo": "emacscollective/closql",
"unstable": {
"version": [
- 20210616,
- 1951
+ 20210927,
+ 2245
],
"deps": [
"emacsql-sqlite"
],
- "commit": "e2687e7ff958a19e6e5d6552c4e0b7b33c424bab",
- "sha256": "1ghqxnn39i032ibm5sbnv67r2dd2hgfnfpqbmb8wzg9wc6smnacq"
+ "commit": "15f906c393db1a0fb6577afc3cf59466531eafef",
+ "sha256": "1xa9rzyfm6bfskm2mfckd7jwmjwcraky7vsp7yyrnrqfksrl5na8"
},
"stable": {
"version": [
1,
- 0,
- 6
+ 2,
+ 0
],
"deps": [
"emacsql-sqlite"
],
- "commit": "e2687e7ff958a19e6e5d6552c4e0b7b33c424bab",
- "sha256": "1ghqxnn39i032ibm5sbnv67r2dd2hgfnfpqbmb8wzg9wc6smnacq"
+ "commit": "15f906c393db1a0fb6577afc3cf59466531eafef",
+ "sha256": "1xa9rzyfm6bfskm2mfckd7jwmjwcraky7vsp7yyrnrqfksrl5na8"
}
},
{
@@ -12580,17 +12588,19 @@
20210104,
1831
],
- "commit": "e0a34750f8b7a014d021fbde0e860de5a5073473",
- "sha256": "16nmp6arjdgd9s1jj2cnj5dak0sf5ass2w894d6xdqhk9mz8g1gi"
+ "commit": "c5ae200d94333600117fc93e50c66bdd50994bbc",
+ "sha256": "0pay9ly2vzjb69a1aah1h6jkmixhrkps31i3mgk8frxyanlgjkgd"
},
"stable": {
"version": [
3,
- 21,
- 2
+ 22,
+ 0,
+ -1,
+ 1
],
- "commit": "31c5700d4322ecfa169db2fccf385d6eced4e737",
- "sha256": "0vjrv831qjc0fkayd096nmb0l0q3aphbd8gp5c6xk1hckpgzgwl0"
+ "commit": "167060303b6d9ffb56b2785cec0f7e363f0876c6",
+ "sha256": "0zaw4zjxsrjfm4rajqlh4wff158crbxyjpajbmh4yckd3gnz1swr"
}
},
{
@@ -12685,8 +12695,8 @@
20180304,
1155
],
- "commit": "634ace275697e188746ca22a30ff94380ec756be",
- "sha256": "1mrydmzldgabkkdpmlwfrfb6iddj4by7scc14k9bak5y6hj6ix7l"
+ "commit": "88ef936373a5493183d49ec69ca541bcc749a109",
+ "sha256": "0mm9lj5mvidb69zq6a9daibdm4l6y4vw389hr5052qnj0qljb757"
}
},
{
@@ -12742,11 +12752,11 @@
"repo": "astoff/code-cells.el",
"unstable": {
"version": [
- 20210612,
- 755
+ 20211014,
+ 738
],
- "commit": "1bd650391a6fe84eb267f2534a0750ea1b5549f4",
- "sha256": "0r0gp0i0mkfw035qrhpbjw3vdlyiffc5b9zmsmq93wcqvn459154"
+ "commit": "68148cfc1f0723e554a09cbae4c732cfc348ecfd",
+ "sha256": "07y8ry0rwlxqdw39fi2maw114yyga8yzlbrxypw6irhnpm8mscjw"
}
},
{
@@ -12921,24 +12931,6 @@
"sha256": "0xnrh6v4s2s3fgvw0v9fl48dlk4r2p6axp7xf41gzb1ai81yczhv"
}
},
- {
- "ename": "colemak-evil",
- "commit": "0f0750a3f9537782ee61d6e56c51ce7b86def12e",
- "sha256": "1bfzs5px1k6g3cnwjdaq2m78bbnfy3lxhjzkcch7zdv3nyacwl5z",
- "fetcher": "github",
- "repo": "patbl/colemak-evil",
- "unstable": {
- "version": [
- 20200326,
- 2359
- ],
- "deps": [
- "evil"
- ],
- "commit": "981bdcb1a48c6d9139493abe7e25fabe126e43c3",
- "sha256": "0dqyqaqr71z4mipb4g5jxdw96lzb108fd5w4wi27023hfll3j1hc"
- }
- },
{
"ename": "colonoscopy-theme",
"commit": "641d1959bd31598fcdacd39a3d1bb077dcccfa5c",
@@ -13105,11 +13097,11 @@
"repo": "purcell/color-theme-sanityinc-tomorrow",
"unstable": {
"version": [
- 20210528,
- 2344
+ 20210907,
+ 1208
],
- "commit": "c1a1091e39ecd69822e1494d8b6f0bbcb21eb9b1",
- "sha256": "01afmfisii9cyri198s2g9rivkisfn6d3g40nyi0sgsx14jbyddz"
+ "commit": "e2857533627f3eda3e9e21de7f2a99b8634c1c15",
+ "sha256": "0hi1wg9v5ax71q14jk6mpp3mpfx2ma490l0kxdq2wkajkmh4apr1"
},
"stable": {
"version": [
@@ -13214,8 +13206,8 @@
"deps": [
"s"
],
- "commit": "e91006ba4a77b8ea8c4fe4085ba5676c97cf0315",
- "sha256": "0icjcmfmwdwas59425baf2s3zw2iblidx6v3jy6k53y1ac5qn7iy"
+ "commit": "19bec333477f36e14acc9d00813e4bcc6201692f",
+ "sha256": "1wb7kig728dbggd2q24kgy6381gg2zpqdr9az5q3yg0326zns62y"
},
"stable": {
"version": [
@@ -13501,14 +13493,14 @@
"repo": "ddoherty03/commify",
"unstable": {
"version": [
- 20200921,
- 2002
+ 20210904,
+ 1106
],
"deps": [
"s"
],
- "commit": "b1c1a06e488208ef653e0d86c97b746fd6d2bbc2",
- "sha256": "1q843ay7zkci2xdavia6wkj06acn83a198ykpxl0xbl5wihdd6w2"
+ "commit": "d6656bd3a909917a51ba033a11d4ab5f5fe55f83",
+ "sha256": "1zbk2nc1qnryapsj68hlqmkn0ab7llzpmnls6y3s2656lxcn2b0k"
}
},
{
@@ -13549,11 +13541,11 @@
"repo": "company-mode/company-mode",
"unstable": {
"version": [
- 20210825,
- 1508
+ 20211002,
+ 1732
],
- "commit": "c7234a9df0b1a624ae0633d3a7d08f92109ff85a",
- "sha256": "0i5xvi21gmqk2abpll761cz6g0g2i7s2gy63hvi2y3ac611fww2z"
+ "commit": "4c08ef468678bbf3b3c9e750f6e694eea1aa8423",
+ "sha256": "1p58wsy587nsx2pvhd3nnrmm4pwdnbhmxw6hsbkqwimm4gsn3z90"
},
"stable": {
"version": [
@@ -14008,8 +14000,8 @@
"emojify",
"ht"
],
- "commit": "cebfff07a21f885f87a692ec4d5e7f84468c6565",
- "sha256": "1ishjn1biv9irm3ih96b0larsz6jq81lxd7jjkh4nqjs1207gcij"
+ "commit": "5cc4bd886c1fc373eb1642ab0f0ba33de4f5d3d2",
+ "sha256": "0d383561fb8nfgqns3j9s0sjwgqchwpil0gs4n4vw31yaphyy83l"
},
"stable": {
"version": [
@@ -14104,30 +14096,30 @@
"repo": "jcs-elpa/company-fuzzy",
"unstable": {
"version": [
- 20210716,
- 926
+ 20211015,
+ 1239
],
"deps": [
"company",
"ht",
"s"
],
- "commit": "b4fd1c8d128ae345176f713dad2c04944a9cf27c",
- "sha256": "1fhkc49xp4yfqry6a0w7bsz80c7v5kc60jzd3ran0yjr9q9yzx8i"
+ "commit": "493fe05fa81ba680fd44c5d05256a2ae788dabbe",
+ "sha256": "0fysg6fc20z8ymay27g6s1b3jcq0ndfcv5wynwjdfgvv2f5aay6z"
},
"stable": {
"version": [
1,
2,
- 1
+ 2
],
"deps": [
"company",
"ht",
"s"
],
- "commit": "4d6d56a8b92af72aa3b1e0af1a7e7add965bf468",
- "sha256": "1yr050zgygjvhwjmm2yd5p889y24vars90cr4pyqc4zhmgzrdkw5"
+ "commit": "ea577f13d0a47b6efbe2974a5a347a87d27c0c42",
+ "sha256": "1g6p5868qb2001ippdcnsscsm15d1fwl0iyilq7jk3ys68j30pr3"
}
},
{
@@ -14170,21 +14162,21 @@
},
{
"ename": "company-go",
- "commit": "ef45683cbfe82bf8a9d6f3f1c59e3cf340accbe3",
- "sha256": "1zhdckq1c9jzi5cf90w2m77fq6l67rjri4lnf8maq82gxqzk6wa5",
+ "commit": "552d033e573ff96a60a37d588a6c544a9263bf05",
+ "sha256": "1fdc1cjgyxj4a19zv401p8z688razj8q2vif4pgc8kd59wwqcpqi",
"fetcher": "github",
- "repo": "mdempsky/gocode",
+ "repo": "emacsattic/company-go",
"unstable": {
"version": [
- 20190203,
- 19
+ 20170825,
+ 1643
],
"deps": [
"company",
"go-mode"
],
- "commit": "4acdcbdea79de6b3dee1c637eca5cbea0fdbe37c",
- "sha256": "0i1hc089gb6a4mcgg56vn5l0q96wrlza2n08l4349s3dc2j559fb"
+ "commit": "31948b463f2fc18f8801e5a8fe511fef300eb3dd",
+ "sha256": "0jd7swa2s9a6lci81hfhfnnkxbmca2kh07hsj7c5lv2r9adxrwxw"
},
"stable": {
"version": [
@@ -14318,8 +14310,8 @@
"company",
"jedi-core"
],
- "commit": "4775b659564f1d57bc68c88c9faabf44c9fe4e4d",
- "sha256": "03ii2r9wnfcywk1a0c46ga4nimq9jrrh5ljzsi079j0rnvj0hsj0"
+ "commit": "ea22b1f7a980c49aaf2c5e840e4536577f6602f6",
+ "sha256": "08h6s06fkbyif9714p9b830frbhri5zfn3822nmp6ydl7jb0b1pw"
},
"stable": {
"version": [
@@ -14365,14 +14357,14 @@
"repo": "debanjum/company-ledger",
"unstable": {
"version": [
- 20200726,
- 1825
+ 20210910,
+ 250
],
"deps": [
"company"
],
- "commit": "9fe9e3b809d6d2bc13c601953f696f43b09ea296",
- "sha256": "08cs8vd2vzpzk71wzcrghn48mzvbk6w2fzlb3if63klhfcfpngc8"
+ "commit": "c6911b7e39b29c0d5f2541392ff485b0f53fd366",
+ "sha256": "08g4f8w9lhfypy4m3vcfg8d8gqn7w2g8qjksl7bzcnwg2d0yqld8"
}
},
{
@@ -14652,22 +14644,22 @@
"cl-lib",
"company"
],
- "commit": "e29075f810af73f6bf7803eebf15d96bffee7154",
- "sha256": "08vfdp7q6x5fk2nn5dl884cyysxrl2gw8f16g7wqvf7v24jmx71d"
+ "commit": "933805013e026991d29a7abfb425075d104aa1cf",
+ "sha256": "0qzb6wlh2hf0kp9n74m2q6hrf4rar62dfxfh8yj1rjx2brpi1qdq"
},
"stable": {
"version": [
2,
4,
- 1
+ 2
],
"deps": [
"ac-php-core",
"cl-lib",
"company"
],
- "commit": "9770c95bf2df93d9cb0f200723b03b3d9a480640",
- "sha256": "188z1i209z61nwfcgffgp90rdcsnl75izxpqv4x1vbaay5fvg33f"
+ "commit": "e29075f810af73f6bf7803eebf15d96bffee7154",
+ "sha256": "08vfdp7q6x5fk2nn5dl884cyysxrl2gw8f16g7wqvf7v24jmx71d"
}
},
{
@@ -14907,8 +14899,8 @@
"company-quickhelp",
"popup"
],
- "commit": "2e82273e206f78f015e67f799f51e3f3458d6d94",
- "sha256": "0miylw8lhs4jgfa47mis6k68jm69jwbmpgms0dl9rnjgpmyvr133"
+ "commit": "40c2fc569bfc0613b8fac4b9d6242f6682f50827",
+ "sha256": "0kd2f1qhxmg1x9wlz1gqi5m772sk865csry6zm6xznlzbggc7h5a"
},
"stable": {
"version": [
@@ -15014,8 +15006,8 @@
"company",
"rtags"
],
- "commit": "3a057f127b931c683288f8731f05ba5e2aab4133",
- "sha256": "1brf05grh0xdcjllaiixpjxmcg2j130gcrxkqm5v4ryb1w9fki7g"
+ "commit": "cdff9b47fc17710aad7815652490c3c620b5e792",
+ "sha256": "0mrb2dayd8ls56cjlp63315ai0ds09d4qsajgv5kks2gqqxbkrjb"
},
"stable": {
"version": [
@@ -15038,16 +15030,16 @@
"repo": "Alexander-Miller/company-shell",
"unstable": {
"version": [
- 20170518,
- 541
+ 20211013,
+ 1725
],
"deps": [
"cl-lib",
"company",
"dash"
],
- "commit": "52f3bf26b74adc30a275f5f4290a1fc72a6876ff",
- "sha256": "0nv8vwmqgdb33cl1wfdbmncbrpfdciid48f6w8vmw39ks53i2z32"
+ "commit": "a77f4de75912aa87314cde92c603b831d5050246",
+ "sha256": "1mh93l6xn9frfvw86vbvs1fz544c7ylja118iaccfl42gr2ypsw9"
},
"stable": {
"version": [
@@ -15080,8 +15072,8 @@
"company",
"solidity-mode"
],
- "commit": "6f7bd1641e5282ec5163188d8b8c2f6dfddc2e36",
- "sha256": "0rkw21pic9nypv7vz06chyn9mjl560a4dayb84gj5w6v8gfznrcw"
+ "commit": "9c77b390eab999e5e54dc5c1068f57201e6628bf",
+ "sha256": "0i6kjvd82bq3djh4makf4czdbmg3sb5q74wbdfhdyikx6kkzfj0m"
},
"stable": {
"version": [
@@ -15462,14 +15454,14 @@
"repo": "mkcms/compiler-explorer.el",
"unstable": {
"version": [
- 20210513,
- 409
+ 20210916,
+ 1316
],
"deps": [
"request"
],
- "commit": "70cae42f0d624b6ce03b55c35ba9a6c2318a827d",
- "sha256": "0k2249iyjrgghsp6yy7qrlc7n7m7b5vp44mda40d3058jv6ryxgi"
+ "commit": "9ea0cc78ac40f667dfaf9277758a22b9058ca434",
+ "sha256": "1b6cj5scc5n78kmdz9ch574ln91v9hj4svk6455crs8rpqgs7k47"
},
"stable": {
"version": [
@@ -15779,19 +15771,38 @@
"repo": "minad/consult",
"unstable": {
"version": [
- 20210818,
- 1128
+ 20211014,
+ 1752
],
- "commit": "105a1ac50169382368a36ed53d7af908d02ffa07",
- "sha256": "01kx3zg858bqyajglamxn319qabycnabzj73kl4x7sd55p2yi179"
+ "commit": "3715f7378bf1ea54af3878d118da1222bbf4bfa0",
+ "sha256": "1a3zg2nv2i2lgyvzn7l2y6d65s2jcrsmkz7j92gdv9zgmg4dbw7w"
},
"stable": {
"version": [
0,
- 11
+ 12
+ ],
+ "commit": "ebb62563127a4b9442148372f897efb7baef61d2",
+ "sha256": "1bzlqn7k5akhyl763q29853yh5s8rmk6y1ncmy3am940wfypxjic"
+ }
+ },
+ {
+ "ename": "consult-company",
+ "commit": "4d458d8e66f2ad14af59ad238505dbc0729058c8",
+ "sha256": "1i7zah2lrmd95y8aqg3lv45z45br4bcgfghnwy02ak489xw1ylnv",
+ "fetcher": "github",
+ "repo": "mohkale/consult-company",
+ "unstable": {
+ "version": [
+ 20211003,
+ 1325
+ ],
+ "deps": [
+ "company",
+ "consult"
],
- "commit": "105a1ac50169382368a36ed53d7af908d02ffa07",
- "sha256": "01kx3zg858bqyajglamxn319qabycnabzj73kl4x7sd55p2yi179"
+ "commit": "914c51c7d983e36869dd27bf21c80a8cac96a41f",
+ "sha256": "1wpq468mqzjq1c9ixks4hqik9yhli2p31x7mfmfqx3lw5rnc74cy"
}
},
{
@@ -15802,15 +15813,15 @@
"repo": "karthink/consult-dir",
"unstable": {
"version": [
- 20210820,
- 339
+ 20211007,
+ 2352
],
"deps": [
"consult",
"project"
],
- "commit": "e87362a89c91b33fa683f58ee05947ae4565fda3",
- "sha256": "11zrwchwdzbrq97dvi2kk8ff1mic3nx8pl103w3i4c8h2w6a51nx"
+ "commit": "08f543ae6acbfc1ffe579ba1d00a5414012d5c0b",
+ "sha256": "1cff4ssrn1mw2s5n090pdmwdirnfih8idg5f0ll2bi2djc4hq5kn"
}
},
{
@@ -15821,15 +15832,15 @@
"repo": "mohkale/consult-eglot",
"unstable": {
"version": [
- 20210822,
- 1829
+ 20210905,
+ 1830
],
"deps": [
"consult",
"eglot"
],
- "commit": "a6aeb6fa078cc7ea6537793868f606b55ac63088",
- "sha256": "11cwz2259i6fj4xckcvx8q8h3sgwxddjkddgiv7406d88m6ma72m"
+ "commit": "f93c571dc392a8b11d35541bffde30bd9f411d30",
+ "sha256": "1jqg6sg6iaqxpfn7symiy221mg9sn4y1rn0l1rw9rj9xmcnng7s0"
},
"stable": {
"version": [
@@ -15916,29 +15927,29 @@
"repo": "gagbo/consult-lsp",
"unstable": {
"version": [
- 20210630,
- 1151
+ 20210930,
+ 1225
],
"deps": [
"consult",
"f",
"lsp-mode"
],
- "commit": "e8a50f2c94f40c86934ca2eaff007f9c00586272",
- "sha256": "1xkkybfdzr1xqhvc2bamp253icm75dz7bkdz6bv8xj8688p8vrm9"
+ "commit": "b9aa9617f174a304040ae75d35483fa8d4ade5d7",
+ "sha256": "0px09bvi8x5b7h4w3mdffj1fnl7nk51xybpxz7n8v8i7v1w3547z"
},
"stable": {
"version": [
0,
- 4
+ 5
],
"deps": [
"consult",
"f",
"lsp-mode"
],
- "commit": "e4a0b9403477fe90741ac84d0d2ac3729122b363",
- "sha256": "00rrc17axn7pmvzy1q95nf0w036cx7fhxwhimamh9cmijkdsf5w5"
+ "commit": "eb5dae1f98dc1d4bdbdd374657e1a01b6cd2f066",
+ "sha256": "10x0mxhcz5mmgmw3y8xqcd5sg8m06h510w575dya1dvcf3aj9fzw"
}
},
{
@@ -15949,27 +15960,27 @@
"url": "https://codeberg.org/jao/consult-notmuch.git",
"unstable": {
"version": [
- 20210815,
- 1919
+ 20210909,
+ 101
],
"deps": [
"consult",
"notmuch"
],
- "commit": "5e5f42faaae3e0d372f103b9de276d1f7f1c18e0",
- "sha256": "1q8ynrrii92x0wv6hm8zcy0nydshg6jqibm7a85vhbnanh161qx1"
+ "commit": "015642e88a48b1e3b4791a5badd8dbdfe6a6037e",
+ "sha256": "1nbyd21n3dfdikr2dv7bcb2rg2sar22dmirrlkd5bz0qniaqim4n"
},
"stable": {
"version": [
0,
- 4
+ 5
],
"deps": [
"consult",
"notmuch"
],
- "commit": "a5133b9e1f19b6d51e51dd5c5e3a4f236ca29b57",
- "sha256": "0x2lz2df1rjq3vdxvqqnxqxh257hq5iyx1w3yc85w7lmnb59gbvy"
+ "commit": "f978408fb4f7bae1b2d2913d71d7a816c18b78b6",
+ "sha256": "04ha4mysxvfz6yzbkgrl1mcwic1lwr1xx6gdy5rl6hn1wwnwam4p"
}
},
{
@@ -16009,6 +16020,25 @@
"sha256": "1vxg86wv6f96bva0d1xxhisk525chwhdl4nq77xhriflq65mcmi3"
}
},
+ {
+ "ename": "consult-yasnippet",
+ "commit": "da399d9149261f6fded5a465ba1b6f2353abfa5a",
+ "sha256": "08piq6zfj8ixp8shyc69hmmxqqci0xp5mmg51ajddvz8k0sndgn1",
+ "fetcher": "github",
+ "repo": "mohkale/consult-yasnippet",
+ "unstable": {
+ "version": [
+ 20211002,
+ 1849
+ ],
+ "deps": [
+ "consult",
+ "yasnippet"
+ ],
+ "commit": "bf6b496e00cecfd6475ebaa374a0c7c407963a8a",
+ "sha256": "0wgighq6r2vn9nxnisx7ci6xrxcpzhn19vaqs5xp2ig2gsm1andp"
+ }
+ },
{
"ename": "contextual",
"commit": "de20db067590624bbd2ca5a7a537b7f11ada84f2",
@@ -16098,6 +16128,36 @@
"sha256": "0pqdh9bx2j9kla57sn349m90azk02wajapmazdm26cjdc2npw7jh"
}
},
+ {
+ "ename": "conventional-changelog",
+ "commit": "edbcd5c7d573bb4cb83260cd312144e707bfe897",
+ "sha256": "0bwyla7v8jvdm1xysg25fv0srpsn5wpi4dzqv6gz22z6rz4l3mp5",
+ "fetcher": "github",
+ "repo": "liuyinz/emacs-conventional-changelog",
+ "unstable": {
+ "version": [
+ 20211012,
+ 1322
+ ],
+ "deps": [
+ "transient"
+ ],
+ "commit": "ba6285674d12d1eab6624ebf7a3bae7e72d56f99",
+ "sha256": "13l3h3akismyfgsw1l47df56lx3myr1zjqimhfzcl1jk4qg2579q"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 2,
+ 0
+ ],
+ "deps": [
+ "transient"
+ ],
+ "commit": "ba6285674d12d1eab6624ebf7a3bae7e72d56f99",
+ "sha256": "13l3h3akismyfgsw1l47df56lx3myr1zjqimhfzcl1jk4qg2579q"
+ }
+ },
{
"ename": "copy-as-format",
"commit": "42fe8a2113d1c15701abe7a7e0a68e939c3d789b",
@@ -16322,15 +16382,15 @@
"repo": "abo-abo/swiper",
"unstable": {
"version": [
- 20210819,
- 1455
+ 20210928,
+ 949
],
"deps": [
"ivy",
"swiper"
],
- "commit": "7cdde66c95d5205287e88010bc7a3a978c931db0",
- "sha256": "0pvgh4krym43vcyiq4bsjl63gg795jlqh6kaa6llsv1awvywfqww"
+ "commit": "1c6b3da377a840e898b14020133f59fca9ceea1c",
+ "sha256": "1w8x2qk8lafnn6ksv1anixayyl476y1j6hp2amfnqmdkh0vnh63v"
},
"stable": {
"version": [
@@ -16498,8 +16558,8 @@
"ht",
"s"
],
- "commit": "413047aedb20e85555785123dbd54eb8e91f6014",
- "sha256": "02ni7lmm1mpxwha39cnbqjwzgdff55af1d9b05dkl0n01q9vglfg"
+ "commit": "378803ac0040c04762ff001ab1aca7d4325ecf22",
+ "sha256": "121cgrlwp7sigs26hvavgnbgmbz0fhv2cpagx73gm1vrnr306s45"
},
"stable": {
"version": [
@@ -16524,26 +16584,26 @@
"repo": "redguardtoo/counsel-etags",
"unstable": {
"version": [
- 20210725,
- 821
+ 20211010,
+ 1332
],
"deps": [
"counsel"
],
- "commit": "84fff26b0f207131c2e6669bd7f510eac43973aa",
- "sha256": "07445bbr68q1pnwpj5bwqmml9ky1gq67g24zswv8fylnzjkhy9wc"
+ "commit": "98860e5981b07952b5c15361cdb996741e5842c5",
+ "sha256": "056zqa9rq32vrmqq7i1yi37l5ypjdk2dgcd0yl9wlcl339cdzwsq"
},
"stable": {
"version": [
1,
- 9,
- 17
+ 10,
+ 0
],
"deps": [
"counsel"
],
- "commit": "84fff26b0f207131c2e6669bd7f510eac43973aa",
- "sha256": "07445bbr68q1pnwpj5bwqmml9ky1gq67g24zswv8fylnzjkhy9wc"
+ "commit": "dc7b9f9b381dffd19c79cb7ee53b79034590d309",
+ "sha256": "1zmx7vfi02c8k9wnbsmka5yx3ci8fv9wl8r0cc28jn40vgrivn8c"
}
},
{
@@ -16745,28 +16805,28 @@
"repo": "ericdanan/counsel-projectile",
"unstable": {
"version": [
- 20201015,
- 1109
+ 20211004,
+ 2003
],
"deps": [
"counsel",
"projectile"
],
- "commit": "06b03c1080d3ccc3fa9b9c41b1ccbcf13f058e4b",
- "sha256": "10afil6grwxj1x8fxd3ar7ikw3s3hzrkjsjin8wzchbz04389l7s"
+ "commit": "e30150792a96968f55f34638cbfe63eaa30839cc",
+ "sha256": "1vp39r5njfzchkqv9g0w77whazp070anh9gmbkp3z4n3xxbik27f"
},
"stable": {
"version": [
0,
3,
- 1
+ 2
],
"deps": [
"counsel",
"projectile"
],
- "commit": "d71a3274cfa9d7425f1bcee3eb2dfed9714ac16d",
- "sha256": "1k4n5lw6wwbgpwv0dg9dw0bjzi0hvbgkzrs1zmq36yhfz6y8gwnh"
+ "commit": "e30150792a96968f55f34638cbfe63eaa30839cc",
+ "sha256": "1vp39r5njfzchkqv9g0w77whazp070anh9gmbkp3z4n3xxbik27f"
}
},
{
@@ -17191,11 +17251,11 @@
"repo": "kijimaD/create-link",
"unstable": {
"version": [
- 20210601,
- 1327
+ 20211014,
+ 1617
],
- "commit": "b2c24f42f2fae63433787150f77b397d69ce0e5b",
- "sha256": "1c0smqhc87fzg7db20k92k938p8dkqiig59krwylkqgagsi7hbg4"
+ "commit": "e765b1067ced891a90ba0478af7fe675cff9b713",
+ "sha256": "1nr5dsbmhn9bs0v0h6v7d8a0pkgg859cm677nz7br2xaibdz0z47"
},
"stable": {
"version": [
@@ -17442,11 +17502,11 @@
"repo": "crystal-lang-tools/emacs-crystal-mode",
"unstable": {
"version": [
- 20201228,
- 1539
+ 20210929,
+ 1521
],
- "commit": "15998140b0a4172cd4b4d14d0377fba96a8917fc",
- "sha256": "0bdzffwp9hliy9bkvqn1p432yy161g7n7bl814mmi6zj4sfn1sy1"
+ "commit": "3e37f282af06a8b82d266b2d7a7863f3df2ffc3b",
+ "sha256": "1rwm7srb3xlsja4hana83an9a6l9f9rmi299qkjxhjcry8x9p78g"
},
"stable": {
"version": [
@@ -17499,20 +17559,20 @@
"repo": "emacs-csharp/csharp-mode",
"unstable": {
"version": [
- 20210821,
- 1939
+ 20210826,
+ 421
],
- "commit": "45fee1a8ae2595a57ecdfbd7ba708c488652173f",
- "sha256": "0nl3jsrp7b280h8mw54gcxc8jbn4jgbsp5qc05d41k20akfskwx8"
+ "commit": "4a5114abe76b1e3859fcfe0f5b35b53f57343d47",
+ "sha256": "0a50llp0n2jcr8zgkx7nn925xhwh3k1iiz67662xplfl5gmww4g1"
},
"stable": {
"version": [
1,
0,
- 1
+ 2
],
- "commit": "45fee1a8ae2595a57ecdfbd7ba708c488652173f",
- "sha256": "0nl3jsrp7b280h8mw54gcxc8jbn4jgbsp5qc05d41k20akfskwx8"
+ "commit": "723a4ab2581b11f84d23f421faa06103864d2bc8",
+ "sha256": "1gdr1y8q93xr5vlx6jj95js6rmmsspq2bn870igbaijwwsn0sf7g"
}
},
{
@@ -17748,11 +17808,11 @@
"repo": "raxod502/ctrlf",
"unstable": {
"version": [
- 20210724,
- 126
+ 20210912,
+ 1913
],
- "commit": "b78e129a8a4fabfebba8cdd5ef51278d0d57e0f4",
- "sha256": "0j3rsax644x8753hginn0cd8sm86wf521p1rjqspdhgpi4dv0cdq"
+ "commit": "b8a7899faf9d37f1990dfefd9c6b2998c40d7fcc",
+ "sha256": "0y9vqkwf8v6135s4p6y7whqf3dpsj47alby4jq4jhvg28dxbjbhr"
},
"stable": {
"version": [
@@ -18195,8 +18255,8 @@
20190111,
2150
],
- "commit": "8af0271186cc642436306274564986888d5e64c8",
- "sha256": "0mffl15acpqv3n2hi73nav8jidr74lbps5mhl8vg5c6h3qm2y54l"
+ "commit": "c129b15e8ee249a33ca9a5dc82a3defe509ad5c0",
+ "sha256": "0lg75np90niwj2ajqvla8s4dsgg8jr8k5bhgqsry6v6kx549rzvs"
},
"stable": {
"version": [
@@ -18347,11 +18407,11 @@
"repo": "rails-to-cosmos/danneskjold-theme",
"unstable": {
"version": [
- 20210429,
- 657
+ 20210929,
+ 1514
],
- "commit": "e4d1f2c76245fe9d0d07133a841e789d139df28d",
- "sha256": "1ii3cgf4hlclwaraisxksv98mmhajx517i60p1cgd7vapznn2b6v"
+ "commit": "a9e47c5c6ee241e2061846777333730b26ffa0f0",
+ "sha256": "0m39b91s9j67raridl42y853syx779yjk7c6abnymw7gy9678fvj"
}
},
{
@@ -18403,8 +18463,8 @@
"repo": "emacs-lsp/dap-mode",
"unstable": {
"version": [
- 20210812,
- 619
+ 20211013,
+ 1936
],
"deps": [
"bui",
@@ -18416,26 +18476,26 @@
"posframe",
"s"
],
- "commit": "8ec7e98986ea46e3c36b7ecbdf9a6562c90c7632",
- "sha256": "01lh0yy3zi87cjma2m1wch0ks45b0v6d05anxqsrpn4bladp8plg"
+ "commit": "1cddcedf9ab57a2c14a5ec50b6907b866920f42e",
+ "sha256": "0g67m40y4giill6sild7d9iaz5dis666wlxpcdl89vyvj2ys8hqd"
},
"stable": {
"version": [
0,
- 6
+ 7
],
"deps": [
"bui",
"dash",
- "dash-functional",
"f",
+ "ht",
"lsp-mode",
"lsp-treemacs",
"posframe",
"s"
],
- "commit": "35db94e81c592246675f300aaca4a70966b8a5fc",
- "sha256": "1d4hdydfk86d4slibigyhwng8wx3vzyap8hp5iv0h7wr6868m4iv"
+ "commit": "3c4bb901bbcd4f8f58178075dc2422550a7f2834",
+ "sha256": "1zczmcv8562lachkvcwy6njn7zkgny08iznpmrx821wr8mh52wnn"
}
},
{
@@ -18604,26 +18664,20 @@
"repo": "bradyt/dart-mode",
"unstable": {
"version": [
- 20210301,
+ 20210830,
0
],
- "commit": "43975c92080e307c4bc14a4773a61195d2062fd9",
- "sha256": "0zpjrq3cra6q6pd52skm11wj0j75v8cnamv504hlq4rgd87vkz2p"
+ "commit": "3bac14200f9f8f8fcebc383087572da5c3823c34",
+ "sha256": "1vql8m4nj0brmv58b6lkbhykik8n6j4i7d3nylcls78y7ihc2cz8"
},
"stable": {
"version": [
1,
0,
- 5
- ],
- "deps": [
- "cl-lib",
- "dash",
- "flycheck",
- "s"
+ 7
],
- "commit": "d414a5faf22f7fafbb0a8208b88cecd6324704bf",
- "sha256": "1qmdlwjmmqyyb65sqvfpygifai5m0llc815vp0jqwp8ldd8ls172"
+ "commit": "3bac14200f9f8f8fcebc383087572da5c3823c34",
+ "sha256": "1vql8m4nj0brmv58b6lkbhykik8n6j4i7d3nylcls78y7ihc2cz8"
}
},
{
@@ -18670,20 +18724,20 @@
"repo": "magnars/dash.el",
"unstable": {
"version": [
- 20210708,
- 2009
+ 20210826,
+ 1149
],
- "commit": "2675596b9ac1c4b9d47b93e227f06f8ec6755ec6",
- "sha256": "0wycrcl79mv253vzf2y92qz9i52mi5xa82f9i4rgnqa02f2m633h"
+ "commit": "da167c51e9fd167a48d06c7c0ee8e3ac7abd9718",
+ "sha256": "14fwib33l32fmmjr03zyk9xynblrkggb1b47x2ihh6jfxq8i9qm1"
},
"stable": {
"version": [
2,
19,
- 0
+ 1
],
- "commit": "2675596b9ac1c4b9d47b93e227f06f8ec6755ec6",
- "sha256": "0wycrcl79mv253vzf2y92qz9i52mi5xa82f9i4rgnqa02f2m633h"
+ "commit": "39d067b9fbb2db65fc7a6938bfb21489ad990cb4",
+ "sha256": "0z6f8y1m9amhg427iz1d4xcyr6n0kj5w7kmiz134p320ixsdnzd8"
}
},
{
@@ -18724,15 +18778,15 @@
"repo": "dash-docs-el/dash-docs",
"unstable": {
"version": [
- 20200516,
- 1943
+ 20210830,
+ 926
],
"deps": [
"async",
"cl-lib"
],
- "commit": "dafc8fc9f1ddb2e4e39e0b8d066c42d5d7ce8d06",
- "sha256": "0n6d3mm43gj16v8kjjradcfik93wb89dsqnfcbskb28bvcamafid"
+ "commit": "29848b6b347ac520f7646c200ed2ec36cea3feda",
+ "sha256": "06yp1p0ir67vb2rpva6wvk3vyfxzl0bmrixikawwk6l2bph2z1x3"
}
},
{
@@ -18749,20 +18803,20 @@
"deps": [
"dash"
],
- "commit": "2675596b9ac1c4b9d47b93e227f06f8ec6755ec6",
- "sha256": "0wycrcl79mv253vzf2y92qz9i52mi5xa82f9i4rgnqa02f2m633h"
+ "commit": "da167c51e9fd167a48d06c7c0ee8e3ac7abd9718",
+ "sha256": "14fwib33l32fmmjr03zyk9xynblrkggb1b47x2ihh6jfxq8i9qm1"
},
"stable": {
"version": [
2,
19,
- 0
+ 1
],
"deps": [
"dash"
],
- "commit": "2675596b9ac1c4b9d47b93e227f06f8ec6755ec6",
- "sha256": "0wycrcl79mv253vzf2y92qz9i52mi5xa82f9i4rgnqa02f2m633h"
+ "commit": "39d067b9fbb2db65fc7a6938bfb21489ad990cb4",
+ "sha256": "0z6f8y1m9amhg427iz1d4xcyr6n0kj5w7kmiz134p320ixsdnzd8"
}
},
{
@@ -18773,11 +18827,11 @@
"repo": "emacs-dashboard/emacs-dashboard",
"unstable": {
"version": [
- 20210815,
- 445
+ 20210928,
+ 656
],
- "commit": "3926b1b756fb0be5f84776f578a05d31a244d5d4",
- "sha256": "146bddpj6w70i1579bh3qdx0lphyfq2zhlhal5mmbcalrd6c785h"
+ "commit": "77eff49a054e08a474608237f0faae13acb4489b",
+ "sha256": "00xqgjwihd1r625mba788l0270bd9is8g211rsln91wmfv7gnifk"
},
"stable": {
"version": [
@@ -18819,16 +18873,16 @@
"repo": "emacs-dashboard/dashboard-ls",
"unstable": {
"version": [
- 20210108,
- 1857
+ 20210927,
+ 1042
],
"deps": [
"dashboard",
"f",
"s"
],
- "commit": "947c8c99e9abb38852d895f8792258783e3c4e1d",
- "sha256": "1iwm1kzjbvfamdzz79bkyq848z3wgr3cf2692dmfah58gy5wkb0z"
+ "commit": "2639eb0f20a7b62be4106f555d00862c161bebf0",
+ "sha256": "149a0lhdfqm8rv78yi5v3a6ndrf44m2zv4f3mphzalmq4wslvmww"
},
"stable": {
"version": [
@@ -19159,16 +19213,16 @@
"repo": "Wilfred/deadgrep",
"unstable": {
"version": [
- 20210510,
- 416
+ 20210830,
+ 656
],
"deps": [
"dash",
"s",
"spinner"
],
- "commit": "341331918cc83b6cabf7ae91e8dba5b0de57b285",
- "sha256": "15x0v6s67wz7bql5z57xy2wbklc8b6nb166yswdzfdvrv5301ri1"
+ "commit": "4ec21e644ef482a913c64f068ec8d602eedac1c6",
+ "sha256": "1ipjdwnf6c9qdwg4klkf6g06jj4lgap75ms5yq6a0gg2075d84jk"
},
"stable": {
"version": [
@@ -19192,11 +19246,11 @@
"url": "https://salsa.debian.org/emacsen-team/debian-el.git",
"unstable": {
"version": [
- 20201011,
- 1543
+ 20211006,
+ 1939
],
- "commit": "6f09126b2e97b2e195145204caba11d0d4f871df",
- "sha256": "0qrjy3zs2xjf54b7kcwxbds99il76zxlx219c5d1siq6bkv0z0k4"
+ "commit": "a3ef20c269b9192710567571b20718f572942bc4",
+ "sha256": "01d3hc6j8gqg8m3xh0jd35xygz41fw1md81xyxasrvngb7r4pqky"
},
"stable": {
"version": [
@@ -19294,6 +19348,30 @@
"sha256": "1ns1ni6aalr541df3a0ylqy0gj68fcsxdfvm4m1ga5532kxnswnj"
}
},
+ {
+ "ename": "declutter",
+ "commit": "7cabeba75d08f570743c192e50cc4ee89fc18b48",
+ "sha256": "0vnfa61fxmwfqxs1q9k3jlwjlfy4q952msiqd12gi9dahkhv37wf",
+ "fetcher": "github",
+ "repo": "sanel/declutter",
+ "unstable": {
+ "version": [
+ 20210904,
+ 2039
+ ],
+ "commit": "e08195e2f5691ad0ec9090d7edf550608e13fcfa",
+ "sha256": "1hjdjd0nmknv8yppda89hsgkyvk52zcwz92cdxsng87rlp9hwddv"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1,
+ 0
+ ],
+ "commit": "426760126ab2d8300059cc9d2d808b7eb4ce9c7c",
+ "sha256": "08wbil5ynpsjw8b8ld666zh9l2zc7cczwjakqv2nrpcb89hk12qw"
+ }
+ },
{
"ename": "dedicated",
"commit": "5f2a50f62475639af011c99c6cc38928b74b3b0a",
@@ -19341,11 +19419,11 @@
"repo": "ideasman42/emacs-default-font-presets",
"unstable": {
"version": [
- 20210418,
- 924
+ 20211007,
+ 309
],
- "commit": "81ef9d54000617ce98c40b4627eca64e076ff11d",
- "sha256": "14l1m8jaqranj01fr040l2g560gbpbnd4sha4x4rcs2gc99sjqxx"
+ "commit": "1985fc92c62c0a1e660639f78518a42d055045fa",
+ "sha256": "12ink0pj2mpyf0g6q0smypirw9rvjlg0rr7zj7xw8k6jfhlhlf0l"
}
},
{
@@ -19414,8 +19492,8 @@
"s",
"wiki-summary"
],
- "commit": "1861c57e67315bcb1ff88f37184cf7e2d6167642",
- "sha256": "104dfryn6ql2a4l7nd9x0984qpyxhn6kv0432h1lha5adb8g1h10"
+ "commit": "57a9c601e732c85b0b45550434b04d996c1b92a3",
+ "sha256": "14bm85a5im3m910gsmp220brqrlm4190zl9qbvqmp180c63j43yc"
},
"stable": {
"version": [
@@ -19777,11 +19855,11 @@
"repo": "astoff/devdocs.el",
"unstable": {
"version": [
- 20210818,
- 1622
+ 20211002,
+ 1657
],
- "commit": "19f897f03296fba882a7697d438f0e13529718ad",
- "sha256": "0vygmqb7xwlmsl9in0qxnfrl0gjkjaxxg9xnxg55y55k1sf4wlbc"
+ "commit": "206d06512cd9934644fa9ea3e17b5e78d01b7e64",
+ "sha256": "1d51lnwvy53zhq99m6bdm4sp2ykhnwcijc8gpxjqy3c8vnzdbjyk"
}
},
{
@@ -19907,15 +19985,15 @@
"repo": "martenlienen/dictcc.el",
"unstable": {
"version": [
- 20200421,
- 1422
+ 20211007,
+ 1016
],
"deps": [
"cl-lib",
"ivy"
],
- "commit": "3950011197ba81f27cc82b4e6075c9100945f936",
- "sha256": "0xmmkzsg48q6awhkbi5naqjm0yjdnwb437k17razgd6y99vyh0ns"
+ "commit": "235841b19567b9c2e17727901ca041a22c096512",
+ "sha256": "0lsqf199gxsgdldmizf7frn8ngbn3fjj81lc8lx30l3ib7d40493"
},
"stable": {
"version": [
@@ -19994,11 +20072,11 @@
"repo": "ideasman42/emacs-diff-at-point",
"unstable": {
"version": [
- 20201006,
- 1436
+ 20210921,
+ 603
],
- "commit": "3fcf861f1f8b91d97000bda32345bc92df8e2d37",
- "sha256": "0x0awnasdjkmmrm11dqs4spkx2j3prkiqrmf5w3lxp2v28i4m2qk"
+ "commit": "63951d8236163d86d5261b35d6c9a3f3f280e876",
+ "sha256": "1l1smrb2xmnz4cyimyvhq9hl406w364gkvqsk32b1q4jcvqhmdz4"
}
},
{
@@ -20009,14 +20087,14 @@
"repo": "dgutov/diff-hl",
"unstable": {
"version": [
- 20210811,
- 28
+ 20210928,
+ 139
],
"deps": [
"cl-lib"
],
- "commit": "dbd46bf23c2efc466a640a7e398ca39a51c1145e",
- "sha256": "003ks20f0n1w5h8vzsqknzbddrfih036is6q1n43zgqdnh3mlzld"
+ "commit": "6b7ca8c310ec1c1a83990c8d1c013c68f61d9d51",
+ "sha256": "1iigna8p76v57hahw3qcsnkd86gqspfb738c74vj5chb1wgb48dw"
},
"stable": {
"version": [
@@ -20322,8 +20400,8 @@
20210715,
1026
],
- "commit": "fcc43f38431d4b16b2fd8d15e799488a7fb60966",
- "sha256": "1r5a98viw7j2nfmhgf5v9whkya3h9s392drz764a9ivj2znc0qg5"
+ "commit": "2cb177f70e5dc2e9df45844d565280b79cfc68a5",
+ "sha256": "13km90jhjpmlxcw8gpmlzivy8mvqys418n9ca6sr08cj9sbnjsij"
},
"stable": {
"version": [
@@ -20739,11 +20817,11 @@
"repo": "emacsorphanage/dired-k",
"unstable": {
"version": [
- 20200322,
- 2035
+ 20211002,
+ 2358
],
- "commit": "0ddf0adb3a642c2f0694d8c1c12f263f2bf27375",
- "sha256": "1gpbjq9c2z96mclmyqk8mxg9blya3q9mybbpxm9qhz2k9khw9k2y"
+ "commit": "1ddd8e0ea06f0e25cd5dedb2370cfa0cacfa8c9d",
+ "sha256": "1vxzcd159afljpacylz8dnjbnnkc97s44f3y0zdv35wcplszgjhr"
},
"stable": {
"version": [
@@ -20936,11 +21014,11 @@
"repo": "vifon/dired-recent.el",
"unstable": {
"version": [
- 20201004,
- 2201
+ 20211004,
+ 1924
],
- "commit": "d62ace45cc72d49d77862ecf00f52d794ecc5677",
- "sha256": "0yg1jsixx6vmhdpg5qw9hag40bgjplg76qwr5j14j0sq6zqzbpjb"
+ "commit": "a376f53e42fdca80c3286e8111578c65c64b0711",
+ "sha256": "1dk9q5qwr6y6crmq95qsz86jc8wvvjmqxvh9xp3xdf6c87yblgkb"
}
},
{
@@ -21243,26 +21321,26 @@
"repo": "wbolster/emacs-direnv",
"unstable": {
"version": [
- 20210419,
- 1851
+ 20211011,
+ 1804
],
"deps": [
"dash"
],
- "commit": "4b94393a9adf677c7c037215e233eef5fbca553d",
- "sha256": "14whrhi6hgzadrw9z9k2sh2800483xs1h611avz4x68c8d2jfj5k"
+ "commit": "bd161f38621d1a9e4d70c9bafab9b7e3520f00b2",
+ "sha256": "0cf5npgksl9a03mnfdhfdhlf46gr9qz9adjxz3dbckq9b1vl0dfc"
},
"stable": {
"version": [
2,
- 1,
+ 2,
0
],
"deps": [
"dash"
],
- "commit": "1f93e3f9cae5ec171939fe5c1fe9744a28fa6576",
- "sha256": "0xkqn4604k2imas6azy1www56br8ls4iv9a44pxcd8h94j1fp44d"
+ "commit": "bd161f38621d1a9e4d70c9bafab9b7e3520f00b2",
+ "sha256": "0cf5npgksl9a03mnfdhfdhlf46gr9qz9adjxz3dbckq9b1vl0dfc"
}
},
{
@@ -22018,8 +22096,8 @@
"repo": "Silex/docker.el",
"unstable": {
"version": [
- 20210804,
- 1308
+ 20211011,
+ 824
],
"deps": [
"dash",
@@ -22029,25 +22107,25 @@
"tablist",
"transient"
],
- "commit": "a13ff981746fc69b0b0607e55346ef85207d6ea7",
- "sha256": "12b1ha8rk8fs3234qvpbc0sp7qa6lbfpf78fvrv7yb1213kxs133"
+ "commit": "9d845e1eaed663ccc811c0a9ede3b3c61859e9e4",
+ "sha256": "01rnsyqrxvyc3kbfa4nmvxqxw261sc9b6jhqsnx14s3qfhy9w51l"
},
"stable": {
"version": [
1,
- 3,
+ 4,
0
],
"deps": [
"dash",
"docker-tramp",
"json-mode",
- "magit-popup",
"s",
- "tablist"
+ "tablist",
+ "transient"
],
- "commit": "e127a157f8d0d9ffd465075ecf6558f36d2d3b24",
- "sha256": "1g8r1faqp0z0vqp9qrl8m84pa0v2ddvc91klphdkfmldwv7rfipw"
+ "commit": "4fc69969b11687896b6c71b099de5d4c12c1c685",
+ "sha256": "0s57dq04d97dvrbxzicyk5z9f1mn8gf9w4nbgrxd9dnjqz335173"
}
},
{
@@ -22163,19 +22241,19 @@
"repo": "spotify/dockerfile-mode",
"unstable": {
"version": [
- 20210404,
- 2224
+ 20210828,
+ 1805
],
- "commit": "ad06a41259ff2961d603bf23a3a8fbd22dde2161",
- "sha256": "0p6byqjfzlq3g5ql77zghljj9vmnm9q2ffkjls1rv6q5rdj7p8c1"
+ "commit": "83bc055f5bcafabd3a10655a193fe8fe8b886867",
+ "sha256": "03w5j4hm39m2cjm5s70vl5q8znscafwnk3kgwlmj62y8i6p0hhdr"
},
"stable": {
"version": [
1,
- 4
+ 5
],
- "commit": "ed1d04c89cd8b53963f2dcae7cb3a46967e0abbf",
- "sha256": "1ypkihd9si769r6k0lfrv8jq8mjv4gyhiwyi820sayfppvma5rj0"
+ "commit": "628315e2e4ab2f269548126444234caa057b2c75",
+ "sha256": "09pd8mfa45fy95mdg52fsafj3d1d5l52rskmw6q5np59dyzwch1b"
}
},
{
@@ -22224,14 +22302,14 @@
"repo": "jcs-elpa/docstr",
"unstable": {
"version": [
- 20210801,
- 643
+ 20211004,
+ 722
],
"deps": [
"s"
],
- "commit": "aa8c20d162d5e0b3a8677f2f4f3519ce6fdbe2e5",
- "sha256": "1vlvxjfw7f3dsa69gg952fv68vswsh3wkxcwz4irwkk0pfcbyxbf"
+ "commit": "aa2e30dc6b1d3fa6fb1da309fb87df683eab1e62",
+ "sha256": "1pqs4z97vs6s08g7pfbp3qqjx1q3z09lrjdzxjb24vrcfkki9cmi"
},
"stable": {
"version": [
@@ -22254,11 +22332,11 @@
"repo": "progfolio/doct",
"unstable": {
"version": [
- 20210825,
- 453
+ 20211014,
+ 244
],
- "commit": "c7c8687ae8a7f1230732eaebc89ea668b4f7a37d",
- "sha256": "1cylpcjgd8v8kp93x5w1nal5m66bb8j44c7rsm6qwl099br3pa72"
+ "commit": "6e20848a2786e8e9a5fecf27b6f29a7954635ff7",
+ "sha256": "1wdk4m117x9vidin1n5kr8a33znf08r4j096pnqhwm5axq5s3ciz"
}
},
{
@@ -22269,14 +22347,14 @@
"repo": "alphapapa/dogears.el",
"unstable": {
"version": [
- 20210819,
- 59
+ 20210913,
+ 1259
],
"deps": [
"map"
],
- "commit": "c0fa3f6318c660234e77b108f8486dfc39869071",
- "sha256": "0bafs58xrlwk2f8swykwhvs1wilvbm2593gjmvdrwhy4hwg0n6f2"
+ "commit": "c05b69e504a538c9e00fbb0ea86934fafe191d0c",
+ "sha256": "12qvzd8wvryr2hnlv7l683148vxd1sry7s8y12xnysc7yz4dhsgv"
}
},
{
@@ -22391,16 +22469,16 @@
"repo": "seagle0128/doom-modeline",
"unstable": {
"version": [
- 20210823,
- 1606
+ 20211013,
+ 644
],
"deps": [
"all-the-icons",
"dash",
"shrink-path"
],
- "commit": "16c654c1212e97a1441cac45fee2dc5cda022103",
- "sha256": "1r75kbmzrr3m5rx8nwp0v2cs4aim6pr2p42i3774012q3hi333kv"
+ "commit": "56876e64d92fa1aa2d569831a126e1a26ce06849",
+ "sha256": "1vpqa95ibw5srisrypfnmf3rkkspiq7crk72yf3sghpcancl7b50"
},
"stable": {
"version": [
@@ -22425,15 +22503,15 @@
"repo": "elken/doom-modeline-now-playing",
"unstable": {
"version": [
- 20210202,
- 1948
+ 20210831,
+ 1442
],
"deps": [
"async",
"doom-modeline"
],
- "commit": "bed9e4da626ede148c7d362188b2e7729e2a8a4f",
- "sha256": "1rz50wyinj9nmz37wam4rsdi5igmvdfp6pwn3rmzqsrdp3j81smv"
+ "commit": "ef9158dfdf32e8eb789b69e7394d0bddaa68f42c",
+ "sha256": "1namv6qfmf5xxwbhsl5887cp41y8krr7g9vf3dzvi5n924ixlm2l"
}
},
{
@@ -22444,14 +22522,14 @@
"repo": "hlissner/emacs-doom-themes",
"unstable": {
"version": [
- 20210731,
- 818
+ 20211011,
+ 1314
],
"deps": [
"cl-lib"
],
- "commit": "65fb964f36939cf412d03b3fe410618caf99c494",
- "sha256": "0nrgy82l9jffsgd12kx6z2amc8z9d9i9clqc3gvdzx6g0nlnyfli"
+ "commit": "3e6f5d9ce129ac6fc0f466eb6f5518593625578f",
+ "sha256": "1ar9nb67hppqhbdl6l6mv1y6zl48mcdl91bmsc49bjpzp9a38y5b"
},
"stable": {
"version": [
@@ -22695,11 +22773,11 @@
"repo": "dracula/emacs",
"unstable": {
"version": [
- 20210730,
- 1158
+ 20210922,
+ 1038
],
- "commit": "62c960dbfe9cadc72784878c1cff20389895e193",
- "sha256": "0wks8jcdfbahlv98v41h5jv8slc0c9aqyza9s2lmyi9a0xglp6i7"
+ "commit": "943faeda66931dd275fe83d858945bd07abacc5a",
+ "sha256": "01k8i4g0vv7m2jgjmj3y2n1821965r4m1j3fra5v30pnljjl7zjb"
},
"stable": {
"version": [
@@ -22937,8 +23015,8 @@
"repo": "dtk01/dtk",
"unstable": {
"version": [
- 20210227,
- 2121
+ 20210926,
+ 541
],
"deps": [
"cl-lib",
@@ -22946,8 +23024,8 @@
"s",
"seq"
],
- "commit": "2a2a635e2b1d8243a41df0450e45fc8c75c6674b",
- "sha256": "0kd8lkvaxqaz4ns8mwyczbbjyi68r3gicngnrichwlbl27am1zvm"
+ "commit": "f6a94d86263041f9a172cb7df90e00d1ec44604a",
+ "sha256": "1q29lpza8rd209zh0n04ia6n359p372czkm57hhmvcd9cmi91fc8"
}
},
{
@@ -23055,31 +23133,30 @@
"repo": "jacktasia/dumb-jump",
"unstable": {
"version": [
- 20210622,
- 1720
+ 20211006,
+ 1631
],
"deps": [
"dash",
"popup",
"s"
],
- "commit": "542e72d3feba986a12119f6def515ef1347cb4ca",
- "sha256": "1x0g1n9x7qsiwqq8432li6yiww2kvdbk2wkqs3glw97grzrz89gc"
+ "commit": "f3176fbf9c11b94cf05bd8279399d9536115ff3c",
+ "sha256": "18d2ll5wlll6pm909hiw8w9ijdbrjvy86q6ljzx8yyrjphgn0y1y"
},
"stable": {
"version": [
0,
5,
- 3
+ 4
],
"deps": [
"dash",
- "f",
"popup",
"s"
],
- "commit": "0319569f1332641057c3e23d1e3bffb2404435a8",
- "sha256": "1njf60264snhxrd36m4z687lqfkis0p9mmrr7cf1c8l0lfdvawxi"
+ "commit": "f3176fbf9c11b94cf05bd8279399d9536115ff3c",
+ "sha256": "18d2ll5wlll6pm909hiw8w9ijdbrjvy86q6ljzx8yyrjphgn0y1y"
}
},
{
@@ -23105,20 +23182,20 @@
"repo": "ocaml/dune",
"unstable": {
"version": [
- 20210715,
- 548
+ 20210909,
+ 1010
],
- "commit": "d6e490c24dfb4607080b6a41930bb9d378bc2a43",
- "sha256": "1kq8griallh81wkn91j6kr0gi9y417gakhhfrzzby5gi998lijyb"
+ "commit": "87a2d25e56caf65600e9d3f647bcb4999556ecb4",
+ "sha256": "0g7vwfcbv88kbsxz7qzwj7wdxf88ir22wwlglxryaf5249fzzln5"
},
"stable": {
"version": [
- 2,
- 9,
- 0
+ 3,
+ 0,
+ -3
],
- "commit": "641a95d2254ca7c51c97f07f2eed85b7a95db954",
- "sha256": "01np4jy0f3czkpzkl38k9b4lsh41qk52ldaqxl98mgigyzhx4w0b"
+ "commit": "3cb82b394cb8e13b2e1be32c57aff321e563c6ff",
+ "sha256": "1c04qk2k3v1m0wp6scsqh0bq3wwkmazfr9apzqsdhw0pm83z4kx0"
}
},
{
@@ -23288,11 +23365,11 @@
"repo": "zellerin/dynamic-graphs",
"unstable": {
"version": [
- 20210430,
- 352
+ 20210908,
+ 2010
],
- "commit": "f7239e381de56af5d6ff8e0d6ab31a78d3e3da58",
- "sha256": "1v3p0ycm3yh8gvpbr96ml89470piam25qyhrwrkin228k17949br"
+ "commit": "64ca58dffecdecb636f7fe61c0c86e9c3c64d4dd",
+ "sha256": "15raac8fvsrlsca7vr4dakj4bh1zqc8fq61wkn6wh6pfyjm76r22"
}
},
{
@@ -23342,20 +23419,19 @@
"repo": "countvajhula/dynaring",
"unstable": {
"version": [
- 20210603,
- 2331
+ 20210924,
+ 2026
],
- "commit": "d3cc361b70b5dc4542624ced9c326523939ca021",
- "sha256": "02mz2dfqfycw64z2906f9dvl5x6qb53xbhkn3hf5205hcg58w5zh"
+ "commit": "76142cf100d9e611024638a761e62bd82af156cd",
+ "sha256": "1fsydk7pld2xpmmp1jnm8b3y7zdynibwicgmsfxpk11915y4fh6r"
},
"stable": {
"version": [
0,
- 2,
- 0
+ 3
],
- "commit": "d640a557e3e7197cebb56365ad3552ffda39b838",
- "sha256": "1fd17xryl2pkdlalc9jgwdkgl2mgks83wh5s8wilvwb21y8g306l"
+ "commit": "c17de670bc5ab4cc866d470f44faf733351428d6",
+ "sha256": "02ffmssibnx78m352f6qr705cswyzz5lvgpryv9d7kjpbzvqya6k"
}
},
{
@@ -23643,6 +23719,21 @@
"sha256": "0n1vlzvq5mv7z1yffjjqm9ixd3r0cljr60kg55l9pj9kp72a4iv8"
}
},
+ {
+ "ename": "earthfile-mode",
+ "commit": "3df5031b52e919ace5b07c588eec343ed35cb416",
+ "sha256": "1gcdbfzd0v5rs6wfssh8dgby9rmgyar2zqphh2whjvzqp95axh5g",
+ "fetcher": "github",
+ "repo": "earthly/earthly-emacs",
+ "unstable": {
+ "version": [
+ 20210903,
+ 230
+ ],
+ "commit": "0f24876223a358d2718383e9e4975a26cee55f9d",
+ "sha256": "0a6kvjb7f4wn4yn3w4vgq98wkl02fvscvh6j6f9l573h6hhxr204"
+ }
+ },
{
"ename": "easy-after-load",
"commit": "384ffc463cc6edb4806f8da68bd251e662718e65",
@@ -23666,11 +23757,11 @@
"repo": "cpitclaudel/easy-escape",
"unstable": {
"version": [
- 20161209,
- 1544
+ 20210917,
+ 1254
],
- "commit": "a6449f22cb97160ee1c90121968de89e193268df",
- "sha256": "1spbavcs4a3vn1ggdcgwgb2wvq4lbk74xyfagr4y5b5w2azlkh51"
+ "commit": "938497a21e65ba6b3ff8ec90e93a6d0ab18dc9b4",
+ "sha256": "0bqwn6cd7lrk7f8vgcvclryvlpxvl2bndsmwmbn0zxmvqkdba7l1"
}
},
{
@@ -23681,15 +23772,16 @@
"repo": "masasam/emacs-easy-hugo",
"unstable": {
"version": [
- 20210815,
- 2059
+ 20211001,
+ 1239
],
"deps": [
"popup",
- "request"
+ "request",
+ "transient"
],
- "commit": "be19464f1e4487414a29650b7dc46e984d3f73cf",
- "sha256": "1cdg98303b3k5am7lqyjffx4n09qr49v9fsip8w3p6m357ls7wqw"
+ "commit": "751fdb95d0fb239b3204b6e4cde78006a5b95ec7",
+ "sha256": "0xv9kfjb734igfyv7fbqxsnhnbd0hb0hsf477jymzg8hvzlqbqb0"
},
"stable": {
"version": [
@@ -23859,14 +23951,14 @@
"repo": "joostkremers/ebib",
"unstable": {
"version": [
- 20210809,
- 1349
+ 20211014,
+ 1059
],
"deps": [
"parsebib"
],
- "commit": "831ffcca35601e169c0778035688c5d17d138b58",
- "sha256": "04kw0akp35r2ibrcav4kaf34d1xs8pckjiygv7h1nqpv6dmrgfq7"
+ "commit": "b829aac34b90471cb53960ac0c0186603d032946",
+ "sha256": "0fp2nbr3zrq62mj83qwfh7x358mpkicphh9ha0yq64pd6a4l0ivd"
},
"stable": {
"version": [
@@ -23889,11 +23981,11 @@
"repo": "flexibeast/ebuku",
"unstable": {
"version": [
- 20200427,
- 1143
+ 20211001,
+ 246
],
- "commit": "9e1878810eaaaa55885d4cbcd6968566e4e3f7a8",
- "sha256": "0czrchzz7ljynbkkgpp1ifjybp33wx4lhyzyqkxs4q84rs4m7p2p"
+ "commit": "0f853e9fd7647a33b38925727d283f5731fafef8",
+ "sha256": "18f4yk45b2l3w5i05nwqy67phm4ai1kyjf2r4yjcr89bv7bvd1ag"
}
},
{
@@ -24348,15 +24440,15 @@
"repo": "editorconfig/editorconfig-emacs",
"unstable": {
"version": [
- 20210813,
- 1301
+ 20210830,
+ 1025
],
"deps": [
"cl-lib",
"nadvice"
],
- "commit": "375418d1d63f6ec780876593181b6d39054919da",
- "sha256": "1lqxgc24gr67yhi64i9v4w2dcjhpx99jg1kr25gr2byqkkdldkz0"
+ "commit": "2ab86dc9a8ed7a669ca348252d4af46522b5c411",
+ "sha256": "1ii93vw55vqik765sm79gvlhlnp9xgqzml2ibwwkrfgz7gip9i0m"
},
"stable": {
"version": [
@@ -24568,11 +24660,19 @@
"repo": "suntsov/efar",
"unstable": {
"version": [
- 20210604,
- 503
+ 20211014,
+ 728
],
- "commit": "afc19e212a6f1227b5747b42407226b8222f92c5",
- "sha256": "04ld4sk52hm6w4bqlsfd5b1633nb7waf0cmm6rpkgidnzlwv4fxm"
+ "commit": "1823b9301f552a6944a42f49a0a136a2861ffb88",
+ "sha256": "0fvdybwda6p09frlij7imqljmnlpa16p03wv45f6w1gsi4akqzy8"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 23
+ ],
+ "commit": "a9ff16e8994f525086e72d1e6a827e5fe90d1326",
+ "sha256": "0wv351ajzdy1srsbfmg33az2fdns96zc1jxygxfyzja0y2r9q065"
}
},
{
@@ -24723,8 +24823,8 @@
"repo": "joaotavora/eglot",
"unstable": {
"version": [
- 20210817,
- 912
+ 20211009,
+ 1931
],
"deps": [
"eldoc",
@@ -24733,8 +24833,8 @@
"project",
"xref"
],
- "commit": "a697084d8dfe29783985f298d38863ea5d59c632",
- "sha256": "10x0jnhdn7565nkp134jmadcdgq36436rvd5zbc8187hc9j90396"
+ "commit": "9665359bb6bfb6a96b0c3b307d4abea9fcbff7a5",
+ "sha256": "154wf1ps7s00vpmdxgj2pw36gcda1w82f5yw0zhl9c7gi05g3xn3"
},
"stable": {
"version": [
@@ -24768,8 +24868,8 @@
"fsharp-mode",
"jsonrpc"
],
- "commit": "c90d762c0692cc43032291d37b8ca3201c3d49bd",
- "sha256": "1zavk5aykd04143jqsyygnlxn4n86qvjcg267h9kiihsr8wh108r"
+ "commit": "882d70dde3c066947b0acc51c72ff2f3a903f100",
+ "sha256": "08kpjxqvlpid48dhkl6d1nr76kj7l9f1a3sgrkc5ha52k26m5nkn"
},
"stable": {
"version": [
@@ -24839,17 +24939,17 @@
},
{
"ename": "eide",
- "commit": "4b0915b90f1e0832b5920bee860723473acae4dd",
- "sha256": "0ir02p1qrkxsh6b2v2aagkxzzzbd8hysxhr5zpbp11gv6sw4harj",
+ "commit": "d952fa4c9b2ee754a14cea8aa818142f80f11eea",
+ "sha256": "0ylnjvyb598h6pq1x14ysbg5x9z773lvx2jlzrq6gwvfpjbzfb3q",
"fetcher": "git",
- "url": "https://forge.tedomum.net/hjuvi/eide.git",
+ "url": "https://forge.chapril.org/hjuvi/eide.git",
"unstable": {
"version": [
- 20200702,
- 2009
+ 20210818,
+ 2149
],
- "commit": "b1dfdaf06b00409250135cb1000beac60c7f659b",
- "sha256": "17wzffhqnd65c94qcxlwmb4qyw44kq39hvkqlwpxx8g4wj0lql3j"
+ "commit": "a547b8f46ed905f456ac37f4693279532cc1d886",
+ "sha256": "0xk7i9da9qglz924hfw14hk4l3lxjqrmlyv9i4ai610a06pnq7rk"
},
"stable": {
"version": [
@@ -24884,8 +24984,8 @@
"repo": "millejoh/emacs-ipython-notebook",
"unstable": {
"version": [
- 20210809,
- 2101
+ 20211012,
+ 347
],
"deps": [
"anaphora",
@@ -24896,8 +24996,8 @@
"websocket",
"with-editor"
],
- "commit": "0600c286bd7b0812f4908d7df1be279b6b65923f",
- "sha256": "0cwqngw05fg8rs6vz65wzji6h4fv2smp7xlh1xjbw03nrrz41bc2"
+ "commit": "e354ea77c29e8c20b6b1a9ee00d86e6a9512bc0d",
+ "sha256": "1ny4gjawwsq7gx1ih7f37p24pyyjv9jbp702v1sl6wfnk6r7ll9c"
},
"stable": {
"version": [
@@ -25045,8 +25145,8 @@
20210613,
1418
],
- "commit": "ec47ecf257bf010cf1f3061e2061c26f78e61540",
- "sha256": "0l7z9dn5gpscvpizj0pvqcn36jhjcr7s1xr4x6fg72alw2bg71dk"
+ "commit": "b5a5a405d04f61ec9c5fcb19357a50a4b9e36a25",
+ "sha256": "1w6ps78saxdvx64a2y1vvzn11mvb6bw9657zfin0yibh2s91hqrk"
},
"stable": {
"version": [
@@ -25346,11 +25446,11 @@
"repo": "Mstrodl/elcord",
"unstable": {
"version": [
- 20210524,
- 1611
+ 20211011,
+ 158
],
- "commit": "64545671174f9ae307c0bd0aa9f1304d04236421",
- "sha256": "10hjqva6xpilnsfsi8z7w3mjmii4hzf53cmccv1w3076ccvcpq62"
+ "commit": "f4a45e47e58414da0984f9ac1328be207a897ba9",
+ "sha256": "1s1i665a3bknjchg47jsaxydmmq4fqyb59i18np7w0zhhzzpjxxs"
}
},
{
@@ -25398,8 +25498,8 @@
20210711,
1204
],
- "commit": "f9d034ff330d657fa3cbbb1df3a582cd417da78a",
- "sha256": "13pd4kwak8fvzbmj8lcasxpi6m8i1cffrs6hg1wnd1j6w68jl4yg"
+ "commit": "b7b5c6d7a9cf9f3fcbe57d4c2f91471b1139cc9f",
+ "sha256": "0gfwfbya50fz8lv6aa83s35w41f93lrhgcd9qj7c9pf2yya4fvcy"
},
"stable": {
"version": [
@@ -25560,14 +25660,14 @@
"repo": "davidshepherd7/electric-operator",
"unstable": {
"version": [
- 20210320,
- 1511
+ 20210906,
+ 1235
],
"deps": [
"dash"
],
- "commit": "ecc59d313dd9ddfc4d6a2a3c7a9374aaf214e653",
- "sha256": "0qy8s0vqaxkksplasang3n9bqnq7q43lfyd0jl39nynlrg8avhpc"
+ "commit": "14def81d88bf4344a335e68007324e3f3ef5c435",
+ "sha256": "1p4kpxq8fvdcs5za79c4pzw1g8108kyfl9rcybs0g75fjxk1f2jb"
},
"stable": {
"version": [
@@ -25850,26 +25950,26 @@
"repo": "sp1ff/elfeed-score",
"unstable": {
"version": [
- 20210805,
- 1535
+ 20211008,
+ 2330
],
"deps": [
"elfeed"
],
- "commit": "53d4154c7d993ea5424535b3f0c4e9e75388e36e",
- "sha256": "0ffv2adqnnyvwc6axxi1j32l36q35iddmcazpczh2js2hzp2gw51"
+ "commit": "973b337d7104a7adb519b7b74a91fc21f8757731",
+ "sha256": "16a0whgx47irgp3p17xwdwfiaylrv85f26dynh5ba2sy7l0d0irq"
},
"stable": {
"version": [
- 0,
- 8,
- 4
+ 1,
+ 1,
+ 0
],
"deps": [
"elfeed"
],
- "commit": "1842f26d7ab520acbc6f491bc8e064af67be7a6b",
- "sha256": "1whf7nxfpb003wk9v15ib4xy1a7dfygdkg7jf3ly5z5l81607ap8"
+ "commit": "d97c813d472b68c977569b14761c242cb33345e1",
+ "sha256": "1drgv16555cyn7w6g44z23yhi1i0cy1b9h1ri3lz6h814px0wj0z"
}
},
{
@@ -25927,14 +26027,14 @@
"repo": "TobiasZawada/elgrep",
"unstable": {
"version": [
- 20210205,
- 733
+ 20210829,
+ 1619
],
"deps": [
"async"
],
- "commit": "b627cc0f307161e580e9450ad5334687b9406a16",
- "sha256": "17nbjr5dll5n0m52p3isw8gkkza5iqxlhamhv7x61vjd8w72gl3d"
+ "commit": "ed1ddf377447a82d643b46f3a72cbf5ecb21fb4b",
+ "sha256": "0na0s42ifv260mbv1djn7yqalcsyahsgyqrqf8lvxc1qbiisrzxv"
},
"stable": {
"version": [
@@ -26124,15 +26224,15 @@
"repo": "Wilfred/elisp-refs",
"unstable": {
"version": [
- 20210615,
- 1624
+ 20211009,
+ 1531
],
"deps": [
"dash",
"s"
],
- "commit": "fdde21e34b1272783d566d8230b5ed2dc4749048",
- "sha256": "15g3xp3w8lrshjf812c8v50y396zx7107fcyc59kljhsc257j62y"
+ "commit": "c06aec4486c034d0d4efae98cb7054749f9cc0ec",
+ "sha256": "0dhflhgc1px9kj2bhv9m646ab08a6qjcqdd1a6wd5psj047bkj9p"
},
"stable": {
"version": [
@@ -26200,14 +26300,11 @@
"repo": "elixir-editors/emacs-elixir",
"unstable": {
"version": [
- 20210509,
- 2353
- ],
- "deps": [
- "pkg-info"
+ 20211013,
+ 1408
],
- "commit": "6bbc1e5ac46064613c982cedc60566ed077e7a58",
- "sha256": "051pxppp7bdxjjr56p48khi5vfwf5kj7vvyddr66pfw5fwdpd86m"
+ "commit": "907ef434a0ce0f94dbd0c77f09bdfcdc779bca73",
+ "sha256": "0sri7m0n0wafc9dyffi5myvv2vawkfwx6lgmfrj7kikbds0l5s4c"
},
"stable": {
"version": [
@@ -26406,20 +26503,20 @@
"repo": "sp1ff/elmpd",
"unstable": {
"version": [
- 20201107,
- 428
+ 20210904,
+ 7
],
- "commit": "0d0456f2b9bfffbe452b6d94b9cd8798c52fc80e",
- "sha256": "00qkkjd397y0mlank1hwqvfhp4m53rs5jpd8gfcyjl53ka9dg587"
+ "commit": "c9e413fcb6c526c86e1a64d45c7ea94aceca4e6e",
+ "sha256": "1vxdavimnd34ivkx40xnnr472b94yz5l12g9xi4i21p1x0kqqhwp"
},
"stable": {
"version": [
0,
- 1,
- 8
+ 2,
+ 3
],
- "commit": "1356343300140a77f462e690045584c85bd05c94",
- "sha256": "0iwmn54v78fgch6cx9qp3cpdfqifqs6g36203xwqmkb4kgvwsg7m"
+ "commit": "4b42a90610fdb05ac1a2811da5acd55493715795",
+ "sha256": "1nj310mnckf5mpqici67jzdydbby50la6g6vq7qdaji0c98c335b"
}
},
{
@@ -26530,26 +26627,20 @@
"repo": "dochang/elpa-clone",
"unstable": {
"version": [
- 20191006,
- 1953
+ 20210916,
+ 655
],
- "deps": [
- "cl-lib"
- ],
- "commit": "827e2723b123618aaa32642d78c447cf2979a00a",
- "sha256": "08psgia9vwwil16nymy0z12p823in3bxf9k7phjrmdicqqc01k42"
+ "commit": "2549b14e8688e9ee866e0ec9f1b6d9cbc97f462c",
+ "sha256": "1rjc64j7a786xna8xcfp1kxvx1y0jfqxajicbbyvcnhd17g6a7z9"
},
"stable": {
"version": [
0,
- 0,
- 9
- ],
- "deps": [
- "cl-lib"
+ 1,
+ 1
],
- "commit": "827e2723b123618aaa32642d78c447cf2979a00a",
- "sha256": "08psgia9vwwil16nymy0z12p823in3bxf9k7phjrmdicqqc01k42"
+ "commit": "2549b14e8688e9ee866e0ec9f1b6d9cbc97f462c",
+ "sha256": "1rjc64j7a786xna8xcfp1kxvx1y0jfqxajicbbyvcnhd17g6a7z9"
}
},
{
@@ -26602,11 +26693,11 @@
"url": "https://thelambdalab.xyz/git/elpher.git",
"unstable": {
"version": [
- 20210823,
- 941
+ 20211008,
+ 1217
],
- "commit": "0d65ffa3ab238529a11d5c1a5d2dea5a6c27e9b4",
- "sha256": "1s6mh7a9r3s0b2nk019pdzzp646ny43mihjd68yq1m2yad7d6y5x"
+ "commit": "81e107a26924747c10c671882032d341ca6d77c4",
+ "sha256": "1psvfqk71bi9p5mq99r2ihpk4h80sb7p8398fg2zb33a3j3g52b7"
},
"stable": {
"version": [
@@ -26954,20 +27045,20 @@
"repo": "emacscollective/elx",
"unstable": {
"version": [
- 20210819,
- 2127
+ 20210918,
+ 1436
],
- "commit": "5aa6369b58e72ef2348a5d6ca6bdf32299329c58",
- "sha256": "056hb1mss84d4m7fb052c10bfmshf00x772rlpck671n83fi14li"
+ "commit": "a457a596401dc5caa9c9a2ebb627bd4af0607780",
+ "sha256": "0670dxmvy38rl3mh2gh2ab8hp4y7z90kg3w340mfgx50fbwbcfs4"
},
"stable": {
"version": [
1,
- 4,
+ 5,
0
],
- "commit": "53d257db92fb72ade8ea1b91dc6839c21563119e",
- "sha256": "1qccz8z0410xhygrfy62h1j3553avdcb7m61ps6b6y74nz615l1r"
+ "commit": "a457a596401dc5caa9c9a2ebb627bd4af0607780",
+ "sha256": "0670dxmvy38rl3mh2gh2ab8hp4y7z90kg3w340mfgx50fbwbcfs4"
}
},
{
@@ -27051,6 +27142,40 @@
"sha256": "1c84gxr1majqj4b59wgdy3lzm3ap66w9qsrnkx8hdbk9895ak81g"
}
},
+ {
+ "ename": "emacsql-libsqlite3",
+ "commit": "4e7ce4ac946c7b7e2c4feecd3b753ea163ecc435",
+ "sha256": "0cpniv5r9k38qapyzhzcjhb0hpv7i6jxqnxy6nwm7ml6nhrgkai9",
+ "fetcher": "github",
+ "repo": "emacscollective/emacsql-libsqlite3",
+ "unstable": {
+ "version": [
+ 20210927,
+ 2137
+ ],
+ "deps": [
+ "emacsql",
+ "emacsql-sqlite",
+ "sqlite"
+ ],
+ "commit": "ce95d8a373321bdeafa13e81dac18495c055fd95",
+ "sha256": "1v0v0akwcc6pklv3abalcbzglkmk9z38v7a31mcacn6fnnf75sl9"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1,
+ 0
+ ],
+ "deps": [
+ "emacsql",
+ "emacsql-sqlite",
+ "sqlite"
+ ],
+ "commit": "d0fac65db8bd10abd845fa18c275d581219086d3",
+ "sha256": "00w1p1ax2xiv1m0p2wlrawyj98fwg69y2p2scqkd4ny1zydc7x73"
+ }
+ },
{
"ename": "emacsql-mysql",
"commit": "9cc47c05fb0d282531c9560252090586e9f6196e",
@@ -27155,8 +27280,8 @@
"deps": [
"emacsql"
],
- "commit": "50aa9bdd76b0d18bf80526cff13a69fe306ee29c",
- "sha256": "1jzvvsvi8jm2ws3y49nmpmwd3zlvf8j83rl2vwizd1aplwwdnmd6"
+ "commit": "209fd0c2649db0c7532e543ec12e7ba881a3325c",
+ "sha256": "0zr56gwn8rcgvaa9halhfxpxnn0x6yqc66z6r7vqzx5jbj7d6q46"
},
"stable": {
"version": [
@@ -27275,11 +27400,11 @@
"repo": "oantolin/embark",
"unstable": {
"version": [
- 20210823,
- 1719
+ 20211013,
+ 119
],
- "commit": "ca517fdabd182b0b905d0ef0cb380facb6697670",
- "sha256": "1pb6rarb86x9bl064jqick3d7znl0a7ibx27yx30nivi5plrkb3j"
+ "commit": "010b7356af782a3723fcfbbfc943bc8082c54c27",
+ "sha256": "0ag3s7qyihh0231y7zmv33yafpb8ly01djxgpvdr0vhsflp0c7v6"
},
"stable": {
"version": [
@@ -27298,15 +27423,15 @@
"repo": "oantolin/embark",
"unstable": {
"version": [
- 20210816,
- 1819
+ 20211012,
+ 1921
],
"deps": [
"consult",
"embark"
],
- "commit": "ca517fdabd182b0b905d0ef0cb380facb6697670",
- "sha256": "1pb6rarb86x9bl064jqick3d7znl0a7ibx27yx30nivi5plrkb3j"
+ "commit": "010b7356af782a3723fcfbbfc943bc8082c54c27",
+ "sha256": "0ag3s7qyihh0231y7zmv33yafpb8ly01djxgpvdr0vhsflp0c7v6"
},
"stable": {
"version": [
@@ -27473,29 +27598,29 @@
"url": "https://git.savannah.gnu.org/git/emms.git",
"unstable": {
"version": [
- 20210825,
- 1456
+ 20211013,
+ 1353
],
"deps": [
"cl-lib",
"nadvice",
"seq"
],
- "commit": "b582a75d033e5a21090c854f58abeefdd238798f",
- "sha256": "1gmgh9llriqgq8kjdffmyjw5gb9k385fbh258bf7n5yvgpd3bbsn"
+ "commit": "f0da8453ae94aec630ad5b3395d6bc882ef0ae57",
+ "sha256": "098lx63narp2drx0bq6bni20z0mi6nwr80cb4gc358ry7zy4m9rn"
},
"stable": {
"version": [
7,
- 6
+ 7
],
"deps": [
"cl-lib",
"nadvice",
"seq"
],
- "commit": "8b32529950e5a2e1dd7afed8757ff6bc923c95e2",
- "sha256": "0pcs95nmdbxahwsqp1fz0m8pgwsxycvf7xixh40sfjgifvbq0a21"
+ "commit": "bc0d2ec1ba99409421d3f75aae315e10b5014b31",
+ "sha256": "13jwf5dxhj1ch2l4klxjy1h1by70lhx99bsjdx23pvr6di0srnj9"
}
},
{
@@ -27766,8 +27891,8 @@
"emojify",
"request"
],
- "commit": "f05ab06436e13b3578f3d4d183fcb1bc3a4eeab1",
- "sha256": "01dnab8mqz03rdd3xcb48csx56cv2ik07sykyqscbiib5vcw5k5k"
+ "commit": "23a0cf469999854fa681d02e3122840864fd4c65",
+ "sha256": "1n3znp78hhbjna6w0raixd439nmy9m0sa38g4pd70kj5l0ci1848"
},
"stable": {
"version": [
@@ -28224,14 +28349,14 @@
"repo": "emacscollective/epkg",
"unstable": {
"version": [
- 20210806,
- 1315
+ 20210930,
+ 1703
],
"deps": [
"closql"
],
- "commit": "23045743150f9a50ccc164a710c4d495820de803",
- "sha256": "0v5v7iw9qyp8ckh9ana61r0fbhffbpsmhjr2yx88kc6ia59vn561"
+ "commit": "a8e2b7e7a8123c32f14b13af5cf2ab1d1d1ec764",
+ "sha256": "0mw0z88ycdl42pr4y5ix6pb402l26fs0h2npyv0grr42k78xba8h"
},
"stable": {
"version": [
@@ -28382,14 +28507,14 @@
"repo": "emacsomancer/equake",
"unstable": {
"version": [
- 20210731,
- 2016
+ 20210913,
+ 145
],
"deps": [
"dash"
],
- "commit": "831fcaced262a9dd650bc80241c8214d57de4cdc",
- "sha256": "1rgkczdz4ppi0h87vbx10h574xfqn1ba09j3cswhvkxm6w1hram4"
+ "commit": "4d6ef75a4d91ded22caad220909518ccb67b7b87",
+ "sha256": "11xfr71y78idcn461p4pz7b0k01nhz15cyl97bjqq6ii5xhbhvdx"
}
},
{
@@ -28824,15 +28949,14 @@
"repo": "ergoemacs/ergoemacs-mode",
"unstable": {
"version": [
- 20210402,
- 1642
+ 20211012,
+ 142
],
"deps": [
- "cl-lib",
- "undo-tree"
+ "cl-lib"
],
- "commit": "f9d6e3f7d99b877a63fa6f5ab61e6ba05a7075c8",
- "sha256": "0xw99i47mmry205aps4mha1ninnnzir652s7jh81fdsys8y5j7w1"
+ "commit": "3f961db491f572b7f0637e09fd113c43a1061617",
+ "sha256": "1519y2q6mqvjncd8axdimajb12z7xmzmgxr8c021iq8s2ikf65bs"
},
"stable": {
"version": [
@@ -28879,8 +29003,8 @@
20200914,
644
],
- "commit": "2bab72d99ae3330cbf3a94450a647158838a1d1b",
- "sha256": "1xb1rawj2hl12xmpn9xk2zw69wpvx2ssd3wj4k32dhgi2vcg2rck"
+ "commit": "d281edeac893db140ab2a0ec9f42a4c1dd2efecb",
+ "sha256": "089z3mgpgsb7gx6v7ysmvi8nc2fzbhd72av1yh2m4lc1alxw711a"
},
"stable": {
"version": [
@@ -28903,17 +29027,17 @@
20210315,
1640
],
- "commit": "988e8112a6db6f5e16380df30f1aa52b1b233662",
- "sha256": "0j3b9cd2hzf2i8lzi2xy39bj2q2fqgcsrsim4viknqsv6awqw04y"
+ "commit": "e782c0305e2fcdff0b9ea9c2b365878e602df04a",
+ "sha256": "1vfy86wsv8mx1w7lawxp7h7lc7iyqn9jsddi8006f8ccqsndbbzc"
},
"stable": {
"version": [
24,
- 0,
- 5
+ 1,
+ 2
],
- "commit": "a29aeb16660f2a91aaa24c474d57c6ee7754ee0a",
- "sha256": "153kg6351yrkilr4gwg1jh7ifxpz9ar664mz7vdax9sy31q9i771"
+ "commit": "0706178dea1c62d8d63c33c86bbf473dcaef89d5",
+ "sha256": "0kkrng9822vkgw8l7vqglrrmhpq9pqrm7x8786s1bjl31bxd8i9z"
}
},
{
@@ -29219,14 +29343,14 @@
"repo": "dieggsy/esh-autosuggest",
"unstable": {
"version": [
- 20210224,
- 2242
+ 20210906,
+ 1446
],
"deps": [
"company"
],
- "commit": "30203fc7b8b63a489f34f74ca8b041bf61f4358f",
- "sha256": "0w34m9va83grw5j566i5sdgkz475fhf3hibx9p1m0a92p1mg9v8s"
+ "commit": "bf676b137d35553debe32ff134dbec25f3978ae7",
+ "sha256": "1m255pgi2zlkjrjr3l8gk76qc5543qnaxqrwgcpb2z9gq51ivfw2"
},
"stable": {
"version": [
@@ -29459,11 +29583,11 @@
"repo": "zwild/eshell-prompt-extras",
"unstable": {
"version": [
- 20201115,
- 440
+ 20210925,
+ 110
],
- "commit": "d7d874ce3da3ae55a42f669aca723a8774c8292c",
- "sha256": "1ahydmiffxn4mp76fmzax73fx1lws37nacnnxp1imxnvmk8f0zjp"
+ "commit": "c2078093323206b91a1b1f5786d79faa00b76be7",
+ "sha256": "1zchbl59jkay46w8rf2skza71al2xf9lqsssjd22s5h5vwkl64kn"
},
"stable": {
"version": [
@@ -29576,11 +29700,11 @@
"repo": "codesuki/eslint-fix",
"unstable": {
"version": [
- 20180514,
- 700
+ 20211005,
+ 221
],
- "commit": "f81f3b47a47460611fbdbdae1d23275ec78f2f8d",
- "sha256": "0k3asz3mdz4nm8lq37x9rgx4wb8hsfyr0hlfyhzwdb10x57jfzns"
+ "commit": "636bf8d8797bdd58f1b543c9d3f4910e3ce879ab",
+ "sha256": "02hjm685fl4f33s5fi8nc088wwfzhyy6abx5g4i93b2dx3hr2lyi"
},
"stable": {
"version": [
@@ -29765,11 +29889,11 @@
"repo": "emacs-ess/ESS",
"unstable": {
"version": [
- 20210818,
- 843
+ 20211011,
+ 2049
],
- "commit": "a7ce81bb768d7cc410885711cf99bad0f8941ac3",
- "sha256": "0kz9diqb26ksrgnfqdcdgf48sqjapvfg6z1fjk9ib2q2si6nv0yx"
+ "commit": "569dca1f4ff939a93c7be97c34577666d9af8b3a",
+ "sha256": "086nl0486l28n1zmw9jxqh63d7bqanzlqwh9nm4a4aw1fyjy7pda"
},
"stable": {
"version": [
@@ -29933,15 +30057,15 @@
"repo": "ShuguangSun/ess-view-data",
"unstable": {
"version": [
- 20210603,
- 1412
+ 20211009,
+ 55
],
"deps": [
"csv-mode",
"ess"
],
- "commit": "845412ba57efab1a28fbaf0dcdbe76bdab03f828",
- "sha256": "0m5wmxi4zq3xy9jsg7d2318iyn9g6fpzqiraq0810fbmrdl4dda4"
+ "commit": "6fd97a89c73815672de7df21d1ecd362a66126b5",
+ "sha256": "1vbq9xnspbmykbz4axrxskfsb30bzcnfkymiyfy82shb65r53fn4"
},
"stable": {
"version": [
@@ -30360,15 +30484,15 @@
"repo": "emacs-evil/evil",
"unstable": {
"version": [
- 20210810,
- 844
+ 20211011,
+ 2205
],
"deps": [
"cl-lib",
"goto-chg"
],
- "commit": "dceb73603d397f7e42a541976d8ec0711248d38c",
- "sha256": "1jwah95cnlgsdqkk56d0jhshcxydz5w3x5dsxnxckipddrs3ncfm"
+ "commit": "44f75e93c6db89de882a0eb1d8bcc7c4ebb8e94e",
+ "sha256": "12r45djpmdhf4ygc9wdxj1jmxwcag300a8skfhkpiqimapnm8k5k"
},
"stable": {
"version": [
@@ -30512,28 +30636,28 @@
"repo": "wbolster/emacs-evil-colemak-basics",
"unstable": {
"version": [
- 20210818,
- 1228
+ 20211011,
+ 1752
],
"deps": [
"evil",
"evil-snipe"
],
- "commit": "db01118a76112c61b7617aa44aa8c438d8f1871f",
- "sha256": "15ihz0wwc7gzqsmzbrr48zzh3rw3bmbf2ghnhcy13pdq42wridcv"
+ "commit": "05c023740f3d95805533081894bfd87f06401af5",
+ "sha256": "1fnzrwr53h18wp4wkb834j39xg8bv7yqcmilb41bc81npfmi2mn1"
},
"stable": {
"version": [
2,
- 1,
- 0
+ 2,
+ 1
],
"deps": [
"evil",
"evil-snipe"
],
- "commit": "7844079b47f47bb1dc24c885b0ac2e67524fa960",
- "sha256": "0phspmd31pcxana2lp6mqywmghhdpj6ydsrl1bjn4b1gcp1fqsy2"
+ "commit": "05c023740f3d95805533081894bfd87f06401af5",
+ "sha256": "1fnzrwr53h18wp4wkb834j39xg8bv7yqcmilb41bc81npfmi2mn1"
}
},
{
@@ -30562,15 +30686,15 @@
"repo": "emacs-evil/evil-collection",
"unstable": {
"version": [
- 20210823,
- 2212
+ 20211007,
+ 1722
],
"deps": [
"annalist",
"evil"
],
- "commit": "0a836facbc1f917c863699eea3eaecc78ac81686",
- "sha256": "0zrg4yj62vfpkffz0219ibwqi1yyadj9aaa6qlyz8z6bjg0d7vjz"
+ "commit": "6709c1ec4118c8721df43ea6708ae45ebbc01fd3",
+ "sha256": "1wcjrqvirymwfn0f5sv8axw7ycfjff3h0x5f1cadakbpa96jrc9g"
},
"stable": {
"version": [
@@ -31128,26 +31252,26 @@
"repo": "redguardtoo/evil-matchit",
"unstable": {
"version": [
- 20210819,
- 5
+ 20210923,
+ 931
],
"deps": [
"evil"
],
- "commit": "24a95751f48fb64246de15278734e0179c9f622f",
- "sha256": "0gdfnpzzy6y9626nqia7rs5l37bl31nndn1m71dnm0qns5cqfngk"
+ "commit": "9b228b097a863e9deef8033b11747597e055674b",
+ "sha256": "0cxv1bmbnir59k778dip5mkjyqhbh10pk9b4ayvwpgiz25dlp4ss"
},
"stable": {
"version": [
2,
- 3,
- 13
+ 4,
+ 1
],
"deps": [
"evil"
],
- "commit": "80dc731ab736545541546ca64187e850bf0e39c8",
- "sha256": "1j1p4z6ps58nbsh55l9h30gxbkrzwzkjpq7zl50q6yfc84z7byzk"
+ "commit": "9b228b097a863e9deef8033b11747597e055674b",
+ "sha256": "0cxv1bmbnir59k778dip5mkjyqhbh10pk9b4ayvwpgiz25dlp4ss"
}
},
{
@@ -31263,11 +31387,11 @@
"repo": "redguardtoo/evil-nerd-commenter",
"unstable": {
"version": [
- 20210719,
- 1305
+ 20211014,
+ 455
],
- "commit": "6bc41317ba4b8710d713a62e1b78047c3cc2d2d5",
- "sha256": "14s2zrl2rpnqpfp647naa80qzb2x4c6jflvs1nhxsvn43s0gdfj9"
+ "commit": "63baf2d1c796edd11bbec5fe1dee711173d4155d",
+ "sha256": "0kk9l9wvvb40hric4wdzvccp98mbipln7ah9h8grl5ayb9kw6xxg"
},
"stable": {
"version": [
@@ -31302,14 +31426,14 @@
"repo": "juliapath/evil-numbers",
"unstable": {
"version": [
- 20210808,
- 1424
+ 20211011,
+ 103
],
"deps": [
"evil"
],
- "commit": "8ce0066fa4889c9a43db5917d116baa9497837b7",
- "sha256": "04dls5fmr4a8b8j3802nm0cf4rngr01bgpsa25rgdq1cdv9x9zb6"
+ "commit": "08f0c1ee93b8a563770eaefaf21ab9087fca7bdb",
+ "sha256": "0pcacrfvvk6ra9dgq84fdcsh5ziwk78k8dmr2c442fvr2lzch4yn"
},
"stable": {
"version": [
@@ -31864,14 +31988,14 @@
"repo": "7696122/evil-terminal-cursor-changer",
"unstable": {
"version": [
- 20210130,
- 1855
+ 20211002,
+ 709
],
"deps": [
"evil"
],
- "commit": "a88c680c631676ff8f6c5156b529f86d6b9f0841",
- "sha256": "1b9y21p56a000z62mknbnr22ypkv1j58r24i8bg9836n23y8l717"
+ "commit": "5b2d76fd26bf33022bbad0198acd9b83c9759750",
+ "sha256": "0f9i5w2vdvrsmcf4vv0vf5bkrqpqdq3gm6p9a0hm1j2p0dfvh8hd"
}
},
{
@@ -31888,8 +32012,8 @@
"deps": [
"evil"
],
- "commit": "dceb73603d397f7e42a541976d8ec0711248d38c",
- "sha256": "1jwah95cnlgsdqkk56d0jhshcxydz5w3x5dsxnxckipddrs3ncfm"
+ "commit": "44f75e93c6db89de882a0eb1d8bcc7c4ebb8e94e",
+ "sha256": "12r45djpmdhf4ygc9wdxj1jmxwcag300a8skfhkpiqimapnm8k5k"
},
"stable": {
"version": [
@@ -32073,22 +32197,22 @@
}
},
{
- "ename": "evil-textobj-treesitter",
- "commit": "949eb5d82e26e37685c3155b22b329e387f0fd59",
- "sha256": "1mxx6fcj7k1k9gnjgcs316x92jdicsrx6l584vkzx09h7fz2p4da",
+ "ename": "evil-textobj-tree-sitter",
+ "commit": "fcddf8865ace77c50846d55ac77c615bd8f3af63",
+ "sha256": "1zns7rr449m186h8br4xv77n26qjdq4whc2nyil8lmkhixr3q4ny",
"fetcher": "github",
- "repo": "meain/evil-textobj-treesitter",
+ "repo": "meain/evil-textobj-tree-sitter",
"unstable": {
"version": [
- 20210816,
- 355
+ 20211008,
+ 1630
],
"deps": [
"evil",
"tree-sitter"
],
- "commit": "461195b882b2179a0e6f8efcd37835ab2a0ed5e2",
- "sha256": "1yndxnxx842mhfjqs39i5wz1khm1sha2dr1nhzhi60mz4ywyqyl3"
+ "commit": "ebde473af5a484959cda97483453d855c7bab89b",
+ "sha256": "1x30il32l57pmqiqj872z83w1v7g87scsaaspn05i4wd8x7y30qp"
}
},
{
@@ -32503,14 +32627,14 @@
"repo": "purcell/exec-path-from-shell",
"unstable": {
"version": [
- 20201215,
- 33
+ 20210914,
+ 1247
],
"deps": [
"cl-lib"
],
- "commit": "bf4bdc8b8911e7a2c04e624b9a343164c3878282",
- "sha256": "0b19lhidn2kvkc4aaa1x634y2biryq85di1iwxdh8070k4j2yw9s"
+ "commit": "0a07f5489c66f76249e6207362614b595b80c230",
+ "sha256": "081p104ma9b7nzhs42y6zn8r8vz5dp7kz6vp79xdyl42w9dqinww"
},
"stable": {
"version": [
@@ -33199,34 +33323,19 @@
"repo": "WJCFerguson/emacs-faff-theme",
"unstable": {
"version": [
- 20210602,
- 1952
+ 20211013,
+ 1554
],
- "commit": "cb8803355e20812d84195b1b7c9b0578c3262e68",
- "sha256": "0wx2k9262p712aasn3ha8si250yzhcqz513apna8lp5gri2rxsg8"
+ "commit": "3c13ae4d694025207ba7eb43f174f90bb49395d4",
+ "sha256": "1iv9i1j39wj29y86z49yyw1a22wgyafdybjizmji60hi7x4r66az"
},
"stable": {
"version": [
2,
- 20
- ],
- "commit": "45f2faef92ee23738b86f4f8d0a433ad729a5ca8",
- "sha256": "0slvrgw508388il24wlx9g0bf32anpk6rbhmb2r99anq2vhn4b4g"
- }
- },
- {
- "ename": "fakespace",
- "commit": "778dbe1fd1d2ecebb499ad66bc950e586f231c52",
- "sha256": "09dsmrqax4wfcw8fd5jf07bjxm5dizpc2qvjkqwg74j2n352wv27",
- "fetcher": "github",
- "repo": "skeeto/elisp-fakespace",
- "unstable": {
- "version": [
- 20120818,
- 6
+ 21
],
- "commit": "d1bd1f4b14b2690d7a67f9a52622ec51ed84813a",
- "sha256": "11fm0h9rily5731s137mgv8rdbfqi99s6f36bgr0arwbq3f2j3fs"
+ "commit": "3c13ae4d694025207ba7eb43f174f90bb49395d4",
+ "sha256": "1iv9i1j39wj29y86z49yyw1a22wgyafdybjizmji60hi7x4r66az"
}
},
{
@@ -33280,14 +33389,14 @@
"repo": "jrosdahl/fancy-dabbrev",
"unstable": {
"version": [
- 20210823,
- 1838
+ 20210909,
+ 752
],
"deps": [
"popup"
],
- "commit": "f3b05ad56688f2ca13db053e090a1273cf2deed0",
- "sha256": "1hj15wi9jxwjamz345jssx9gd4m9cbdisvmq7mg5vhk89k4h0mvd"
+ "commit": "9435ad63c1c4756f574ae98d2d63ecf1189ec832",
+ "sha256": "1qnh6ykmwvwk06rpi8pcvql5zq9gpiz2xiyl3j2imhmx1jiw4xdz"
}
},
{
@@ -33345,14 +33454,14 @@
"repo": "condy0919/fanyi.el",
"unstable": {
"version": [
- 20210820,
- 423
+ 20211015,
+ 1502
],
"deps": [
"s"
],
- "commit": "5f4fd0dbee514bada012ab3ecc9c767b8910828e",
- "sha256": "1lzmhn0bn6qjyq441bp39gq4wd1xvrcygzrfm6v6srywb6s34dm1"
+ "commit": "d6913c8a8dff0711d3e3dc42ba1b63fb0a39ece5",
+ "sha256": "1pk7mfmfzxi1saaq2g76bgps9w4gcdbj1ck74hkhiv5a166w3fi8"
}
},
{
@@ -33692,11 +33801,11 @@
"repo": "technomancy/fennel-mode",
"unstable": {
"version": [
- 20210817,
- 1612
+ 20210926,
+ 753
],
- "commit": "47152970a98734723b5086b5c774f50da34c0488",
- "sha256": "1p9fi5rrqlcx9gg5gljdndmi318x0z5zzxryi1kbqkkc8119kbsg"
+ "commit": "81a3be351ce35d57c648d7b1cf83fbf70600cfba",
+ "sha256": "0hfid4zi7c9hjszv8awmapvac5g2z4cwyvr34iaa7kmjyqljlw8r"
},
"stable": {
"version": [
@@ -33738,8 +33847,8 @@
"f",
"s"
],
- "commit": "142a7a5ecd79b4a3db7ce3dfdd0d87ceeedab468",
- "sha256": "1lmfnc5nljghqapciaqrvmj177v3m1ybndf7mjj74d6n41gphwcj"
+ "commit": "3d524dd404862de1a40ec5834cc1b85137a1acd2",
+ "sha256": "1ds46hl7givwmw4zsz4nx7wg4n9xxmn1a806dxkjjqcp0cvhv4l5"
},
"stable": {
"version": [
@@ -33842,15 +33951,15 @@
"repo": "knpatel401/filetree",
"unstable": {
"version": [
- 20210629,
- 356
+ 20211008,
+ 2353
],
"deps": [
"dash",
"helm"
],
- "commit": "f7dd8a310f5364f1e1549082ef231c3c27285e89",
- "sha256": "1w1f924as6l0s9dkpjjk6bnkp29x52mf5pzzqxqsigp2r1z6k2lk"
+ "commit": "1f0bcf009bf124c213d64dd2726061db6af981b5",
+ "sha256": "1wsqddl48shi2815zmx609g39bpc9kn28hv26vpjljap8qxpxpzw"
}
},
{
@@ -33910,8 +34019,8 @@
20210707,
354
],
- "commit": "cad66696f334f70adf2b8bdf9910852c017dbdd0",
- "sha256": "0jg7gppjf39qzwb44n1q7bikhqvxs5hr4yd403v7apf75z0hpc3m"
+ "commit": "562d6d5118097b4e62f20773fd90d600ab19fb61",
+ "sha256": "0v5irns6061qx0madrf2dc1ahkn4j90v8jpx16l69y9i98dh6n5k"
},
"stable": {
"version": [
@@ -34033,20 +34142,20 @@
"repo": "redguardtoo/find-file-in-project",
"unstable": {
"version": [
- 20210813,
- 657
+ 20210924,
+ 952
],
- "commit": "f26f081f835165bfb05e247afbfbcbddf53236a5",
- "sha256": "13vsmi02v1rv5h2m62s36dw21781nxsj9dj4hlaxfz2v5avmp00c"
+ "commit": "1d2f0b374460be798ba5c4854d3660e9b4d6d6f7",
+ "sha256": "1aqsgfbhc382h009hv3xqh5kq5x7y3smk1vc0vj3bwfg95fw6jdx"
},
"stable": {
"version": [
6,
1,
- 1
+ 2
],
- "commit": "f26f081f835165bfb05e247afbfbcbddf53236a5",
- "sha256": "13vsmi02v1rv5h2m62s36dw21781nxsj9dj4hlaxfz2v5avmp00c"
+ "commit": "52274e6001545bdf45c6477ba21bfaa8eca04755",
+ "sha256": "0v5c9cnwlbw6jj371swhd5bs8sb2zf6g5yjvhdsfnxly7g3dg636"
}
},
{
@@ -34147,6 +34256,48 @@
"sha256": "1mx05zfdrkwb50l7f0iycsqw23b1gxzacfnssclb42xdjxxmyhdj"
}
},
+ {
+ "ename": "finito",
+ "commit": "4b6af066aedc1cc3116d6efe2aeb9ffa375edaae",
+ "sha256": "0451nqkz7riqaz5apzxn2ff0mr3484srx9y54hj9vpdmmvp1bfd0",
+ "fetcher": "github",
+ "repo": "LaurenceWarne/finito.el",
+ "unstable": {
+ "version": [
+ 20211015,
+ 937
+ ],
+ "deps": [
+ "async",
+ "dash",
+ "f",
+ "graphql",
+ "request",
+ "s",
+ "transient"
+ ],
+ "commit": "21a492d3e67e5e3ab59b75107d345142a4c3ac02",
+ "sha256": "02n3h2zvdf24jxmcpp0cjcfba54cs0isymcd4k4j68zywszwzp36"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 3,
+ 0
+ ],
+ "deps": [
+ "async",
+ "dash",
+ "f",
+ "graphql",
+ "request",
+ "s",
+ "transient"
+ ],
+ "commit": "b7cbb5fa672031cbc9d7de18797ecdd2df8e224f",
+ "sha256": "00rimqh2hmz9hzqq5piq0bn60rh820ym18r7irh6dv4vdk06zww8"
+ }
+ },
{
"ename": "fiplr",
"commit": "7fabdb05de9b8ec18a3a566f99688b50443b6b44",
@@ -34208,39 +34359,6 @@
"sha256": "1vrpnv7555mbsksflgdkg7hc65fjcyzvzv2261y043rlh2qrn0sy"
}
},
- {
- "ename": "firefox-controller",
- "commit": "70a69c20f8dcf73c878f2172dcc9f1796fdc0408",
- "sha256": "03y96b3l75w9al8ylijnlb8pcfkwddyfnh8xwig1b6k08zxfgal6",
- "fetcher": "github",
- "repo": "cute-jumper/emacs-firefox-controller",
- "unstable": {
- "version": [
- 20160320,
- 1847
- ],
- "deps": [
- "cl-lib",
- "moz",
- "popwin"
- ],
- "commit": "a8af8cbf70afaf6b89a26d6ac69af8e92afc181f",
- "sha256": "0icgl88pwizwzkdqsxbwhnc6pdyqsfd7wgjnkvg3206i7hcqwpsp"
- },
- "stable": {
- "version": [
- 2,
- 1
- ],
- "deps": [
- "cl-lib",
- "moz",
- "popwin"
- ],
- "commit": "a8af8cbf70afaf6b89a26d6ac69af8e92afc181f",
- "sha256": "0icgl88pwizwzkdqsxbwhnc6pdyqsfd7wgjnkvg3206i7hcqwpsp"
- }
- },
{
"ename": "fireplace",
"commit": "4c1ac52c1cfe7ccf46092c2d299ebbffdc1b7609",
@@ -34583,11 +34701,11 @@
"repo": "seblemaguer/flatfluc-theme",
"unstable": {
"version": [
- 20200707,
- 630
+ 20210908,
+ 1423
],
- "commit": "5a30b1cd344ac0d3c3bf9dab017805ab96897b54",
- "sha256": "0vcinly3lrrkbihafgxcv084zn8fhw94wc8qjjq2lwcc1db7lfjc"
+ "commit": "33726cd072ad83c6943e1c3b83db2fff60f324ce",
+ "sha256": "1nai41dzpnmv63k75xnhc64vipb9nqyv3k75mp2g8csxz569ph2l"
}
},
{
@@ -34682,27 +34800,27 @@
"repo": "plandes/flex-compile",
"unstable": {
"version": [
- 20201218,
- 1549
+ 20210914,
+ 1255
],
"deps": [
"buffer-manage",
"dash"
],
- "commit": "bc1f0804f089686260b64d5e4dde80c0c9f6df21",
- "sha256": "0l9dxh9578gsczhq944id0lacwdr4k7383d5i147v7c6l7s8d7sw"
+ "commit": "64f61ba1c113be38e4eae2a1fcee5596223c5d85",
+ "sha256": "143fzny0l5d8vci43nsgaq2a4ns1qmz01bd35c0s66gl62f02w74"
},
"stable": {
"version": [
0,
- 7
+ 9
],
"deps": [
"buffer-manage",
"dash"
],
- "commit": "bc1f0804f089686260b64d5e4dde80c0c9f6df21",
- "sha256": "0l9dxh9578gsczhq944id0lacwdr4k7383d5i147v7c6l7s8d7sw"
+ "commit": "64f61ba1c113be38e4eae2a1fcee5596223c5d85",
+ "sha256": "143fzny0l5d8vci43nsgaq2a4ns1qmz01bd35c0s66gl62f02w74"
}
},
{
@@ -34896,11 +35014,11 @@
"repo": "amake/flutter.el",
"unstable": {
"version": [
- 20210304,
- 1341
+ 20210914,
+ 17
],
- "commit": "960b63576a13b7bd3495d0ad1883ed736873543b",
- "sha256": "0l6k8ydrdbwms8va45jw88514ichj1qxbxkq8mfvvacb3rkb0gj0"
+ "commit": "81c524a43c46f4949ccde3b57e2a6ea359f712f4",
+ "sha256": "16j455iymwcnqh6zwwlk47x9jsdim4va9k4il3qqj8bwgjv30xmb"
}
},
{
@@ -34918,8 +35036,8 @@
"flutter",
"flycheck"
],
- "commit": "960b63576a13b7bd3495d0ad1883ed736873543b",
- "sha256": "0l6k8ydrdbwms8va45jw88514ichj1qxbxkq8mfvvacb3rkb0gj0"
+ "commit": "81c524a43c46f4949ccde3b57e2a6ea359f712f4",
+ "sha256": "16j455iymwcnqh6zwwlk47x9jsdim4va9k4il3qqj8bwgjv30xmb"
}
},
{
@@ -35520,8 +35638,8 @@
"deps": [
"flycheck"
],
- "commit": "15998140b0a4172cd4b4d14d0377fba96a8917fc",
- "sha256": "0bdzffwp9hliy9bkvqn1p432yy161g7n7bl814mmi6zj4sfn1sy1"
+ "commit": "3e37f282af06a8b82d266b2d7a7863f3df2ffc3b",
+ "sha256": "1rwm7srb3xlsja4hana83an9a6l9f9rmi299qkjxhjcry8x9p78g"
},
"stable": {
"version": [
@@ -35955,8 +36073,8 @@
"deps": [
"flycheck"
],
- "commit": "6e2bc77da6e2a8812246b4717d97b68675ed84f1",
- "sha256": "02m22d9y152aj7aba736j5gxpniqr0rc2k8iyq9cgbgavfhbr3ac"
+ "commit": "8b68168db13df4e393d65ca8c0464019dcc45745",
+ "sha256": "1fiycjznzpv0gm41xx8xgqkzsjg04zgg6v4prlaqx4vfzh069a2k"
},
"stable": {
"version": [
@@ -36034,8 +36152,8 @@
"grammarly",
"s"
],
- "commit": "c4b3c5b4889ee719b6dd0800305f9be869cfd7ec",
- "sha256": "0sb8fvkzhc1f1p28mmplj2ld97v8lkpwz4frf62hn3jg21fzj7pk"
+ "commit": "509641db723adff48781cfaef391f87e19d043a4",
+ "sha256": "1gqd21w8n2b4yfdi46qn0q01csglw5gr1f7l8maldxff10l11fyg"
},
"stable": {
"version": [
@@ -36090,8 +36208,8 @@
"repo": "flycheck/flycheck-haskell",
"unstable": {
"version": [
- 20200927,
- 1603
+ 20210829,
+ 1143
],
"deps": [
"dash",
@@ -36100,8 +36218,8 @@
"let-alist",
"seq"
],
- "commit": "f04842252babd37c0ac60e069272a3477b538332",
- "sha256": "1icvbc3f8mfpm1p4s7qcvkl5q5p021jjinmbc5js46xgsl3bjkr6"
+ "commit": "16b748c033e30216259fa8c9c23616db36750a58",
+ "sha256": "08agklr9mw4rxr5mq9c5ynhqsjp5knc7571rnr19iziq33r8srl9"
},
"stable": {
"version": [
@@ -36413,8 +36531,8 @@
"flycheck",
"keg"
],
- "commit": "e4c7d9d8f823fa717df5f0e7039d525758429fc9",
- "sha256": "0idr47ssysz3qp2cdrciapljwm7zh76fnj3vgpz9i2wdmgr12m2d"
+ "commit": "3436d0634080f6bcbcde68dc804e6128f632a4f8",
+ "sha256": "1afa33hga4c00p086q15cahjmd2hhmnmiqa55qpadx3nvyy2rqhi"
}
},
{
@@ -36460,8 +36578,8 @@
"deps": [
"flycheck"
],
- "commit": "c4a1dd0b23b8b25ba706eed48ae7d3e97bf4f349",
- "sha256": "1zcp9brh9cygga0yflw4saf7bf503ll1l4nmhf79h039xm7p3rcz"
+ "commit": "4fcf88d131fd0e149a7f1c787c07f4e03ea24fe8",
+ "sha256": "0p1fmxgbpfh3bihpdaqd2dfsgi3s9x17nhb8439livfrjhqdhfhd"
},
"stable": {
"version": [
@@ -36514,14 +36632,14 @@
"repo": "hinrik/flycheck-lilypond",
"unstable": {
"version": [
- 20200614,
- 2104
+ 20211006,
+ 2102
],
"deps": [
"flycheck"
],
- "commit": "17133911b519be76365103dec8c10cb2f3729f1a",
- "sha256": "01486ch8vsq7kcfdpggvykbdangv2pvq2v4g9npr9izlja2kwpar"
+ "commit": "78f8c16cd67f9f6d3f1806e1fd403222723ba400",
+ "sha256": "1pasdrc17rxgqdldlv979vs5m99l0bkndpljdw6ldlx86hmflmn8"
}
},
{
@@ -36668,26 +36786,26 @@
"repo": "GyazSquare/flycheck-objc-clang",
"unstable": {
"version": [
- 20201003,
- 1053
+ 20210911,
+ 1023
],
"deps": [
"flycheck"
],
- "commit": "5e74a5a796e73fca7f3fd15986fefa56529b8e98",
- "sha256": "11sdxlqwk4wa3pgbfyxjq100yra11iya61wnx6c01n2fxmf82iih"
+ "commit": "5a441a31e58de17da94f933277150be39198d98c",
+ "sha256": "05j5bngvf3vpabjv7gcm5qar73mr1dyba7z9g1x4i385dgm97f6z"
},
"stable": {
"version": [
4,
0,
- 1
+ 2
],
"deps": [
"flycheck"
],
- "commit": "5efd0a929cefacbe1020fe1a80d27630a619a165",
- "sha256": "10cqqy78jfsmqx6m8i0xfm9iwfjffaf1c29c8918bc9hw813gpaq"
+ "commit": "5a441a31e58de17da94f933277150be39198d98c",
+ "sha256": "05j5bngvf3vpabjv7gcm5qar73mr1dyba7z9g1x4i385dgm97f6z"
}
},
{
@@ -36805,6 +36923,24 @@
"sha256": "1ccpb1jbynlqqzhsm3h7xk2s7n9nbpnnxmixz77kxskdxj5as79a"
}
},
+ {
+ "ename": "flycheck-php-noverify",
+ "commit": "5cf2435beeec24c29f96d829e58555450e6567c4",
+ "sha256": "08xcnyq76gbfmj6fgdyv0imr30axyx3pj2srjmy8rp250wsinrpv",
+ "fetcher": "github",
+ "repo": "Junker/flycheck-php-noverify",
+ "unstable": {
+ "version": [
+ 20211005,
+ 401
+ ],
+ "deps": [
+ "flycheck"
+ ],
+ "commit": "3aa3035c637eb0476f05bd0fbc66c058aa67ffb7",
+ "sha256": "1jdlsqla1ydh631wzx0pr8dy0sad6411m4dz5iwjj6552bhzx4v3"
+ }
+ },
{
"ename": "flycheck-phpstan",
"commit": "5a2b6cc39957e6d7185bd2bdfa3755e5b1f474a6",
@@ -36890,8 +37026,8 @@
"deps": [
"flycheck"
],
- "commit": "ca00e018ecb9ebea4dde7f17eadb95d755ea88ab",
- "sha256": "0j2klnv15v2gqnly5vgdrdrkccsza9mwz5c87i6qgnfawmnsh32d"
+ "commit": "3c303551cb9c317e49878cb860c6ed6d142d9613",
+ "sha256": "1mm558vjyjk5cxxwns69fh477ws02hhmh0ain46zp7qdz6h08nbk"
},
"stable": {
"version": [
@@ -37046,15 +37182,15 @@
"repo": "emacs-php/psalm.el",
"unstable": {
"version": [
- 20200510,
- 1540
+ 20211002,
+ 1555
],
"deps": [
"flycheck",
"psalm"
],
- "commit": "b2a1e8a9524b0004e62996c70da5536f86e56182",
- "sha256": "0r0qz5bdznzdj7zxq6a6fz7fwn2c978bq57yywj3fcy8f5vh8jcf"
+ "commit": "28d546a79cb865a78b94cd7e929d66d720505faa",
+ "sha256": "0r5qa0i42dkv0qrs2mksjx7w0yl98mdkg18blckk49w2gd8srdjr"
},
"stable": {
"version": [
@@ -37200,8 +37336,8 @@
"flycheck",
"rtags"
],
- "commit": "3a057f127b931c683288f8731f05ba5e2aab4133",
- "sha256": "1brf05grh0xdcjllaiixpjxmcg2j130gcrxkqm5v4ryb1w9fki7g"
+ "commit": "cdff9b47fc17710aad7815652490c3c620b5e792",
+ "sha256": "0mrb2dayd8ls56cjlp63315ai0ds09d4qsajgv5kks2gqqxbkrjb"
},
"stable": {
"version": [
@@ -37353,26 +37489,26 @@
"repo": "GyazSquare/flycheck-swift3",
"unstable": {
"version": [
- 20201003,
- 916
+ 20210910,
+ 1244
],
"deps": [
"flycheck"
],
- "commit": "f83b2bb7086e54beb2bd2df406a498927a7b2fba",
- "sha256": "04rdc8jsb8gx2bhrf7rwpyrw4pw04638j574g6614f9h1whpw9jw"
+ "commit": "54193175c87a4c0bbf7ed16a3e76d6daff35c76f",
+ "sha256": "000fp4qzmc4kbjji03lxwafyvv32r4i7adf29j9s7v7dmdljpndl"
},
"stable": {
"version": [
3,
1,
- 1
+ 2
],
"deps": [
"flycheck"
],
- "commit": "35119a559206fd62e87018c605d4f302300e831d",
- "sha256": "1m7jay1fvi2zljjd0j1ghc1n1cqpz4l8vw94jfywz4l8w0c9xbkh"
+ "commit": "54193175c87a4c0bbf7ed16a3e76d6daff35c76f",
+ "sha256": "000fp4qzmc4kbjji03lxwafyvv32r4i7adf29j9s7v7dmdljpndl"
}
},
{
@@ -37731,8 +37867,8 @@
"deps": [
"flymake"
],
- "commit": "afd458daf88f475cfacdd22375635e43a5017564",
- "sha256": "17fzs4r22nlf27xcdfj9qs337879xkk9hgq121dgxd93xy3n0ky7"
+ "commit": "0c9f3fa273cf1cea8fd64c2b3c20119e2d5c8f6e",
+ "sha256": "0vw21na55i7fxrls5b3frf2mml7nk8k6y39936r7gbnmn00dcmam"
},
"stable": {
"version": [
@@ -37952,15 +38088,15 @@
"repo": "emacs-grammarly/flymake-grammarly",
"unstable": {
"version": [
- 20210814,
- 1628
+ 20210913,
+ 1416
],
"deps": [
"grammarly",
"s"
],
- "commit": "28888bc8d1c795e1b2d798fb5c6cdcc16571c73e",
- "sha256": "0vm10sx3w3y110s0qkdiabqnf5fvfjixgnq456rbh8v30y1wgrkc"
+ "commit": "3cdf30a6d45778640252c6ab563b53382fd4a4d3",
+ "sha256": "0hbjixzzgm0jmpp5xp3407n0rm0b1iah94kzj2mqk2xrg1qmbbbk"
},
"stable": {
"version": [
@@ -38209,8 +38345,8 @@
"deps": [
"s"
],
- "commit": "5c93f538978f2d272e5210b27f5255ee87b6b61f",
- "sha256": "1awd69ns238ia27k2njlx65gkyscxzayyyx777rbmy6g259bndzq"
+ "commit": "cd6e5602e58bd9c03ec1c6a3b01c337d17ebf0fe",
+ "sha256": "1gjwxycbpvf3z332y5my6w57mjmqgs9mwfcfi0p3jdby18ykwyd2"
},
"stable": {
"version": [
@@ -39334,8 +39470,8 @@
"repo": "magit/forge",
"unstable": {
"version": [
- 20210822,
- 840
+ 20211014,
+ 1707
],
"deps": [
"closql",
@@ -39348,14 +39484,14 @@
"transient",
"yaml"
],
- "commit": "a3e6f8aab16a8213cd389fe79d8c02300d26cab7",
- "sha256": "0n6p63kfibpz4161wb2f6516ncv3h9algxlgdgrd5lsnn0ympr26"
+ "commit": "cdf34e7586a2d4edde7a6da38752741080b68233",
+ "sha256": "15zm5azgl8gyd91i40a00ih4s2iwg1r8007n2gcfnmi6m4b7s0ak"
},
"stable": {
"version": [
0,
- 2,
- 1
+ 3,
+ 0
],
"deps": [
"closql",
@@ -39365,10 +39501,11 @@
"let-alist",
"magit",
"markdown-mode",
- "transient"
+ "transient",
+ "yaml"
],
- "commit": "e7d0d759440492549db331f3c39c3cc62880118f",
- "sha256": "0j28vc0q1h36pk0y2nidnlsc2y7n0vpfrd8civiv1zp8z0jwfyc9"
+ "commit": "cdf34e7586a2d4edde7a6da38752741080b68233",
+ "sha256": "15zm5azgl8gyd91i40a00ih4s2iwg1r8007n2gcfnmi6m4b7s0ak"
}
},
{
@@ -39403,15 +39540,15 @@
"repo": "lassik/emacs-format-all-the-code",
"unstable": {
"version": [
- 20210824,
- 1659
+ 20211011,
+ 1029
],
"deps": [
"inheritenv",
"language-id"
],
- "commit": "06d4d9ee6dd79941d26798cc9754b9c9be87e932",
- "sha256": "1bcqj4v5zrqs1ysvvnvar422c3xh1n5yvl1mg7rfwybd0l5pzc80"
+ "commit": "88e095ab6f8eee9537ffad23f068ebbdee3cea31",
+ "sha256": "1z1sar005454b8lnfwmnnncpsdli13g4b1f2hvwl8c5w4z30n4g9"
},
"stable": {
"version": [
@@ -39488,11 +39625,11 @@
"repo": "larsbrinkhoff/forth-mode",
"unstable": {
"version": [
- 20210123,
- 900
+ 20210829,
+ 1824
],
- "commit": "f44fa6481ffe2b4321d462c3fab78a858f2a8ae9",
- "sha256": "08p9ddxs3ya7an2p485wrw5ywimbgnqrihriyc4aaq963zpssk2c"
+ "commit": "38d5152011ee67e0cff9d4a5ddfb1f908e5be013",
+ "sha256": "09irbi8z1p2006abl4fnkyfj3c16nzzf55wqighlc2ri2v8bbisb"
}
},
{
@@ -39548,26 +39685,26 @@
"repo": "rnkn/fountain-mode",
"unstable": {
"version": [
- 20210807,
- 106
+ 20211015,
+ 607
],
"deps": [
"seq"
],
- "commit": "7de7159a58e0594c0120d66af78f65264f61ea5f",
- "sha256": "0y7dd6qq4b95scj7fay4zzhkf0g0x89npylc4v1hz59b1yyylfqy"
+ "commit": "f370f8f2e57805b94ab61ea6997ad31e13f81f5c",
+ "sha256": "0ghxg54n09syxfnngiiil3hf1m566ajxkwi051i0m3pwfqcg6nwa"
},
"stable": {
"version": [
3,
5,
- 1
+ 3
],
"deps": [
"seq"
],
- "commit": "7de7159a58e0594c0120d66af78f65264f61ea5f",
- "sha256": "0y7dd6qq4b95scj7fay4zzhkf0g0x89npylc4v1hz59b1yyylfqy"
+ "commit": "16bc2a6a817b53ed3306a3ff3cebd271e7bf8746",
+ "sha256": "13k84dzjar67fa1ixicl6h8gxzblszd0ik8vi11bvipysgp3j3ws"
}
},
{
@@ -39629,15 +39766,14 @@
"repo": "alphapapa/frame-purpose.el",
"unstable": {
"version": [
- 20201219,
- 1340
+ 20211011,
+ 1518
],
"deps": [
- "dash",
- "dash-functional"
+ "dash"
],
- "commit": "b4a259fa077671e2dcf33d11b42955c91e395b8b",
- "sha256": "033vbvv4rrjbsfw0bbhhzx2754r96vy9h4n1y8jmxrqzs9wi5mmq"
+ "commit": "7d498147445cc0afb87b922a8225d2e163e5ed5a",
+ "sha256": "03qalcx8hbf6r0jmh7hf1r4san13fbgaaabcs4c50cam7kdv525r"
},
"stable": {
"version": [
@@ -40031,16 +40167,16 @@
"repo": "waymondo/frog-jump-buffer",
"unstable": {
"version": [
- 20210809,
- 1702
+ 20210906,
+ 1634
],
"deps": [
"avy",
"dash",
"frog-menu"
],
- "commit": "bed6c483445017698a1ec27fc61edeffefc004b2",
- "sha256": "0yriw08f9crl2basr1a06m73kln8qk1w0n1ljcr4zr6j7ya1fcdf"
+ "commit": "387fa2a61a9e4b50701aece19dd798361f51d366",
+ "sha256": "104nhnix34ymkkgdvxn612d1k4iy95swrmb5isknd48c5mys94gq"
}
},
{
@@ -40105,8 +40241,8 @@
"deps": [
"s"
],
- "commit": "c90d762c0692cc43032291d37b8ca3201c3d49bd",
- "sha256": "1zavk5aykd04143jqsyygnlxn4n86qvjcg267h9kiihsr8wh108r"
+ "commit": "882d70dde3c066947b0acc51c72ff2f3a903f100",
+ "sha256": "08kpjxqvlpid48dhkl6d1nr76kj7l9f1a3sgrkc5ha52k26m5nkn"
},
"stable": {
"version": [
@@ -40391,11 +40527,11 @@
"repo": "10sr/fuzzy-finder-el",
"unstable": {
"version": [
- 20200909,
- 907
+ 20210906,
+ 217
],
- "commit": "c19235a35db076eebb5ad31fb42daf6520620f6d",
- "sha256": "0nwbvgj2z15g88d9mgbc408xhsf3wx8r1ky70cgn7kqfv4wvd25n"
+ "commit": "915a281fc8e50df84dcc205f9357e8314d60fa54",
+ "sha256": "15b6nbkv8xpvin8i1443s1mnpag5p33asgwpxijrmwp3xm2xkyl6"
},
"stable": {
"version": [
@@ -40507,11 +40643,11 @@
"repo": "bling/fzf.el",
"unstable": {
"version": [
- 20210619,
- 1421
+ 20210826,
+ 140
],
- "commit": "c975001725e4b7f58dd9379a64c170f07734af59",
- "sha256": "01d303vbn7vvhl1g1wpmpy90h5vaj3yimzgmhnmswc2qc2s3cnhq"
+ "commit": "e045e7ede6a3d5f792cd11efe5e83cf60d8081bb",
+ "sha256": "0y4abgwcb28dpnzqcl2dylymnkg1v91nrnpsrly0dp8bf0aiafrq"
},
"stable": {
"version": [
@@ -40674,8 +40810,8 @@
20210328,
2037
],
- "commit": "b7bfa6a3b294039f5093f85e4ff809ff05333abd",
- "sha256": "1197cvf42b3191vd01gv5jj0781954p3b6w4clcxb1c5wxxlb07b"
+ "commit": "4badcf6a0c951daba4d7259db3913b78254c0423",
+ "sha256": "0m2nqgv6k5ficqym5z453ni12bncxyi5xhxx1dii4vfckx80b1n6"
},
"stable": {
"version": [
@@ -40695,14 +40831,14 @@
"repo": "ahungry/geben",
"unstable": {
"version": [
- 20170801,
- 1251
+ 20210830,
+ 422
],
"deps": [
"cl-lib"
],
- "commit": "ec3f5e9376cf1ea5615990bd8c212543d57f033b",
- "sha256": "0860nnarbm76jp40v7p5d2wdnq12p03paiw17g3h5p27wnaj611d"
+ "commit": "d3706387ed25b3037338572f3968b4cc2d8825a0",
+ "sha256": "05kvg13mknn4xgzik637kgg5qa7qhz3626v2ny2p86lga1pzm3yq"
},
"stable": {
"version": [
@@ -40772,19 +40908,19 @@
"repo": "emacs-geiser/geiser",
"unstable": {
"version": [
- 20210808,
- 16
+ 20211003,
+ 2152
],
- "commit": "77d4c3a91c0acdb16cefa8a3e0efac3435aebdc0",
- "sha256": "07g1zlf9kmfish2wa6m376xba0nv6n4spw8wbmr90a56xj0qpswc"
+ "commit": "d5cdad7f3eb44cec434610846cf78f2ad272089b",
+ "sha256": "1dd1jqfnwghqhsm2r5akqq1s4d621rd5rh93rxdqix2xg0nr9yp6"
},
"stable": {
"version": [
0,
- 17
+ 18
],
- "commit": "77d4c3a91c0acdb16cefa8a3e0efac3435aebdc0",
- "sha256": "07g1zlf9kmfish2wa6m376xba0nv6n4spw8wbmr90a56xj0qpswc"
+ "commit": "d5cdad7f3eb44cec434610846cf78f2ad272089b",
+ "sha256": "1dd1jqfnwghqhsm2r5akqq1s4d621rd5rh93rxdqix2xg0nr9yp6"
}
},
{
@@ -40911,14 +41047,14 @@
"repo": "emacs-geiser/gauche",
"unstable": {
"version": [
- 20200802,
- 1300
+ 20210911,
+ 1041
],
"deps": [
"geiser"
],
- "commit": "66e51430bded0f0e2037f474818a7bbaafb2906c",
- "sha256": "1gsvl0r6r385lkv0z4gkxirz9as6k0ghmk402zsyz8gvdpl0f3jw"
+ "commit": "fd52cbaed9b0a0d0f10e87674b5747e5ee44ebc9",
+ "sha256": "1sv1a6lhxn8xhbgajz2knrblnaaryp3fz4yw19ggzdx4r30k278y"
},
"stable": {
"version": [
@@ -40966,14 +41102,14 @@
"repo": "emacs-geiser/kawa",
"unstable": {
"version": [
- 20210427,
- 1626
+ 20210920,
+ 1607
],
"deps": [
"geiser"
],
- "commit": "3d999a33deedd62dae60f3f7cedfbdb715587ea7",
- "sha256": "1i4ywb4ggq884p2lbpmp6y53l8ys5ajma7sk21zxi1jx28nb01nm"
+ "commit": "5896b19642923f74f718eb68d447560b2d26d797",
+ "sha256": "1vv8i3qqk8690p4cpklvy7g3alh5fb3v7h3b91dj1gardzf0vwpf"
},
"stable": {
"version": [
@@ -41082,11 +41218,11 @@
"url": "https://git.carcosa.net/jmcbray/gemini.el.git",
"unstable": {
"version": [
- 20210611,
- 1833
+ 20210909,
+ 1442
],
- "commit": "97e096ab2400bbe3c0f6d19fb49bd952f2f14e03",
- "sha256": "1l092mhpv8dg00ln4yv040kmha7556klm5bqfdvc9ysjnfiwprkd"
+ "commit": "60bd07b3a1e532c950c132673777ceb635c9960d",
+ "sha256": "1dj6bmlrqkqvykasdav9f4jw8aykqj6c0jr09r9x4sb2w0pcd9ik"
},
"stable": {
"version": [
@@ -41098,6 +41234,25 @@
"sha256": "0fiix0ssaannim5kxpckhd5z6fssij3igv1dg9y7143dzxf274zz"
}
},
+ {
+ "ename": "gemini-write",
+ "commit": "e97c45cafc44a4b2f08e577325e375c6312f6557",
+ "sha256": "039rdjsyx9lw7lh21ps84agm1rpinbylzlks6iv1h5pn341s67nd",
+ "fetcher": "git",
+ "url": "https://alexschroeder.ch/cgit/gemini-write",
+ "unstable": {
+ "version": [
+ 20211009,
+ 2110
+ ],
+ "deps": [
+ "elpher",
+ "gemini-mode"
+ ],
+ "commit": "7e1fe7d4f2c65c0854eb571edc78e5a45d7078de",
+ "sha256": "0p1ch44w7sn73p87a7k47drgdj4sam961arfr4k0ii4fny54cyip"
+ }
+ },
{
"ename": "general",
"commit": "d86383b443622d78f6d8ff7b8ac74c8d72879d26",
@@ -41106,14 +41261,14 @@
"repo": "noctuid/general.el",
"unstable": {
"version": [
- 20200516,
- 50
+ 20211008,
+ 1651
],
"deps": [
"cl-lib"
],
- "commit": "a0b17d207badf462311b2eef7c065b884462cb7c",
- "sha256": "0wn5rk3gkimdklip392mnjrmkymgrb7q9skifi03cbpjam1anzvv"
+ "commit": "26f1d4c4e258c40e6b70ce831a04800c914f29b3",
+ "sha256": "16rjsmmhjjx4mch1aygrxqj3pr5c4xxqzf21qvr6s4c9yk6ayx1f"
}
},
{
@@ -41223,6 +41378,21 @@
"sha256": "0344w4sbd6wlgl13j163v0hzjw9nwhvpr5s7658xsdd90wp4i701"
}
},
+ {
+ "ename": "germanium",
+ "commit": "6cc59833eeabaa1bb4347f158e8794683dc3a15e",
+ "sha256": "0g5lqdgnv720l0s41ql5jx3gvzckw0fhgdmn3f46hckwpvvhrmah",
+ "fetcher": "github",
+ "repo": "matsuyoshi30/germanium-el",
+ "unstable": {
+ "version": [
+ 20210912,
+ 1407
+ ],
+ "commit": "22e7aac319f45b45c884d504f060f27b2dae159f",
+ "sha256": "010sn05dpscj8nikr8hgvyybqdya6597kvh9a0ck1a4papqncbvm"
+ }
+ },
{
"ename": "gerrit",
"commit": "3b966a2476cf10234686e49d808bcbabe0686891",
@@ -41231,8 +41401,8 @@
"repo": "thisch/gerrit.el",
"unstable": {
"version": [
- 20210620,
- 334
+ 20211005,
+ 605
],
"deps": [
"dash",
@@ -41240,8 +41410,8 @@
"magit",
"s"
],
- "commit": "ac555ccec74c48297bac0944a207e5b8aceac49e",
- "sha256": "053gn6ja80810y4a2dayz3xy1bmzb7w06lvf87difa0nhm3mr54g"
+ "commit": "ba1e4423ed08abc2f427afd60216dc586a931075",
+ "sha256": "09bfjahnxhbablrjrwkc4mm1sfxxk1nkl4ws2dy8dz55dqhjyiic"
}
},
{
@@ -41324,11 +41494,11 @@
"repo": "leoliu/ggtags",
"unstable": {
"version": [
- 20190320,
- 2208
+ 20211008,
+ 528
],
- "commit": "1c43705753e639b34b58c9bf961a80b6610a7109",
- "sha256": "15hv3d4wc32nidi0pl73i2v673s2lipzsy8llqy6asls2y268qgz"
+ "commit": "1442ab8c7f02d246f14150207534fba4a42201ba",
+ "sha256": "0bibr36b9cxxx5qgi27srfj21mv272npy5w1krrgmn0521qd07w1"
},
"stable": {
"version": [
@@ -41499,28 +41669,28 @@
"repo": "magit/ghub",
"unstable": {
"version": [
- 20210727,
- 1414
+ 20211001,
+ 2224
],
"deps": [
"let-alist",
"treepy"
],
- "commit": "00a77b79c28e22db1b151c3f7857073ccbeff726",
- "sha256": "0qrp2n53fhvwr5ndnmfzh841g88hzmcgz3i54hbcqq1gj6vwqd7f"
+ "commit": "192eff9da2c0f61813f3bc9c00913985c1804180",
+ "sha256": "1bc5z63ylb0ir5v9qngyl50svmlfd6hx9lv1ladwywncdpsslls8"
},
"stable": {
"version": [
3,
5,
- 3
+ 4
],
"deps": [
"let-alist",
"treepy"
],
- "commit": "ae59388adbba32fa00e39f3323fe69367739ee6f",
- "sha256": "1sn7rzfkm75vj3whhisrjk1s34lz6hc08hmf4nnznbdvyimnd013"
+ "commit": "192eff9da2c0f61813f3bc9c00913985c1804180",
+ "sha256": "1bc5z63ylb0ir5v9qngyl50svmlfd6hx9lv1ladwywncdpsslls8"
}
},
{
@@ -41859,36 +42029,36 @@
},
{
"ename": "git-commit",
- "commit": "2571aed58ce9c4df998588cbcffdde704f4dce54",
- "sha256": "171spbjdgnphv1d108y2ivf09yaqfpg61sjc7gs62cwld4krk4l6",
+ "commit": "cca2c57104e14cb0c47e27d7fe4b437b38009a5c",
+ "sha256": "0j4z9pmkbn366gqc9521dix5g5capqw4r6prjfxc6g0vlnzan30g",
"fetcher": "github",
"repo": "magit/magit",
"unstable": {
"version": [
- 20210806,
- 1607
+ 20211010,
+ 1635
],
"deps": [
"dash",
"transient",
"with-editor"
],
- "commit": "5a0cf9aaa9acf53c68c0fe98883c081aa5e29dd3",
- "sha256": "16ihqh9aqjgjs14p5i17bw0wrcc1kpzvj62fn7bk8almdy6ph4dn"
+ "commit": "348d9b98614c824be3e2f05eef5ab91d67f6695e",
+ "sha256": "0rrrm202lxmdxld2nlp7ap89h2m1qkl1rpks8hzq2b9bzbniln95"
},
"stable": {
"version": [
3,
- 2,
- 1
+ 3,
+ 0
],
"deps": [
"dash",
"transient",
"with-editor"
],
- "commit": "b70f660e36c024fa9319ea0e2977e45ef3c6f3ac",
- "sha256": "179mgh8l5p7fhfmbg5rz810mhbzsxqsxd66jdb2a68vsazs1jw2m"
+ "commit": "f44f6c14500476d918e9c01de8449edb20af4113",
+ "sha256": "0cxyvp2aav27znc7mf6c83q5pddpdniaqkrxn1r8dbgr540qmnpn"
}
},
{
@@ -41968,11 +42138,11 @@
"repo": "emacsorphanage/git-gutter",
"unstable": {
"version": [
- 20210730,
- 429
+ 20211002,
+ 2345
],
- "commit": "1003c8cbe2367482ad02422ace0a85a7d56d01d3",
- "sha256": "1w2rlxn731jly7v8ks0w670i6351darkh75dvczic50116hfnwnv"
+ "commit": "c1e51865eb26739052035177846f53476c8605da",
+ "sha256": "00rldkgmrjzr8nc3mngfh4vjscsdswqfqzrdwxmwmc0m3kx2yppz"
},
"stable": {
"version": [
@@ -42021,16 +42191,16 @@
"repo": "emacsorphanage/git-gutter-fringe",
"unstable": {
"version": [
- 20200323,
- 2249
+ 20211003,
+ 2228
],
"deps": [
"cl-lib",
"fringe-helper",
"git-gutter"
],
- "commit": "4f19866494fa1debfa319382913e39a153431634",
- "sha256": "02gbpgizlsmip2xwn79mb6yhdms38m589kcd6m95izj4ycyhwmdv"
+ "commit": "648cb5b57faec55711803cdc9434e55a733c3eba",
+ "sha256": "13bqq5r8ys2mmw1ffsm6hn6fji0vq3nx3slw98c9dgbvlprkaiip"
},
"stable": {
"version": [
@@ -42085,30 +42255,30 @@
"repo": "akirak/git-identity.el",
"unstable": {
"version": [
- 20210730,
- 1037
+ 20210905,
+ 1208
],
"deps": [
"dash",
"f",
"hydra"
],
- "commit": "07f0846fcc92b6c2fdd61db8aa959effd0e23d9d",
- "sha256": "1bk1cvll02348d7dwq6dzdm0ykbxf63p16jq8j07q148qm07yf0h"
+ "commit": "e2620767694d8cd2860b632c47fbe92e20a9ef14",
+ "sha256": "1wyfszd9jzg6c7lbl2rw7xpbr6fr4rj70h0jyqnwz081j9w92bb1"
},
"stable": {
"version": [
0,
- 1,
- 1
+ 2,
+ 0
],
"deps": [
"dash",
"f",
"hydra"
],
- "commit": "d5b8dcfc9f93aecfcd9c6fb212742c165d48173f",
- "sha256": "1dblc0vlnkm1b16pgi40yr21wh45larb7818l9q8p9nbpxcjinh2"
+ "commit": "e2620767694d8cd2860b632c47fbe92e20a9ef14",
+ "sha256": "1wyfszd9jzg6c7lbl2rw7xpbr6fr4rj70h0jyqnwz081j9w92bb1"
}
},
{
@@ -42188,8 +42358,8 @@
"deps": [
"popup"
],
- "commit": "a69b6f359bd34b77335619103c82cef07ecdbc7c",
- "sha256": "0wbinfl3jvkpcky7j6rvw66dnw1k2yjb7jq41kxqnsb5l6qllkaw"
+ "commit": "eade986ef529aa2dac6944ad61b18de55cee0b76",
+ "sha256": "1ffy3im4rj9z85mx8ik6r55srhpj4ldgphgzdgf1vj9i3r2d5pcp"
},
"stable": {
"version": [
@@ -42608,8 +42778,8 @@
"repo": "charignon/github-review",
"unstable": {
"version": [
- 20210314,
- 2203
+ 20211011,
+ 1933
],
"deps": [
"a",
@@ -42618,8 +42788,8 @@
"ghub",
"s"
],
- "commit": "341b7a1352e4ee1f1119756360ac0714abbaf460",
- "sha256": "15lqiknl7ilskpy1s9qdfi0qjvrkvmj8nw110cf8ifwvvyxyqbhb"
+ "commit": "2a24e75dfc2d9f37789ff60b4c10deb7c96f3f88",
+ "sha256": "1mahd3kg5rr6jf1x3ixjvhgkv9c8fq8mxvikrmpjciari05sd58y"
}
},
{
@@ -42991,15 +43161,15 @@
"repo": "Kinneyzhang/gkroam",
"unstable": {
"version": [
- 20201204,
- 917
+ 20210914,
+ 1311
],
"deps": [
"company",
"db"
],
- "commit": "b40555f45a844b8fefc419cd43dc9bf63205a0b4",
- "sha256": "072r4q03ddy4mkqqlvhsgjh6i5pcjkgzvpv8n7433qgxgdbyhwaa"
+ "commit": "a9c9034a8fa3c08ec3097ae40e227d400d766db9",
+ "sha256": "1fc8srqvygiv3h2hw31vy20ip6kbm2m1aq5imx01fw9qig7xfv47"
},
"stable": {
"version": [
@@ -43282,11 +43452,11 @@
"repo": "lokedhs/gnu-apl-mode",
"unstable": {
"version": [
- 20200108,
- 1633
+ 20211012,
+ 1139
],
- "commit": "7f84eb307e9765fadcd1e0a9201ae562724ef1c9",
- "sha256": "0aqlgsl19p2vlagdvahxxhqdcvmr8g7wlziwjvm2pina3lqfw4wc"
+ "commit": "5d998206a963f2205dc6c4eddb41fb34187cb527",
+ "sha256": "1yzyq8k770j78f2gkkr1n1d5jpdfmj9l3w40pjd7fmn94xg3qw9a"
}
},
{
@@ -43422,11 +43592,11 @@
"repo": "unhammer/gnus-recent",
"unstable": {
"version": [
- 20210604,
- 720
+ 20210920,
+ 902
],
- "commit": "09b9e96f8e0ab006d9cfe8f5ab000ce7e50ef4de",
- "sha256": "0qsnfiqcivy7czg2j7kdsifz7p5nid1zvw6zdnaihghzdxa1w1ia"
+ "commit": "dfa0e687601e78d6be82530413cb00edb1a39889",
+ "sha256": "021rq3qp3544abqzr8cdsblpqh8yh2ss3f9gsf5sifckz7127h0s"
},
"stable": {
"version": [
@@ -43570,20 +43740,20 @@
},
{
"ename": "go-autocomplete",
- "commit": "ef45683cbfe82bf8a9d6f3f1c59e3cf340accbe3",
- "sha256": "15ns1zzw6kblcbih7dmjvk1p0f6f3p2wpgx4gnd9ax0fcj65ghwi",
+ "commit": "552d033e573ff96a60a37d588a6c544a9263bf05",
+ "sha256": "1b9csrd2wacvp1j16vzwkikyv303axq0jmlw47vxggp86cfw6q0d",
"fetcher": "github",
- "repo": "mdempsky/gocode",
+ "repo": "emacsattic/go-autocomplete",
"unstable": {
"version": [
- 20150904,
- 240
+ 20170626,
+ 1023
],
"deps": [
"auto-complete"
],
- "commit": "4acdcbdea79de6b3dee1c637eca5cbea0fdbe37c",
- "sha256": "0i1hc089gb6a4mcgg56vn5l0q96wrlza2n08l4349s3dc2j559fb"
+ "commit": "5327738ec1be51061a3f31010c89bdd4924ca496",
+ "sha256": "0a5zga3jxs4pidcakd88im9ddin8xfn7y6xjp27x645fm5in4j05"
},
"stable": {
"version": [
@@ -43654,26 +43824,26 @@
"repo": "benma/go-dlv.el",
"unstable": {
"version": [
- 20200713,
- 1202
+ 20211015,
+ 816
],
"deps": [
"go-mode"
],
- "commit": "69b86c1bdb73d78fb3404f2f1eefbc9a93b1aba6",
- "sha256": "1ww91sw15qnwrglz9q37vxm5qxxa43ccpyczz7kkjp66qs6746wh"
+ "commit": "8811c0aa79fcbc0d495ed8c68f49a3c42d1a0d4b",
+ "sha256": "1h4p0i13fxsr4rgxh4grn5p24sbwb9c6mszwdajnlf8yjz65prf9"
},
"stable": {
"version": [
0,
- 4,
+ 5,
0
],
"deps": [
"go-mode"
],
- "commit": "69b86c1bdb73d78fb3404f2f1eefbc9a93b1aba6",
- "sha256": "1ww91sw15qnwrglz9q37vxm5qxxa43ccpyczz7kkjp66qs6746wh"
+ "commit": "8811c0aa79fcbc0d495ed8c68f49a3c42d1a0d4b",
+ "sha256": "1h4p0i13fxsr4rgxh4grn5p24sbwb9c6mszwdajnlf8yjz65prf9"
}
},
{
@@ -44151,19 +44321,19 @@
"repo": "lorniu/go-translate",
"unstable": {
"version": [
- 20210527,
- 1257
+ 20211014,
+ 1322
],
- "commit": "7a9b7978057bf747ed06fa6c9d2f30047714aa05",
- "sha256": "1wydx9ak09dfmvqvvkdd5zdzablj8rhisk3im1f41a4hgiba80hr"
+ "commit": "ce730f126a63eae77bc9585f75fe1dce968d9a2f",
+ "sha256": "1r4x1v5j67k7idsy6vidc367kn2iznwdmlhx6h6lpnqv0l36n6py"
},
"stable": {
"version": [
0,
- 1
+ 2
],
- "commit": "27d9218aa10dc361aa89f666f91aea7fdfb43d1f",
- "sha256": "0jgicsv8102pk340fn122w0hbhvac2nqcpr16lj7ccjg44qmnrdw"
+ "commit": "b3174e09a03954b1423c4ea2f2936f9fcd94f381",
+ "sha256": "054l7m9slhznpfkixrnk6n5h8rc9x7gjsahizxvkd73q0jvgqxgn"
}
},
{
@@ -44416,8 +44586,8 @@
20180130,
1736
],
- "commit": "d88a5b7b59948d23977942ee62037e8912ff68ce",
- "sha256": "1k29za2g3b10jy3nlkg09h5jn8d25w9yghrmz8cvm8zghxkqi2m7"
+ "commit": "9a6a5d3db386f1ebc6ad4a47a719cc92d2f34464",
+ "sha256": "103kz081y15jcajmkaqaxc57gx8v0aypa91ql8gjwjx5hapawhvx"
}
},
{
@@ -44659,8 +44829,8 @@
20210323,
332
],
- "commit": "1ed2df72f495784a2eccbe61de5f1b01b854fbea",
- "sha256": "0hr6yhsr2x745i1q9sywvgr8xwvnpc05lr3zi84gci0frlab92d9"
+ "commit": "51a66148c31f0ee7fdcc7aa554ae42e9c4db876c",
+ "sha256": "1g5ccjlqrgwifnsxq995isd09h7dx182ii0gxb5536dp26cd0464"
},
"stable": {
"version": [
@@ -44746,8 +44916,8 @@
20210323,
422
],
- "commit": "4cef6cab89eab5906330412efee6a3d9564f6e14",
- "sha256": "02hywgvy9d0mhan595jgc2x6vqy428hi9ha9zybiz1hl2394xila"
+ "commit": "0af704e85d3b15ecb8c45b2f48ed9a34a375a2fe",
+ "sha256": "05h7jzm31b139vsv1175ck0nk33wim63k01x42dn6ffmlgkvc8lc"
},
"stable": {
"version": [
@@ -44776,14 +44946,14 @@
"magit-popup",
"s"
],
- "commit": "ec5a4a7c63275875655d0fb57962ccefd68d39e5",
- "sha256": "0zmam6s2vpdl88qd3h863gzy25m0g6bkmzrgib00807sj2i42704"
+ "commit": "086bb561d0544da01690d1028e6fd23c7c943573",
+ "sha256": "1ad9q4rv7c13ls1c9z5vdshcj8y6723phlkpzgq0aicw9fxvi36m"
},
"stable": {
"version": [
0,
- 26,
- 1
+ 27,
+ 0
],
"deps": [
"dash",
@@ -44791,8 +44961,8 @@
"magit-popup",
"s"
],
- "commit": "ec5a4a7c63275875655d0fb57962ccefd68d39e5",
- "sha256": "0zmam6s2vpdl88qd3h863gzy25m0g6bkmzrgib00807sj2i42704"
+ "commit": "086bb561d0544da01690d1028e6fd23c7c943573",
+ "sha256": "1ad9q4rv7c13ls1c9z5vdshcj8y6723phlkpzgq0aicw9fxvi36m"
}
},
{
@@ -44951,16 +45121,16 @@
20160504,
911
],
- "commit": "99eaf70720e4a6337fbd5acb68ae45cc1779bdc4",
- "sha256": "1jpfyqnqd8nj0g8xbiw4ar2qzxx3pvhwibr6hdzhyy9mmc4yzdgk"
+ "commit": "84f89b68ec8f79bce0b3f5b29af155a85124e3a6",
+ "sha256": "1pfaan0chvxpvf1zp3inpx47smm7rb22q4cklhw27n70cqh6qix5"
},
"stable": {
"version": [
2,
- 0
+ 1
],
- "commit": "d7b362e6186d263ec3eefc141dbb5b27a8773f24",
- "sha256": "0c1d4cbnlny8gpcd20zr1wxx6ggf28jgh7sgd5r1skpsvjpbfqx2"
+ "commit": "99eaf70720e4a6337fbd5acb68ae45cc1779bdc4",
+ "sha256": "1jpfyqnqd8nj0g8xbiw4ar2qzxx3pvhwibr6hdzhyy9mmc4yzdgk"
}
},
{
@@ -45011,8 +45181,8 @@
"s",
"websocket"
],
- "commit": "46e802631a136cf356f5563005c9f9f5dedd09ed",
- "sha256": "01vw411ngj325q1irhkx3fmf7g0mh99yrc72cxz3275mnc0dpdpj"
+ "commit": "e0ae37f23a34ff0b7959963314410f30d75dddb1",
+ "sha256": "0pjvlamld25rbphpnwjyvfscmk7im6qvj9cgy8gd8d7hlzch49cv"
},
"stable": {
"version": [
@@ -45190,11 +45360,11 @@
"repo": "davazp/graphql-mode",
"unstable": {
"version": [
- 20201001,
- 2113
+ 20210912,
+ 1544
],
- "commit": "2371316a750b807de941184d49ca19d277ecadcd",
- "sha256": "07k0r4khzx58m6bb13lsczlxakzipl9zxn68ymag4ibim5wf2j3n"
+ "commit": "1912bd08f558e4609f4dd30ba91181b6ce7f69d9",
+ "sha256": "0938cb40i5gs8sqksn2k1zpjm1g9a989dm7fb80dzm71r32y596n"
}
},
{
@@ -45504,26 +45674,27 @@
"repo": "Groovy-Emacs-Modes/groovy-emacs-modes",
"unstable": {
"version": [
- 20210510,
- 317
+ 20210831,
+ 1601
],
"deps": [
"dash",
"s"
],
- "commit": "99eaf70720e4a6337fbd5acb68ae45cc1779bdc4",
- "sha256": "1jpfyqnqd8nj0g8xbiw4ar2qzxx3pvhwibr6hdzhyy9mmc4yzdgk"
+ "commit": "84f89b68ec8f79bce0b3f5b29af155a85124e3a6",
+ "sha256": "1pfaan0chvxpvf1zp3inpx47smm7rb22q4cklhw27n70cqh6qix5"
},
"stable": {
"version": [
2,
- 0
+ 1
],
"deps": [
+ "dash",
"s"
],
- "commit": "d7b362e6186d263ec3eefc141dbb5b27a8773f24",
- "sha256": "0c1d4cbnlny8gpcd20zr1wxx6ggf28jgh7sgd5r1skpsvjpbfqx2"
+ "commit": "99eaf70720e4a6337fbd5acb68ae45cc1779bdc4",
+ "sha256": "1jpfyqnqd8nj0g8xbiw4ar2qzxx3pvhwibr6hdzhyy9mmc4yzdgk"
}
},
{
@@ -45534,11 +45705,11 @@
"repo": "rexim/gruber-darker-theme",
"unstable": {
"version": [
- 20200227,
- 2238
+ 20210921,
+ 1408
],
- "commit": "7f95ce96079eb22b9214435ed25c5af98f60b482",
- "sha256": "1zdqbjhcb8b1f4szzjmkzhpxcg17dqfp91882h95f1x9c2an5gdw"
+ "commit": "091515cee37e586f2028d1226f5ec40e2080f2f9",
+ "sha256": "0dp2c97rww8brpw933szfcgdvxaxnq748bs274favsq9ikm12708"
},
"stable": {
"version": [
@@ -45557,11 +45728,11 @@
"repo": "ROCKTAKEY/grugru",
"unstable": {
"version": [
- 20210617,
- 1028
+ 20211008,
+ 1720
],
- "commit": "7efb041b826f15b10aa9cfb67b971fdc41064980",
- "sha256": "175gfhi1621pclwvhbz2a8rramfb47v353x5hxjys1b0p848yk1l"
+ "commit": "856d66a65a75fd9906c47a930a8ee584bdef4077",
+ "sha256": "1w4qcvmkwqz0kmzrqidrlr6mga8fi6khwdq2w5nzr31g915n2cv0"
},
"stable": {
"version": [
@@ -45922,11 +46093,11 @@
"repo": "Overdr0ne/gumshoe",
"unstable": {
"version": [
- 20210812,
- 1631
+ 20211015,
+ 1752
],
- "commit": "35a4b0f45437309a10e2c72e523012c2e2eded07",
- "sha256": "16h691h1078m5gm1xqypiapr671i7phsc3kl196m8n3dqypwjj9m"
+ "commit": "e530afd2e42bc560b3236cebeabdeaef0e33faca",
+ "sha256": "10x8k19sf4jgbwxl0akzm3s47z8h78r83yb7512l62s2an3wi2g7"
}
},
{
@@ -46061,25 +46232,25 @@
"repo": "hhvm/hack-mode",
"unstable": {
"version": [
- 20210519,
- 108
+ 20211005,
+ 25
],
"deps": [
"s"
],
- "commit": "f9315be69954b95b6a3ceaa37f31a88f8369a59f",
- "sha256": "060cp88snnp9059b382nkfbj8b5p5wm360vjrcrpny08d0dfj7pj"
+ "commit": "211b5a8f43b852e9e73de83013f51cb01855a530",
+ "sha256": "1s9ab1ca072hi2bg7zfzsqwz8md23jd78ky9h9jjra1a75lfbgxb"
},
"stable": {
"version": [
1,
- 1
+ 2
],
"deps": [
"s"
],
- "commit": "4e50d9f46b044c0d885af3a486bf6275c121f29e",
- "sha256": "1s06m8bam7wlhqw0gbc443lfrz51mj05pzvbmjzqadqn4240v4jw"
+ "commit": "28b6d43bbd8bb81f101ea4e95be2e40260c02ae8",
+ "sha256": "0vcv66413i93mi1w7jszkxb47dfjw1ngdwysjlpw5wzl8xkwrcm1"
}
},
{
@@ -46117,8 +46288,8 @@
20210226,
1226
],
- "commit": "cea521750eddb3a70ccd38789d12b09bbdc7e906",
- "sha256": "0mc9v8az97kap11f8np55xkbrl4mbiy6jfg76jaagkdsfizqpx5a"
+ "commit": "ccfa75c0b3d67201cdf0f2324f311544ade498db",
+ "sha256": "0cssj9ql66l842kv5lnkp26cf5r21a0b71l3bypv671jxqsc5l2h"
},
"stable": {
"version": [
@@ -46509,11 +46680,11 @@
"repo": "haskell/haskell-mode",
"unstable": {
"version": [
- 20210816,
- 716
+ 20210908,
+ 1543
],
- "commit": "333205066754348b3dd47c5ce834757dd1bbdf48",
- "sha256": "1dd79bhvqcz2jwwki6q99815a99agadqk2dbbn7ib4s135xy4fyb"
+ "commit": "8402caa341d90b4236f5c0a802751f9023ccfbe7",
+ "sha256": "05pp38r8gb94w8gxnm3rkrawa7d73538lpz7lwccmlr83pvpl0cb"
},
"stable": {
"version": [
@@ -46655,6 +46826,36 @@
"sha256": "1j9cvy95wnmssg68y7hcjr0fh117ix1ypa0k7rxqn84na7hyhdpl"
}
},
+ {
+ "ename": "hass",
+ "commit": "d9f55bfa87d6fbaeafe713f8862369ea013a0c67",
+ "sha256": "1jmxngfjad8vqd6abgqhf2a8x3vysxfhwk4qs0c327qfazmd7vq3",
+ "fetcher": "github",
+ "repo": "purplg/hass",
+ "unstable": {
+ "version": [
+ 20210913,
+ 2051
+ ],
+ "deps": [
+ "request"
+ ],
+ "commit": "1a9d6dd6ce52938a5e5aa34d737ea5eab8f4c193",
+ "sha256": "0hs7qfd6ns7lsvcnh12z8yq171yhj2l4qj32m3xq9qrmimzdc9g9"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 2,
+ 1
+ ],
+ "deps": [
+ "request"
+ ],
+ "commit": "7b068b91f99ac37c36ad9785863bb2e626179a8b",
+ "sha256": "0w7q0394q52bxhmn1f72dmfrisg03y6j35hp0rsb2i7rqzv8fdkp"
+ }
+ },
{
"ename": "haste",
"commit": "855ea20024b606314f8590129259747cac0bcc97",
@@ -46784,8 +46985,8 @@
20200315,
2129
],
- "commit": "e12b1df2ca28d2b06c471cd709c038a2dc0bcdbd",
- "sha256": "05j97g2l4rdx35a435xpdpq1ixgf9j94828fx4yhh4g60fjwwb82"
+ "commit": "e4d9eef631e8a386341ae8f94f7c2579586e65b5",
+ "sha256": "19xdag5qn3sgp30xdpannb9qa36jy6hl5n7pf866ir4l4lgpz6nx"
},
"stable": {
"version": [
@@ -46843,16 +47044,16 @@
"repo": "emacs-helm/helm",
"unstable": {
"version": [
- 20210822,
- 1700
+ 20211013,
+ 727
],
"deps": [
"async",
"helm-core",
"popup"
],
- "commit": "64b8def85cab9550db0cff3eebaec09d80793a8c",
- "sha256": "0bv0mb2dmw84jgmjr79r60276xcxw5lszw3k4a70d1fshmsc0sds"
+ "commit": "a86bcc99dcd45cd898a497715b4333d118a3c6f2",
+ "sha256": "0bf3cx7w11h7dwjys3gb62p8mfl586qnf86jlsrzhvsg0dm56b5f"
},
"stable": {
"version": [
@@ -47145,8 +47346,8 @@
"cl-lib",
"helm"
],
- "commit": "12079bb09f203dda5cc2dd003bd60a6ad490f762",
- "sha256": "11y1yif6z26cc502s72p310z9m6130p5kyqb2py74r3x0k0nc61s"
+ "commit": "bb47f355b0da8518aa3fb516019120c14c8747c9",
+ "sha256": "10y6k1jch43jykd8g8xi10k8wq98x2w2xap64smrhxvgp53y2765"
},
"stable": {
"version": [
@@ -47314,8 +47515,8 @@
"bufler",
"helm"
],
- "commit": "b951e621bc4a4bb07babf8b32dc318d91ae261c9",
- "sha256": "14d2mcx6ppjzkpv63m7iir0j2dn549gkxr30bxx8qvc1v7r7r6wn"
+ "commit": "a68e0eb2719c67ab8a3ad56c4036364061d06004",
+ "sha256": "155g4p2yw88cpc8ydfzybc4r6ab2qwcmzdwkrrhnra4psimahjq6"
},
"stable": {
"version": [
@@ -47751,14 +47952,14 @@
"repo": "emacs-helm/helm",
"unstable": {
"version": [
- 20210822,
- 952
+ 20211013,
+ 445
],
"deps": [
"async"
],
- "commit": "64b8def85cab9550db0cff3eebaec09d80793a8c",
- "sha256": "0bv0mb2dmw84jgmjr79r60276xcxw5lszw3k4a70d1fshmsc0sds"
+ "commit": "a86bcc99dcd45cd898a497715b4333d118a3c6f2",
+ "sha256": "0bf3cx7w11h7dwjys3gb62p8mfl586qnf86jlsrzhvsg0dm56b5f"
},
"stable": {
"version": [
@@ -48059,8 +48260,8 @@
"dogears",
"helm"
],
- "commit": "c0fa3f6318c660234e77b108f8486dfc39869071",
- "sha256": "0bafs58xrlwk2f8swykwhvs1wilvbm2593gjmvdrwhy4hwg0n6f2"
+ "commit": "c05b69e504a538c9e00fbb0ea86934fafe191d0c",
+ "sha256": "12qvzd8wvryr2hnlv7l683148vxd1sry7s8y12xnysc7yz4dhsgv"
}
},
{
@@ -48315,8 +48516,8 @@
"deps": [
"helm"
],
- "commit": "5d6366adc14c51570374320fa827b0772833a61e",
- "sha256": "0nrkghnsn83ah0jqbv7fx2i90p1z37lfmh6kwgjkr2aq4ggrcklj"
+ "commit": "0828c3c8975b34394d6ac7b73940113020cd50ab",
+ "sha256": "0pmrypz9zbs3zc26brh3rl30jmzxxh1iyjdg2rvsx0630bdgkfw9"
},
"stable": {
"version": [
@@ -48580,8 +48781,8 @@
"flx",
"helm"
],
- "commit": "9d57e4802aacfc50efe4804e45ace16f6931635c",
- "sha256": "1l2vjksmgp7djxfwp6lyg9vqbsx2snc8h3wnf9pf020p3h4ccy9v"
+ "commit": "8d44247fd3600fe3e5e7a64a1904ae6b11bcc9fe",
+ "sha256": "1k86gz89s16sxqyab3gc6lxafdxcddvwmmpgqbg9mn2c8imsl8hd"
},
"stable": {
"version": [
@@ -49381,26 +49582,26 @@
"repo": "emacs-helm/helm-ls-git",
"unstable": {
"version": [
- 20210729,
- 911
+ 20211013,
+ 430
],
"deps": [
"helm"
],
- "commit": "d861fb407d72470db41ac458447b92c6d9b00206",
- "sha256": "13hxglh5w70w5y7x4r7rqpa7npj4lfrajjjic8vizn71752cndkg"
+ "commit": "ae2202fbbbe11873ad4b393a6959da50ac250c1e",
+ "sha256": "01j41pidn8aipz1c0x17p88rzr15bg7ij5fr1q2kf8qhky07xfvx"
},
"stable": {
"version": [
1,
9,
- 1
+ 3
],
"deps": [
"helm"
],
- "commit": "7b7b6dc2554603ad98412927f84a803625069ab3",
- "sha256": "1s748a5abj58hd7cwzfggfnnmyzhj04gpbqqwqmskn8xlsq5qcdi"
+ "commit": "312392b786a7ea322914b60760e2693b53772ad6",
+ "sha256": "1bqk0z6zd3aza7ibb8h0ghya5kid4m6z01gs7jf4sr6p49rzp7hd"
}
},
{
@@ -49850,8 +50051,8 @@
"repo": "alphapapa/org-ql",
"unstable": {
"version": [
- 20210608,
- 1556
+ 20210922,
+ 615
],
"deps": [
"dash",
@@ -49859,17 +50060,22 @@
"org-ql",
"s"
],
- "commit": "94f9e6f3031b32cf5e2149beca7074807235dcb0",
- "sha256": "022arhyyn8hbb1hzjkv4gl3dr8lz1gv0x4h70x0970bsbqlsa27w"
+ "commit": "31aeb0a2505acf8044c07824888ddec7f3e529c1",
+ "sha256": "1jfm4ahh58x3a3njigrbfzd86fnbyybbcgca2mgmxddcy6bszfp1"
},
"stable": {
"version": [
0,
- 5,
- 2
+ 6
+ ],
+ "deps": [
+ "dash",
+ "helm-org",
+ "org-ql",
+ "s"
],
- "commit": "d3b0ef2f5194452d88bf23ec31ebfef822c47c24",
- "sha256": "0b3xxnbhnrz0263fnrrdbs3gif4pjkfws4mxkfqqpg0fc8azp2rx"
+ "commit": "31aeb0a2505acf8044c07824888ddec7f3e529c1",
+ "sha256": "1jfm4ahh58x3a3njigrbfzd86fnbyybbcgca2mgmxddcy6bszfp1"
}
},
{
@@ -49880,8 +50086,8 @@
"repo": "alphapapa/org-recent-headings",
"unstable": {
"version": [
- 20201213,
- 837
+ 20211011,
+ 1519
],
"deps": [
"dash",
@@ -49890,8 +50096,8 @@
"org-recent-headings",
"s"
],
- "commit": "5da516a1586675992c0122ed32978c18dda06318",
- "sha256": "1xnhahgs1q6y7w0rrc33qbkdagjm6q71a4i0msi4gsfnnzwn4vam"
+ "commit": "97418d581ea030f0718794e50b005e9bae44582e",
+ "sha256": "1y11rlnhi36lzhc1cagninv6hlcwbvj88xfr0g0xzpbzy7hys021"
}
},
{
@@ -50612,8 +50818,8 @@
"helm",
"rtags"
],
- "commit": "3a057f127b931c683288f8731f05ba5e2aab4133",
- "sha256": "1brf05grh0xdcjllaiixpjxmcg2j130gcrxkqm5v4ryb1w9fki7g"
+ "commit": "cdff9b47fc17710aad7815652490c3c620b5e792",
+ "sha256": "0mrb2dayd8ls56cjlp63315ai0ds09d4qsajgv5kks2gqqxbkrjb"
},
"stable": {
"version": [
@@ -50747,8 +50953,8 @@
"s",
"searcher"
],
- "commit": "76a8de11e39da5c7a94066bcf3d515cdd23c6f63",
- "sha256": "1698j6x0vbxfdpdknrzwihr4pwpl1914i3azarmngkh8wnhma26s"
+ "commit": "326b5777db284f1e24c4f94730834e4b1e2bb66c",
+ "sha256": "17dzzqgd3sn69g3idbrdbqw162rsa7s4fa15rh6jpyx42ylbgiba"
},
"stable": {
"version": [
@@ -51431,14 +51637,25 @@
"repo": "brotzeit/helm-xref",
"unstable": {
"version": [
- 20201004,
- 1817
+ 20211013,
+ 1538
+ ],
+ "deps": [
+ "helm"
+ ],
+ "commit": "9764eabd50c40b009073c7ef64e3a71d0d066d0b",
+ "sha256": "0v0wm1x11r1h9p139c5rl0r4s5q6092bmwrsnr2140ddbq34mnvy"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 0
],
"deps": [
"helm"
],
- "commit": "23f1174cfca7667d95828dcd388c655a4a9c877d",
- "sha256": "0v0lkabpi1n4hgnp28jk19f7b78yk93ssm0gr0fr25yqx5zskdnk"
+ "commit": "9764eabd50c40b009073c7ef64e3a71d0d066d0b",
+ "sha256": "0v0wm1x11r1h9p139c5rl0r4s5q6092bmwrsnr2140ddbq34mnvy"
}
},
{
@@ -51518,14 +51735,14 @@
"repo": "duncanburke/help-find",
"unstable": {
"version": [
- 20210822,
- 1704
+ 20210826,
+ 928
],
"deps": [
"dash"
],
- "commit": "b1d15676c5bc348821256ffa5aaea308f6f28ad4",
- "sha256": "0pz6wxw0p4d5zfz2mb9f7mg6k25iqhik428yia3n77ra80jx8ncr"
+ "commit": "576d6505b9e42f50f121b1a6a675f17f03a04406",
+ "sha256": "064asvq6hfmrh3fnkm8dnarwmdgfm8f97mjng1bkf13wmnzglhck"
}
},
{
@@ -52499,11 +52716,11 @@
"repo": "ideasman42/emacs-hl-block-mode",
"unstable": {
"version": [
- 20210617,
- 1324
+ 20211007,
+ 309
],
- "commit": "0ea43d320219ba4e6b7b1be36a5c1533ac3edb42",
- "sha256": "1hg18rm7lqs45gvv1rb5d3vqh6g9nmyf2wd2sichl06a2cn48n16"
+ "commit": "2c6a47cc37b0dfcd8489e4fe36c379f0a47d451d",
+ "sha256": "15jxlzbxkpyfd6mr7jhs6vfxizdwsr5bi2g6xplndndmwdqq8x49"
}
},
{
@@ -52550,11 +52767,11 @@
"repo": "ideasman42/emacs-hl-prog-extra",
"unstable": {
"version": [
- 20210629,
- 602
+ 20211006,
+ 1402
],
- "commit": "c251d0cd354565b859ddf7c61bdae32649c6a0f4",
- "sha256": "0a8dkay7d31h2k4vsapwigf62wl77yk4r0wa86ly4p6vghbczj3w"
+ "commit": "e8be12a44ee659d73cf934530adc58ab9a48e9dd",
+ "sha256": "10bs34jjnza2lf8q32dki54wpyyy815k5a35n2r76xnimayrcy5p"
}
},
{
@@ -52587,11 +52804,11 @@
"repo": "tarsius/hl-todo",
"unstable": {
"version": [
- 20210615,
- 1505
+ 20210909,
+ 1114
],
- "commit": "5ac0076cfeaea57f7c7a59d9d41a34c1bdc4b22e",
- "sha256": "1h3h62rglgwir3jfy6wq9hkwlx6z28cs9dz8jv8xgpk1jsj89whw"
+ "commit": "42f744ffb513cf2b95517144c64dbf3fc69f711a",
+ "sha256": "1f84d4wms8q2kcj5mb6ih6b5ni35fwqvckp2j3mcdznms759j7li"
},
"stable": {
"version": [
@@ -52816,15 +53033,15 @@
"repo": "dunn/homebrew-mode",
"unstable": {
"version": [
- 20210820,
- 1735
+ 20210919,
+ 331
],
"deps": [
"dash",
"inf-ruby"
],
- "commit": "78e20613674247a65483f89a7912111e3ce4b9b0",
- "sha256": "0snclyby8y2k7ps1qrbb18sx3d8h3dkw0d81sg1kxhlwddpp6n8y"
+ "commit": "8c630c6f768b942a86a10750f720abc64a817cd0",
+ "sha256": "1n688qffn8nrr45hnq4mmxr8v1wccjim50206c1xw8mvd63hnzhc"
},
"stable": {
"version": [
@@ -52928,11 +53145,11 @@
"repo": "axelf4/hotfuzz",
"unstable": {
"version": [
- 20210731,
- 821
+ 20210924,
+ 936
],
- "commit": "c09ee50c337a56114834b66ab3475985e3099d06",
- "sha256": "1bl99zr75cbknvx1iilw7zjzzmpcv3h541jsz7cz8si1s4dcyhcq"
+ "commit": "e963cef1bf24b2da491c1aafd4260ee6ae3a766c",
+ "sha256": "1w51drd0zchgl5yxyg1a3rd0xkxf6cybfalzdz7cjprd8kmipmj5"
}
},
{
@@ -52981,8 +53198,8 @@
"deps": [
"dash"
],
- "commit": "c9c0593b2bffd6a494f570d707fe8d4e97718da4",
- "sha256": "16baysl5qpcl48gd64g7sq0bpdhjw21az7r7w21gfj12s2njqydf"
+ "commit": "d0f03552c30e31193d3dcce7e927ce24b207cbf6",
+ "sha256": "0ynf26gaj7n6cg0vgykq80hg21lxlwffwcssk9ppin0rqmc74m06"
},
"stable": {
"version": [
@@ -53020,16 +53237,16 @@
"repo": "thanhvg/emacs-howdoyou",
"unstable": {
"version": [
- 20210816,
- 507
+ 20210909,
+ 2000
],
"deps": [
"org",
"promise",
"request"
],
- "commit": "4f3d70009c1368174355ca7a5eb1259f18ec5f34",
- "sha256": "0j8i6c21jzdc88bvdsbshxgv62wvl95kham5w6kfkrlj0ld8i8yg"
+ "commit": "a01971a7279c8a031de78513c004d7a09d293712",
+ "sha256": "05jmq05bjj0rfc6c69ykjrv6lavxpb21fnjny958if8hxzd7v1v8"
}
},
{
@@ -53222,8 +53439,8 @@
20200929,
559
],
- "commit": "97f885a550bed05f2fbdd933718313e6645a6ea1",
- "sha256": "0b8wz43k64c2ca4kqjlp9zx97hafwmnjc38pa7lyip9yhhnhkkf5"
+ "commit": "ec85e68a4cba064d4caa593e1dec69b1b35b12dd",
+ "sha256": "143c4in1hykd3rnzrznri60aikmsm9fyhmmsx5gzapyr18lbw9wq"
},
"stable": {
"version": [
@@ -53346,11 +53563,11 @@
"repo": "pnor/huecycle",
"unstable": {
"version": [
- 20210706,
- 205
+ 20210830,
+ 340
],
- "commit": "c343b2085dea11b820d4da6c6183e1102ec08698",
- "sha256": "1bdhm9j2dammw5dzr8gh4wg5pkl7c706jqdwd43my7zsn2ipar24"
+ "commit": "a05e32351dcff3e61b5f15800556adfe1939c112",
+ "sha256": "1qnid40hmz3yw5jr1i9xr91d57hjh90s98js48nk6m0sjbkkbb4r"
},
"stable": {
"version": [
@@ -54110,17 +54327,17 @@
},
{
"ename": "idle-highlight-mode",
- "commit": "cae2ac3513e371a256be0f1a7468e38e686c2487",
- "sha256": "1i5ky61bq0dpk71yasfpjhsrv29mmp9nly9f5xxin7gz3x0f36fc",
- "fetcher": "github",
- "repo": "nonsequitur/idle-highlight-mode",
+ "commit": "43c7b0d74b482de5134de097e982934cd72c5f04",
+ "sha256": "1yrvvizw48lky06zjsx2n2w5cb2c5qz2kvcm9bpqyr5gp2w63pls",
+ "fetcher": "gitlab",
+ "repo": "ideasman42/emacs-idle-highlight-mode",
"unstable": {
"version": [
- 20120920,
- 1648
+ 20211011,
+ 557
],
- "commit": "c466f2a9e291f9da1167dc879577b2e1a7880482",
- "sha256": "0x4w1ksrw7dicl84zpf4d4scg672dyan9g95jkn6zvri0lr8xciv"
+ "commit": "0916be7075e792773440c3bdb5cf9c153691846b",
+ "sha256": "0817y99zm1x01nya6lnhby96da2w9kivw4p59bbaxm7hi0ycrsfz"
},
"stable": {
"version": [
@@ -54629,11 +54846,11 @@
"repo": "jrosdahl/iflipb",
"unstable": {
"version": [
- 20210515,
- 829
+ 20210907,
+ 1717
],
- "commit": "94f12bb6d2e03690562647b5fbb7b6672ac83e37",
- "sha256": "1flpzvrnp3ilkal6xidmajipzhn9yzwkw8nwnl25bl9m4kjl129v"
+ "commit": "2854e73cebb463007b686a784b66242999c3366b",
+ "sha256": "0fyjdfv2pw7lkh3dgmp7cjlcpnsnn82ssfh19wngiskf3p405s1v"
},
"stable": {
"version": [
@@ -54796,20 +55013,20 @@
"repo": "tarsius/imake",
"unstable": {
"version": [
- 20210615,
- 1506
+ 20210918,
+ 2046
],
- "commit": "b61b1582abe8f7a389883f48b7e5243abb010a69",
- "sha256": "19nbas7kpbxksd0vqbvf8awzpnrmy97yxd616kcxcp86qk34mlwr"
+ "commit": "e69a09e7962afe81474aa6c88974a1e6add15624",
+ "sha256": "0953irnlzx0nl4iirpgf7llyld2n8yl1w9yjkh0lvlz1l9gcpvqy"
},
"stable": {
"version": [
1,
- 0,
- 3
+ 1,
+ 0
],
- "commit": "100d62c7095743fadddfad5b9e0740ee386ba4cf",
- "sha256": "0wpfl74v7xnvsk3ribxkfyy4p5p9j2wskrcf0naavqpgm6fc6jvr"
+ "commit": "e69a09e7962afe81474aa6c88974a1e6add15624",
+ "sha256": "0953irnlzx0nl4iirpgf7llyld2n8yl1w9yjkh0lvlz1l9gcpvqy"
}
},
{
@@ -54986,20 +55203,20 @@
"repo": "petergardfjall/emacs-immaterial-theme",
"unstable": {
"version": [
- 20210126,
- 1127
+ 20211006,
+ 1048
],
- "commit": "c5684a17c78e6e05ea0bdb63e44373b064db935a",
- "sha256": "09fw0bgqr7fhwhm7vgdd12iw9nbgn19qna7k6vv1ljsdfcmwg5s5"
+ "commit": "ae9980927026324ff656721eef2e0f415cf3dfb4",
+ "sha256": "198xii7cdscd521bbz371465pks072zi3cdgqrgcq6860falyfxf"
},
"stable": {
"version": [
0,
7,
- 0
+ 1
],
- "commit": "288b367ea0efccd5e98efbdf925591ffc989a654",
- "sha256": "1y62yfg67lnbc89l6k4gw5fibahnlpn23g415a6zdk2vz89n6y0k"
+ "commit": "b2b625f690e207bab7b60a23585eba9c2831607a",
+ "sha256": "173mw87hbr1hk0k859liba7sybsxpmdv0d7d97iyy2khhmn7xkn6"
}
},
{
@@ -55087,8 +55304,8 @@
"deps": [
"impatient-mode"
],
- "commit": "bcb636dbef4630c5ae654642c6a637cceb588cac",
- "sha256": "1nnmx7f256cll04wxwip3a1pll3rayiqx4ynirrm1ld97q8hdc3v"
+ "commit": "04ba1617d9f11105f7db01ce39b4c7746aa13974",
+ "sha256": "0pjr6bnd3vjqf3i64gyp9sqx81an9xc2sgawza33b8hmnwvgarmw"
},
"stable": {
"version": [
@@ -55204,8 +55421,8 @@
20210508,
309
],
- "commit": "bfacd60a4be68a89d150f0bf2a9fb8714591f6f5",
- "sha256": "1dxdzvg6bpz0wgj2amqy7q9xl8xi7clsw10d9c86gbq87b9js681"
+ "commit": "ed99e867f81ef69854182b519db1b9141fcdb2a2",
+ "sha256": "04l6ws5fr19k7klpib5yz4zyqmf2aiywdm85kz5skhf196hm21g9"
},
"stable": {
"version": [
@@ -55861,11 +56078,11 @@
"repo": "ideasman42/emacs-inkpot-theme",
"unstable": {
"version": [
- 20210716,
- 58
+ 20211007,
+ 357
],
- "commit": "c3683aa99c738eb46cf310ba23162dbb315a5ac5",
- "sha256": "14k3n5kn9z2lqdhm00qy0hz8synnv28i17gn518ps4cyk298dmby"
+ "commit": "d82680ab7a7531a1c9369e65f2714285e43c6688",
+ "sha256": "0n1vh8rpn9zkwpnwm03rmz6xmcqicj9wzc0q6jbfg1ndc6yz29rw"
}
},
{
@@ -56233,14 +56450,14 @@
"repo": "dotemacs/ipcalc.el",
"unstable": {
"version": [
- 20200809,
- 1444
+ 20210903,
+ 958
],
"deps": [
"cl-lib"
],
- "commit": "58b2b6c90af93ae46c5445b33ee4d1ef4bac1efb",
- "sha256": "0v6ahhixp57p94m0sagidvq95m45bf4lfwszjzsn7a2wcrvap7r9"
+ "commit": "8d5af5b8e075f204c1e265174c96587886831996",
+ "sha256": "0a7rw26ibhmlnf9jjs6kf610k566mqzjvbd9rlmpwpi8awlfflky"
}
},
{
@@ -56367,15 +56584,15 @@
"repo": "Sarcasm/irony-mode",
"unstable": {
"version": [
- 20210321,
- 1750
+ 20210605,
+ 1018
],
"deps": [
"cl-lib",
"json"
],
- "commit": "ec6dce7ee16ffaa9a735204534aa4aa074d14487",
- "sha256": "0pabzcr06jywa3n4bax8dxxkmb1ffq3cpw1jnxnqpx18h96pfar2"
+ "commit": "b9c64abf81e73860e39ecd82dfa00cca90b53d99",
+ "sha256": "1ilvfqn7hzrjjy2zrv08dbdnmgksdgsmrdcvx05s8704430ag0pb"
},
"stable": {
"version": [
@@ -56457,8 +56674,8 @@
"deps": [
"f"
],
- "commit": "796e4e9a2508120ae430f522115c7d174d912276",
- "sha256": "0wlh2ph87qa3i3n7j2mvih428ih65gqj0bzqwqw123cfflcz6xy2"
+ "commit": "ac829919c144aef94232837a63ed19f029a90515",
+ "sha256": "0ykzjflb101jn7x6g902xn2bkpc6v3ymm79vwndkl01n172v23m3"
},
"stable": {
"version": [
@@ -56690,11 +56907,11 @@
"repo": "abo-abo/swiper",
"unstable": {
"version": [
- 20210730,
- 1743
+ 20210930,
+ 1450
],
- "commit": "7cdde66c95d5205287e88010bc7a3a978c931db0",
- "sha256": "0pvgh4krym43vcyiq4bsjl63gg795jlqh6kaa6llsv1awvywfqww"
+ "commit": "1c6b3da377a840e898b14020133f59fca9ceea1c",
+ "sha256": "1w8x2qk8lafnn6ksv1anixayyl476y1j6hp2amfnqmdkh0vnh63v"
},
"stable": {
"version": [
@@ -56721,8 +56938,8 @@
"avy",
"ivy"
],
- "commit": "7cdde66c95d5205287e88010bc7a3a978c931db0",
- "sha256": "0pvgh4krym43vcyiq4bsjl63gg795jlqh6kaa6llsv1awvywfqww"
+ "commit": "1c6b3da377a840e898b14020133f59fca9ceea1c",
+ "sha256": "1w8x2qk8lafnn6ksv1anixayyl476y1j6hp2amfnqmdkh0vnh63v"
},
"stable": {
"version": [
@@ -56746,16 +56963,16 @@
"repo": "tmalsburg/helm-bibtex",
"unstable": {
"version": [
- 20201014,
- 803
+ 20210927,
+ 1205
],
"deps": [
"bibtex-completion",
"cl-lib",
- "swiper"
+ "ivy"
],
- "commit": "12079bb09f203dda5cc2dd003bd60a6ad490f762",
- "sha256": "11y1yif6z26cc502s72p310z9m6130p5kyqb2py74r3x0k0nc61s"
+ "commit": "bb47f355b0da8518aa3fb516019120c14c8747c9",
+ "sha256": "10y6k1jch43jykd8g8xi10k8wq98x2w2xap64smrhxvgp53y2765"
},
"stable": {
"version": [
@@ -56894,8 +57111,8 @@
"repo": "s-kostyaev/ivy-erlang-complete",
"unstable": {
"version": [
- 20191112,
- 1137
+ 20211007,
+ 645
],
"deps": [
"async",
@@ -56903,8 +57120,8 @@
"erlang",
"ivy"
],
- "commit": "c443dba0c466d36bef01a8985474f5da0a5a65fe",
- "sha256": "0f0qr6h4y891lzlfi3k0a555qg0jw79fl9bfgv5fxi06m24q4683"
+ "commit": "15100dc730a011433eb86155b1d8373d1e023033",
+ "sha256": "1s75jgj7rqp1pjbkgyaf8wrpi1z5sb7w7xpjh03k419pja3fpxgm"
},
"stable": {
"version": [
@@ -56968,8 +57185,8 @@
"ivy",
"s"
],
- "commit": "3ad203f6166f82c7a09ab4ad065fd40136915fb8",
- "sha256": "07mdv0cnrjys0lcxamwpg5xl0g7wb0mgnzbkqyaik559avp5kq5a"
+ "commit": "368c0c2db6b2ff279a956b8075eaf9ba2c334234",
+ "sha256": "1q2k6118yip8vlpaf8jhygi23wvf7zy7s3bpv51jgfkw89a3vgxa"
},
"stable": {
"version": [
@@ -57089,8 +57306,8 @@
"hydra",
"ivy"
],
- "commit": "7cdde66c95d5205287e88010bc7a3a978c931db0",
- "sha256": "0pvgh4krym43vcyiq4bsjl63gg795jlqh6kaa6llsv1awvywfqww"
+ "commit": "1c6b3da377a840e898b14020133f59fca9ceea1c",
+ "sha256": "1w8x2qk8lafnn6ksv1anixayyl476y1j6hp2amfnqmdkh0vnh63v"
},
"stable": {
"version": [
@@ -57258,15 +57475,15 @@
"repo": "tumashu/ivy-posframe",
"unstable": {
"version": [
- 20210609,
- 1053
+ 20210922,
+ 24
],
"deps": [
"ivy",
"posframe"
],
- "commit": "9c8382823392d5e64fb4879055e43ab4a029e62a",
- "sha256": "1dqbgi12rd79jkrbyd59lrx9b5wi5a0k2xmf927c4mcqjfbvih2w"
+ "commit": "b4a522b7f81d49e7664f90a4f9ff1c2def08a3a9",
+ "sha256": "05rd1kylq0114mnw0rfj2k15pir9shgy19n1ih86i85h718z2z80"
},
"stable": {
"version": [
@@ -57389,8 +57606,8 @@
"ivy",
"rtags"
],
- "commit": "3a057f127b931c683288f8731f05ba5e2aab4133",
- "sha256": "1brf05grh0xdcjllaiixpjxmcg2j130gcrxkqm5v4ryb1w9fki7g"
+ "commit": "cdff9b47fc17710aad7815652490c3c620b5e792",
+ "sha256": "0mrb2dayd8ls56cjlp63315ai0ds09d4qsajgv5kks2gqqxbkrjb"
},
"stable": {
"version": [
@@ -57422,8 +57639,8 @@
"s",
"searcher"
],
- "commit": "84faba3cd87374f54d5e27344d4812737375fbaa",
- "sha256": "1lxiamv0960j1sfs9afqbdkp7mjkdbi1nw1nh5w8q3m9a44c1h89"
+ "commit": "0e8280ef40814eab1065d442146fe81ab1fc6149",
+ "sha256": "0cfhdmbrm41q3iwmrr0amhk3csrwxhqbisayjc5s01bf129rx7rf"
},
"stable": {
"version": [
@@ -57504,14 +57721,14 @@
"repo": "alexmurray/ivy-xref",
"unstable": {
"version": [
- 20191126,
- 401
+ 20211008,
+ 1103
],
"deps": [
"ivy"
],
- "commit": "3d4c35fe2b243d948d8fe02a1f0d76a249d63de9",
- "sha256": "1c0k1in2hpfwfd7m5r8623d58kxsrfl6pwpgdrkk3077vdgbwiip"
+ "commit": "a82e8e117d2dd62c28b6a3e3d6e4cfb11c0bda38",
+ "sha256": "0h4cnhfqgrzm63kfrlz2mhgsk20jkcq18gjx9pvbbhhg59xvvnaj"
}
},
{
@@ -57702,11 +57919,11 @@
"repo": "brianc/jade-mode",
"unstable": {
"version": [
- 20160525,
- 1441
+ 20210908,
+ 2121
],
- "commit": "4dbde92542fc7ad61df38776980905a4721d642e",
- "sha256": "0p6pfxbl98kkwa3lgx82h967w4p0wbd9s96gvs72d74ryan07ij1"
+ "commit": "111460b056838854e470a6383041a99f843b93ee",
+ "sha256": "1v6j0658dch5v0ddkkgw99194jlh28p5cjvkcp6cabwjb7s4pvim"
},
"stable": {
"version": [
@@ -57750,11 +57967,11 @@
"repo": "ALSchwalm/janet-mode",
"unstable": {
"version": [
- 20200509,
- 1651
+ 20210924,
+ 44
],
- "commit": "2f5bcabcb6953e1ed1926ba6a2328c453e8b4ac7",
- "sha256": "0qj0gpycv2f3z1dgz1a27bjn983hrr3ppvrp7csl34lagnmp89rz"
+ "commit": "9e3254a0249d720d5fa5603f1f8c3ed0612695af",
+ "sha256": "1c95znizd2xs84ggk70qy0lya8s6w83d0d2fl95iccj37r12m00y"
}
},
{
@@ -57843,15 +58060,15 @@
"repo": "dakrone/emacs-java-imports",
"unstable": {
"version": [
- 20201115,
- 545
+ 20211006,
+ 2153
],
"deps": [
"pcache",
"s"
],
- "commit": "7083b5efeb23ded95e6c1d2ab0319837851eb42f",
- "sha256": "0q1wqy946vmz54d0bsfvgs33ma78zfzdvgcprm0s5dczkn434ixd"
+ "commit": "7535a36d85497448a6e83579b822beaca7251ccb",
+ "sha256": "0zny134wxbwf4igzg9s4f4505hgjb7hy5l9ycqhl7l0ss2baz19j"
},
"stable": {
"version": [
@@ -58257,8 +58474,8 @@
20210615,
41
],
- "commit": "d7660096e7d49f9b2ebc8924c0f5b39c5ffa8c86",
- "sha256": "1sw1cgykq9i6wdjjlqlw6jrdxnic615k96lbqyir84fizn55qcja"
+ "commit": "73aebe62e8adf8e737c9a94361cce45063d05ae4",
+ "sha256": "1zagxpz598ssn88mch1mzc2aqa7sx29q7y1ifw4mrglsicgrxlv7"
}
},
{
@@ -58559,8 +58776,8 @@
20200604,
833
],
- "commit": "42ad0a99f0114233e2cb317585cb9af494d18a2f",
- "sha256": "1n4w45yv1k7979j42dahhp9356p9bmk6ldybqa0z65k9gz4abkxl"
+ "commit": "1af31ba701cf844f938f840ed78867c9a28174b6",
+ "sha256": "0zxqvy1vya0f0yrfp622hhf400cm5ygwl7mjdj5scwfk2y852xw1"
},
"stable": {
"version": [
@@ -58808,14 +59025,14 @@
"repo": "mooz/js2-mode",
"unstable": {
"version": [
- 20210712,
- 202
+ 20210906,
+ 2337
],
"deps": [
"cl-lib"
],
- "commit": "6f313c9566d9c8453a91c5ccaa25760978cb9f6d",
- "sha256": "09fxg0ljv2g9rv7n67km7q64w49fcl0gngm68m252nyvk2x28b08"
+ "commit": "e6a9059fc823a17496e1a5114652d92a9071a78f",
+ "sha256": "16i0i0dz6yk24ny66irlfh9xjllp7a78ccx95mrlpqcxsjkcqv62"
},
"stable": {
"version": [
@@ -58970,28 +59187,26 @@
"repo": "joshwnj/json-mode",
"unstable": {
"version": [
- 20190123,
- 422
+ 20211011,
+ 630
],
"deps": [
- "json-reformat",
"json-snatcher"
],
- "commit": "0e819e519ae17a2686e0881c4ca51fa873fa9b83",
- "sha256": "0m4i6b5qmakdc0h8npsyajdp06jq03cb1c5rkj6x0khnjw9fv2fl"
+ "commit": "eedb4560034f795a7950fa07016bd4347c368873",
+ "sha256": "0r0k56q58kb133l9x9nbisz9p2kbphfgw1l4g2xp0pjqsc9wvq8z"
},
"stable": {
"version": [
1,
- 7,
+ 8,
0
],
"deps": [
- "json-reformat",
"json-snatcher"
],
- "commit": "9ba01b868a6b138feeff82b9eb0abd331d29325f",
- "sha256": "0i79lqzdg59vkqwjd3q092xxn9vhxspb1vn4pkis0vfvn46g01jy"
+ "commit": "eedb4560034f795a7950fa07016bd4347c368873",
+ "sha256": "0r0k56q58kb133l9x9nbisz9p2kbphfgw1l4g2xp0pjqsc9wvq8z"
}
},
{
@@ -59173,14 +59388,14 @@
"repo": "tminor/jsonnet-mode",
"unstable": {
"version": [
- 20210726,
- 1251
+ 20211003,
+ 1518
],
"deps": [
"dash"
],
- "commit": "63c0f44fe7b5a333173235db7102ef8c2ae0b006",
- "sha256": "1l7v5ibbl52ylbnz92ipw10ds8ahj3s2q4yxansnj8xy19kpjchz"
+ "commit": "f3d1f5118fa8328a2a43fd3d750c2afdd02b65ac",
+ "sha256": "02dqr916vxzqvlaf6wffnd7s1q082hnxhjwwip8iyjfj9fzk9yk1"
},
"stable": {
"version": [
@@ -59258,11 +59473,11 @@
"repo": "JuliaEditorSupport/julia-emacs",
"unstable": {
"version": [
- 20210824,
- 747
+ 20210904,
+ 908
],
- "commit": "a1ba9a03a4b18a0d9536753efee623ab7afca596",
- "sha256": "0czmvm7nm91n9kg5cv6rc5wcw6vwyqfq2fr8lrm4dfzrwvf99204"
+ "commit": "06f6fdb94cdd88db7bb40b8f511a386605711408",
+ "sha256": "0153zh3vl6qmaw40v0b2kf81x6wfyxwgmc9iwvz4rximra9xxmyg"
},
"stable": {
"version": [
@@ -59281,14 +59496,14 @@
"repo": "tpapp/julia-repl",
"unstable": {
"version": [
- 20210408,
- 639
+ 20210913,
+ 1256
],
"deps": [
"s"
],
- "commit": "79e686e3ebf164bd39fc2ea5cf09d38d0e1d763a",
- "sha256": "1dn1n726lp5m744s4qib6rgcp2an01qblj7ynams3drgca6j6076"
+ "commit": "3f888ecd30f613ed50f67c614be0b42b7546c693",
+ "sha256": "04baf40gqd1mzk7pvyq663ndg5byyq848r802j10zvggvacjwcbx"
},
"stable": {
"version": [
@@ -59572,6 +59787,41 @@
"sha256": "0i280w7nv6zdzpwsyc9njlz5n75awqgpmmh3wklzrfh7mh1vzp89"
}
},
+ {
+ "ename": "justl",
+ "commit": "5a74b3213ab362fd00a11409e046854ec832c827",
+ "sha256": "01s9szxr83mdjnzhjy0xr9fqk4vzv3spphq68jpzcj56njah6r9b",
+ "fetcher": "github",
+ "repo": "psibi/justl.el",
+ "unstable": {
+ "version": [
+ 20210924,
+ 1138
+ ],
+ "deps": [
+ "f",
+ "s",
+ "transient",
+ "xterm-color"
+ ],
+ "commit": "18604956b8f6ba58cba99470464c67f7b16ce329",
+ "sha256": "1d6y84gm5n9gkn7v9rhxhxsihabrdgx6mddam0pw75ka53q5s8wi"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 3
+ ],
+ "deps": [
+ "f",
+ "s",
+ "transient",
+ "xterm-color"
+ ],
+ "commit": "db77f4ada0840dfb6080121f80249b11721ee779",
+ "sha256": "0250yayv136ypsy5gy814lv1schm1pza51lvsad7ayr3z1l812b3"
+ }
+ },
{
"ename": "jvm-mode",
"commit": "7cdb7d7d7b955405eb6357277b5d049df8aa85ce",
@@ -59840,8 +60090,8 @@
20170418,
810
],
- "commit": "dd11d722b20ae720f29b8aa93a3b1cad87650b33",
- "sha256": "07g0spi9jf48vap76f9rgl61sg3jqy03qdxnmchzwlia8wvcsscb"
+ "commit": "fcf0173ce0144e59de97ba8a7808192620e5f8f4",
+ "sha256": "1a2s19h4xgss8849lv5yl6g28hazz8k9vgzxyns2wzwc85pnbrhr"
}
},
{
@@ -59901,28 +60151,28 @@
"repo": "ogdenwebb/emacs-kaolin-themes",
"unstable": {
"version": [
- 20210814,
- 1741
+ 20211014,
+ 318
],
"deps": [
"autothemer",
"cl-lib"
],
- "commit": "716aae7e64637e1a7fdeed8ef5b278512b8076f9",
- "sha256": "0h7ipf2n9bbp6k1qda1g634gvjx2p3x2g4nkf8473iihk8960k1p"
+ "commit": "fd6f154ac96309ff56220c595f80e9126ec566c6",
+ "sha256": "1a8fy48xg4bbz3qf9dxvxh8xhvig5w8wkdvy9prn5fd456bn58hj"
},
"stable": {
"version": [
1,
6,
- 5
+ 6
],
"deps": [
"autothemer",
"cl-lib"
],
- "commit": "1e6d02784a1c1e9f537b45aa487ee16885283b60",
- "sha256": "1prs055mx64ck6dhwsj5xx0pk90mhw0vbinxwr2chn68zkzyvf6g"
+ "commit": "f17f29d63cfbe2c9203bff1877db983c5663825e",
+ "sha256": "1r6bi26c6hqx64s54vjzm86q7bdn6x7m03g07x8v7h9v3xvlpdpf"
}
},
{
@@ -60051,14 +60301,14 @@
"repo": "conao3/keg.el",
"unstable": {
"version": [
- 20210226,
- 2246
+ 20211008,
+ 1702
],
"deps": [
"cl-lib"
],
- "commit": "e4c7d9d8f823fa717df5f0e7039d525758429fc9",
- "sha256": "0idr47ssysz3qp2cdrciapljwm7zh76fnj3vgpz9i2wdmgr12m2d"
+ "commit": "3436d0634080f6bcbcde68dc804e6128f632a4f8",
+ "sha256": "1afa33hga4c00p086q15cahjmd2hhmnmiqa55qpadx3nvyy2rqhi"
}
},
{
@@ -60072,8 +60322,8 @@
20200601,
333
],
- "commit": "e4c7d9d8f823fa717df5f0e7039d525758429fc9",
- "sha256": "0idr47ssysz3qp2cdrciapljwm7zh76fnj3vgpz9i2wdmgr12m2d"
+ "commit": "3436d0634080f6bcbcde68dc804e6128f632a4f8",
+ "sha256": "1afa33hga4c00p086q15cahjmd2hhmnmiqa55qpadx3nvyy2rqhi"
}
},
{
@@ -60201,8 +60451,8 @@
20200226,
2129
],
- "commit": "1ee67f3f8977d95785e021f7896685de1979137e",
- "sha256": "0cv0naq2g8z52vgf1p4mb55ww30bp2kpj1jp5cjnql1hxsvbx0mm"
+ "commit": "6d31fcf78a1ab1841f735dfb5cbd2bf6b2ed25db",
+ "sha256": "19cszpr5m6j5xj18wl4myn7ifw27rrwbmhd0wcsds28b4czw2mhm"
}
},
{
@@ -60478,8 +60728,8 @@
20210523,
403
],
- "commit": "075b05b6ed7fe1b9f4f22544bc26749243de6808",
- "sha256": "01qwcr65qzz0ilzj9318hnz9hs3gdd722xpajmp8sa1520w9vhzm"
+ "commit": "c49bb51287f953ccc62e4f1afc12ca9bfeaa416c",
+ "sha256": "0xxgym0il50piqyyyywjma8ks328pzy0g743rnxkvsikinwzg6ly"
},
"stable": {
"version": [
@@ -60524,6 +60774,30 @@
"sha256": "0xq835xzywks4b4kaz5i0pp759i23kibs5gkvvxasw0dncqh7j5c"
}
},
+ {
+ "ename": "khalel",
+ "commit": "6860800b52e2c06ae339f5f65ace6a5e05ddcbbc",
+ "sha256": "1g5r1zz3x8w3azip72wrw0168n3fzkzgik3w094yapchrrv13cpq",
+ "fetcher": "gitlab",
+ "repo": "hperrey/khalel",
+ "unstable": {
+ "version": [
+ 20211003,
+ 1150
+ ],
+ "commit": "c6f2adfd7211c747d443bf85618833820078ca4b",
+ "sha256": "0f76a9zr76azhb8rkzs24afscnx0sdypmha1z03cidn4mcz00sdb"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1,
+ 5
+ ],
+ "commit": "c6f2adfd7211c747d443bf85618833820078ca4b",
+ "sha256": "0f76a9zr76azhb8rkzs24afscnx0sdypmha1z03cidn4mcz00sdb"
+ }
+ },
{
"ename": "khardel",
"commit": "d0dafe07d355f705b268b19460cf071ab878961f",
@@ -60710,8 +60984,8 @@
20210318,
2106
],
- "commit": "49ef9ff24bf8f545243a30b1e49192d73c1b41f7",
- "sha256": "0hs4d5fw1ic8vvzqiq7skb8ag2rdshmz71m2swl38s1bkhnbjbac"
+ "commit": "6430e1356248313f5cdd3a96c8861b17b12c0be7",
+ "sha256": "01rzf8v9psihzpg0s5ar2svkxccmb32ypwsms3863c67ag9d9818"
},
"stable": {
"version": [
@@ -60731,26 +61005,26 @@
"repo": "stardiviner/kiwix.el",
"unstable": {
"version": [
- 20210811,
- 31
+ 20211013,
+ 1558
],
"deps": [
"request"
],
- "commit": "7d6039fa5d5d7561f42c4c2a93c698468ed34e70",
- "sha256": "0g99qkch22ws3d8qwp91v0mysjh295bag1ak3bdl8q7rl015p9ik"
+ "commit": "cb843349c10b1a492ceb59da20bfcef3ef02f4b5",
+ "sha256": "08dkxjrpdy3i6j0zgfa7bqdk8cykjfzybrfwrrf9848fxy96n4pb"
},
"stable": {
"version": [
1,
1,
- 3
+ 4
],
"deps": [
"request"
],
- "commit": "7d6039fa5d5d7561f42c4c2a93c698468ed34e70",
- "sha256": "0g99qkch22ws3d8qwp91v0mysjh295bag1ak3bdl8q7rl015p9ik"
+ "commit": "6191d43e184e29de868a82331495ced9c9cc9be0",
+ "sha256": "1a8rcrln36brdik5rki7dkrm1syl8my7sjsv960fw45pfr1pkb5s"
}
},
{
@@ -60897,11 +61171,11 @@
"repo": "Emacs-Kotlin-Mode-Maintainers/kotlin-mode",
"unstable": {
"version": [
- 20200925,
- 1541
+ 20210917,
+ 1911
],
- "commit": "0e4bafb31d1fc2a0a420a521c2723d5526646c0b",
- "sha256": "09inpgwmnnqaakyn4r4xs8kax8b89dw94kvl521x6d43h9zl5i70"
+ "commit": "3e0c34087ba4965a8bf08d3f27325f0a1e631bfb",
+ "sha256": "15gxznanmcgjgcqlcazschxcwlsmd4rzivw0jb6pvz3m7zabd16r"
}
},
{
@@ -60987,6 +61261,21 @@
"sha256": "1yml417gj8ds3fiy0vvrv9vxnjyis157hcmhyn491hb67bd6xl5j"
}
},
+ {
+ "ename": "kubedoc",
+ "commit": "5bbe8bf1c9ecb4fdeb4dc8681ee6774e92c4546d",
+ "sha256": "106jb6xrlq8hqz55nqzyrcjfr6ydg7j0s7irxk9jr0nywk9q4vdh",
+ "fetcher": "github",
+ "repo": "r0bobo/kubedoc.el",
+ "unstable": {
+ "version": [
+ 20211005,
+ 810
+ ],
+ "commit": "20692189359ce0517726a945c8ab798bb91a8624",
+ "sha256": "1f1lv4wbfx4w371gvnplzmm4rmgr4zlbk2fy4cmck5vp8g179q4h"
+ }
+ },
{
"ename": "kubel",
"commit": "6fe35f90b858d0b6710b4bae8a2b80f97f1b8228",
@@ -60995,8 +61284,8 @@
"repo": "abrochard/kubel",
"unstable": {
"version": [
- 20210623,
- 1316
+ 20211001,
+ 1327
],
"deps": [
"dash",
@@ -61004,8 +61293,8 @@
"transient",
"yaml-mode"
],
- "commit": "801d4cc78cb59b3c39e9ea53d7f16ec3c9a6bb6b",
- "sha256": "120pyq6njxvhjwjrsbrclxj9g4qsi9awm9pmvvy74z3qzxjkj7bl"
+ "commit": "8cf9a8db6af7e604e963d180274af17755562239",
+ "sha256": "1s6lbqrfkdl2kwshrjwjfxwxl4jmchb3y2y8cjpjjp4f65r4p7m6"
},
"stable": {
"version": [
@@ -61030,15 +61319,15 @@
"repo": "abrochard/kubel",
"unstable": {
"version": [
- 20201223,
- 1657
+ 20210922,
+ 2325
],
"deps": [
"evil",
"kubel"
],
- "commit": "801d4cc78cb59b3c39e9ea53d7f16ec3c9a6bb6b",
- "sha256": "120pyq6njxvhjwjrsbrclxj9g4qsi9awm9pmvvy74z3qzxjkj7bl"
+ "commit": "8cf9a8db6af7e604e963d180274af17755562239",
+ "sha256": "1s6lbqrfkdl2kwshrjwjfxwxl4jmchb3y2y8cjpjjp4f65r4p7m6"
},
"stable": {
"version": [
@@ -61061,8 +61350,8 @@
"repo": "kubernetes-el/kubernetes-el",
"unstable": {
"version": [
- 20210825,
- 1458
+ 20210914,
+ 1158
],
"deps": [
"dash",
@@ -61071,22 +61360,24 @@
"transient",
"with-editor"
],
- "commit": "96d9a6f1ab673a014fa9d84763ab6568ef2b9849",
- "sha256": "06smpnwv5jj335fx2acgh28dlpa2h631l9qwmd3gi47q5x6yyshq"
+ "commit": "7cb6e4f2d571d45c49dba2427f7e65a9e0a994f2",
+ "sha256": "1h9daqmskb9cv0s1w3rbv4p5sg5pmym0pkwz922hy72kvm593fyg"
},
"stable": {
"version": [
0,
- 15,
+ 17,
0
],
"deps": [
"dash",
- "magit",
- "magit-popup"
+ "magit-popup",
+ "magit-section",
+ "transient",
+ "with-editor"
],
- "commit": "ea81874f0490cea310b09c57718aa0e5c83d578b",
- "sha256": "13kra8y8laqk949phxwlw5q0lmv4yc9knql12srdys1hyvhczwx3"
+ "commit": "da188a441079b91a66a3fce9bf200e880bc82abd",
+ "sha256": "0xxxiqqn8n2a2fa49fijpbg2j7cc92s7vj70dz6hiw0782ql2078"
}
},
{
@@ -61097,28 +61388,28 @@
"repo": "kubernetes-el/kubernetes-el",
"unstable": {
"version": [
- 20210825,
- 258
+ 20210830,
+ 2219
],
"deps": [
"evil",
"kubernetes"
],
- "commit": "96d9a6f1ab673a014fa9d84763ab6568ef2b9849",
- "sha256": "06smpnwv5jj335fx2acgh28dlpa2h631l9qwmd3gi47q5x6yyshq"
+ "commit": "7cb6e4f2d571d45c49dba2427f7e65a9e0a994f2",
+ "sha256": "1h9daqmskb9cv0s1w3rbv4p5sg5pmym0pkwz922hy72kvm593fyg"
},
"stable": {
"version": [
0,
- 15,
+ 17,
0
],
"deps": [
"evil",
"kubernetes"
],
- "commit": "ea81874f0490cea310b09c57718aa0e5c83d578b",
- "sha256": "13kra8y8laqk949phxwlw5q0lmv4yc9knql12srdys1hyvhczwx3"
+ "commit": "da188a441079b91a66a3fce9bf200e880bc82abd",
+ "sha256": "0xxxiqqn8n2a2fa49fijpbg2j7cc92s7vj70dz6hiw0782ql2078"
}
},
{
@@ -61129,14 +61420,14 @@
"repo": "abrochard/kubernetes-helm",
"unstable": {
"version": [
- 20190201,
- 320
+ 20210902,
+ 2232
],
"deps": [
"yaml-mode"
],
- "commit": "bdf9280899b5efab6d55ffd96bad716c5f8e75bc",
- "sha256": "05fsxknp2jpmchvz49hpvh8xvkwl70ksar6ccjqrp7nqcn7cvz2j"
+ "commit": "95cf92600436f67bd7bfe650763e68635f5ecc8e",
+ "sha256": "0k1kk472yianf5sn05hxqfpza5yxm9lmr917wmw3mca17758hsgm"
}
},
{
@@ -61255,16 +61546,16 @@
"repo": "tecosaur/LaTeX-auto-activating-snippets",
"unstable": {
"version": [
- 20210819,
- 1935
+ 20210826,
+ 1017
],
"deps": [
"aas",
"auctex",
"yasnippet"
],
- "commit": "af78f6a6eec6f2f1096ed9b72fc0570458365423",
- "sha256": "1aw534hw7s0iijsyzzf4k496xjrx983whxvy13n7yqdmzqp728fz"
+ "commit": "a992e92bf80f5d9e401f916a9e74acce05af4a8e",
+ "sha256": "0di6p1wapm714vd8d85d1wwzlh68ikfjw3qpjninbmjrzw2bwqp4"
},
"stable": {
"version": [
@@ -61319,6 +61610,29 @@
"sha256": "1r221fwfigr6fk4p3xh00wgw9wxm2gpzvj17jf5pgd7cvyspchsy"
}
},
+ {
+ "ename": "lacquer",
+ "commit": "c06360c9aeee408d144f756943a65cf465e41139",
+ "sha256": "1bi59x2l6xxayr4dqy2bpkfx4gd5sc9ban9dc2hykphvz560qmqn",
+ "fetcher": "github",
+ "repo": "dingansichKum0/lacquer",
+ "unstable": {
+ "version": [
+ 20211005,
+ 1517
+ ],
+ "commit": "3ef4c22982119674861ed61e3302ac3e0f05be2b",
+ "sha256": "0m05qyy0w5k9ycb2ywv222cy99brw2d1gmcan6b4311r04fv9k70"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 1
+ ],
+ "commit": "6609581a58ae9c0124de785b056f4f5bbcec3b61",
+ "sha256": "12bav2dd0q6b47sxnqfv6ibrhzd6i74wwqz7zvm7lp9s4mpqscsa"
+ }
+ },
{
"ename": "laguna-theme",
"commit": "58566386032a017c26ab07c551e72fbe1c20117d",
@@ -61357,16 +61671,16 @@
"repo": "Deducteam/lambdapi",
"unstable": {
"version": [
- 20210520,
- 1737
+ 20211008,
+ 1231
],
"deps": [
"eglot",
"highlight",
"math-symbol-lists"
],
- "commit": "4cf69db45aeeb01feb6b38c88b6aa2d01ae4da13",
- "sha256": "1ihs24dqnbzj37y9zrwdwzwnr1xrxcs4qxm0z3d1bjalffai2x13"
+ "commit": "933a1b37b86685bb1f2df2a2185741b0d21aaa78",
+ "sha256": "0mxsqf78y4chm4yyxbfz69p56m3n35c5sv4agdwg6griaf0s5f59"
}
},
{
@@ -61491,25 +61805,26 @@
"repo": "lassik/emacs-language-id",
"unstable": {
"version": [
- 20210822,
- 412
+ 20210916,
+ 831
],
"deps": [
"cl-lib"
],
- "commit": "9efcd0f699bd7f1a55db7a62c8f1b547c6aeddb6",
- "sha256": "1r2krv7a0gz5xpss17a15cdj004s0g4qrlqm4qascc1f5p9fgd9x"
+ "commit": "906fac7d91994d02120cfb5f547c1d06cea1ad69",
+ "sha256": "1rgf90z6rl5g348s49w39ng7avq2s9qwi7mmpfxi3hjaslazn1jl"
},
"stable": {
"version": [
0,
- 16
+ 16,
+ 1
],
"deps": [
"cl-lib"
],
- "commit": "9efcd0f699bd7f1a55db7a62c8f1b547c6aeddb6",
- "sha256": "1r2krv7a0gz5xpss17a15cdj004s0g4qrlqm4qascc1f5p9fgd9x"
+ "commit": "906fac7d91994d02120cfb5f547c1d06cea1ad69",
+ "sha256": "1rgf90z6rl5g348s49w39ng7avq2s9qwi7mmpfxi3hjaslazn1jl"
}
},
{
@@ -61875,8 +62190,8 @@
20210611,
1550
],
- "commit": "a4fd520f5c31f54e0797155866e0b35df277664e",
- "sha256": "0xy1zjr32xpj4kkl3rxshcz5x2qvkn1ciq4v61p6a7vfr3qmkiz2"
+ "commit": "0ccc52bb85592d09499a09768a61ecfeccbfdf1e",
+ "sha256": "0nwma6cvvlfyjxkrzi724brkx5s6k64n994nbwp7zaz6rqs1xmfd"
},
"stable": {
"version": [
@@ -62087,11 +62402,11 @@
"repo": "pfitaxel/learn-ocaml.el",
"unstable": {
"version": [
- 20210820,
- 2243
+ 20211003,
+ 1412
],
- "commit": "bcc48c818e34d518a0513e9d2c26fd92b3989c27",
- "sha256": "1bv57ymdfs848347ckcl0c7jxdjzs12hpg7mcdih04yrlbvxrhq1"
+ "commit": "ac6ef9cbd39f7d9ac0019e28da09aad5bc2cfae5",
+ "sha256": "1gsv9yir8l53qfz280ji7307vawrifxx80g7bb5kyq7s0k5zfsan"
}
},
{
@@ -62171,8 +62486,8 @@
"repo": "kaiwk/leetcode.el",
"unstable": {
"version": [
- 20210620,
- 706
+ 20211005,
+ 1331
],
"deps": [
"aio",
@@ -62181,14 +62496,14 @@
"log4e",
"spinner"
],
- "commit": "7ef1dffd44be9bba6450953d25ff787e122afc69",
- "sha256": "15gfyx53m02andalyicnvs7b3v59zq64r0jmmgkg6gy5za6c0hsd"
+ "commit": "e278b099173dced55e6e39f9924e90899542ebf1",
+ "sha256": "1cm6z3ad3c704y3n0f1h38fhqrd69mrf7f4x79l8v76f6fj8vdhh"
},
"stable": {
"version": [
0,
1,
- 22
+ 23
],
"deps": [
"aio",
@@ -62197,8 +62512,8 @@
"log4e",
"spinner"
],
- "commit": "aeba19919e6d8f1c0529330572240143a2af38e0",
- "sha256": "0yfghps19832w4sdjaglnbb9xn40fc5a6072lxbsw8gan9c2bjh7"
+ "commit": "e278b099173dced55e6e39f9924e90899542ebf1",
+ "sha256": "1cm6z3ad3c704y3n0f1h38fhqrd69mrf7f4x79l8v76f6fj8vdhh"
}
},
{
@@ -62293,11 +62608,11 @@
"repo": "mtenders/emacs-leo",
"unstable": {
"version": [
- 20201122,
- 2210
+ 20210922,
+ 1138
],
- "commit": "b9d8f6705dcec4fcefd4209c18a043c355988c3a",
- "sha256": "053w15s7lr5y4vay6057by15lymk4n18a8a6hac3a4jrjkzj2f8j"
+ "commit": "2ab30fe567412b4f4e69bc8014b8886d19b30f30",
+ "sha256": "1sjgp0yfa3ynrksrf33gc4qrhj7819lih2ax0sq83vd4gn2m6lcn"
}
},
{
@@ -62541,11 +62856,11 @@
"repo": "merrickluo/liberime",
"unstable": {
"version": [
- 20210526,
- 623
+ 20210906,
+ 626
],
- "commit": "4a6da0f6ab9b43651f3fcc73412e3480b9403caa",
- "sha256": "04ag7icqqdhz40fi91fx4bxx8j6vw2774gw1fbppbks3sasimyy0"
+ "commit": "8291e22cd0990a99cb2f88ca67a9065a157f39af",
+ "sha256": "1zr34fsh4l4apdm1jpd9c8863wji5f0g8nwvzgf7bfi6q58bcwzn"
},
"stable": {
"version": [
@@ -62619,14 +62934,14 @@
"repo": "jumper047/librera-sync",
"unstable": {
"version": [
- 20210810,
- 529
+ 20210827,
+ 2300
],
"deps": [
"f"
],
- "commit": "b36ab4f3d8c9df1ed28d78b7d517e90195c97244",
- "sha256": "0qmpk52j4fq5rp2kmsm9z8i97x6p458h2xvb53kv03r69ncxc595"
+ "commit": "b6b97adc08c26b1595249e1c129793100f4ca26e",
+ "sha256": "0795vbq794clynxcpqrzjsk8d3qisk71bpambcrbiwikpg051fv3"
}
},
{
@@ -62684,8 +62999,8 @@
"deps": [
"request"
],
- "commit": "bfd5ef11f26ba46c8e0894ea08ffec74cca72288",
- "sha256": "1x02lwplyd41qaxy27np2fza818p0h62np6kd9sqqxkng4ahy97z"
+ "commit": "9d945eecb31c6be02bf0388c5c6883dfd1782bb9",
+ "sha256": "1f1ykbjrvz11i4sj1ff9hyl3kl65ll1c88gxgb66gmbxggqy5mja"
},
"stable": {
"version": [
@@ -62723,20 +63038,20 @@
"repo": "ligolang/ligo",
"unstable": {
"version": [
- 20210303,
- 1751
+ 20211011,
+ 954
],
- "commit": "c66377f83a7b178b6e6d8bef5c844420c47ecbdd",
- "sha256": "083a97h9ir3qqc39z7dg03k75rg3knza1lzarp6fagxbxl25cprg"
+ "commit": "972179180b6a09791737b1af75a6f653a7146047",
+ "sha256": "180z2clv90zwg9dkzbzs2wmiydz5z4hwmry93qp9ywn9qg1iaqfk"
},
"stable": {
"version": [
0,
- 23,
+ 27,
0
],
- "commit": "f3a1d941a08443d034a6c2e090b82ca5c28bdd86",
- "sha256": "083a97h9ir3qqc39z7dg03k75rg3knza1lzarp6fagxbxl25cprg"
+ "commit": "d5d3a30e724a4ba2d5a96b51180e1fd907e57d32",
+ "sha256": "180z2clv90zwg9dkzbzs2wmiydz5z4hwmry93qp9ywn9qg1iaqfk"
}
},
{
@@ -62747,15 +63062,16 @@
"repo": "emacs-vs/line-reminder",
"unstable": {
"version": [
- 20210715,
- 839
+ 20211015,
+ 511
],
"deps": [
"fringe-helper",
+ "ht",
"indicators"
],
- "commit": "38ca45f01b31d5de07a4a5e43ec54c4644718dcf",
- "sha256": "12qcrsjb9j9w7nqr28ff1gnk4icl134pgjvm9ph5psf6a6qd9d78"
+ "commit": "c78bbb33c1f120da517ccd11f0681321bca17647",
+ "sha256": "114afr2b41yk60s4r1068nambkhj19k6pn6dn2v94i4gbq8p37bb"
},
"stable": {
"version": [
@@ -62782,8 +63098,8 @@
20180219,
1024
],
- "commit": "a52bd2bce9d6fb73dc7fe1e867e3ea804b5abd07",
- "sha256": "18fl0f3j6bfv85pjcf0fggd0k6l6gxwvi19jrp3slzf0mhrlxd8k"
+ "commit": "7f51793fa6c037a90a90e47b433cc8a773a3b552",
+ "sha256": "0wd493d678587zc10y6hjlmjiacmj3xzw20zzfnvg2qr5nlqpl2g"
},
"stable": {
"version": [
@@ -62887,14 +63203,14 @@
"repo": "noctuid/link-hint.el",
"unstable": {
"version": [
- 20210727,
- 1302
+ 20211008,
+ 1652
],
"deps": [
"avy"
],
- "commit": "d3c5bacc9c697c4cf8b14616c4199210f9267068",
- "sha256": "1d25lf556c9idr0slzakcks93rcw032bp1hbbcqffrljqzapxz4x"
+ "commit": "83cd0489b16f013647d0507ef20905a0a91db433",
+ "sha256": "0kwaia6i0asr7yqcw1anzq6lf93357cc1fphkvp0llbmxizmkzb3"
}
},
{
@@ -62988,6 +63304,30 @@
"sha256": "06rnma2xj2vnbvy1bnls59cagk6qsf862bj1zp6xbmpr1a5l9m4z"
}
},
+ {
+ "ename": "liquidmetal",
+ "commit": "9aa2004e04ef2f1fbfdd722b271c7cdcbdc8ad10",
+ "sha256": "0f7xp490nd4ff43pi5g911hsbcvlxzj5wx26b6lflhhnljkh5fsv",
+ "fetcher": "github",
+ "repo": "jcs-elpa/liquidmetal",
+ "unstable": {
+ "version": [
+ 20211004,
+ 1429
+ ],
+ "commit": "e42baf790629cc3a7310194c4f00d9dafa34f933",
+ "sha256": "1p3bgfcp1pqilmc4jxs3y182mcddrqd7m0l9b2k2wbdcar1fphpf"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 3,
+ 0
+ ],
+ "commit": "32ddd9b52875a6fa403104ed271e15d86d215463",
+ "sha256": "1czyiy26wxkxc2lbrrblz8hzpf8p71fyp4hh63vsdf2fyimyl1cw"
+ }
+ },
{
"ename": "liso-theme",
"commit": "27b849f3a41a5ae3d497cef02a95c92fd479b93b",
@@ -63014,8 +63354,8 @@
20210215,
2206
],
- "commit": "2b719baf0ccba79e28fcb3c2633c4849d976ac23",
- "sha256": "0rxqam6cgi404m8n45mw73j3jdd2gb3iwpmyyixbv3cxfb7y1b0l"
+ "commit": "7330f08dd85ee715096f3596df516877894c6c2f",
+ "sha256": "1hlqairbjlrcbzb4r5fjm80znr9hdgny3vgm27dwwxxa340m0r6i"
},
"stable": {
"version": [
@@ -63091,8 +63431,8 @@
"repo": "abo-abo/lispy",
"unstable": {
"version": [
- 20210809,
- 1001
+ 20211014,
+ 1136
],
"deps": [
"ace-window",
@@ -63101,8 +63441,8 @@
"iedit",
"zoutline"
],
- "commit": "5ef2e8967f1015e3936ee7a5bf3d3c4b93d5c3a7",
- "sha256": "0a5gj6sa49lbn753r6b3xvxxjy2f2c2jv53y79g7mqgr7w6dcx40"
+ "commit": "8ddcf0c8f69e2a669f197c50a701dc6aea74d7c5",
+ "sha256": "1z9z8225bb5ih9bf2d0nzwl6258wk327dky91z247jddv5mzj4m5"
},
"stable": {
"version": [
@@ -63149,11 +63489,11 @@
"repo": "dgtized/list-environment.el",
"unstable": {
"version": [
- 20210810,
- 1612
+ 20210930,
+ 1439
],
- "commit": "a07dc2d2da83ac13abf59eb3de941e5069e9a1e9",
- "sha256": "1wq3mxp3pl3gs2a6n9lh22wv2x4kaflghr03jbh4ahj42n0hnzv4"
+ "commit": "0a72a5a9c1abc090b25202a0387e3f766994b053",
+ "sha256": "1prnav7xg1qchfkj1645vsx2wcpawgim0fkyqlsrzf83j278xn7k"
}
},
{
@@ -63248,22 +63588,20 @@
"repo": "publicimageltd/lister",
"unstable": {
"version": [
- 20210812,
- 1729
+ 20211003,
+ 1433
],
- "commit": "a8cc3c5d1f0f4b710e15e76477eae40a99a79ad7",
- "sha256": "0n6xiyx9syxxq7867ir1nrm5bzjilrp7vcjch279754v238lgcpf"
+ "commit": "40e2966389b42bf0d6af51eaa88ddbd3e80ca72b",
+ "sha256": "05iv1jfdmlgbgyvggmnwfcj4b36fv13krjnghhlmqaicg950zdnc"
},
"stable": {
"version": [
0,
- 7
- ],
- "deps": [
- "seq"
+ 9,
+ 1
],
- "commit": "06eac24b6d229eb559eb7f8e6097614e647b4dab",
- "sha256": "1mdmpm9iv0zfv64sjnfvx8pqm7vi8v053f2rpj7glkgm8nmms5lb"
+ "commit": "4c442c18ed5e4865393e72ffff16de9919b54456",
+ "sha256": "07h55vsfmpf4r1dggjn4a0xxpxahbj1amyfywbf21wx59p17aja8"
}
},
{
@@ -63441,11 +63779,11 @@
"repo": "jingtaozf/literate-elisp",
"unstable": {
"version": [
- 20210612,
- 1056
+ 20211004,
+ 212
],
- "commit": "1a6465d4190491ddf927698554b13352a7babb3a",
- "sha256": "1nbzrxfrz209dgnlhkqq9bpf2h678laac2wsrrmf9flw65dpafv9"
+ "commit": "d1eb390e01407a0b17bbed51f2928afdc26cc488",
+ "sha256": "0ixwdw6d8hxrmi86wka4sy8i3sscgzcddihkbyf70niclrllspra"
},
"stable": {
"version": [
@@ -63541,8 +63879,8 @@
20210701,
1955
],
- "commit": "21fcb1a86167e93bf847d60f4f1b4e99eb2f1641",
- "sha256": "0rl1cmlx1g07ncyksj1ydi5mvy58ax67jnzdfq6c3s5jlc93kxwd"
+ "commit": "a1a02199a73e0d4edf50f977203eb40a1797e366",
+ "sha256": "0k2ya743k6ghkxnx0pyb3brsrbx8a31il62bj01ax4p17gq1w902"
},
"stable": {
"version": [
@@ -63637,11 +63975,11 @@
"repo": "replrep/ll-debug",
"unstable": {
"version": [
- 20201211,
- 2010
+ 20211002,
+ 1031
],
- "commit": "f551a7e1d5ecd64608db744d0f0e24aa0b8645fe",
- "sha256": "1d8m7pbfny0bkbaq609k1m8y5cd2lm0w1dnl53lls9ahyz60hdk4"
+ "commit": "a2cfeab46e5100c348b35987fae34f9ea76d7c0b",
+ "sha256": "1pp092g79grn6dxdl9c61qrdgq2ni7m0prk6kjjfn348prs9gjsk"
}
},
{
@@ -63697,14 +64035,14 @@
"repo": "daviderestivo/load-bash-alias",
"unstable": {
"version": [
- 20201229,
- 1711
+ 20210929,
+ 1537
],
"deps": [
"seq"
],
- "commit": "7ff80e4507a1dd71865440cf009bfe0c33323fc2",
- "sha256": "14rbsvyami7h7f5yjg731ppjv7fxp3aq8a9gpdzg61cilxv93dpj"
+ "commit": "b320ef5bf30d11454ae77eb76818da08973a5ef6",
+ "sha256": "0h5jbzmi7ahd7l91mcl1gdharzjip7fn6qa2g2s9dq6myj9fhy6g"
}
},
{
@@ -63937,8 +64275,8 @@
"ht",
"s"
],
- "commit": "497eb1fa71340a8d7758dd7c8115de05ab452129",
- "sha256": "1c5psadb590wbcqab0bjdfdsfd3rninbahr42pbi8gvdg0ay9qws"
+ "commit": "904d90665fc67b5baba0357bf1ef2ac87e8cd43b",
+ "sha256": "1adqlm92skfndv4f6qpy3kas6mk23dy3b54f9i6b8pbw8g7p13rs"
},
"stable": {
"version": [
@@ -64151,8 +64489,8 @@
20210825,
1323
],
- "commit": "9127dd0876567c8db306793e9c5e8151b9ab392c",
- "sha256": "00mvvxrbz0qd73a5jqdlfd1s1mxkw63lcyy5mklp5amfrznwp6m6"
+ "commit": "71f0b40cdcffdbae84214d3d82c0a8aae154a69f",
+ "sha256": "19s5617vx5xm932anyplwcjld0p589lplkvsi4p2g69ximjlmih1"
},
"stable": {
"version": [
@@ -64172,14 +64510,28 @@
"repo": "okamsn/loopy",
"unstable": {
"version": [
- 20210811,
- 244
+ 20211002,
+ 1826
],
"deps": [
- "map"
+ "map",
+ "seq"
+ ],
+ "commit": "463079c46bafc81535b1d69016d38cb34a19f352",
+ "sha256": "05km5xhrc1d35264ba2zpc8qsn3vp1vn4pp3jjwh1wcwd0h1zs3b"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 9,
+ 1
+ ],
+ "deps": [
+ "map",
+ "seq"
],
- "commit": "c37b669a12337fa04c3dad96bd52e9ae961f14e8",
- "sha256": "038440d1ki353nkkiphp46z19y9n8q3l4f4fw01wgi21mdai2y7w"
+ "commit": "f75f906397fb95a20ecdb61589d02712de0264fb",
+ "sha256": "02za26xsivayqmdbs2fy36vp1jvlvr5zanb19ayglbpakmg8ply2"
}
},
{
@@ -64190,15 +64542,28 @@
"repo": "okamsn/loopy",
"unstable": {
"version": [
- 20210810,
- 307
+ 20210906,
+ 1539
],
"deps": [
"dash",
"loopy"
],
- "commit": "c37b669a12337fa04c3dad96bd52e9ae961f14e8",
- "sha256": "038440d1ki353nkkiphp46z19y9n8q3l4f4fw01wgi21mdai2y7w"
+ "commit": "463079c46bafc81535b1d69016d38cb34a19f352",
+ "sha256": "05km5xhrc1d35264ba2zpc8qsn3vp1vn4pp3jjwh1wcwd0h1zs3b"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 9,
+ 1
+ ],
+ "deps": [
+ "dash",
+ "loopy"
+ ],
+ "commit": "f75f906397fb95a20ecdb61589d02712de0264fb",
+ "sha256": "02za26xsivayqmdbs2fy36vp1jvlvr5zanb19ayglbpakmg8ply2"
}
},
{
@@ -64265,8 +64630,8 @@
"repo": "emacs-lsp/lsp-dart",
"unstable": {
"version": [
- 20210819,
- 106
+ 20211009,
+ 2036
],
"deps": [
"dap-mode",
@@ -64274,17 +64639,16 @@
"dash",
"f",
"lsp-mode",
- "lsp-treemacs",
- "pkg-info"
+ "lsp-treemacs"
],
- "commit": "ac52f45742fa5862611b3af04ac679076f100144",
- "sha256": "13h2qmhlva5i64n2ybgar71mxnrvxzybh6gwb8xv02zjbbdy9im7"
+ "commit": "e2f4ee0d3a88956afdd8515a055678b06f947bf0",
+ "sha256": "0ma0q36q7i0bxbxx525h8s0y0p63pc1hnc5bidbdykrp3hlxw50c"
},
"stable": {
"version": [
1,
- 19,
- 2
+ 20,
+ 1
],
"deps": [
"dap-mode",
@@ -64292,11 +64656,10 @@
"dash",
"f",
"lsp-mode",
- "lsp-treemacs",
- "pkg-info"
+ "lsp-treemacs"
],
- "commit": "ac52f45742fa5862611b3af04ac679076f100144",
- "sha256": "13h2qmhlva5i64n2ybgar71mxnrvxzybh6gwb8xv02zjbbdy9im7"
+ "commit": "e2f4ee0d3a88956afdd8515a055678b06f947bf0",
+ "sha256": "0ma0q36q7i0bxbxx525h8s0y0p63pc1hnc5bidbdykrp3hlxw50c"
}
},
{
@@ -64368,8 +64731,8 @@
"request",
"s"
],
- "commit": "bb7fe5d70a3d21813858d93f70fe807beba99688",
- "sha256": "143afkkfm7dvhlpl77j98hbm5fk2jsrrkxkx1dpn17mj74ijq0ix"
+ "commit": "0a8d9468aeb414bc698566534389031837ba354d",
+ "sha256": "17vgbqyij0q0yms5sxk9f66cxzczfpxf8wykmsgpc7xac1igf7pm"
},
"stable": {
"version": [
@@ -64433,29 +64796,29 @@
"repo": "emacs-lsp/lsp-ivy",
"unstable": {
"version": [
- 20210518,
- 2034
+ 20210904,
+ 2043
],
"deps": [
"dash",
"ivy",
"lsp-mode"
],
- "commit": "bccd86028e669f5a1cad78364775fe7a0741ff93",
- "sha256": "0c1vpriamxnlb8hfnp4cfdkwd4y4gq6zdvhb93gvlf4mh3qmjcd6"
+ "commit": "3e87441a625d65ced5a208a0b0442d573596ffa3",
+ "sha256": "0nb9ypa8hyx7i38rbywh8hn2i5f9l2l567hvdr9767fk279yr97n"
},
"stable": {
"version": [
0,
- 4
+ 5
],
"deps": [
"dash",
"ivy",
"lsp-mode"
],
- "commit": "4cdb739fc2bc47f7d4dcad824f9240c70c4cb37d",
- "sha256": "08dpn0vcfdwwysijwdpnnj91m69yw0q464i0wmp51zpj3dyd4kb1"
+ "commit": "3e87441a625d65ced5a208a0b0442d573596ffa3",
+ "sha256": "0nb9ypa8hyx7i38rbywh8hn2i5f9l2l567hvdr9767fk279yr97n"
}
},
{
@@ -64466,8 +64829,8 @@
"repo": "emacs-lsp/lsp-java",
"unstable": {
"version": [
- 20210806,
- 1842
+ 20210928,
+ 1353
],
"deps": [
"dap-mode",
@@ -64479,8 +64842,8 @@
"request",
"treemacs"
],
- "commit": "2a7d27e899edf7ad221a546ed67711ef5487f3ec",
- "sha256": "0w5rq9g3gr5miqkhbj400r7gazsxs4lf9906y7a3p2avr400h930"
+ "commit": "b3f2081158284c77665a0dd5e9f815535ff080b3",
+ "sha256": "0p3sydid77zbrqmkm1l9igbhbzyp9q4229dqgly8dbsgkmyfrl3h"
},
"stable": {
"version": [
@@ -64566,8 +64929,8 @@
"julia-mode",
"lsp-mode"
],
- "commit": "d4a7a27d6ac7c6831b4f493dd89f82fa0c75bdf5",
- "sha256": "1rkf2ibjilf023fv68ql4bray8bdnl2biq5zmn1qk5pdp988iq4j"
+ "commit": "809da95c05fe668acbae5a35e03082d9b9577728",
+ "sha256": "1v3f9hwbnd4vji6say5k9110ac4qbc3gd7hb62pvsbfa7vqd06gi"
},
"stable": {
"version": [
@@ -64621,27 +64984,30 @@
"repo": "emacs-languagetool/lsp-ltex",
"unstable": {
"version": [
- 20210813,
- 916
+ 20210924,
+ 1003
],
"deps": [
"f",
- "lsp-mode"
+ "lsp-mode",
+ "s"
],
- "commit": "d9148a65961432b6ea8a4cd20c225f8c188e6dbe",
- "sha256": "0v5q51jxkxmm6pipvq9jf2s33mnrf8679ymx5fg51pk1hv0svcih"
+ "commit": "16ba29ed600314e28b18eb1b3dd47d84035d5403",
+ "sha256": "132bnkgwayx91v33ic7rw9j59l24i6ndg6s65f2fvhy27vm6qcmj"
},
"stable": {
"version": [
0,
- 1,
- 0
+ 2,
+ 1
],
"deps": [
- "lsp-mode"
+ "f",
+ "lsp-mode",
+ "s"
],
- "commit": "5546970c7949d498947e4b6a281707feb2aee928",
- "sha256": "0s7v43jmpbjjxvfp9s51kc5d9mk3kf5mwvc4iwbvrzpi0ar4vfdy"
+ "commit": "7ff60400f23efe4916778e7b21a238114e5cdba7",
+ "sha256": "0s7xi41di8gszn0fz04lpnv610xgydfr5hylp3z1dshba2kpkk1f"
}
},
{
@@ -64652,8 +65018,8 @@
"repo": "emacs-lsp/lsp-metals",
"unstable": {
"version": [
- 20210815,
- 929
+ 20210914,
+ 1821
],
"deps": [
"dap-mode",
@@ -64665,8 +65031,8 @@
"scala-mode",
"treemacs"
],
- "commit": "ca927e5a837c4e613727c804a14d9c8d36ecfcdc",
- "sha256": "0yz4z53iwrz7kz45fqsy3921badcszn6c8zwxsnzgw2qd191hwy1"
+ "commit": "695291761b2a3db734c3f53bb7fc4acfe0a5eb94",
+ "sha256": "0kg51yjrjrmsz78aj3ahbk2knrn8ccz4ccs894p8li6vz3gxm2fh"
},
"stable": {
"version": [
@@ -64696,8 +65062,8 @@
"repo": "emacs-lsp/lsp-mode",
"unstable": {
"version": [
- 20210825,
- 1531
+ 20211013,
+ 1655
],
"deps": [
"dash",
@@ -64707,26 +65073,25 @@
"markdown-mode",
"spinner"
],
- "commit": "0b4bfd43474b5e317e617834261a2b528e3f8ed4",
- "sha256": "1flzknh7mls1fg4w72iv36k77lrpkaysxdd0z922xqsg6x48znb9"
+ "commit": "b5d9b3b2c8638e223ba1524c76c16d0f616d73ce",
+ "sha256": "07ngkr86zgpi7xp1h9nx68gwpfvk5ffdk69m0mb4xn2zkjpd2d58"
},
"stable": {
"version": [
- 7,
+ 8,
0,
- 1
+ 0
],
"deps": [
"dash",
- "dash-functional",
"f",
"ht",
"lv",
"markdown-mode",
"spinner"
],
- "commit": "4db1151dbf1fe84769433d841e90803448b0b354",
- "sha256": "1z8zm7qr21hvhl6rnbznv2l9drh1pp5y4zkjrx5ac4x77b8i4aaz"
+ "commit": "5e0524cc9a4e21c4fe5b35153ad33e7b8a4f9117",
+ "sha256": "1a6jc9sxf9b8fj9h8xlv5k546bkzsy8j5nj19cfama389z0bzcsl"
}
},
{
@@ -64959,14 +65324,14 @@
"repo": "emacs-lsp/lsp-sourcekit",
"unstable": {
"version": [
- 20210404,
- 1624
+ 20210905,
+ 2017
],
"deps": [
"lsp-mode"
],
- "commit": "ae4aa8705cc3a27ed86f1e7ee04d5c8f0522d8c0",
- "sha256": "0q3dji9qy0aj7ai43xjcpb4hy6kvscrpr8r5cb9137g34zc0pd9x"
+ "commit": "97ff36b228a61e69734c7180f33cc6951b1a600f",
+ "sha256": "1pal3mga7nwfcvri1cffsjgcbbhk7wd1icd622qspqgq0zkfs8jd"
}
},
{
@@ -64977,14 +65342,25 @@
"repo": "merrickluo/lsp-tailwindcss",
"unstable": {
"version": [
- 20210605,
- 315
+ 20211003,
+ 305
],
"deps": [
"lsp-mode"
],
- "commit": "77ebadcb7decd953c069b421a7ab18188295e4b6",
- "sha256": "0s34djc945zbzykazrd7k8gizbfws3xp8rjdbnplg4996k1c71n1"
+ "commit": "8b45d5ab6ad41f881ef52983d6906193736e6f41",
+ "sha256": "07ggss18zvmxv7r2x3m5x07c994sjwq0bfjjq50j7kfnd53bmb4h"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 2
+ ],
+ "deps": [
+ "lsp-mode"
+ ],
+ "commit": "5250c4305f2334796d65779c7b61442e17d7c69b",
+ "sha256": "10xlb3gqlsx9k716mmrvpwlsdn086vr0jiqakcj2f5vixpxj1sxy"
}
},
{
@@ -64995,8 +65371,8 @@
"repo": "emacs-lsp/lsp-treemacs",
"unstable": {
"version": [
- 20210618,
- 1722
+ 20210923,
+ 2112
],
"deps": [
"dash",
@@ -65005,24 +65381,23 @@
"lsp-mode",
"treemacs"
],
- "commit": "905cc74726438cf06d8ad7cabb2efae75aeb2359",
- "sha256": "0kh8yn80x1fgpcvrgj9bqmlhpnkxqsy57w8m06gws8lhlnrh4yk9"
+ "commit": "7bf3d52bccb4a5fdce4fdde9ff61bc75161b97af",
+ "sha256": "0vbwam492y858cq1mrka9bn2i695c6rxvap8z92diklmaawdkg4p"
},
"stable": {
"version": [
0,
- 3
+ 4
],
"deps": [
"dash",
- "dash-functional",
"f",
"ht",
"lsp-mode",
"treemacs"
],
- "commit": "08e256c45d2e95b510a98a8b88b0531e8785e519",
- "sha256": "1z9cb7i546pbzvxii6lsj31jq8m70xrzscphl5z71vh93sydyhkb"
+ "commit": "d82df44d632f331a46eaf1f7a37eb6b1ada0c69b",
+ "sha256": "05ivqa5900139jzjhwc3nggwznhm8564dz4ydcxym2ddd63571k0"
}
},
{
@@ -65033,31 +65408,30 @@
"repo": "emacs-lsp/lsp-ui",
"unstable": {
"version": [
- 20210820,
- 1331
+ 20211009,
+ 1545
],
"deps": [
"dash",
"lsp-mode",
"markdown-mode"
],
- "commit": "5d643fbb0c4ef5fc4ee93d9894bf68388095160a",
- "sha256": "0005kcj9kr76d5cgviyyfjm9mm13ncra08p8s903b50sm2hsxpp8"
+ "commit": "d08c5528ba0a63433a466c2fa1265ec3250fcef1",
+ "sha256": "0p12arjl03y2ax8b6g36ppnb1qqkkc2pvv415wsgxydqias775mq"
},
"stable": {
"version": [
- 7,
+ 8,
0,
- 1
+ 0
],
"deps": [
"dash",
- "dash-functional",
"lsp-mode",
"markdown-mode"
],
- "commit": "449f3a6b80a60d88c4ed300e69d64eb8e875f1c7",
- "sha256": "09dmhhxmfjnzdc5kygwsjf8nwqlnq9rbgrca679s2wy93miqj7vc"
+ "commit": "b625f3cb5e88559ab99bec58f7a14272edb296bc",
+ "sha256": "00yirx6qzlb8fv8rd53zaw93nw72z3br40rb16scdqj1v20qsp47"
}
},
{
@@ -65259,6 +65633,40 @@
"sha256": "0926avnlxi8qkr1faplk1aj4lji0ixa4lv81badi5zsmpyyrwmm7"
}
},
+ {
+ "ename": "lyrics-fetcher",
+ "commit": "56073782eb8ef6a9e1391c03473b245be2aff0df",
+ "sha256": "1hji68ig1zldry6xrs2p62pcmfa5px9381ic84zhs02c0hsh1piv",
+ "fetcher": "github",
+ "repo": "SqrtMinusOne/lyrics-fetcher.el",
+ "unstable": {
+ "version": [
+ 20210828,
+ 813
+ ],
+ "deps": [
+ "emms",
+ "f",
+ "request"
+ ],
+ "commit": "f0212bea838f0c284ea97e051c9c6c63f1b527ff",
+ "sha256": "03mnj12b7y597p77066c979d0pbyz4a092vgjyb830dhihms2x5y"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1,
+ 4
+ ],
+ "deps": [
+ "emms",
+ "f",
+ "request"
+ ],
+ "commit": "4545f5c5609166198b5f6f2e12de7309d294b629",
+ "sha256": "135qiprw4r03s1cjkq2hr8i4a6p2aapiz07cw697mhkr3rvvvbam"
+ }
+ },
{
"ename": "m-buffer",
"commit": "c34d02682e87c9978a3583bd903dcac5da5b41d5",
@@ -65496,11 +65904,11 @@
"repo": "roadrunner1776/magik",
"unstable": {
"version": [
- 20210728,
- 1354
+ 20210907,
+ 804
],
- "commit": "d221002128b7954fb5705c37b974223514a9c4f0",
- "sha256": "0h04i2xif8iqlrp85kp3p34snzhfcgqcf65asd1qblh0qlhp37gn"
+ "commit": "6fe271f371ccb06b599a782839030bb8dee8535f",
+ "sha256": "178whq47zs055srly8wzdai5p0d0s1n3p349kb5wx2d9c2lg0pnm"
},
"stable": {
"version": [
@@ -65514,14 +65922,14 @@
},
{
"ename": "magit",
- "commit": "4158066a2c75cf0bff128bd2dc1073472c32b1f4",
- "sha256": "1hrh90qd47s6q6grr6rp2y7kfqq8bzhdfpyq2saihrric91s1rqz",
+ "commit": "cca2c57104e14cb0c47e27d7fe4b437b38009a5c",
+ "sha256": "0n327xp6zdyp5bbqr84qp0f779qqv6jrlr2kaf00whkgp59g5kf4",
"fetcher": "github",
"repo": "magit/magit",
"unstable": {
"version": [
- 20210822,
- 529
+ 20211011,
+ 1635
],
"deps": [
"dash",
@@ -65530,14 +65938,14 @@
"transient",
"with-editor"
],
- "commit": "5a0cf9aaa9acf53c68c0fe98883c081aa5e29dd3",
- "sha256": "16ihqh9aqjgjs14p5i17bw0wrcc1kpzvj62fn7bk8almdy6ph4dn"
+ "commit": "348d9b98614c824be3e2f05eef5ab91d67f6695e",
+ "sha256": "0rrrm202lxmdxld2nlp7ap89h2m1qkl1rpks8hzq2b9bzbniln95"
},
"stable": {
"version": [
3,
- 2,
- 1
+ 3,
+ 0
],
"deps": [
"dash",
@@ -65546,8 +65954,8 @@
"transient",
"with-editor"
],
- "commit": "b70f660e36c024fa9319ea0e2977e45ef3c6f3ac",
- "sha256": "179mgh8l5p7fhfmbg5rz810mhbzsxqsxd66jdb2a68vsazs1jw2m"
+ "commit": "f44f6c14500476d918e9c01de8449edb20af4113",
+ "sha256": "0cxyvp2aav27znc7mf6c83q5pddpdniaqkrxn1r8dbgr540qmnpn"
}
},
{
@@ -65558,28 +65966,28 @@
"repo": "magit/magit-annex",
"unstable": {
"version": [
- 20210817,
- 2049
+ 20211004,
+ 2314
],
"deps": [
"cl-lib",
"magit"
],
- "commit": "4271a086635ef2e1ca54b81afeda896b65b3ac25",
- "sha256": "10wfd113js54zykmsm84z1h4hn10hnb5a7wl9x5770g9asg0dnqa"
+ "commit": "018e8eebd2b1e56e9e8c152c6fb249f4de52e2d8",
+ "sha256": "1amr2c08mq1nnn6k66mgz4rzyni4np7gxm96g4qyla2cbfbachgk"
},
"stable": {
"version": [
1,
8,
- 0
+ 1
],
"deps": [
"cl-lib",
"magit"
],
- "commit": "17e5e60b59eac3cf5938c1b22c29458c0d694b0a",
- "sha256": "0ak4chfn95p2vj3y0wiyimj609a4jfzrfpsc1kn0is1jv3dlkl6c"
+ "commit": "018e8eebd2b1e56e9e8c152c6fb249f4de52e2d8",
+ "sha256": "1amr2c08mq1nnn6k66mgz4rzyni4np7gxm96g4qyla2cbfbachgk"
}
},
{
@@ -65710,15 +66118,15 @@
"repo": "emacsorphanage/magit-gerrit",
"unstable": {
"version": [
- 20210817,
- 1949
+ 20210831,
+ 1453
],
"deps": [
"magit",
"transient"
],
- "commit": "45ed8559171a6392d305f6626d0a8228341f88b2",
- "sha256": "0ghcxhi7zwk44lyckbs8n4g7ip9ab8n5bgv45r3xv49snnv5glpx"
+ "commit": "9104713f6ea918e9faaf25f2cc182c65029db936",
+ "sha256": "0sasd9q8a3cisys979djdzidxiwcf6n612gajhrhd6fpssdc3rr1"
},
"stable": {
"version": [
@@ -65809,26 +66217,26 @@
"repo": "magit/magit-imerge",
"unstable": {
"version": [
- 20210525,
- 2326
+ 20211004,
+ 2311
],
"deps": [
"magit"
],
- "commit": "cf3b4646aa0205e8d7f47e45165fe6403d6440f5",
- "sha256": "1j96vg9kc03vxxq4r5a7v4di88pvbb5i01n8js06lgs9qzl097k7"
+ "commit": "1ee213d7fa1536c86c128d09946b44ededbfac9c",
+ "sha256": "1virc4ps25nwv8jkyvlb4ylxpcz676bfw449izaly97f2f2a91hk"
},
"stable": {
"version": [
1,
- 1,
+ 2,
0
],
"deps": [
"magit"
],
- "commit": "cf3b4646aa0205e8d7f47e45165fe6403d6440f5",
- "sha256": "1j96vg9kc03vxxq4r5a7v4di88pvbb5i01n8js06lgs9qzl097k7"
+ "commit": "1ee213d7fa1536c86c128d09946b44ededbfac9c",
+ "sha256": "1virc4ps25nwv8jkyvlb4ylxpcz676bfw449izaly97f2f2a91hk"
}
},
{
@@ -65839,60 +66247,60 @@
"repo": "Ailrun/magit-lfs",
"unstable": {
"version": [
- 20190831,
- 118
+ 20210918,
+ 2000
],
"deps": [
"dash",
"magit"
],
- "commit": "75bf6d3310eae24889589a09e96a4a855e1a11c4",
- "sha256": "0dy2p6wyp5xqx4jnh1sf3v47dv09k7vv3c9mhjapcr1jpbpqj87w"
+ "commit": "ee005580c1441cce4251734dd239c84d9e88639e",
+ "sha256": "1mv5rw65gn2rsk654q1ccp7hdg6jfap123b652cf9chwxy6c6nrk"
},
"stable": {
"version": [
0,
4,
- 0
+ 1
],
"deps": [
"dash",
"magit"
],
- "commit": "75bf6d3310eae24889589a09e96a4a855e1a11c4",
- "sha256": "0dy2p6wyp5xqx4jnh1sf3v47dv09k7vv3c9mhjapcr1jpbpqj87w"
+ "commit": "ee005580c1441cce4251734dd239c84d9e88639e",
+ "sha256": "1mv5rw65gn2rsk654q1ccp7hdg6jfap123b652cf9chwxy6c6nrk"
}
},
{
"ename": "magit-libgit",
- "commit": "0580362be495894c61b99b7efb4cfa435cc0dd72",
- "sha256": "0fi3w2f79qn3hf5rw5jp8128xbk5r0xwwwb56zcjn2na02dynfb1",
+ "commit": "cca2c57104e14cb0c47e27d7fe4b437b38009a5c",
+ "sha256": "1hh7d1ii3aw9ghmidc6pifaa0ci230vm17sadl3xlq7snpghlrhi",
"fetcher": "github",
"repo": "magit/magit",
"unstable": {
"version": [
- 20210806,
- 1607
+ 20211004,
+ 1956
],
"deps": [
"libgit",
"magit"
],
- "commit": "5a0cf9aaa9acf53c68c0fe98883c081aa5e29dd3",
- "sha256": "16ihqh9aqjgjs14p5i17bw0wrcc1kpzvj62fn7bk8almdy6ph4dn"
+ "commit": "348d9b98614c824be3e2f05eef5ab91d67f6695e",
+ "sha256": "0rrrm202lxmdxld2nlp7ap89h2m1qkl1rpks8hzq2b9bzbniln95"
},
"stable": {
"version": [
3,
- 2,
- 1
+ 3,
+ 0
],
"deps": [
"libgit",
"magit"
],
- "commit": "b70f660e36c024fa9319ea0e2977e45ef3c6f3ac",
- "sha256": "179mgh8l5p7fhfmbg5rz810mhbzsxqsxd66jdb2a68vsazs1jw2m"
+ "commit": "f44f6c14500476d918e9c01de8449edb20af4113",
+ "sha256": "0cxyvp2aav27znc7mf6c83q5pddpdniaqkrxn1r8dbgr540qmnpn"
}
},
{
@@ -65954,14 +66362,14 @@
"repo": "dickmao/magit-patch-changelog",
"unstable": {
"version": [
- 20210616,
- 1302
+ 20210910,
+ 1333
],
"deps": [
"magit"
],
- "commit": "623d1a6a3bfa0f01bcaaffa13ad5ce5ae29cdb0a",
- "sha256": "1dds83a6fcpakmhny5n3s4ibcvjba4p07pg8bpy37k32c704lw27"
+ "commit": "875f8ace4c38d1f6f2126ab0f038687c42f1ab2b",
+ "sha256": "1mbh5qshaiv5x6rlklzx9l3icccb9kn3rvbdaq1xbqbgfdpfhfwd"
}
},
{
@@ -66034,32 +66442,32 @@
},
{
"ename": "magit-section",
- "commit": "92cab05cc5ba4153be97648d6fcd95417871e9c7",
- "sha256": "1v9g8y9r0lmp54hgaxgjqr3x86l7cz4wvrrjbpij7aai40ddqdp3",
+ "commit": "cca2c57104e14cb0c47e27d7fe4b437b38009a5c",
+ "sha256": "13dxx1rjpj465h1ns2nki7wfsmnfh9m1gzlm49jkka38iwnqr81j",
"fetcher": "github",
"repo": "magit/magit",
"unstable": {
"version": [
- 20210819,
- 1119
+ 20211012,
+ 1845
],
"deps": [
"dash"
],
- "commit": "5a0cf9aaa9acf53c68c0fe98883c081aa5e29dd3",
- "sha256": "16ihqh9aqjgjs14p5i17bw0wrcc1kpzvj62fn7bk8almdy6ph4dn"
+ "commit": "348d9b98614c824be3e2f05eef5ab91d67f6695e",
+ "sha256": "0rrrm202lxmdxld2nlp7ap89h2m1qkl1rpks8hzq2b9bzbniln95"
},
"stable": {
"version": [
3,
- 2,
- 1
+ 3,
+ 0
],
"deps": [
"dash"
],
- "commit": "b70f660e36c024fa9319ea0e2977e45ef3c6f3ac",
- "sha256": "179mgh8l5p7fhfmbg5rz810mhbzsxqsxd66jdb2a68vsazs1jw2m"
+ "commit": "f44f6c14500476d918e9c01de8449edb20af4113",
+ "sha256": "0cxyvp2aav27znc7mf6c83q5pddpdniaqkrxn1r8dbgr540qmnpn"
}
},
{
@@ -66665,8 +67073,8 @@
"deps": [
"manage-minor-mode"
],
- "commit": "ae46a80e27dc42913620ad78d7a84ece12643bd7",
- "sha256": "16ygsxk5raa6p767jp6g5hmgsghq0dpk102g526d770iim5s8nlb"
+ "commit": "22a00d919d56ae7b3c3bf3090cafacffaeb50d7e",
+ "sha256": "1pidsjdx1wdd02vmcl74ps622n9fyydbn8jpbrlbm6y6ffhy6rrz"
},
"stable": {
"version": [
@@ -66842,19 +67250,19 @@
"repo": "minad/marginalia",
"unstable": {
"version": [
- 20210823,
- 1004
+ 20211012,
+ 1606
],
- "commit": "207eb405706f5415dd0daf490925648fd058cc42",
- "sha256": "0sgb4682kz6qyrj4il551yvcz7kbnblh8yxbysjw4psn3aayyam0"
+ "commit": "9cd762b6c3f2714375f47993e9a6384d3bc16ebf",
+ "sha256": "05w8c4rlbdbiwpwirkpnkixifjki6rfgcz8myh0i4kyb1ayfrq2c"
},
"stable": {
"version": [
0,
- 8
+ 9
],
- "commit": "b65d66e9301f9a0e3012568ca6721ae4ec276ebc",
- "sha256": "1d6xbidxcxd5gxs5cjxbx1i1wdcmgdnn3hh7fxz0sgf1gaxyp5kv"
+ "commit": "37e24b798afca98da0d0364dde3fa63a42c5853e",
+ "sha256": "19l3fwh6phd17rssxk30v2380bs04x7w6cb3hjy4mx7vkc7w6ymv"
}
},
{
@@ -66962,11 +67370,11 @@
"repo": "jrblevin/markdown-mode",
"unstable": {
"version": [
- 20210819,
- 57
+ 20210904,
+ 733
],
- "commit": "0839d0709e116584bd070305e4a0d28bd03bc547",
- "sha256": "04xsls7lffvhxif9c5z3ycq80yf0l31z9znmj9m3z132k7rz3bs4"
+ "commit": "862ae8addd29bf6affca1a35fd0176cb0c1392da",
+ "sha256": "0dd0p04ip5wac7vcimlsm33l5nwswyf6riq2wzp3j5ppkr57x1s4"
},
"stable": {
"version": [
@@ -67036,16 +67444,16 @@
"repo": "ardumont/markdown-toc",
"unstable": {
"version": [
- 20210629,
- 931
+ 20210905,
+ 738
],
"deps": [
"dash",
"markdown-mode",
"s"
],
- "commit": "f78cba9b5761c91058fed3a781bd3fed7f996e1f",
- "sha256": "12dddxa14ppa7gaayify67w4sc6ncbc68wdg4madfqj7s71lnm45"
+ "commit": "3d724e518a897343b5ede0b976d6fb46c46bcc01",
+ "sha256": "01l48njg0x7gkssvw9nv3yq97866r945izbggx9y3z5ckr1w4hlc"
},
"stable": {
"version": [
@@ -67177,8 +67585,8 @@
20200720,
1034
],
- "commit": "67d19ed3d74c335a6a0e4798c98841c940ec911f",
- "sha256": "04dyb3nn5rdgic1m74sv9wzxkfxvszk3sj2fnixp41dj3pvwwdwb"
+ "commit": "5a63cff899eeb58abc3d0cdc6a0e5a6bbf13eaf6",
+ "sha256": "0g47ch2wnd25vc2g0mypkxdgjjkqznknk14qxxmmyf5ygp5f4ysg"
},
"stable": {
"version": [
@@ -67282,11 +67690,11 @@
"repo": "cpaulik/emacs-material-theme",
"unstable": {
"version": [
- 20201224,
- 916
+ 20210904,
+ 1226
],
- "commit": "67a496c937542f6ee7c4a2164d23f0296ef3a645",
- "sha256": "14n0s4yn76b7a0qifabp1lp9g0zq82xwahz1wb5wfyfc3d0px9ck"
+ "commit": "6823009bc92f82aa3a90e27e1009f7da8e87b648",
+ "sha256": "1c0z2dxfwzgl71xwli3dmyn96xadm5wnhnp5inv5f01mp7iwhf8a"
},
"stable": {
"version": [
@@ -67305,15 +67713,15 @@
"repo": "matsievskiysv/math-preview",
"unstable": {
"version": [
- 20210729,
- 1842
+ 20210909,
+ 1220
],
"deps": [
"dash",
"s"
],
- "commit": "b6f54d7a53d2ed5c71fc9ab6d65da63103c799bc",
- "sha256": "0hzchn5m5r0iv0im43paxbpd00fyv4m1rv53asp1fg2h27zg7xfz"
+ "commit": "90821e2993c8976e6a06f3bc2bf39aae6fbad016",
+ "sha256": "04hb48ncxvh3ia416iyy0x0wpvkhmpqg369565zgmhg9mvl3njmz"
}
},
{
@@ -67477,26 +67885,20 @@
"repo": "dochang/mb-url",
"unstable": {
"version": [
- 20191006,
- 1930
- ],
- "deps": [
- "cl-lib"
+ 20211013,
+ 611
],
- "commit": "7230902e1f844e0a1388f741e9ae6260cda3de69",
- "sha256": "09qsc4dl9ngl11i92bfslpl1b1i5ksnpkvfp2hhxn3hwfpgfh64s"
+ "commit": "f6b608db585231eee231d5473edcf4183bb678fe",
+ "sha256": "1rrg7skg1ifh6bnplxdcp1wryqgwf3aspcvdrrh8k6wd1z7zgdai"
},
"stable": {
"version": [
0,
- 5,
- 1
- ],
- "deps": [
- "cl-lib"
+ 7,
+ 0
],
- "commit": "7230902e1f844e0a1388f741e9ae6260cda3de69",
- "sha256": "09qsc4dl9ngl11i92bfslpl1b1i5ksnpkvfp2hhxn3hwfpgfh64s"
+ "commit": "f6b608db585231eee231d5473edcf4183bb678fe",
+ "sha256": "1rrg7skg1ifh6bnplxdcp1wryqgwf3aspcvdrrh8k6wd1z7zgdai"
}
},
{
@@ -67883,16 +68285,16 @@
"repo": "DogLooksGood/meow",
"unstable": {
"version": [
- 20210825,
- 1800
+ 20210908,
+ 1532
],
"deps": [
"cl-lib",
"dash",
"s"
],
- "commit": "56f0365dca1dbb3e97a32cf3da65f817598731b2",
- "sha256": "10qp7s84p0j9byrwsfwbr1vyvad8v5y28v8dv7x7mm05pkcr9vv1"
+ "commit": "3e58697695327d1ecf2a210af645e8f2db845c32",
+ "sha256": "0fl9fc7sibivna92ddnh6vv271qykkn9bw97nak1cnn9isi5hvn6"
}
},
{
@@ -67906,8 +68308,8 @@
20210720,
950
],
- "commit": "5ca4857302c2d2d329fd01d0db6a22fa5922b42a",
- "sha256": "1007z1dr45b588sifb77a7q5g6l0q8mxr4p5scbrbb9f75cpsy9g"
+ "commit": "e4791e22986993c36c3f5c91e8dff93494cc232e",
+ "sha256": "16hkwzsw3igb9ybcjmbmxhrhgy78m8465fv3vys7w3783w6bzkxx"
},
"stable": {
"version": [
@@ -67936,8 +68338,8 @@
"auto-complete",
"merlin"
],
- "commit": "5ca4857302c2d2d329fd01d0db6a22fa5922b42a",
- "sha256": "1007z1dr45b588sifb77a7q5g6l0q8mxr4p5scbrbb9f75cpsy9g"
+ "commit": "e4791e22986993c36c3f5c91e8dff93494cc232e",
+ "sha256": "16hkwzsw3igb9ybcjmbmxhrhgy78m8465fv3vys7w3783w6bzkxx"
},
"stable": {
"version": [
@@ -67970,8 +68372,8 @@
"company",
"merlin"
],
- "commit": "5ca4857302c2d2d329fd01d0db6a22fa5922b42a",
- "sha256": "1007z1dr45b588sifb77a7q5g6l0q8mxr4p5scbrbb9f75cpsy9g"
+ "commit": "e4791e22986993c36c3f5c91e8dff93494cc232e",
+ "sha256": "16hkwzsw3igb9ybcjmbmxhrhgy78m8465fv3vys7w3783w6bzkxx"
},
"stable": {
"version": [
@@ -68033,8 +68435,8 @@
"iedit",
"merlin"
],
- "commit": "5ca4857302c2d2d329fd01d0db6a22fa5922b42a",
- "sha256": "1007z1dr45b588sifb77a7q5g6l0q8mxr4p5scbrbb9f75cpsy9g"
+ "commit": "e4791e22986993c36c3f5c91e8dff93494cc232e",
+ "sha256": "16hkwzsw3igb9ybcjmbmxhrhgy78m8465fv3vys7w3783w6bzkxx"
},
"stable": {
"version": [
@@ -68108,6 +68510,24 @@
"sha256": "0wpj3ich8wisq0jy304fngj0nkkvdqzfkfcx0s8ib6l04v29ypa5"
}
},
+ {
+ "ename": "message-view-patch",
+ "commit": "93cf8649172e3b4d552e20f4ea27c439a891dfbd",
+ "sha256": "1vik1hkv30a379c9lyjw032iing54ykq9pkqyy5zkwk92dkr5mhm",
+ "fetcher": "github",
+ "repo": "seanfarley/message-view-patch",
+ "unstable": {
+ "version": [
+ 20210904,
+ 2227
+ ],
+ "deps": [
+ "magit"
+ ],
+ "commit": "40bc2e554fc1d0b6f0c403192c0a3ceaa019a78d",
+ "sha256": "0cmkiggrl42sjx31dwnzac32bs3q2ksmamkq1pjjl8fwshp4g8sv"
+ }
+ },
{
"ename": "messages-are-flowing",
"commit": "855ea20024b606314f8590129259747cac0bcc97",
@@ -68247,8 +68667,8 @@
20210422,
326
],
- "commit": "543813e0acceb55653d876302a5d5741879fb717",
- "sha256": "1w0pfz5dbhqglb5w3c2g4ww2c32nbsir8gqnsh69pa43h9q1msz1"
+ "commit": "68695ed0e012379556d57f9564ac5ad8cd68fbb8",
+ "sha256": "1qk9kshi4hyy0fni3gb383m0yvj4fmgidiab6vhnms5zgghj4kl7"
},
"stable": {
"version": [
@@ -68339,8 +68759,8 @@
"repo": "danielsz/meyvn-el",
"unstable": {
"version": [
- 20210606,
- 1501
+ 20210927,
+ 2356
],
"deps": [
"cider",
@@ -68350,8 +68770,8 @@
"projectile",
"s"
],
- "commit": "ddba1d60d6729bbeeefd0f76dac4e6c20e848f67",
- "sha256": "1c454baagnvbg79yia5vwk51n0fp031rz0xhgawk70lrfjbc8256"
+ "commit": "8573bd3d2a755cf1ac055036ecf5553f9bdb7444",
+ "sha256": "19bi5fplp8vg6c81dk2fhw345qh4ydw8gjjqcbhli18a29q2yrbm"
},
"stable": {
"version": [
@@ -68370,20 +68790,20 @@
"repo": "purpleidea/mgmt",
"unstable": {
"version": [
- 20190324,
- 1908
+ 20210131,
+ 2152
],
- "commit": "6a7d904fae5014aabae8c91add220485108d485b",
- "sha256": "0r0msrnbz9177cv1mlacsyd35k945nk2qaqm1f8ymgxa99zy124i"
+ "commit": "e9791ff92c27bc7df18f238a29f05fc164da2f51",
+ "sha256": "1j7ni3qjcy0fikzhhm4xwwwskdm6qnb1c1kshh8xrd9c06kmfrv7"
},
"stable": {
"version": [
0,
0,
- 21
+ 22
],
- "commit": "6a7d904fae5014aabae8c91add220485108d485b",
- "sha256": "0r0msrnbz9177cv1mlacsyd35k945nk2qaqm1f8ymgxa99zy124i"
+ "commit": "9c75c55fa4b32c2f1fa31a062ad92ddc8dae61a8",
+ "sha256": "1jjfynbag61d36qcv1i0x040spnb8j3wvamqp9vx8sqaf1kb24ar"
}
},
{
@@ -68580,8 +69000,8 @@
20210710,
1941
],
- "commit": "b07faabfec1b5ba545dc1cb961545cc1e9d78db0",
- "sha256": "0b7znsgvycfx2brk782mi0n8i779n1r7pqwfq7s256rgi4fcyzap"
+ "commit": "57a049b9e1ea4a9b65e82fc10c8c7e70a042f636",
+ "sha256": "0bhsicy4van5xml8s9if2q7b36fbnzqg7kbg41zknf7nyhzl8pxi"
}
},
{
@@ -68691,6 +69111,21 @@
"sha256": "07nbn2pwlp33kr136xsm6lzddhjs538xkz0fbays89psblmy4kwj"
}
},
+ {
+ "ename": "minibuffer-modifier-keys",
+ "commit": "589d2ad3a1d509eda5ba0b04025b6472e8e7cd0b",
+ "sha256": "0ijniq92bfsbk68y6g9l9f4aykwydm990mjy5lhkr1dwpn8z7fpy",
+ "fetcher": "github",
+ "repo": "SpringHan/minibuffer-modifier-keys",
+ "unstable": {
+ "version": [
+ 20210823,
+ 713
+ ],
+ "commit": "38da548225f51ca7bca22d3e9b0de78e3b9e6cdd",
+ "sha256": "14xv0v1j1p67hlccw9a137d7hi62pwzllirdx5ixnd2lc7xfg402"
+ }
+ },
{
"ename": "miniedit",
"commit": "5f2a50f62475639af011c99c6cc38928b74b3b0a",
@@ -68771,13 +69206,10 @@
"version": [
0,
3,
- 4
- ],
- "deps": [
- "dash"
+ 6
],
- "commit": "36d39bd25ae58d1359d17f99142520339bea5974",
- "sha256": "1rvsfg9aabvyzzxd38kvjwkm9675zcmrfhzj5x6wj0ba3n0k34q5"
+ "commit": "1be68e8571336672d6cbec86246d1bf7844976be",
+ "sha256": "0lg704kwc851spp69745np8hsk0h6rl2hvfpid0j412278ds1qi8"
}
},
{
@@ -68962,8 +69394,8 @@
20210601,
2158
],
- "commit": "cc19df172e2e20a76861ac75ead3616f2f7eb870",
- "sha256": "10ca4q7j83kvk2rv5dghqs56lilkdxsq0zfz0ycvdk41r26cr10z"
+ "commit": "a9f2abd32f2517392a396d61e558bea3c887b5b6",
+ "sha256": "0affcw4vnk2jk7pn56alg4i1vmhf3db9dz0x06k4wl2jcm5cslzd"
},
"stable": {
"version": [
@@ -69367,15 +69799,15 @@
"repo": "damon-kwok/modern-sh",
"unstable": {
"version": [
- 20210716,
- 148
+ 20211015,
+ 335
],
"deps": [
"eval-in-repl",
"hydra"
],
- "commit": "8b11b67ac738cfd95babbcc7543467fd9190fc7e",
- "sha256": "1y0y2fwyi1qi5k3nypdv51rfyf06f2q2c6ki5yz6bl82lhd0vb1l"
+ "commit": "e88d83958ab43e17b9763b3220e0dde862b49a83",
+ "sha256": "0x2j9i3kns5w9b6bklvmf077dbc5mdim3f4l68nbl0l6kcmlb68k"
}
},
{
@@ -69425,20 +69857,20 @@
"repo": "protesilaos/modus-themes",
"unstable": {
"version": [
- 20210804,
- 1453
+ 20211015,
+ 456
],
- "commit": "52532a1ac2f3b707e79ba67ada8bf36846e45048",
- "sha256": "1y12c9xjs6liwgwbfvy5w8sm9kn6ww8imqgkji8nncpjy3vp81vs"
+ "commit": "5ea090b223e8a83f7f3800a96bd4ed3ac9d62230",
+ "sha256": "1dw6v1sz3b8xf28ly17vsf9j5r9ylwd1p1hz26c05zf9dygiwp45"
},
"stable": {
"version": [
1,
- 5,
+ 6,
0
],
- "commit": "b6fb7cda01a665f9369f2c6a29f3bf26c8cc8019",
- "sha256": "1yz5yr3acc601xcms7vr2jbj4bq6dqz8n5ymyfyxldid0n5ykzy4"
+ "commit": "8dbfe43fe52a9420a23d29e8ca631c2b7f52d966",
+ "sha256": "12f0bki57cncfzyi8cv8fkvxhh8khlxd890x0glb5ny9w1hd6s11"
}
},
{
@@ -69724,20 +70156,20 @@
"repo": "jessieh/mood-line",
"unstable": {
"version": [
- 20200722,
- 2327
+ 20211003,
+ 2113
],
- "commit": "64cbd61c3d9ebf8eb7e1b6366279e32382405f90",
- "sha256": "0fh9j9fkgl433nykfzjnzap5labi4sdndfk1nv4f904ij69pmvxb"
+ "commit": "ef1c752679a8f92faa7b4828adbbb300b6942f22",
+ "sha256": "0z6s80j259xf8nxjxcsmp7wyvpcg5wyx58brlrbwg1aa9hl3fxga"
},
"stable": {
"version": [
1,
2,
- 4
+ 5
],
- "commit": "64cbd61c3d9ebf8eb7e1b6366279e32382405f90",
- "sha256": "0fh9j9fkgl433nykfzjnzap5labi4sdndfk1nv4f904ij69pmvxb"
+ "commit": "ef1c752679a8f92faa7b4828adbbb300b6942f22",
+ "sha256": "0z6s80j259xf8nxjxcsmp7wyvpcg5wyx58brlrbwg1aa9hl3fxga"
}
},
{
@@ -69977,24 +70409,6 @@
"sha256": "17570labnwdnwca2cg4ga0mrrm00n0h3wlxry823k5yn3k93rnj1"
}
},
- {
- "ename": "mouse-slider-mode",
- "commit": "8fa747999bb928c3836400a43d8ab63939381673",
- "sha256": "0aqxjm78k7i8c59w6mw9wsfw3rail1pg40ac1dbcjkm62fjbh5hy",
- "fetcher": "github",
- "repo": "skeeto/mouse-slider-mode",
- "unstable": {
- "version": [
- 20161021,
- 1914
- ],
- "deps": [
- "cl-lib"
- ],
- "commit": "b3c19cd231edecce76787c5a9bbe5e4046d91f88",
- "sha256": "1qkbrwicp3gaknnmfrajf1qdyhj5s0c09cx62869rp2721p8rqaw"
- }
- },
{
"ename": "move-dup",
"commit": "3ea1f7f015a366192492981ff75672fc363c6c18",
@@ -70067,59 +70481,6 @@
"sha256": "1k3b018xq2qqq30v0ik13imy9c84241kyavj5ascxhywx956v18g"
}
},
- {
- "ename": "moz",
- "commit": "6839c5e52364fb32f6d8a351e5c2f21fbd6669a1",
- "sha256": "0ar2xgsi7csjj6fgiamrjwjc58j942dm32j3f3lz21yn2c4pnyxi",
- "fetcher": "github",
- "repo": "bard/mozrepl",
- "unstable": {
- "version": [
- 20150805,
- 1706
- ],
- "commit": "ab3e79914445039ceb62f7f2dc342358fec3492e",
- "sha256": "1c7dsip5wmlf7x2hziwil5n3igvpnh17d7yg8lsg001y5sjl3mjv"
- },
- "stable": {
- "version": [
- 1,
- 1,
- 0
- ],
- "commit": "646208b67e6c9c56d188db1eba999846d518935f",
- "sha256": "13bf5jn1kgqg59j5czlzvajq2fw1rz4h5jqfc7x8w1a067nymf2c"
- }
- },
- {
- "ename": "moz-controller",
- "commit": "fcc20337594a76a547f696adece121ae592c6917",
- "sha256": "18gca1csl9dfi9995mky8cbgi3xzf1if8pzdjiz5404gzcqk0rfd",
- "fetcher": "github",
- "repo": "RenWenshan/emacs-moz-controller",
- "unstable": {
- "version": [
- 20151209,
- 206
- ],
- "deps": [
- "moz"
- ],
- "commit": "46f665c03574fa922de767fc29795e0db4a7c5c6",
- "sha256": "0fssn33ld6xhjlwg1dbrjg8sa0pjmglq0dw792yrmvm4fj0zjph8"
- },
- "stable": {
- "version": [
- 1,
- 0
- ],
- "deps": [
- "moz"
- ],
- "commit": "42fd842039620de7fb122f7e4ffc1ab802ee97c5",
- "sha256": "1w1i1clkjg9mj1g4i2y3xw3hyj8s7h9gr04qgyb9c1q8vh11z8d0"
- }
- },
{
"ename": "mozc",
"commit": "30fef77e1d7194ee3c3c1d4775c349a4a9f6af2c",
@@ -70131,8 +70492,8 @@
20210306,
1053
],
- "commit": "fed70bc909ef2a67d907d1ac89bbcd042d277da5",
- "sha256": "05jw43ajq404dq62kykw9ryh5kl0lhl9alxyqq3gixpyds3r2205"
+ "commit": "aa48b23dcf92ea1d85d8e8dbca8c0a0c37e159f8",
+ "sha256": "181l8l2bihvsg3y652qhfjza5vp0irwdc6q6h1bl8zj0by66p859"
},
"stable": {
"version": [
@@ -70289,26 +70650,26 @@
"repo": "sp1ff/mpdmacs",
"unstable": {
"version": [
- 20201118,
- 350
+ 20210904,
+ 35
],
"deps": [
"elmpd"
],
- "commit": "174ffbc1e8ef31339867e3d9b29fe8468b636a7c",
- "sha256": "0djpvhgvbijk40p3131nrf87nrsfd02lm1gc4i65l6sl66xxszv8"
+ "commit": "334b066dc5bb82d9ccb6cc30d63afed0f7610fe8",
+ "sha256": "0pkw79sccsvx845xgd2a2rql6ic7jkzki90xj268czvcgvfy4kn1"
},
"stable": {
"version": [
0,
2,
- 0
+ 2
],
"deps": [
"elmpd"
],
- "commit": "b81d9464c04bd42509b62d4a3c23f50aed728fc3",
- "sha256": "0kjhkj77x25cvx27gqwpsfn64cf9bi5hv0a2sqp8xzzxaxz2l2hd"
+ "commit": "66031a8993a2a704bdfaa9c63ec590dd0c5a2eea",
+ "sha256": "0n4b1klaf1jwd8bj9gqjy5p9yabgkgj9zai1cmsbnb4174h0719q"
}
},
{
@@ -70567,11 +70928,11 @@
"repo": "Alexander-Miller/mu4e-column-faces",
"unstable": {
"version": [
- 20210812,
- 1721
+ 20210927,
+ 1759
],
- "commit": "34b9b3cbe50eaf48a636f2e05a3496111429b265",
- "sha256": "02hqz71ds2alb95y65iii6b9rj0r7a9ymib7yv5321ys25j5bqzl"
+ "commit": "b76a5989cafe88a263688488854187a015beef41",
+ "sha256": "06jd6pj5ngq5j5r6s7d7298jjfy1xkk0ribxrfsg6vpmd111brbf"
},
"stable": {
"version": [
@@ -70812,14 +71173,14 @@
"repo": "ReanGD/emacs-multi-compile",
"unstable": {
"version": [
- 20210620,
- 48
+ 20210923,
+ 233
],
"deps": [
"dash"
],
- "commit": "65699ac6a2f787a07908466e1cbfe3333ace7532",
- "sha256": "05h4rh5g8kqz8sl31r8800rkrcv9ir6jh6qr38qwj1zrcd77zk02"
+ "commit": "03ae81739e44b70903dcdaae86a5ccaecc73eb9b",
+ "sha256": "1qvlf7f1wjlai25a09fnir3gsida3zpnr8vfvv687lxvngf7r53r"
}
},
{
@@ -70866,11 +71227,11 @@
"url": "https://hg.osdn.net/view/multi-project/multi-project",
"unstable": {
"version": [
- 20210814,
- 1656
+ 20210908,
+ 1233
],
- "commit": "151b4fc935b6f4b286249ce52d6473440fb8d1c5",
- "sha256": "0jqfb7kdm5ajdxvy5fmrp240zjlrf8mzhv77hyvipg1yzkka99gb"
+ "commit": "e213d1f64e173b437a2981afc5d85f90aa40a03e",
+ "sha256": "1cpssylbfw3ir4dh14z5p4b7yfw4k2ky49i09jk2prq7swk0f6xm"
}
},
{
@@ -71053,14 +71414,14 @@
"repo": "zevlg/multitran.el",
"unstable": {
"version": [
- 20210701,
- 2153
+ 20211008,
+ 826
],
"deps": [
"cl-lib"
],
- "commit": "c34536186088f29d4e85631825e7c6d557a8d0fa",
- "sha256": "0iqkgs3rrkhbj2mind4aa4qv7bf7vflnkdysd39b50jbwd7rv4fx"
+ "commit": "3a3f3561dba816f580cc21526d4f7a231a30bb51",
+ "sha256": "1s3iavcbbbb4rf70qay4maf7fvfm8i69smyxl2771vb7dj5fjk48"
},
"stable": {
"version": [
@@ -71414,11 +71775,14 @@
"repo": "myTerminal/myterminal-controls",
"unstable": {
"version": [
- 20190426,
- 421
+ 20210904,
+ 516
],
- "commit": "733cdd7ab4f172b6dca09720fc5ae7dbc248c822",
- "sha256": "1z89d3dx77c4v1zz4ngn689ay6m2x04jznnbc6bdqsaanz9znwlz"
+ "deps": [
+ "cl-lib"
+ ],
+ "commit": "c635868e13ee898ec77925d98b36421640e22aa4",
+ "sha256": "1y7kkb12m94z8ypnfc02xsbsv30lm6qbk3cri1fd63wjshv7wil2"
},
"stable": {
"version": [
@@ -71813,20 +72177,20 @@
"repo": "rolandwalker/nav-flash",
"unstable": {
"version": [
- 20210711,
- 217
+ 20210906,
+ 1942
],
- "commit": "55786c9582410a5637b5635fea022aae564205cd",
- "sha256": "0pj92h241k17hvlx7x0nx2hnjg6vyz65sa4ghyqhwa7mdn0c12pi"
+ "commit": "2e31f32085757e1dfdd8ec78e9940fd1c88750de",
+ "sha256": "0wzk6nqky5zjpds9mmi1dcwn00d3044l7a0giawqycsa4zcybdlk"
},
"stable": {
"version": [
1,
1,
- 0
+ 2
],
- "commit": "9054a0f9b51da9e5207672efc029ba265ba28f34",
- "sha256": "119hy8rs83f17d6zizdaxn2ck3sylxbyz7adszbznjc8zrbaw0ic"
+ "commit": "2e31f32085757e1dfdd8ec78e9940fd1c88750de",
+ "sha256": "0wzk6nqky5zjpds9mmi1dcwn00d3044l7a0giawqycsa4zcybdlk"
}
},
{
@@ -72104,14 +72468,14 @@
"repo": "SpringHan/netease-cloud-music.el",
"unstable": {
"version": [
- 20210817,
- 1148
+ 20211002,
+ 1453
],
"deps": [
"request"
],
- "commit": "e228a3e8646d4d66f61eb91e306b8bc1cfa9861a",
- "sha256": "1wckcxjwj4bx31akxjpbzj3fdbhym0lr29sqq05c8xjbxza8dmkh"
+ "commit": "58962d7e04a8cc62f0792b15050fdc5a0c3d20c7",
+ "sha256": "0kc26kvsyv2f65pjl33lc0cmjvcnnjyf6vvfpbjxy771c0a44ism"
},
"stable": {
"version": [
@@ -72266,6 +72630,21 @@
"sha256": "1zzsfyqwj1k4zh30gl491ipavr9pp9djwjq3zz2q3xh7jys68w8r"
}
},
+ {
+ "ename": "newspeak-mode",
+ "commit": "79f89e772cae716a3e635e7b4588727e0647616c",
+ "sha256": "1xi3nv5zni52r9z8sbam8pc3l244pfm76d7hhfrvaxrvlyyq9dc5",
+ "fetcher": "github",
+ "repo": "danielsz/newspeak-mode",
+ "unstable": {
+ "version": [
+ 20211011,
+ 1425
+ ],
+ "commit": "7ae89edd0f72c2dc005933fada5ddaf48ec97dd6",
+ "sha256": "0fnl27phpmdgg3ja2jpm846x1pq47fqi3yjngxr32fag86hjamvw"
+ }
+ },
{
"ename": "nexus",
"commit": "80d3665e9a31aa3098df456dbeb07043054e42f5",
@@ -72274,11 +72653,11 @@
"repo": "juergenhoetzel/emacs-nexus",
"unstable": {
"version": [
- 20140114,
- 1305
+ 20210903,
+ 1743
],
- "commit": "c46f499951b90839aa8683779fe43d8f01672a60",
- "sha256": "1xnx6v49i6abzbhq4fl4bp9d0pp9gby40splpcj211xsb8yiry27"
+ "commit": "9603fd3d8ef34d4b3dcad3292c4ac743500d4946",
+ "sha256": "07bp6vb2d4sf0csnrc52xiib3lzxpd0mzlpjbikpcn17xjm6xjcb"
}
},
{
@@ -72488,11 +72867,11 @@
"repo": "m-cat/nimbus-theme",
"unstable": {
"version": [
- 20210318,
- 1654
+ 20211014,
+ 1848
],
- "commit": "7e9ad5a617a26641988445503e235c68fa21b611",
- "sha256": "1wy06kphgljlcnl55qx5g8hzcv9bnfrrp22pfsxpyawlrmmgxp1j"
+ "commit": "b9e383b4fcc7a3232f9943aed29586a760602a1d",
+ "sha256": "1kmcpndqh4072nkkji2vxd2br0wyp4ih3b7r4rx90mrimpdvcbrm"
}
},
{
@@ -72506,8 +72885,8 @@
20181024,
1439
],
- "commit": "e90dfd3c7528b9c620eab29121a3591af7bf035e",
- "sha256": "052shini6g1a5zjqqrwxvjk92c597qxfkar21pdzs4na0sij7szw"
+ "commit": "0cd88287a4cd77d11c92c7a9b44bb15fb787a1ee",
+ "sha256": "0c93b83zc1x22bq04fnka497qi0v4bs57nvsz9gbanqxng4b4gf7"
},
"stable": {
"version": [
@@ -72605,14 +72984,14 @@
"repo": "NixOS/nix-mode",
"unstable": {
"version": [
- 20210809,
- 1724
+ 20210830,
+ 1610
],
"deps": [
- "f"
+ "magit-section"
],
- "commit": "56748ac556d0406cc6c574f7347fe37decd8381e",
- "sha256": "1lgakfj8dar3517rl6k6vxjijkdywq05wg7fjjmnv3a0h8ppjjz4"
+ "commit": "8e20de5ba7061d810b08df5557b1fdb60c94b639",
+ "sha256": "18kh6sb8rn391krg5a2xsk03am6ni739pw2ash81asnh112zsxzi"
},
"stable": {
"version": [
@@ -72787,8 +73166,8 @@
"repo": "dickmao/nndiscourse",
"unstable": {
"version": [
- 20210820,
- 1503
+ 20210926,
+ 1845
],
"deps": [
"anaphora",
@@ -72796,8 +73175,8 @@
"json-rpc",
"rbenv"
],
- "commit": "1b064aa49da9ab24fb36d208ec35a40c29d9e5b3",
- "sha256": "0sp807drgl8hmxwhz12r0zr371x8x5f5amp2aap4b4irf665dd22"
+ "commit": "168b5ff1d8d8c39ac2db31e56fbab0927d557d7f",
+ "sha256": "1vka4i3hsgvwiwqh06xsdrlf50q7mjzyvc4gdk28705gaxnzqmiy"
}
},
{
@@ -72808,16 +73187,16 @@
"repo": "dickmao/nnhackernews",
"unstable": {
"version": [
- 20210729,
- 953
+ 20210921,
+ 1131
],
"deps": [
"anaphora",
"dash",
"request"
],
- "commit": "3a2fc7da6c6cfaba15fabcf1f3c9cf57b016c362",
- "sha256": "1z91i6kl0bpsk87rl0ysfm8wifb3a196r82bxb6wlk6lkxlqr8jq"
+ "commit": "4e584d4da81c400de145dbb7a58e63819cbaf340",
+ "sha256": "0z5bww7cmlri2hn3fz3yad0scbsnhhddi21f50cmhdghgn1iaw41"
}
},
{
@@ -72843,8 +73222,8 @@
"repo": "dickmao/nnreddit",
"unstable": {
"version": [
- 20210708,
- 43
+ 20210912,
+ 236
],
"deps": [
"anaphora",
@@ -72854,8 +73233,8 @@
"s",
"virtualenvwrapper"
],
- "commit": "60bf11fdba8ff56b6b4b21f5f0c04953834d8a14",
- "sha256": "1b6i4kwjb81s7x56g7xmkynryw8xzrpbbkfy03597ka0v0n8i717"
+ "commit": "cb22a8480e9688f16f3764953cebebe64df31ccf",
+ "sha256": "0qpy3xymzryncbiz4cay4bzmmarbs575dgh3db2iibaffwb4qb0x"
}
},
{
@@ -72866,16 +73245,16 @@
"repo": "dickmao/nntwitter",
"unstable": {
"version": [
- 20210104,
- 1423
+ 20210911,
+ 1751
],
"deps": [
"anaphora",
"dash",
"request"
],
- "commit": "174eb3bdb1339872b62fe2bf0c27d9a3eb142d27",
- "sha256": "089zsy7f69h6kj6rckn5big2bfdn6hgdwamacsgsb8fpsvmy3ai9"
+ "commit": "a802ef9b589dda41bcb5d6cfce2faf8948c20c8c",
+ "sha256": "0fcskdyapz59cvik117vzj7hyv8kvvp6kh0aing2bgndwvai4apg"
}
},
{
@@ -72914,13 +73293,13 @@
"version": [
1,
2,
- 2
+ 3
],
"deps": [
"cl-lib"
],
- "commit": "57357e15643158b4e0d9b3b4f70a82f5fc73178a",
- "sha256": "1kbbbx1agzcxc5n1b6cavdx3wjxz6mgi9rafja8mk8cyaaiz0rkd"
+ "commit": "dcc96cbf5f018a91d406926d3b69715847ef665a",
+ "sha256": "1c6nq2sykbsjy30zakfpny503644bbwgb4pxhfsd4wywj5yyzw66"
}
},
{
@@ -73221,20 +73600,21 @@
"url": "https://git.notmuchmail.org/git/notmuch",
"unstable": {
"version": [
- 20210822,
- 1412
+ 20210920,
+ 2339
],
- "commit": "84347ffcad24b48390c622e5a96c31c97c094daa",
- "sha256": "1zn9j0sc49g7gj1fx2n1lvgcixcvjcc3kg9jlvzwniygqnncwcw2"
+ "commit": "0f196b5659c8a66af4357fee3d4b3a169044472d",
+ "sha256": "0h068mfry2gllr8gy836a7i8zpkd6bqljnwfcm7yssfv3flrw3z3"
},
"stable": {
"version": [
0,
- 32,
- 3
+ 34,
+ -1,
+ 0
],
- "commit": "3f30ee65efec7c35e56af36eaa49af5c2e220d8f",
- "sha256": "005nc800cr7f32w7vdpr1bqh65ffflsm8ss6x010i5c79ndfli4n"
+ "commit": "0f196b5659c8a66af4357fee3d4b3a169044472d",
+ "sha256": "0h068mfry2gllr8gy836a7i8zpkd6bqljnwfcm7yssfv3flrw3z3"
}
},
{
@@ -73258,13 +73638,13 @@
"version": [
0,
2,
- 0
+ 1
],
"deps": [
"notmuch"
],
- "commit": "55ef94d7d3a6eb224950975b4ceb885851e2d93a",
- "sha256": "1iwr1fya1n5vqj1g91sbxjr4ayaklc50fsap21i5af79jcrz80q6"
+ "commit": "c447ddb94b3c2a473ec1762fc083794acd6057f0",
+ "sha256": "0x6vvi3j27xi2gkgd9mf7mfprmymdhc4zvna9gn71padpaqf9v50"
}
},
{
@@ -73347,13 +73727,13 @@
"version": [
0,
2,
- 0
+ 1
],
"deps": [
"notmuch"
],
- "commit": "e34c470521e83c3100f0d6eb9e7402ae35e19321",
- "sha256": "0pmikf1djkr07067nkgmdcxyn7l7ibswx6qlnai8v1v51f9h1g9q"
+ "commit": "fd0e2199da746906eca080d4ca5bca17068cdce5",
+ "sha256": "1fqnx6hhg0cqj82yjpl7llg6vvppc3y8q9k6g67mqr7z3712bw0x"
}
},
{
@@ -73465,15 +73845,15 @@
"repo": "shaneikennedy/npm.el",
"unstable": {
"version": [
- 20210601,
- 1122
+ 20210930,
+ 703
],
"deps": [
"jest",
"transient"
],
- "commit": "d14d654c025d8f75f678503c98cd8682e69341cd",
- "sha256": "0a54s7l01z5s5vasysxfysnzc2smn6r5pq01a6a3vqyaq3hz4khi"
+ "commit": "2bd544162cdfce69d70806446569d12ec27ad46c",
+ "sha256": "024p9wn365qdl7gmzljk6hp9snixqffg3vqqivndxbgykcjg4sar"
},
"stable": {
"version": [
@@ -73830,16 +74210,16 @@
"repo": "rejeep/nvm.el",
"unstable": {
"version": [
- 20210217,
- 744
+ 20210826,
+ 1000
],
"deps": [
"dash",
"f",
"s"
],
- "commit": "6f47fac1bc42526a7474488f560d064c08f8dd6e",
- "sha256": "01rfgxkahpx17q8k72hxibysysv8pgx6bfy5gbc6finm3f7ak6ia"
+ "commit": "c214762fd6f539ec3e1fd8198cefbdb4b428b19c",
+ "sha256": "0xcb2k98ka7lks7k0mk9inmjpyz03v8aq64a24pj635xp54x3iah"
},
"stable": {
"version": [
@@ -75098,11 +75478,11 @@
"repo": "ocaml-ppx/ocamlformat",
"unstable": {
"version": [
- 20210617,
- 1726
+ 20210923,
+ 1348
],
- "commit": "25ac57f10acae37f21ab3b0959d8c9b3125c4e3e",
- "sha256": "0c4cvny8anx4p1i136vl881g6dsy0mrd02xzbgv860g9ix5nzvsx"
+ "commit": "aa673e27f6d7cbc882ab6109cfbcca2ba0864507",
+ "sha256": "0xv9hmj7girdb4c1xqlk5nj4snhvl34yaz7k28jny50frkszvfca"
},
"stable": {
"version": [
@@ -75295,26 +75675,26 @@
"repo": "oer/oer-reveal",
"unstable": {
"version": [
- 20210819,
- 850
+ 20211015,
+ 1032
],
"deps": [
"org-re-reveal"
],
- "commit": "aa1db964a25f99df945c308fba983de6f044aa8e",
- "sha256": "05b3sc2kmmqc82ahky09ilkf6gj2alanpki7ixfa06lydhfg5iby"
+ "commit": "12a795417f9ec0d06245a71de595b7aaba86c3df",
+ "sha256": "1g3sjign97svlf2y0x6bnd4sv7rnqf9ak4gagk58ih7m2ipq174b"
},
"stable": {
"version": [
3,
- 21,
- 2
+ 25,
+ 0
],
"deps": [
"org-re-reveal"
],
- "commit": "aa1db964a25f99df945c308fba983de6f044aa8e",
- "sha256": "05b3sc2kmmqc82ahky09ilkf6gj2alanpki7ixfa06lydhfg5iby"
+ "commit": "12a795417f9ec0d06245a71de595b7aaba86c3df",
+ "sha256": "1g3sjign97svlf2y0x6bnd4sv7rnqf9ak4gagk58ih7m2ipq174b"
}
},
{
@@ -75451,20 +75831,20 @@
"repo": "rnkn/olivetti",
"unstable": {
"version": [
- 20210823,
- 1054
+ 20210902,
+ 1202
],
- "commit": "08611268bb19509ec087ec416f4a7f76ebae0518",
- "sha256": "0nx16w2d1wrqa5cgpbwqfy2al49b9nx2pr9zygiz5qa8jdlzw86l"
+ "commit": "95479d5178fc5017060c963a45de0d2095c00e0f",
+ "sha256": "0bliylh02lcga84jysf1jr80bgrn8m7cy4n047fr06cjqdqr4sp4"
},
"stable": {
"version": [
2,
0,
- 2
+ 3
],
- "commit": "08611268bb19509ec087ec416f4a7f76ebae0518",
- "sha256": "0nx16w2d1wrqa5cgpbwqfy2al49b9nx2pr9zygiz5qa8jdlzw86l"
+ "commit": "bfb221845c2e26f923ab80fdcd8f80b70b6adee1",
+ "sha256": "0qhv4ah9bn1mjvivgxp7z1gf91d0cdr2ma5cy5xaja97ispa4l3z"
}
},
{
@@ -75720,16 +76100,16 @@
"repo": "willghatch/emacs-on-parens",
"unstable": {
"version": [
- 20180202,
- 2241
+ 20210928,
+ 1913
],
"deps": [
"dash",
"evil",
"smartparens"
],
- "commit": "7a41bc02bcffd265f8a69ed4b4e0df3c3009aaa4",
- "sha256": "0pkc05plbjqfxrw54amlm6pzg9gcsz0nvqzprplr6rhh7ss419zn"
+ "commit": "b8ee8cea45c9b34820fcb951f522f13e3736d216",
+ "sha256": "1i7xhv2a22n6lq0n1pd494g1a5s7sv52i2gblg6s9h87dnb4r9l6"
}
},
{
@@ -76024,14 +76404,14 @@
"repo": "abo-abo/orca",
"unstable": {
"version": [
- 20210809,
- 1401
+ 20210828,
+ 1639
],
"deps": [
"zoutline"
],
- "commit": "1eaf09e31d440f781ba87d0aaa4defa5568a8f48",
- "sha256": "0nh3aivgjzcb8vqbwdvvhkk0lww3x1ry52ac8h9cw2k9hdr37ks1"
+ "commit": "47c03af0c1df2b679d800f3708d675a4c2a3e722",
+ "sha256": "0dah1wb9fyixwza8h2bc2vzps4zd9y9g97yhrm2vjvddabzsyq3a"
}
},
{
@@ -76042,11 +76422,11 @@
"repo": "oantolin/orderless",
"unstable": {
"version": [
- 20210812,
- 2035
+ 20210912,
+ 1932
],
- "commit": "1a7011ac9c476dbb083c5ead88462a5f520ef8aa",
- "sha256": "0gmlxfn14gdb241ari4ix3wf9wxg2vpq2kg55h46fchs22wwqyal"
+ "commit": "62f71c34baca0b7d0adeab4a1c07d85ffcee80d9",
+ "sha256": "1spab90q4illpsajw0hcfz8s76c1gp8qpmc6zmv14slg1i9m5yri"
},
"stable": {
"version": [
@@ -76147,16 +76527,15 @@
"repo": "spegoraro/org-alert",
"unstable": {
"version": [
- 20180524,
- 133
+ 20210922,
+ 125
],
"deps": [
"alert",
- "dash",
- "s"
+ "org"
],
- "commit": "f87bff4acbd839acb4d2245b56b2c3d21f950911",
- "sha256": "05xhp1ggpcgd48vcrxf9l43aasxfjw1ypgzpq3gp7031x83m9rr6"
+ "commit": "c039d0121d21e4558c0f5433135c839679b556d7",
+ "sha256": "0xalf5bbawnxm61askvldg2g93gvf6i1bpxqk55bglnl2cdq6g2i"
},
"stable": {
"version": [
@@ -76272,6 +76651,29 @@
"sha256": "0gqqcgadlzzbqd4sqbwbwx41app6ryz2l3lrng8bz9hq9cx547jj"
}
},
+ {
+ "ename": "org-auto-expand",
+ "commit": "6a5b8a2ca3bd49346ac6e62cdcad0ed7e4c8fb51",
+ "sha256": "1ybjj54k548g2xqdlq65x090xf3l0nkjlb148vkcgkq6xywwx28p",
+ "fetcher": "github",
+ "repo": "alphapapa/org-auto-expand",
+ "unstable": {
+ "version": [
+ 20210923,
+ 243
+ ],
+ "commit": "edc27b155befab5626dcf6ceec7938126f7e31d4",
+ "sha256": "1fiqbkjzm0wv9xr0hcil6v742zkwv5qdpyz5wg5l10i0jizs1w86"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1
+ ],
+ "commit": "dfb909d9fd0a658df8a05613a5b95b645b855344",
+ "sha256": "1slb8sy6zjdb3rs67vw0k1hd12fwlby1kbjyhn4n7v3kblxff2y3"
+ }
+ },
{
"ename": "org-auto-tangle",
"commit": "8cdae87606068b7b47530e0744e91aead86d288e",
@@ -76280,14 +76682,14 @@
"repo": "yilkalargaw/org-auto-tangle",
"unstable": {
"version": [
- 20210214,
- 917
+ 20211010,
+ 958
],
"deps": [
"async"
],
- "commit": "ea2ca74a68eb44d935b7240ffc8f19c8a4db334a",
- "sha256": "0wskvkwrw0vgknq895by10bcwglaikgkrs1z54f6wyfyksa801ja"
+ "commit": "50292af50d275846baa28e52d94eb8ef69c8d00b",
+ "sha256": "0n0divfnk4635aanjm0b3swdjkcj4qxr0x95q05pdlb67s6lfp5d"
},
"stable": {
"version": [
@@ -76584,14 +76986,14 @@
"repo": "Chobbes/org-chef",
"unstable": {
"version": [
- 20210508,
- 110
+ 20210930,
+ 1418
],
"deps": [
"org"
],
- "commit": "a97232b4706869ecae16a1352487a99bc3cf97af",
- "sha256": "1j4zjp0w7f17y0vddi39fj13iga0pfh5bgi66lwwghb18w0isgng"
+ "commit": "87e9a6c4844ff32f47c8d1108ec0f087a3148a8e",
+ "sha256": "0xdfaf3shl3iij7nnshb5ryccqq70rpk0zm0d3fdwdbfa8rf7fkp"
}
},
{
@@ -77151,11 +77553,11 @@
"repo": "harrybournis/org-fancy-priorities",
"unstable": {
"version": [
- 20210427,
- 900
+ 20210830,
+ 1657
],
- "commit": "44532ab8c25eb2c0028eecca7acd9e8ea8e2ff30",
- "sha256": "1cvlyq5p505vx9gcqgvhj7qan1qhq859c2fv7a44kfs0093cb9fz"
+ "commit": "7f677c6c14ecf05eab8e0efbfe7f1b00ae68eb1d",
+ "sha256": "1sd7ndr1f07r4832kfi88q9il9v6slzghs1nc1aa81g7y8gb1q8l"
}
},
{
@@ -77205,8 +77607,8 @@
"repo": "kidd/org-gcal.el",
"unstable": {
"version": [
- 20210805,
- 2225
+ 20211007,
+ 1843
],
"deps": [
"alert",
@@ -77214,8 +77616,8 @@
"request",
"request-deferred"
],
- "commit": "80e6f9501fc883f29d26b0c7fea25f8b101512bd",
- "sha256": "0bx2smlls1mfsk4c9c9h3f3xy6fxm78damh96rcmgqk0y6mnvzph"
+ "commit": "8b6df4b727339e3933c68045e104b6b1d99816f7",
+ "sha256": "0gkdh32cfmqbmvvqd67i2x9i1fm5yfmhw6i5yvrb9swsl24kv194"
},
"stable": {
"version": [
@@ -77323,8 +77725,8 @@
"repo": "Trevoke/org-gtd.el",
"unstable": {
"version": [
- 20201112,
- 253
+ 20211006,
+ 1657
],
"deps": [
"f",
@@ -77332,14 +77734,14 @@
"org-agenda-property",
"org-edna"
],
- "commit": "034edc545335ecc0da20b4f1bb4aa9f048454afe",
- "sha256": "0yhnrz7kcq81842sv7zf58fqc6wiy4ckcjyqy8m6bn2z6rwpj655"
+ "commit": "13f04f46ed027cd119f21377b050288a96b344cf",
+ "sha256": "15my09gz094z0ihrakxa1x0zcb073s85azwmp891iv62qjhgl5x6"
},
"stable": {
"version": [
1,
- 0,
- 3
+ 1,
+ 1
],
"deps": [
"f",
@@ -77347,8 +77749,8 @@
"org-agenda-property",
"org-edna"
],
- "commit": "4716603f3719acd89a268fb907b91fd3d6af311a",
- "sha256": "1sjdgdg0j8j7qd5scls9rbyk445bcmkf84iz4kgiyca7bb7rap57"
+ "commit": "13f04f46ed027cd119f21377b050288a96b344cf",
+ "sha256": "15my09gz094z0ihrakxa1x0zcb073s85azwmp891iv62qjhgl5x6"
}
},
{
@@ -77498,16 +77900,16 @@
"repo": "ahungry/org-jira",
"unstable": {
"version": [
- 20210813,
- 1834
+ 20211002,
+ 344
],
"deps": [
"cl-lib",
"dash",
"request"
],
- "commit": "9de4310c3e853f76aa47bc5bf2dc404d4cf3226b",
- "sha256": "1vd49wxdj8r228xk9hpqig1f68m0iv5rsrj24ya4rdlf3z4671p4"
+ "commit": "24f2d83bc2f6a2b88b084090f877814e36dcf4da",
+ "sha256": "0f5bij9gvgv8954v80xymvgnwf2ayxg7q4khmfd2djc5sbhj9ch3"
},
"stable": {
"version": [
@@ -77532,14 +77934,14 @@
"repo": "bastibe/org-journal",
"unstable": {
"version": [
- 20210812,
- 1749
+ 20211003,
+ 805
],
"deps": [
"org"
],
- "commit": "9757996ca058029800c4801fba315b1d1614dcb2",
- "sha256": "1h7b165y4z2p7qqbndqh2jyw4fgq50hqxmj2xv24shbjyqg350dh"
+ "commit": "71e8b10088ae52c4ac17f7af87020ea85fbc6ff7",
+ "sha256": "1fld2l1nxhim21icq10bnscw99xl9p398zbwvcm07vm0n0pm3dvf"
},
"stable": {
"version": [
@@ -77611,15 +78013,15 @@
"repo": "stardiviner/org-kindle",
"unstable": {
"version": [
- 20200906,
- 944
+ 20210930,
+ 1008
],
"deps": [
"cl-lib",
"seq"
],
- "commit": "5fde4a53f062612b2a118c53ff0196a128b80d6d",
- "sha256": "0rkj936cdlk9n9k8pi957p3y43xs85zfc9pnn4qhn943sk111b6c"
+ "commit": "fdba34a47b670226f46ad7b3a4db4edc7f7907e7",
+ "sha256": "17klypc5fk6v9ccnyixak9ixyvsfzv3ivm7j8aiv9dk3acjf4yrd"
}
},
{
@@ -77651,14 +78053,14 @@
"repo": "stardiviner/org-link-beautify",
"unstable": {
"version": [
- 20210822,
- 322
+ 20210913,
+ 1134
],
"deps": [
"all-the-icons"
],
- "commit": "c26ea28e6093d23621b9ec20e811986f604c192e",
- "sha256": "05zjjsmavpj7klb814xyd58nszladc9wfkybzr8pqfk304bdv0yx"
+ "commit": "cea63752b23c55b3a37ae56cf9938a166b056a3c",
+ "sha256": "0jwf5fd7zfmg726yxvd0028ljlk8hzg5zz54lg1ycrizkvg89w09"
}
},
{
@@ -77780,20 +78182,20 @@
"repo": "org-mime/org-mime",
"unstable": {
"version": [
- 20210821,
- 341
+ 20210901,
+ 244
],
- "commit": "21692f16ce436d9d2a546230f1e124beec0be7ee",
- "sha256": "0gvir8c8b2m5z784ml5h957f7mxgp3vn7v91p6igaq5bjf5xcfpp"
+ "commit": "23cc52bb539c898de228fc438cd24ed10213bea3",
+ "sha256": "1g32chan6rhlp3kvzd2lvf104i3p37q1sm0d89pq6sya0ia2as1n"
},
"stable": {
"version": [
0,
2,
- 2
+ 3
],
- "commit": "21692f16ce436d9d2a546230f1e124beec0be7ee",
- "sha256": "0gvir8c8b2m5z784ml5h957f7mxgp3vn7v91p6igaq5bjf5xcfpp"
+ "commit": "23cc52bb539c898de228fc438cd24ed10213bea3",
+ "sha256": "1g32chan6rhlp3kvzd2lvf104i3p37q1sm0d89pq6sya0ia2as1n"
}
},
{
@@ -77823,16 +78225,16 @@
"repo": "ndwarshuis/org-ml",
"unstable": {
"version": [
- 20210627,
- 1623
+ 20210911,
+ 2131
],
"deps": [
"dash",
"org",
"s"
],
- "commit": "e14205312c54a1c97491c7f847d296b09f5f57b0",
- "sha256": "030fsgdp8cg2h8mlxq6769l158pqcwnv4r3bl36lpjs950lv9pas"
+ "commit": "5d61f456b0a639e178d6ae4f210e28be5621a620",
+ "sha256": "1ca6wgjwslv3582fmsxna81mgryziw9v9zh1836sbp3yszqddday"
},
"stable": {
"version": [
@@ -77845,8 +78247,8 @@
"org",
"s"
],
- "commit": "4435cd5fc94c00f6e6054324a3e022ad0e37ae0f",
- "sha256": "0vk9zv6zx7s1wryfhjwzmpj5asdlkn7zlwwvk9hvf5cv9injf1wx"
+ "commit": "0a96482452fc60170e3f5b8cf3a259b2b09c9ef5",
+ "sha256": "1ca6wgjwslv3582fmsxna81mgryziw9v9zh1836sbp3yszqddday"
}
},
{
@@ -77867,6 +78269,37 @@
"sha256": "0qdgs965ppihsz2ihyykdinr4n7nbb89d384z7kn985b17263lvn"
}
},
+ {
+ "ename": "org-movies",
+ "commit": "ea06dc48003ba3c4f8e70fef4738cdb306362198",
+ "sha256": "1l4vd091vqhcs7qgws762x4cdnalj1hiq31d6l740miskc8nb8hr",
+ "fetcher": "github",
+ "repo": "teeann/org-movies",
+ "unstable": {
+ "version": [
+ 20210920,
+ 101
+ ],
+ "deps": [
+ "org",
+ "request"
+ ],
+ "commit": "e96fecaffa2924de64a507aa31d2934e667ee1ea",
+ "sha256": "1h514knqys20nv9qknxdl5y6rgmyymyr42i07dar8hln9vj0ywqm"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1
+ ],
+ "deps": [
+ "org",
+ "request"
+ ],
+ "commit": "e96fecaffa2924de64a507aa31d2934e667ee1ea",
+ "sha256": "1h514knqys20nv9qknxdl5y6rgmyymyr42i07dar8hln9vj0ywqm"
+ }
+ },
{
"ename": "org-mru-clock",
"commit": "b36bf1c1faa4d7e38254416a293e56af96214136",
@@ -77899,14 +78332,14 @@
"repo": "jeremy-compostella/org-msg",
"unstable": {
"version": [
- 20210729,
- 2144
+ 20210916,
+ 1114
],
"deps": [
"htmlize"
],
- "commit": "eb01f60b1ddc132f616d2c1f4038c23f42fc3847",
- "sha256": "01xhhq0r36hkfhhacvwm7nwajvl7j8v3qbpn1xhi3vyy3zabhpzp"
+ "commit": "77f5911b7d390a069104db20be86293506ffbff2",
+ "sha256": "08kv8639zdfr3fpzx4zpbgf40vjpa1xwkhxzz7vdpmjq19i3c28w"
}
},
{
@@ -78056,8 +78489,8 @@
"repo": "doppelc/org-notifications",
"unstable": {
"version": [
- 20210310,
- 1149
+ 20210918,
+ 1827
],
"deps": [
"alert",
@@ -78065,8 +78498,8 @@
"seq",
"sound-wav"
],
- "commit": "41a8a6b57e11a5b676b03925d473066655364808",
- "sha256": "1fb4jm1zhfllzzrdbasgp1r3ggjs3xa4pfd5papi6mfcz9ypxqsh"
+ "commit": "b8032f8adfbeb328962a5657c6dd173e64cc76e5",
+ "sha256": "0px7syqcz300mxcns1bm0yn3i9n2j5cx58lzjjqpp6v36xn6x4k8"
}
},
{
@@ -78360,20 +78793,20 @@
},
{
"ename": "org-preview-html",
- "commit": "855ea20024b606314f8590129259747cac0bcc97",
- "sha256": "1dnr046mk5ngmic2yqcmrnn7pzrrx3sg22rk2pc3vgdxs8bhvhf9",
+ "commit": "cf5b192e7d31850f979d48b7c79dcc6a34bdd923",
+ "sha256": "0slqn2vp01lyg003icx9h31z560pwhcsqjhg7jv7jdl008saacyc",
"fetcher": "github",
- "repo": "lujun9972/org-preview-html",
+ "repo": "jakebox/org-preview-html",
"unstable": {
"version": [
- 20210623,
- 1523
+ 20210911,
+ 1528
],
"deps": [
"org"
],
- "commit": "3fe7dd85b8a7dc4ead7495095a3abaad28e2f809",
- "sha256": "1vih94z3k8qz2vkzvcqbxpipijsxyfn2kvimylfwjrfbkrmvigsp"
+ "commit": "5f7345e75d0fe71afb19fd30c841dff5bdd6d1ab",
+ "sha256": "13i6yqhizh86608hwlkc4ipsaxx44y79v40kpn007h8p1wl1ba7a"
}
},
{
@@ -78478,8 +78911,8 @@
"repo": "alphapapa/org-ql",
"unstable": {
"version": [
- 20210713,
- 233
+ 20210922,
+ 615
],
"deps": [
"dash",
@@ -78493,18 +78926,16 @@
"transient",
"ts"
],
- "commit": "94f9e6f3031b32cf5e2149beca7074807235dcb0",
- "sha256": "022arhyyn8hbb1hzjkv4gl3dr8lz1gv0x4h70x0970bsbqlsa27w"
+ "commit": "31aeb0a2505acf8044c07824888ddec7f3e529c1",
+ "sha256": "1jfm4ahh58x3a3njigrbfzd86fnbyybbcgca2mgmxddcy6bszfp1"
},
"stable": {
"version": [
0,
- 5,
- 2
+ 6
],
"deps": [
"dash",
- "dash-functional",
"f",
"map",
"org",
@@ -78515,8 +78946,8 @@
"transient",
"ts"
],
- "commit": "d3b0ef2f5194452d88bf23ec31ebfef822c47c24",
- "sha256": "0b3xxnbhnrz0263fnrrdbs3gif4pjkfws4mxkfqqpg0fc8azp2rx"
+ "commit": "31aeb0a2505acf8044c07824888ddec7f3e529c1",
+ "sha256": "1jfm4ahh58x3a3njigrbfzd86fnbyybbcgca2mgmxddcy6bszfp1"
}
},
{
@@ -78595,28 +79026,28 @@
"repo": "oer/org-re-reveal",
"unstable": {
"version": [
- 20210811,
- 710
+ 20211008,
+ 1310
],
"deps": [
"htmlize",
"org"
],
- "commit": "ee712db65782ddc2bffe19c60cdc40b72ce56769",
- "sha256": "0bnb8h13wpgw1i91zc701agbcdar1ns81b0kqpl8raczr9mg5dvf"
+ "commit": "33d226ff707a31804ff3e6ba22416c3f0b463ad7",
+ "sha256": "0hi8lq9rj6i2m14dh75dzq7lq41i4f6qj4xbp2b8krqw6mk9xg7z"
},
"stable": {
"version": [
3,
- 10,
- 0
+ 12,
+ 1
],
"deps": [
"htmlize",
"org"
],
- "commit": "95c5ad99bc1180d23b69156abc2fb4a95592048c",
- "sha256": "0bnb8h13wpgw1i91zc701agbcdar1ns81b0kqpl8raczr9mg5dvf"
+ "commit": "33d226ff707a31804ff3e6ba22416c3f0b463ad7",
+ "sha256": "0hi8lq9rj6i2m14dh75dzq7lq41i4f6qj4xbp2b8krqw6mk9xg7z"
}
},
{
@@ -78634,8 +79065,8 @@
"org-re-reveal",
"org-ref"
],
- "commit": "2379e224d6acfdba3ee6f0de72805cdfa6b8e0f8",
- "sha256": "1467vskijg2n8k7fa2jj2hz8xr2s04r8a89521wmz54cza21g5j4"
+ "commit": "f406e5fc1ae2b1e6f5f85b43932e71381f214e6b",
+ "sha256": "08j3a503fipx45735zp94q8d41xl890ba2bf5fm4pzvrpf5k4pwy"
},
"stable": {
"version": [
@@ -78659,18 +79090,17 @@
"repo": "alphapapa/org-recent-headings",
"unstable": {
"version": [
- 20201213,
- 747
+ 20211011,
+ 1519
],
"deps": [
"dash",
- "dash-functional",
"frecency",
"org",
"s"
],
- "commit": "5da516a1586675992c0122ed32978c18dda06318",
- "sha256": "1xnhahgs1q6y7w0rrc33qbkdagjm6q71a4i0msi4gsfnnzwn4vam"
+ "commit": "97418d581ea030f0718794e50b005e9bae44582e",
+ "sha256": "1y11rlnhi36lzhc1cagninv6hlcwbvj88xfr0g0xzpbzy7hys021"
},
"stable": {
"version": [
@@ -78694,14 +79124,25 @@
"repo": "m-cat/org-recur",
"unstable": {
"version": [
- 20191216,
- 2353
+ 20211007,
+ 238
+ ],
+ "deps": [
+ "org"
+ ],
+ "commit": "5662cc897ab1533b39e3e93b2150dacbe699d591",
+ "sha256": "0fq8ns5f0k9mg9dz1w778jp0icpjkx62fa3a51yrsqisycl3cl6y"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 3
],
"deps": [
"org"
],
- "commit": "4f25a5be2eaaedb84c78abf9457b9745a9396bcb",
- "sha256": "1nrzm07jmbh52brsb2nmpaw5mpr6bqy3g4xhksrx1gwyyjj321f1"
+ "commit": "093c1726ffe4358d60fbb97c1bcf01b785827098",
+ "sha256": "004g7av1dx3i25lr0r33dd2ch4i9r5mcgjh7gjm6rj6nbyh1gqhb"
}
},
{
@@ -78727,8 +79168,8 @@
"repo": "jkitchin/org-ref",
"unstable": {
"version": [
- 20210823,
- 27
+ 20211008,
+ 1334
],
"deps": [
"bibtex-completion",
@@ -78743,8 +79184,8 @@
"pdf-tools",
"s"
],
- "commit": "37b64e6cc1068c1b7ffe579851a345aa57772333",
- "sha256": "0xd1qp8dfy8n8b2n3rsdzm8vrfl7dii142kw330s8jp3pavww1f6"
+ "commit": "9556a6c9639f6b32124ec2b8059975b0538625c2",
+ "sha256": "0g7ldyxy1p5r2xrw51h0h4r3kcxncvfb5c0dfaw174r0g2vw4j5d"
},
"stable": {
"version": [
@@ -78769,6 +79210,25 @@
"sha256": "0xd1qp8dfy8n8b2n3rsdzm8vrfl7dii142kw330s8jp3pavww1f6"
}
},
+ {
+ "ename": "org-ref-prettify",
+ "commit": "557733f8732fd48bd68990616190fa9b4dc3c657",
+ "sha256": "08bkrl973nawchnc35ixz3zvb4kdbibzmpv532p7n53qc8i2zqjx",
+ "fetcher": "github",
+ "repo": "alezost/org-ref-prettify.el",
+ "unstable": {
+ "version": [
+ 20210920,
+ 634
+ ],
+ "deps": [
+ "bibtex-completion",
+ "org-ref"
+ ],
+ "commit": "29e05416f102ceca50ac8b118a19a16f9fe7eb2f",
+ "sha256": "1215hrinfggvwz89i15lhpqraa3rhafnqx8iwvfzb0p9fyqfgwg5"
+ }
+ },
{
"ename": "org-repo-todo",
"commit": "d17b602004628e17dae0f46f2b33be0afb05f729",
@@ -78872,8 +79332,8 @@
"repo": "org-roam/org-roam",
"unstable": {
"version": [
- 20210825,
- 1600
+ 20211013,
+ 1624
],
"deps": [
"dash",
@@ -78883,8 +79343,8 @@
"magit-section",
"org"
],
- "commit": "74a6fd598a03d8d981c21267e9aa25e73d125bcd",
- "sha256": "0mm3d8zbnamscnbqmdkqqsj6qy30j83gqdlgwacw1r8n1ncwx8sa"
+ "commit": "e9299297f9cc1aafda62deb8af7957dc8d56dc04",
+ "sha256": "0cg8kywg8qwr3079k2863gmlpjns6s61r38pc0p4iys1ry02bgxm"
},
"stable": {
"version": [
@@ -78912,16 +79372,16 @@
"repo": "org-roam/org-roam-bibtex",
"unstable": {
"version": [
- 20210810,
- 1626
+ 20211001,
+ 1038
],
"deps": [
"bibtex-completion",
"org-ref",
"org-roam"
],
- "commit": "c13a05b2c855ba1516241d8a1de33bf2c689d6e4",
- "sha256": "0m1mr0c6wmiw54qiqz6dm74l1cn0khywndyqfdmss3l52sipzc9x"
+ "commit": "ed35826fdefda8b5a3f7156c19e892e5e2984ea4",
+ "sha256": "135g8grk7dh0mcn76d7h35larm9z38dqjajs4kclzxkvsrmmfhxb"
},
"stable": {
"version": [
@@ -78976,8 +79436,8 @@
"s",
"seq"
],
- "commit": "db59e2e9d4230997cca4cbf3a5bb1a89fd38f87f",
- "sha256": "1444qsf3fyygw0bpl805fqfyh2mygc821iy2i6cpfyaibrz0n6hj"
+ "commit": "f4c5e612d87d1ab96323b09cee1da859d9d74775",
+ "sha256": "0jbvrzigw0bjcm4lq7mmg97yh2kzchcmv4gwpmd6izgr1ajp2nir"
},
"stable": {
"version": [
@@ -79058,19 +79518,18 @@
"repo": "alphapapa/org-sidebar",
"unstable": {
"version": [
- 20201114,
- 507
+ 20210912,
+ 1321
],
"deps": [
"dash",
- "dash-functional",
"org",
"org-ql",
"org-super-agenda",
"s"
],
- "commit": "1b37069e47d1ea4745eacdf2dec2bdad756ee235",
- "sha256": "0sf406dz4mkpaqaql3z8xs6jcksxasa5j7xkk79a9xnbanaxhzaq"
+ "commit": "288703b897449f5110c9c76e78eb9a928ffc0dcd",
+ "sha256": "0ama42nkc90mzwik516kfsh5rdx47yhaarcsqsknxh7xcrm2v0r1"
},
"stable": {
"version": [
@@ -79122,16 +79581,17 @@
"repo": "alhassy/org-special-block-extras",
"unstable": {
"version": [
- 20210806,
- 154
+ 20210909,
+ 2032
],
"deps": [
"dash",
+ "lf",
"org",
"s"
],
- "commit": "8b7bbcb239cb08ca96a950cf59bb5e9617bee2cc",
- "sha256": "11qni2i00ck0kh2x334gahhr4lhnh03mvn69bzvivnx8rlk6w1f7"
+ "commit": "1e9731dfd79b0605ee88c8cc891d4b5106c9e0f4",
+ "sha256": "0lp0gn7p5d0fkm3wy27xhj2q6snhl1ssqqhyl52hw06jhifamm8l"
},
"stable": {
"version": [
@@ -79307,8 +79767,8 @@
"repo": "alphapapa/org-super-agenda",
"unstable": {
"version": [
- 20201211,
- 918
+ 20210928,
+ 916
],
"deps": [
"dash",
@@ -79317,8 +79777,8 @@
"s",
"ts"
],
- "commit": "a5557ea4f51571ee9def3cd9a1ab1c38f1a27af7",
- "sha256": "1xbdkscg32pqpwzs50igdwkyi2k2mgi01wkqm7rc6bhrpgsk9gkw"
+ "commit": "fb5e2ef277bc811a3b061106c99e4c47b6b86f80",
+ "sha256": "1sjx5ahyjpxv5xkxaf1x0p64bjls8ralv9knf80w17nb87dk3p91"
},
"stable": {
"version": [
@@ -79344,14 +79804,14 @@
"repo": "integral-dw/org-superstar-mode",
"unstable": {
"version": [
- 20210216,
- 1925
+ 20210915,
+ 1934
],
"deps": [
"org"
],
- "commit": "9d64c42e5029910153ec74cb9b5747b074281140",
- "sha256": "12inin2p6pm6vbv3yc06fx343dsp0vp07fjb35w088akhikmqh2a"
+ "commit": "03be6c0a3081c46a59b108deb8479ee24a6d86c0",
+ "sha256": "0w97xqvbgh57227qq750b8rxlkkdd61j9frz7wc9f9x1mya305j2"
},
"stable": {
"version": [
@@ -79727,11 +80187,11 @@
"repo": "takaxp/org-tree-slide",
"unstable": {
"version": [
- 20210224,
- 1213
+ 20211009,
+ 1707
],
- "commit": "9d2ba1df456d8d7c6372c8c294dbe3ee81540b33",
- "sha256": "145avv616k190wzirlrh7rljysfffhh3j37wr7p6sk13wayqc27h"
+ "commit": "27f8bb6a9676e1c0b500e75799e3b5c37a9156af",
+ "sha256": "0751qlg8xxwx7mldgdry1gfrarvhzg2smjzxd3382i6j63mpala9"
},
"stable": {
"version": [
@@ -79829,8 +80289,8 @@
"request-deferred",
"s"
],
- "commit": "9c1c94dff1a46631669023286078b887d077c305",
- "sha256": "0s3amkc193b3csffa6gqi6kyr7x6fmc3sviirqwnygjfl42788ck"
+ "commit": "fc63ed580101e6160edfb6f43215fb3200ce1ea7",
+ "sha256": "1kg3q8bhyydmd9jb41kyg8xcn5dbwpy2y0fnq7avkaypdn1q27w0"
},
"stable": {
"version": [
@@ -80032,6 +80492,36 @@
"sha256": "05kd8d0687dlmy8a4qvxa3bdcsvxd10hxkl5i4654w88pg07qm8g"
}
},
+ {
+ "ename": "org-zettelkasten",
+ "commit": "ed12df24029a4154fe55588f3e8ca0670af3f5f3",
+ "sha256": "1fhjbg3jjcinnja96fzcsxnjxg0x4vnsw84g1q63c325sv4xv2mw",
+ "fetcher": "github",
+ "repo": "ymherklotz/emacs-zettelkasten",
+ "unstable": {
+ "version": [
+ 20211002,
+ 1132
+ ],
+ "deps": [
+ "org"
+ ],
+ "commit": "4048bf9e1be7ab759696a9541eec8f435359bcf3",
+ "sha256": "1rnir9mc9cp12wg5p19f0m6g6mvfyv1ahr7zq7azl8hvwmnb6gx7"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 4,
+ 0
+ ],
+ "deps": [
+ "org"
+ ],
+ "commit": "4048bf9e1be7ab759696a9541eec8f435359bcf3",
+ "sha256": "1rnir9mc9cp12wg5p19f0m6g6mvfyv1ahr7zq7azl8hvwmnb6gx7"
+ }
+ },
{
"ename": "org2blog",
"commit": "08b47bf72bff18efb3281509fd9f1688d8bb0349",
@@ -80040,8 +80530,8 @@
"repo": "org2blog/org2blog",
"unstable": {
"version": [
- 20210422,
- 339
+ 20210929,
+ 17
],
"deps": [
"htmlize",
@@ -80049,8 +80539,8 @@
"metaweblog",
"xml-rpc"
],
- "commit": "543813e0acceb55653d876302a5d5741879fb717",
- "sha256": "1w0pfz5dbhqglb5w3c2g4ww2c32nbsir8gqnsh69pa43h9q1msz1"
+ "commit": "68695ed0e012379556d57f9564ac5ad8cd68fbb8",
+ "sha256": "1qk9kshi4hyy0fni3gb383m0yvj4fmgidiab6vhnms5zgghj4kl7"
},
"stable": {
"version": [
@@ -80130,15 +80620,15 @@
"repo": "ardumont/org2jekyll",
"unstable": {
"version": [
- 20210220,
- 1845
+ 20210829,
+ 1113
],
"deps": [
"dash",
"s"
],
- "commit": "e469373e0c656cec475c145037be1902d2622f09",
- "sha256": "1pqrvrs54ggm2hr7b7m9n4wglbmakw0q9651mwxylz6bwm66kcc1"
+ "commit": "a228ebcf408de7096e5cd3a62b14087432e0afb1",
+ "sha256": "146xp2jsk7a973g0dn8in1sad6lp1ks7s5ma6jld4h26anprvj1g"
},
"stable": {
"version": [
@@ -80230,8 +80720,8 @@
"ht",
"s"
],
- "commit": "cd931a01adb23dd473ca1abd22f45ac0a5661cac",
- "sha256": "0cmr4dq90kvmscsm2jvvpdijbmqh0skra79cybcj4pdzafx79c8c"
+ "commit": "0a716d38268735b1df336161b3a7f3f8303539bb",
+ "sha256": "1nh51npi4j0g4kpshsipy9midi8n17qddfcv0isaizv6bm3z8aa4"
},
"stable": {
"version": [
@@ -80337,7 +80827,7 @@
"version": [
0,
1,
- 1
+ 2
],
"deps": [
"forge",
@@ -80345,8 +80835,8 @@
"org",
"orgit"
],
- "commit": "ea2a1cf9d337901b413e9df258b8e07af55c00f6",
- "sha256": "07ia3b6bfilnpify93kq5g10xhh794v5pmc9cmmb312c3qyqi7b4"
+ "commit": "365b75609a9454dccf5681eb6075ca53bd32af85",
+ "sha256": "1y7rywlqhsvkism9dmzlb3sijd8isp6qqhgba79aqgk9wz593rkv"
}
},
{
@@ -80357,15 +80847,15 @@
"repo": "tarsius/orglink",
"unstable": {
"version": [
- 20200719,
- 917
+ 20211010,
+ 2105
],
"deps": [
"org",
"seq"
],
- "commit": "2f1939488204f67d2a427f224b45596361b402b1",
- "sha256": "0ipy1p2cr5i0465hchqazmgn9jrgwzbyrb3prfgkl7z2m1gd7fcg"
+ "commit": "05df4989c987dece40a450bd5cfbbd6cda0f2e7a",
+ "sha256": "184hag1kjbzfc7k7c1nd1y9w3gimgxjgkkyqawjzv00sph3mnvd4"
},
"stable": {
"version": [
@@ -80428,20 +80918,19 @@
"repo": "tgbugs/orgstrap",
"unstable": {
"version": [
- 20210722,
- 737
+ 20210926,
+ 2314
],
- "commit": "6bb7deaea9ca01137e7cbd74ff23559e6a4d85e7",
- "sha256": "0kq5fa3dkz6a8y8zyf588032hlmpvjl7mn7y6m2mjsamf7fxnh3h"
+ "commit": "b99455846908d007cf50ca1ef7093554dc3121a0",
+ "sha256": "1z4hva6dzqrkkabv1apqhic3d2r21dsf9m60blmbnhx6hbc5vgv3"
},
"stable": {
"version": [
1,
- 2,
- 7
+ 3
],
- "commit": "c63c1682de9a10c6d6946978c154f09bb6fa7284",
- "sha256": "0vp4s8m1rg0q3pd8vdk8ys03dzsibglpkx30hfw10z847fbif85w"
+ "commit": "b99455846908d007cf50ca1ef7093554dc3121a0",
+ "sha256": "1z4hva6dzqrkkabv1apqhic3d2r21dsf9m60blmbnhx6hbc5vgv3"
}
},
{
@@ -80452,11 +80941,11 @@
"repo": "tbanel/orgaggregate",
"unstable": {
"version": [
- 20210819,
- 1739
+ 20210925,
+ 1850
],
- "commit": "141577373600e7be16a5b67284165f54e8743505",
- "sha256": "0nhaigi53nygw0fvmj335sd2kin3rlhh501sx4asdvdlhm28n2hr"
+ "commit": "3ddf2fc2262ec7d1ae62aff251a70dcb26dd5f04",
+ "sha256": "09lj6kw1fz1hmrr703rx46d3zsp1kpdzavc3nv1q8x7ii9q0w9bw"
}
},
{
@@ -80482,14 +80971,14 @@
"repo": "tbanel/orgtbljoin",
"unstable": {
"version": [
- 20210225,
- 923
+ 20210828,
+ 715
],
"deps": [
"cl-lib"
],
- "commit": "f411d38de5e36f65336a37e43cfe9a5125b6543a",
- "sha256": "05m6xq1c3cc2vpwfgknjx6rad8lr2hd6prbziq04qxp8x8qcs3sj"
+ "commit": "f09ba7fd304b36773a337323a0749cc681ce5049",
+ "sha256": "0li0zks7n8kj30z2a71xyaa6qpp5kgrrikrz1562cymp5r3ddbxv"
}
},
{
@@ -80591,20 +81080,20 @@
"repo": "cmchittom/orthodox-christian-new-calendar-holidays",
"unstable": {
"version": [
- 20210507,
- 1619
+ 20210830,
+ 1657
],
- "commit": "c0ba49bb01d037ce8800aa04db06f454ef043cb6",
- "sha256": "07ck6slz0z484lywdymh719pfmxhvfsb1cvk2bdbrx4xq89sqwq6"
+ "commit": "6869024ecd45eefd0ec648979c6a59d7c79770e0",
+ "sha256": "1hw76k90bgvbdispcgmfbskhnk7cjai0bv75nmmk2b6kcj9hmx97"
},
"stable": {
"version": [
1,
3,
- 2
+ 3
],
- "commit": "c0ba49bb01d037ce8800aa04db06f454ef043cb6",
- "sha256": "07ck6slz0z484lywdymh719pfmxhvfsb1cvk2bdbrx4xq89sqwq6"
+ "commit": "6869024ecd45eefd0ec648979c6a59d7c79770e0",
+ "sha256": "1hw76k90bgvbdispcgmfbskhnk7cjai0bv75nmmk2b6kcj9hmx97"
}
},
{
@@ -81202,14 +81691,14 @@
"repo": "yashi/org-asciidoc",
"unstable": {
"version": [
- 20181230,
- 620
+ 20210919,
+ 1844
],
"deps": [
"org"
],
- "commit": "efb74df1179702e19ce531f84993ac5b5039075f",
- "sha256": "0sxwbqk6sm8qfpbcxhclin21k6xx5286df57rr0m72xrqqpdsw1p"
+ "commit": "d60ac439278cec214882f92c47bc16e0f43ae98e",
+ "sha256": "1h5vjw4byhixl1vwgd13cy09z7zdh3mjrac4ffvc7xpzkmg4r0zm"
}
},
{
@@ -81398,14 +81887,14 @@
"repo": "kaushalmodi/ox-hugo",
"unstable": {
"version": [
- 20210727,
- 117
+ 20210916,
+ 1332
],
"deps": [
"org"
],
- "commit": "6ec3d054ddadbca1f5effb961c1db583e377ca35",
- "sha256": "1vhyq9hbvbny9lj0h8fw7xk2i0fxcwn3v8rhwh6fjns86m7zy0lj"
+ "commit": "f0357fa7449cc8baecee588dab7dcf9ea243f3b4",
+ "sha256": "0rxkdwcl75yn79sxxxprlj2594h2d8cclikqsz8m9pljmqx0wjnk"
},
"stable": {
"version": [
@@ -81512,15 +82001,15 @@
"repo": "jlumpe/ox-json",
"unstable": {
"version": [
- 20191225,
- 750
+ 20210928,
+ 347
],
"deps": [
"org",
"s"
],
- "commit": "11609b0a4125d1cc6a1149748eeddeeff4e5df63",
- "sha256": "0kkv0g1dg0wyygi098667rip0778pd00xd6mafm4rgc6bdjhxz9i"
+ "commit": "4d2e0aa7f92d07e16cea2dd5e1d250a3f243c3cf",
+ "sha256": "1h5930953nnddg7ysr87m5r6gm517zbfi7jbc77hmrywgibqvpik"
},
"stable": {
"version": [
@@ -81579,8 +82068,8 @@
"ox-gfm",
"s"
],
- "commit": "e79c4c4429f64fe61cfa673dcd33273bba30f9db",
- "sha256": "153sr1pnnlglzmsz9kx8d8h604j01anf85n57pdz2dnbh6wr2wak"
+ "commit": "4adf97dd195f0a777b952b97888b77cdd9479629",
+ "sha256": "0yxzhgjkipy632jhw83l8cz5s7727m87sj6ldpzdh57w1abklidq"
}
},
{
@@ -81714,14 +82203,11 @@
"repo": "0x60df/ox-qmd",
"unstable": {
"version": [
- 20210529,
- 1012
- ],
- "deps": [
- "org"
+ 20210826,
+ 1425
],
- "commit": "7e69c04626f8d35756f3b049bd7836fb751f7734",
- "sha256": "14hdjkyyh4714vsc4amkdfhdda94gpaz7hy702ygmyfx0il1v92a"
+ "commit": "ccabf6bd79ed87dd3bd57993321ee6d93c1818be",
+ "sha256": "0hww5b2d0yc8g9hhk4sqsnr091nbrmpna1v5yc6pn1g24rngh3dk"
}
},
{
@@ -81761,14 +82247,14 @@
"repo": "yjwen/org-reveal",
"unstable": {
"version": [
- 20210815,
- 907
+ 20211010,
+ 357
],
"deps": [
"org"
],
- "commit": "8eae719acc18592a916715e74984e9222bb6d5fa",
- "sha256": "12r4s8xkmyiyv8pcf0zy2jh2dp582rx41pggnfh44cd27skafd30"
+ "commit": "d99311fc5be0a7f0acf2ce9ae2d012a651e1c31d",
+ "sha256": "1ayqi6s4ympskn7iklk2kplnciryfr32yq3r6zm6x091vlayck69"
}
},
{
@@ -81852,11 +82338,11 @@
"repo": "dantecatalfamo/ox-ssh",
"unstable": {
"version": [
- 20201217,
- 317
+ 20210917,
+ 1517
],
- "commit": "1b39849e3a315de95543eb3cf69c42fa33a8f5cd",
- "sha256": "0hcm91fh3qcxp6n40363sxdk3hz87vsmbw032d7iwb2wmdfwh6b4"
+ "commit": "be3b39160da6ae37b1f1cd175ed854ac41d1cb63",
+ "sha256": "069qvxsxipgc7sh112ci2ynv406kj5vrsjgqhdhmnzkp6fhyhm9n"
}
},
{
@@ -81867,14 +82353,14 @@
"repo": "yashi/org-textile",
"unstable": {
"version": [
- 20180502,
- 947
+ 20210919,
+ 1738
],
"deps": [
"org"
],
- "commit": "b179abaa6616604c6efe32cb509e62ad46e7374e",
- "sha256": "1hwrnnrhrdp5cjn81wipzi5j8zr82kpwlvr6hna2cj2zr3r7a6m8"
+ "commit": "5f2f61f572c24d702e922845c11a4c3da38ab261",
+ "sha256": "17qf0346a5n1sy3cjzfx8r9kblrlfbnp8hy74y5fq2dczmhqrjrh"
}
},
{
@@ -82667,15 +83153,15 @@
"repo": "joostkremers/pandoc-mode",
"unstable": {
"version": [
- 20210819,
- 1141
+ 20210910,
+ 2043
],
"deps": [
"dash",
"hydra"
],
- "commit": "39167ff0e9293b4632cf162a32c9d0b6990a371d",
- "sha256": "1rbn8vj1aazwzzzs79455qcd5w04l82xw4y00xn199mch95rim5d"
+ "commit": "bf01a14e99304653ae722226ea064c7d4b641774",
+ "sha256": "0g64fbcbw8pfq92drgixgplrljw954y9fyp9gjbmc5rq2dhpck4l"
},
"stable": {
"version": [
@@ -83022,8 +83508,8 @@
"deps": [
"s"
],
- "commit": "0c4c92283baa951469e75f632fdd08f0cb9fe6af",
- "sha256": "1g34wkb3ca6wgjkgmzbhaak95bpdh1k49p5m00ajhg1rqicxwdzw"
+ "commit": "d14391468c6693016a1960a0480d5589658adddd",
+ "sha256": "1gykb9h4pq428w135591dj49ikp078jrxv8n2hhvf9ri69q3cdg6"
},
"stable": {
"version": [
@@ -83100,26 +83586,20 @@
"repo": "clojure-emacs/parseclj",
"unstable": {
"version": [
- 20201012,
- 712
- ],
- "deps": [
- "a"
+ 20211013,
+ 453
],
- "commit": "1bb3800f8f2417b0b881f57448ccb4acd1fe5b8d",
- "sha256": "0894vhyx1phq8mdynnnqflcgi2a54hi926f4dk8slawzx1cb9xxb"
+ "commit": "a8c4cf30fb68b66ae51541462a8b21753229a6e5",
+ "sha256": "0n0m3xc2dawgdhb68zznpsbzbbvf9fwgf9v8pzzwa2jncgi1yhh0"
},
"stable": {
"version": [
+ 1,
0,
- 2,
- 0
- ],
- "deps": [
- "a"
+ 6
],
- "commit": "1bb3800f8f2417b0b881f57448ccb4acd1fe5b8d",
- "sha256": "0894vhyx1phq8mdynnnqflcgi2a54hi926f4dk8slawzx1cb9xxb"
+ "commit": "a8c4cf30fb68b66ae51541462a8b21753229a6e5",
+ "sha256": "0n0m3xc2dawgdhb68zznpsbzbbvf9fwgf9v8pzzwa2jncgi1yhh0"
}
},
{
@@ -83130,28 +83610,28 @@
"repo": "clojure-emacs/parseedn",
"unstable": {
"version": [
- 20210729,
- 1657
+ 20211013,
+ 452
],
"deps": [
- "a",
+ "map",
"parseclj"
],
- "commit": "7b9ca20b398ca0ca0e3005e84c16f23aab49b667",
- "sha256": "0knv5m6w7v9zi94b6qi861r271l49pxzmwzp4nm595c33lxagqj2"
+ "commit": "e5ba280d1fb7b408d54062d4eac545326e850172",
+ "sha256": "1xp2d42yvqkimb7a15bv89bj0124lljw9cb36g49m13d7ny4fafn"
},
"stable": {
"version": [
+ 1,
0,
- 2,
- 0
+ 6
],
"deps": [
- "a",
+ "map",
"parseclj"
],
- "commit": "d25ebc5554c467b1501f1655204ed419e00ca720",
- "sha256": "0271amhw63650rrzikcyqxa8sb42npnk7q3yrsay2v79wbqkdaw9"
+ "commit": "e5ba280d1fb7b408d54062d4eac545326e850172",
+ "sha256": "1xp2d42yvqkimb7a15bv89bj0124lljw9cb36g49m13d7ny4fafn"
}
},
{
@@ -83278,8 +83758,8 @@
"s",
"with-editor"
],
- "commit": "3dd14690c7c81ac80e32e942cf5976732faf0fb3",
- "sha256": "10015qvf98j4m26rprrvhbfj4dg4j5sg2c0ps7x94cjjxrph7kf6"
+ "commit": "04cd3023f48cd203f6c0193e57a427226e8b431c",
+ "sha256": "0r5irpzqpglf486zsl78wdwqhkgsqb24xg4zp2isjczs2gl0fi6m"
},
"stable": {
"version": [
@@ -83812,15 +84292,15 @@
"repo": "vedang/pdf-tools",
"unstable": {
"version": [
- 20210531,
- 1613
+ 20211004,
+ 514
],
"deps": [
"let-alist",
"tablist"
],
- "commit": "5f77dae43eb8f71e52e10ba8cf994883f74c3fb7",
- "sha256": "0hzqcnxi66d0c3dq7y3dn28f3yri4zcx46yylhy0xnm3f1yja0rm"
+ "commit": "f68899cf0646255ca763f1144f7a9520e7cd46db",
+ "sha256": "13f0c0a9cyhc2snshjqw8dl0hdnhb89fba6ffcv7avb2cwnxdpk7"
},
"stable": {
"version": [
@@ -84229,25 +84709,25 @@
"repo": "nex3/perspective-el",
"unstable": {
"version": [
- 20210821,
- 259
+ 20210920,
+ 345
],
"deps": [
"cl-lib"
],
- "commit": "1c257f35ccabaa807d3a79f6daed7b6a5872d27b",
- "sha256": "0rgkajcw7fismqmww1r0yy84hnqripx5dwklf2mfm042whn9bqgf"
+ "commit": "acad4fb2cfe27feb0ecbe07e51c364bfa5ea4f47",
+ "sha256": "05mv85fn6vil8j8xizq0myd9hgy7h94cz89m6i0ia4qs2yf9c29g"
},
"stable": {
"version": [
2,
- 16
+ 17
],
"deps": [
"cl-lib"
],
- "commit": "c052ab2ce23f969ad2b7853ba0b3cbd4a5954c47",
- "sha256": "0hg4rj3v748f6k4fwa21g683vs3bfya0wg9r9xdg216kdhfdk5j7"
+ "commit": "53348cea0f46655c4c072da5984f6a652726df4f",
+ "sha256": "1nmz39pcaa969g1966ykblzrz6lr3ddb0ip465y5in1fj498as6y"
}
},
{
@@ -84644,25 +85124,26 @@
"repo": "OVYA/php-cs-fixer",
"unstable": {
"version": [
- 20210729,
- 1022
+ 20210923,
+ 718
],
"deps": [
"cl-lib"
],
- "commit": "cc9a3624dcdc72d748d91e3d7cdb8544a1d85a51",
- "sha256": "1iiazmyzr6gxwsdpx687j0zp3s1zs0rk4kgv4hicl9mjda2f7dmz"
+ "commit": "7e12a1af5d65cd8a801eeb5564c6268a4e190c0c",
+ "sha256": "1i0jlszc5z59arwknclhi3vmwp0mf6jk18axisvh5xdqggiwpjqf"
},
"stable": {
"version": [
1,
- 0
+ 0,
+ 1
],
"deps": [
"cl-lib"
],
- "commit": "95eace9bc0ace128d5166e303c76df2b778c4ddb",
- "sha256": "1pl6zw1m8n3ir48h58gaq2f474w9j20a6gk4r0cq5vgvzxx25f0h"
+ "commit": "ebf78243b468592f4fbeb714923ecc8709d33ae0",
+ "sha256": "0ik5va5q7gpz6kmaaiarh6wjaafal22qcimflfwizs3nbl49y9mx"
}
},
{
@@ -84691,8 +85172,8 @@
20210808,
1745
],
- "commit": "8212f415fc5de2dedfc74d797b3951b2cbfb6f58",
- "sha256": "1r9arl0v33rqgvi98xz63pf56kb7d8j1j1p74ky89g5zk8zzivd3"
+ "commit": "535aec81739e8e766e0420fda616efc8846f2911",
+ "sha256": "1z4fds5priq8dsr8gm845ykk8blghm5kz5sspnpzclgk3prwkx26"
},
"stable": {
"version": [
@@ -85151,8 +85632,8 @@
20210629,
1257
],
- "commit": "c04309be9fb73012b4c5c839741b1abcfe0b8aa9",
- "sha256": "1hahd9w5pww3nx1xvbci4pscpbzb0k5xv3yff896jg66di36fvwg"
+ "commit": "1959d2d5e09fde5244f9f945fec043cdffd5d37e",
+ "sha256": "00iyyvqs28l0qgzwm57r6qibdk98w4sdr4ilxsb1f2lrir75q6ir"
},
"stable": {
"version": [
@@ -85653,11 +86134,11 @@
"repo": "thomasluquet/playerctl.el",
"unstable": {
"version": [
- 20210411,
- 1442
+ 20211014,
+ 856
],
- "commit": "41564d453c9f936a4ce2699afacc6d1dff974f1c",
- "sha256": "0fbnfxhfyam5zvf2ild5bxq489dnpyrzclnfwq0lhzgswjj70hw6"
+ "commit": "4c3a6132616fd28f902590bf6e63332e6055492b",
+ "sha256": "18b59kcni6xp46r8lkwb8baz2mic9n4zyndib10dvfs6gwjmas2p"
}
},
{
@@ -86195,16 +86676,16 @@
"repo": "polymode/poly-R",
"unstable": {
"version": [
- 20210210,
- 1053
+ 20210930,
+ 1921
],
"deps": [
"poly-markdown",
"poly-noweb",
"polymode"
],
- "commit": "c42ff3a4d0da96ccb7f826dca5c6b2eb558a2ab5",
- "sha256": "0sazc0vnks2jnrmgz9p2r821l4m9wrggr6mgcwh6v7lzwj76x3f7"
+ "commit": "e4a39caaf48e1c2e5afab3865644267b10610537",
+ "sha256": "19s99k0madr5yp9v523yj1990fmark09vixn31lzfmghi8nmdmck"
},
"stable": {
"version": [
@@ -86492,6 +86973,25 @@
"sha256": "0bihvkkq4v7wadwbsrgi7a0zmhqn2lp76pnq7vwwsvs1rm36pbvy"
}
},
+ {
+ "ename": "polybar-sesman",
+ "commit": "15e30c5c96f94c4ae05c25af45a2f08a9c0520af",
+ "sha256": "0rm3mjwgp7i7hbwx8qw8snaipa7yl1haffr91rd9d31yc5pd170f",
+ "fetcher": "github",
+ "repo": "markgdawson/polybar-sesman.el",
+ "unstable": {
+ "version": [
+ 20210901,
+ 1336
+ ],
+ "deps": [
+ "dash",
+ "sesman"
+ ],
+ "commit": "5175b8d641aad9576519717f69f858621892d5c7",
+ "sha256": "101ymzis94sdlhk173my108g2s3fpi4ibc514isizfxvb4cn9gs9"
+ }
+ },
{
"ename": "polymode",
"commit": "3058351c4500fdcbe7f40b4c96ac8d6de9bbeb1d",
@@ -86500,11 +87000,11 @@
"repo": "polymode/polymode",
"unstable": {
"version": [
- 20210521,
- 1131
+ 20210907,
+ 807
],
- "commit": "7d1f822f0833b43326cc9253dc8a3e267ad4b376",
- "sha256": "15gyqf9vs3yxls8l830ik5rdhvd0wiybqpi0yxnfpd6g9pcajm6w"
+ "commit": "54888d6c15249503e1a66da7bd7761a9eda9b075",
+ "sha256": "0zxhxsil1p0nf4n75saz33d00xl7d4g528n7qj9xx84gq92g4fnb"
},
"stable": {
"version": [
@@ -86612,8 +87112,8 @@
"repo": "ponylang/ponylang-mode",
"unstable": {
"version": [
- 20210118,
- 1325
+ 20211015,
+ 331
],
"deps": [
"company-ctags",
@@ -86625,8 +87125,8 @@
"yafolding",
"yasnippet"
],
- "commit": "3c011744e81263dab6a4b20e96ad1d290ef9d320",
- "sha256": "15ach67d9n8csbsabm6lhmhli9f397pjpf6vk1rn59bfqrhdakmn"
+ "commit": "1abf04bc8f4f09a6add4b587c7cf5ca23735e7c0",
+ "sha256": "1iv04dj2nc9cyyslhir7aj5sligwan1yyclsiarn86lik7b9lmwn"
},
"stable": {
"version": [
@@ -86703,11 +87203,19 @@
"repo": "karthink/popper",
"unstable": {
"version": [
- 20210610,
- 1945
+ 20211011,
+ 435
],
- "commit": "4c51182f5f5dd7a1ffa69fb994ef5ef6f9592686",
- "sha256": "028wfdi240r8xdz7j77fv29brk5ck6yhhh1vj9p58m4f4ff8r9ik"
+ "commit": "6a2ddcb35432afb56f32e11518c2b6ae7795e8c0",
+ "sha256": "0sv8bi34fbg0awi8maah5fs13s0wmzbvalq13z2zx9ybb8ivrsfi"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 4
+ ],
+ "commit": "cc7336c4e30fc9fef129ad82e59fcdef24f0b73d",
+ "sha256": "0qkxxdawwcjswfxnxmih5cgq7klp78l7vc82kj09a8qh400wzr5d"
}
},
{
@@ -87314,15 +87822,15 @@
"repo": "jscheid/prettier.el",
"unstable": {
"version": [
- 20210606,
- 1152
+ 20210920,
+ 1251
],
"deps": [
"iter2",
"nvm"
],
- "commit": "e38d21a885e234af9ea6b03f499c487175570571",
- "sha256": "1c7n43xi1sjprqn0xhd1hfdr39ipqiw1r8w76qbm3xx04h9bccy8"
+ "commit": "da32141e78ae59023477d15d56b7a4fca632e5f5",
+ "sha256": "01q0kj6dkqbhy8khjl04a857mb6mpw5dwrhaf81d7jj4vjbldccy"
},
"stable": {
"version": [
@@ -87729,8 +88237,8 @@
20210715,
1213
],
- "commit": "e8c22beb14aff6d5661337feb6cebd7af3a3d454",
- "sha256": "09zp7896ndmksk7mywdwhrh4bq951vj5lqjls7ncihifwlgcxa7w"
+ "commit": "4b059ff6ce8cc2ca817247fcc251994bee2090e4",
+ "sha256": "0jn8drn49ab15a7j0584hihzyw66zyq5zv7wwbipnwwkqrd4cagk"
},
"stable": {
"version": [
@@ -87784,11 +88292,11 @@
"repo": "fritzgrabo/project-mode-line-tag",
"unstable": {
"version": [
- 20210615,
- 1825
+ 20211013,
+ 1954
],
- "commit": "ed6adf9287d2aa526d85451623f1aa281cfa7e0a",
- "sha256": "0xryvjsb2r4rj22wgjpixa31kg4sp7xww63hldnf7c1cvcc9g305"
+ "commit": "e411432a33cd82f8a9ff95471c91e9fe1833841c",
+ "sha256": "03aiv70shxhcjcldahny7xxclnqdw5bf37f8496dxmzz0zx0v98j"
}
},
{
@@ -87894,11 +88402,11 @@
"repo": "bbatsov/projectile",
"unstable": {
"version": [
- 20210825,
- 649
+ 20210930,
+ 1757
],
- "commit": "db5748ea4a6428136769e7e50c6d0a12aa7acd8f",
- "sha256": "0zfvv7iyb0n61hd3q1jk0bldpfwhw49kd61c5wd44g437vr7jm6x"
+ "commit": "7f64570d3e6829d767d340c8584f3e4f3472ee81",
+ "sha256": "1a5a2xmnic27swm85rz44iymvym7jagwis25a3mzn9d5spsqaagy"
},
"stable": {
"version": [
@@ -88247,8 +88755,8 @@
20190408,
310
],
- "commit": "0a75ecd5058c9a006e02d1ecd3f1c84194881abd",
- "sha256": "0y95awjmw9sasjac7s5b6zm42206szqvhr9xkg8zj0frrhnqsx8l"
+ "commit": "f51cf3d7f08ab8946e9869f7de2082536e45cc22",
+ "sha256": "0aqp53l74ivh7vzxgbxcf1nck9jaj5lcdz59ymx78rf3c0v0sk1f"
},
"stable": {
"version": [
@@ -88301,11 +88809,11 @@
"repo": "ProofGeneral/PG",
"unstable": {
"version": [
- 20210820,
- 2321
+ 20211013,
+ 1911
],
- "commit": "f84e634c99d0c6bad17e8840baf7b6173563188f",
- "sha256": "07gr1czqh23kz0nw29vdg60vrc5yj9p4rymlplb6xbkq91lncnrd"
+ "commit": "fd04605af1b07684da522c32d83ac346050926bb",
+ "sha256": "017j3vcwlg6k2h76wbads6jxmnmxj19g4c42zs3mi2vwqhfvgdqx"
},
"stable": {
"version": [
@@ -88405,22 +88913,20 @@
"repo": "google/protobuf",
"unstable": {
"version": [
- 20200619,
- 1742
+ 20211013,
+ 1726
],
- "commit": "38f6e15e77a4e33a669d5d37efd87edfa15c7c04",
- "sha256": "1n6xp8yk7vkvgigy46ybvzd3a9597c6qg0zyc9bbhqk93vhwxqkk"
+ "commit": "c01cd6ec794fd91b316cb236663c81eefa1efa4e",
+ "sha256": "1rqsg37dxglxddipfpph5xv6mlsh73hgy66vlirrvcs0ijiyjj8g"
},
"stable": {
"version": [
3,
18,
- 0,
- -1,
1
],
- "commit": "1a1710b19de57c6f68ebe599a6a22de2392e6018",
- "sha256": "0v006kvgmy1m4fpkg15pa1pdf1c2xwn4vbr952jrk1z24sfyljdy"
+ "commit": "0dab03ba7bc438d7ba3eac2b2c1eb39ed520f928",
+ "sha256": "0r6bzdlyqz2qgpfk3wcvxqmchwca4x4cnizqbxhyy3ivx6xb9wp4"
}
},
{
@@ -88475,14 +88981,14 @@
"repo": "emacs-php/psalm.el",
"unstable": {
"version": [
- 20200510,
- 1157
+ 20211002,
+ 1552
],
"deps": [
"php-mode"
],
- "commit": "b2a1e8a9524b0004e62996c70da5536f86e56182",
- "sha256": "0r0qz5bdznzdj7zxq6a6fz7fwn2c978bq57yywj3fcy8f5vh8jcf"
+ "commit": "28d546a79cb865a78b94cd7e929d66d720505faa",
+ "sha256": "0r5qa0i42dkv0qrs2mksjx7w0yl98mdkg18blckk49w2gd8srdjr"
},
"stable": {
"version": [
@@ -88563,15 +89069,15 @@
"repo": "thierryvolpiatto/psession",
"unstable": {
"version": [
- 20210203,
- 828
+ 20211002,
+ 939
],
"deps": [
"async",
"cl-lib"
],
- "commit": "ed53362af4dfc813505c30ca40227072df16fdfc",
- "sha256": "0crq5ynhqi6lbq471nskcnjplyj6i80rxl3z00iyisc9184r7wwb"
+ "commit": "76da05f5fb798572a911c398d2dd6f5f30a74746",
+ "sha256": "07kf8panrfdvqqzklxkhkjbry1fpsb9c6cijjkzrnj4fjwggbkbp"
},
"stable": {
"version": [
@@ -88687,8 +89193,8 @@
"repo": "fvdbeek/emacs-pubmed",
"unstable": {
"version": [
- 20200618,
- 2203
+ 20210927,
+ 1933
],
"deps": [
"deferred",
@@ -88696,13 +89202,14 @@
"s",
"unidecode"
],
- "commit": "88aeb71ed4354af0b58354636ee6a9485887213d",
- "sha256": "154lkpipi5wgcwx4j9w6h3zysciw7hblf03an2irr9xgdhs7xs7q"
+ "commit": "e1ac5433daf966cf7c5e9178b037191e1eb3e4bd",
+ "sha256": "0ylsn36zmrn8mds2z74vbyv7sd4699a4wicg4shrf2gd5bbsi72g"
},
"stable": {
"version": [
0,
- 5
+ 5,
+ 2
],
"deps": [
"deferred",
@@ -88710,8 +89217,8 @@
"s",
"unidecode"
],
- "commit": "d781870e2f57e40110e07768289ab81d8554f122",
- "sha256": "154lkpipi5wgcwx4j9w6h3zysciw7hblf03an2irr9xgdhs7xs7q"
+ "commit": "e1ac5433daf966cf7c5e9178b037191e1eb3e4bd",
+ "sha256": "0ylsn36zmrn8mds2z74vbyv7sd4699a4wicg4shrf2gd5bbsi72g"
}
},
{
@@ -88813,6 +89320,21 @@
"sha256": "012lv7hrwlhvins81vw3yjkhdwbpi6g1dx55i101qyrpzv5ifngm"
}
},
+ {
+ "ename": "puni",
+ "commit": "546f7f793fb95657f67a61070b20e11d73342dad",
+ "sha256": "05h7hjl5sfj6ki7ndpz1mh0hsc7l86vaskmp8r1l5xxnq5sig0cd",
+ "fetcher": "github",
+ "repo": "AmaiKinono/puni",
+ "unstable": {
+ "version": [
+ 20211011,
+ 1529
+ ],
+ "commit": "825952d0a4a1d5eebf849280ffd4e1e44e1a847c",
+ "sha256": "1w3iz542v83n6vc4j0nhqmkp21h0m42rqgp6648jlx7q0n4qmdz6"
+ }
+ },
{
"ename": "punpun-theme",
"commit": "20b2cc78b41a26e434b984943681fea774fd3c50",
@@ -88880,11 +89402,11 @@
"repo": "gnuvince/purp",
"unstable": {
"version": [
- 20190629,
- 1829
+ 20210912,
+ 1940
],
- "commit": "f821a7c30452d970ccb0ee08b68d56603860e31d",
- "sha256": "170k5xkbqr0dbwcwhy75k88qjlnkw6l2ipaqlbr1hdnw17vp2qy9"
+ "commit": "8d3510e1ed995b8323cd5205626ddde6386a76ca",
+ "sha256": "0b3xpiwrbwsc5fmh6k2kj1wxhp3xl4dablxwap07q0kcnp3q47d1"
}
},
{
@@ -89264,8 +89786,8 @@
"repo": "dwcoates/pygn-mode",
"unstable": {
"version": [
- 20210824,
- 1323
+ 20210922,
+ 1338
],
"deps": [
"ivy",
@@ -89274,8 +89796,8 @@
"tree-sitter-langs",
"uci-mode"
],
- "commit": "f3d6b5c6c8f74d7c54808759f71ff26c91c0b7e6",
- "sha256": "1v5gisi22d2syb5vd9plydncjlz3hqyx9fhzamxjcmpybdzss80m"
+ "commit": "fed7b84350aab3aba27b0fca2ee53e4094307f7b",
+ "sha256": "1spkxz5ryq4gjqi37d2ci099ww2y1jzk8qv5vl1rzqnhb663hxkr"
},
"stable": {
"version": [
@@ -89391,14 +89913,14 @@
"repo": "tumashu/pyim-wbdict",
"unstable": {
"version": [
- 20210719,
- 38
+ 20210902,
+ 1714
],
"deps": [
"pyim"
],
- "commit": "4812f93ee00196b8fee9f434aa5cd77fabcf90d1",
- "sha256": "1wvzgyf3mq3wy0sdnx5jhscr5x28pgbvw8dmfyn18741xnsn26fb"
+ "commit": "4db1ca7fee75bd3aa394d620e5af2f42b3caf3c4",
+ "sha256": "141rzf15334r4sdqy791n3kspad8jcz4iig55mvcqabii4bqx9p1"
},
"stable": {
"version": [
@@ -89470,17 +89992,17 @@
20210411,
1931
],
- "commit": "23488352bc0dbdfa519abb527af3d676244b7106",
- "sha256": "0gfig5fnmsvqbfcxd973v31zhvrdldma9grxliy83p7jzphs5kgp"
+ "commit": "ab775f6f4507a1f0cd9dab0fd027c2f08be06928",
+ "sha256": "0js9addqxk2dchgrjnz2wdxxp7sszq95zvga8j1igihx7w83xp7f"
},
"stable": {
"version": [
2,
- 10,
- 2
+ 11,
+ 1
],
- "commit": "591a23adcfdd2fe20b8cfdb9e4e07772c8f454f8",
- "sha256": "06xrv79ns4bsk819iqrhjcb36k925yl2zi93l6sv7r228y0y8jl6"
+ "commit": "d98e6e8adcdc5ebcd9c863f630e748cdba639b0a",
+ "sha256": "08kc9139v1sd0vhna0rqikyds0xq8hxv0j9707n2i1nbv2z6xhsv"
}
},
{
@@ -89751,11 +90273,11 @@
"repo": "python-mode-devs/python-mode",
"unstable": {
"version": [
- 20210809,
- 1849
+ 20211013,
+ 1620
],
- "commit": "f43ab088af83ec20c5a70acc3559980c94ed2910",
- "sha256": "0bflpbjv5j9q15qhm9q0yaaw0sfncx3dw5najvsj2rhiw5i47xx3"
+ "commit": "e92d0e800b494c1dfcca109154a6b7eb6fad0e4e",
+ "sha256": "1lxi1iwckpfk6966sgcdj3sz9bcbylsm3nqv9wbbzkqbjlyd28y4"
},
"stable": {
"version": [
@@ -89906,11 +90428,11 @@
"repo": "jorgenschaefer/pyvenv",
"unstable": {
"version": [
- 20210527,
- 829
+ 20211014,
+ 707
],
- "commit": "045ff9476dac26086a04538d9b7ba186aa8f0fd1",
- "sha256": "1y5jqqqh0df75qydw3h7rx24pv5z628ci8ymdksn5khl1qp5041x"
+ "commit": "31ea715f2164dd611e7fc77b26390ef3ca93509b",
+ "sha256": "1708xh2sq7xvs4z5zkik9ircxvzimhzi6phc2j43fvvxgglcgrk5"
},
"stable": {
"version": [
@@ -89929,11 +90451,11 @@
"repo": "psaris/q-mode",
"unstable": {
"version": [
- 20210620,
- 1712
+ 20211001,
+ 1144
],
- "commit": "86d937854c45f6b2a102b42c1a991ba713532f9e",
- "sha256": "1hbb0m5kjczyri6hbgkk1par53zfnsp7m133xqzfhg2sibrvbz2x"
+ "commit": "c7f6ccb936b673032ae557636177befe5f33a3db",
+ "sha256": "1xi7npwpji0c7jvwnkf056ff3jik7j01fb5mcdn0gwkigqhj1g02"
}
},
{
@@ -89959,6 +90481,29 @@
"sha256": "1sncsvzjfgmhp4m8w5jd4y51k24n2jfpgvrkd64wlhhzbj3wb947"
}
},
+ {
+ "ename": "qrencode",
+ "commit": "f92852347c03b1e5c225c72a5df16fe5a1614c21",
+ "sha256": "031x3pl71dh9838l9k3w77xi730q2zvaq1k1ci7r8bq6nb7wjf12",
+ "fetcher": "github",
+ "repo": "ruediger/qrencode-el",
+ "unstable": {
+ "version": [
+ 20211002,
+ 1215
+ ],
+ "commit": "eb2d5bad076b17a8c431200be7357460a7d3c088",
+ "sha256": "1y7xd2lr88z47s70xaxkd9zl3aqna2d87ad2ism3ywncb1sqmd24"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 1
+ ],
+ "commit": "76de0de6449031ef5dd3e73ea4ab820e0d58a0e2",
+ "sha256": "1b7z3nxwrgkw1p7dp6ibsvhvpyl2m881w9yr9qcmdrkf78cqlpvx"
+ }
+ },
{
"ename": "qt-pro-mode",
"commit": "e9af710be77ccde8ffa5f22168d2c8a06b73dd6a",
@@ -90210,11 +90755,11 @@
"repo": "emacsorphanage/quickrun",
"unstable": {
"version": [
- 20210330,
- 654
+ 20210904,
+ 1553
],
- "commit": "35e91f4b6cfbb8dd2adea4d8cf53ae76db7d00d9",
- "sha256": "15xlcnimaaqgchjcs5jv8g3l36fga7zp11fj901x9nziwwis4jx1"
+ "commit": "78317951cd3db986d811de616b7035559831749b",
+ "sha256": "0z7zpsmhfhnzvf1vqpsj5wsnlxmyxgc1z6599xsbvl30fw589y1r"
},
"stable": {
"version": [
@@ -90372,15 +90917,11 @@
"repo": "greghendershott/racket-mode",
"unstable": {
"version": [
- 20210727,
- 1545
- ],
- "deps": [
- "faceup",
- "pos-tip"
+ 20211015,
+ 1650
],
- "commit": "ef9a3fed943495ec2b0c8258f8e00307d6434b17",
- "sha256": "0rkhkhpjpnp4h3c60ms9637737sfz6nfjlvlgvw0wfa51bvmzimd"
+ "commit": "46bb0bf29f6b2fb571374e1aaf4252bf5f54281f",
+ "sha256": "0hkshj2kf23pqnmwc6d1glcg7my402qqngcn4iliy89rp56xdgqs"
}
},
{
@@ -90569,14 +91110,11 @@
"repo": "Raku/raku-mode",
"unstable": {
"version": [
- 20210412,
- 2342
- ],
- "deps": [
- "pkg-info"
+ 20210927,
+ 1227
],
- "commit": "7496ad3a03bed613c259405ec8839ae02950fdb1",
- "sha256": "002pkw4wx6l64c1apg6n1psq4ckp9129yj3xqkjp68ji5nz2l3bw"
+ "commit": "4ee9045eeb90f7831d7c0ee2e4adfcd957f712be",
+ "sha256": "0z8yclpb67x0k7x4ai13wvpc6w6s9z6kkib6a1lm4jpp4gyyraqw"
},
"stable": {
"version": [
@@ -90955,21 +91493,6 @@
"sha256": "0ky81w36dn6c69x4v4b46j8ixqqws9dc8adi4q19149xkiijx1kl"
}
},
- {
- "ename": "rdp",
- "commit": "e2dd8ef80d344c9801f7d0a26b0e3ea33a53bf89",
- "sha256": "0lj3idwv4fxz8pi8mnxkbhwhzaa1gs6ib4nzly3fc6yiix9ampkz",
- "fetcher": "github",
- "repo": "skeeto/rdp",
- "unstable": {
- "version": [
- 20120929,
- 154
- ],
- "commit": "b620192afada04aec33b38cc130fef0765f41ca9",
- "sha256": "08l96bhghmnckar4i6afj9csqglasmpmby1r7j38ic9bp37z2yqd"
- }
- },
{
"ename": "rdxmk",
"commit": "db54339795e0519f154328e54d47a7a0c80afc71",
@@ -91409,19 +91932,19 @@
"repo": "nickdrozd/reazon",
"unstable": {
"version": [
- 20210815,
- 1519
+ 20210831,
+ 1208
],
- "commit": "1bfce512612eb679d06356b5c070e6f8743fd0dc",
- "sha256": "1059dqsj19k7yb2lw2vy1s6pdhbl0l4vmsfd2lscwsa6kw42kjp0"
+ "commit": "d697c0dfe38ac7483e453e8ce8056acf95c89ba2",
+ "sha256": "12s2h4wd7cz9x078698wwjjpy874rk8cm2d17p6ksb10y3cmrqsn"
},
"stable": {
"version": [
0,
- 3
+ 4
],
- "commit": "020be6467a83957adcbdcb192b61f2c76a94079b",
- "sha256": "18la2g0srybr10vm1dajgbxi67j1l0cs08mr696hxb6m558yxdv5"
+ "commit": "d697c0dfe38ac7483e453e8ce8056acf95c89ba2",
+ "sha256": "12s2h4wd7cz9x078698wwjjpy874rk8cm2d17p6ksb10y3cmrqsn"
}
},
{
@@ -91501,25 +92024,26 @@
"repo": "10sr/recently-el",
"unstable": {
"version": [
- 20200120,
- 1432
+ 20210930,
+ 159
],
"deps": [
"cl-lib"
],
- "commit": "fa8b52fe891a0adaabe0456f6f5a56a2600a831f",
- "sha256": "0y0msmfwsrbsd59jhj9dh3vz49f2g0ykyp34c2i8l8vz5vkac3lp"
+ "commit": "94b31f6bf1dab6af942948fec975e37424938a62",
+ "sha256": "06kx2aykxzj60axsxjvqx2j8z3p19k47i0prbqfg78cjgv7fdwy6"
},
"stable": {
"version": [
0,
- 1
+ 2,
+ 0
],
"deps": [
"cl-lib"
],
- "commit": "3a331936ba33875d0f2fa47abe056aadbc59150e",
- "sha256": "0hdsv3whr2iqk6xirmfcjpbqjnckzqj54n5q04gh2z01bjxv3d7k"
+ "commit": "94b31f6bf1dab6af942948fec975e37424938a62",
+ "sha256": "06kx2aykxzj60axsxjvqx2j8z3p19k47i0prbqfg78cjgv7fdwy6"
}
},
{
@@ -91549,11 +92073,11 @@
"repo": "ideasman42/emacs-recomplete",
"unstable": {
"version": [
- 20210418,
- 925
+ 20211006,
+ 1406
],
- "commit": "ef800da3ff3112baa71ad20e84c752f7a56c90b9",
- "sha256": "18m8djkbyykb6cxqayl2v3ap206jkng3w8ah6qr4bixqynkx4yg1"
+ "commit": "8b794d194799468443252d9a54489b5beb01eb76",
+ "sha256": "0712jasmpmphdr8xxdw03dz8p99js9wdc8lrcda3n5hq3g6i1yyp"
}
},
{
@@ -91856,11 +92380,11 @@
"repo": "purcell/emacs-reformatter",
"unstable": {
"version": [
- 20210510,
- 522
+ 20210831,
+ 1405
],
- "commit": "e02a9ea94287f4195edeeab3033e017a56872f5b",
- "sha256": "1dlm24gjplfdx3cv2j6jslwgfryh0mvcyccljrwq8rzw8svgs8ac"
+ "commit": "b57f5d480003ab7b0880e0059dcc51747fb2e088",
+ "sha256": "16ajp4p26aaswxcxpqgh43p3dk699gab1xc3l3aj7x1sjf3ag3zq"
},
"stable": {
"version": [
@@ -92346,8 +92870,8 @@
20210816,
200
],
- "commit": "2b68b3ca543f1dfbebb43a44f20601c3947bd729",
- "sha256": "0b8h93jac2rn0zpm50zmjdz0klhhvhyw1apgpngvzfvq4agx457s"
+ "commit": "68003b3f859724de621d0e5a8b0aae51ce708d1e",
+ "sha256": "1xqxrr2law67zm68gxylxrhivashzl8prq21kl01hs4a4q87slja"
},
"stable": {
"version": [
@@ -92374,8 +92898,8 @@
"deferred",
"request"
],
- "commit": "2b68b3ca543f1dfbebb43a44f20601c3947bd729",
- "sha256": "0b8h93jac2rn0zpm50zmjdz0klhhvhyw1apgpngvzfvq4agx457s"
+ "commit": "68003b3f859724de621d0e5a8b0aae51ce708d1e",
+ "sha256": "1xqxrr2law67zm68gxylxrhivashzl8prq21kl01hs4a4q87slja"
},
"stable": {
"version": [
@@ -92450,11 +92974,11 @@
"repo": "jjlee/rescript-mode",
"unstable": {
"version": [
- 20210506,
- 2101
+ 20210902,
+ 2140
],
- "commit": "964a62f907bf786cec6a60719d17244b2958ac62",
- "sha256": "16r1bp6dv6s1k8pkxpf1wpk2rh7qd059z97naik60qa26rdwpa4w"
+ "commit": "b9a151168aa5feeedc823640e4d3863e03eef8cc",
+ "sha256": "11pn3c61lj3n4nf6h21kmp5j5qs9jfn1s45pnn4i8mc7m1kdznw6"
}
},
{
@@ -92518,11 +93042,11 @@
"repo": "pashky/restclient.el",
"unstable": {
"version": [
- 20210813,
- 841
+ 20210923,
+ 2234
],
- "commit": "176d9cb6552f04d98c33e29fc673862bdf3bca03",
- "sha256": "108znxclz80rgymx1kmw107afay6sr0042yfyy207b5ki36vghl1"
+ "commit": "94d2e8421fa14d0e3307d70e1d1e2db9d43b2f95",
+ "sha256": "0c9z6316pdi30w63a4zqn3b84ciqgxfi7mal6rd3micxg6qpv27c"
}
},
{
@@ -92540,8 +93064,8 @@
"helm",
"restclient"
],
- "commit": "176d9cb6552f04d98c33e29fc673862bdf3bca03",
- "sha256": "108znxclz80rgymx1kmw107afay6sr0042yfyy207b5ki36vghl1"
+ "commit": "94d2e8421fa14d0e3307d70e1d1e2db9d43b2f95",
+ "sha256": "0c9z6316pdi30w63a4zqn3b84ciqgxfi7mal6rd3micxg6qpv27c"
}
},
{
@@ -92626,8 +93150,8 @@
"f",
"s"
],
- "commit": "eaf177324482d0eadf0e97a892a156c2d503f245",
- "sha256": "18krcfbjvm9g67846dn3q7a2y4z3figirk3pvdsdb0fv425j11zr"
+ "commit": "c894fc46e5846ecb47ab9a456fadb548cc7359a6",
+ "sha256": "13v6qpxwcsxm12754n4i8s68bp6q2lg9c7bw1g8asa69bvwh2yfk"
},
"stable": {
"version": [
@@ -92675,11 +93199,14 @@
"repo": "a13/reverse-im.el",
"unstable": {
"version": [
- 20200520,
- 853
+ 20211005,
+ 2158
+ ],
+ "deps": [
+ "seq"
],
- "commit": "2db53105f2f8ee533df903b7482e571e28ce3c7b",
- "sha256": "19mjwk24nwhwn0ylr7m2f9vbyf91ksicznxj1w41jp5slh5h7pr0"
+ "commit": "380cce8deb1ea7ad79a8b1aaec4a753bd300b6fa",
+ "sha256": "039y306py7fb3pn4nhlq2mb7rznd6kv2m9bfpd7hbxpfysj7kmi5"
},
"stable": {
"version": [
@@ -92722,11 +93249,11 @@
"repo": "ideasman42/emacs-revert-buffer-all",
"unstable": {
"version": [
- 20210322,
- 159
+ 20211004,
+ 1321
],
- "commit": "14efdbf24ebe0d743ccb3f0d43acae98939c94fd",
- "sha256": "1kimlfq98a8gym0kb6z8b5mys0gsqz8iywnxrbh5s3ck6s911dn7"
+ "commit": "947f2471acaf1b9d5162f8a886aed6a211dd8fca",
+ "sha256": "19nmz7nw8v2i395wzyva96y5sm5z6h01jh1fl6n9dpavq12s934a"
}
},
{
@@ -92794,15 +93321,15 @@
"repo": "dajva/rg.el",
"unstable": {
"version": [
- 20210625,
- 939
+ 20210912,
+ 1227
],
"deps": [
"transient",
"wgrep"
],
- "commit": "0fa6d33d2f3123aecd0b0dbc5fa3d884edf10a92",
- "sha256": "17f11znjyfnxs5y0zafcx9aa055wkw3igzk9gy0cipnyp42yb4v7"
+ "commit": "fa7293df75e1a3f2fb26add6bc96058000e6fbe3",
+ "sha256": "0a9xhfs1knxxqilpbpw3li8vipg248nqhpqq5d6sqqn7gfz4zmjb"
},
"stable": {
"version": [
@@ -92974,8 +93501,8 @@
"repo": "DogLooksGood/emacs-rime",
"unstable": {
"version": [
- 20210723,
- 1236
+ 20211014,
+ 548
],
"deps": [
"cl-lib",
@@ -92983,8 +93510,8 @@
"popup",
"posframe"
],
- "commit": "1d9bcc6dad4182e9b6a5839f8261b260e57be2fc",
- "sha256": "157hndsslfxyi4n927y67shnk2xwhwz0idxwkdhcd4zl9jjzwpqr"
+ "commit": "b296856c21d32e700005110328fb6a1d48dcbf8d",
+ "sha256": "1x3v18hwxj56zhn4437nklyni4d3chk84c82a8y1z1flcayjipvy"
},
"stable": {
"version": [
@@ -93174,11 +93701,11 @@
"repo": "jgkamat/rmsbolt",
"unstable": {
"version": [
- 20210824,
- 110
+ 20210920,
+ 1617
],
- "commit": "9b1a5abbdf461e6d4bfee50f71e3c85d00da1c0c",
- "sha256": "1lmddhaabxq8kzyb54d944xwmdkcb9a6s4gi5wn3dp7sld7yvn4a"
+ "commit": "54bdd5090e0e3ae907d3f9075eb3a3fab0ba497a",
+ "sha256": "0i11kmqnbb201x9gmai279lni7csgq6isi1klpzch2c6y6pw01n0"
}
},
{
@@ -93189,14 +93716,14 @@
"repo": "dgutov/robe",
"unstable": {
"version": [
- 20210818,
- 2338
+ 20210906,
+ 2250
],
"deps": [
"inf-ruby"
],
- "commit": "9e3805c5c7fadcba0da31a59985a8daeeb8a7b0d",
- "sha256": "1bmp317cacl5hmmr5rm7jimxw4k0ggrz80c0vfygb5fx02s5jy0w"
+ "commit": "fd972e912d0c6c310acb2d057da1be1149937d0e",
+ "sha256": "015mciv5d9dap7h0gnjm93fr4jx46dsm1rkp84x8kflmw747g1yk"
},
"stable": {
"version": [
@@ -93428,6 +93955,24 @@
"sha256": "0hrn5n7aaymwimk511kjij44vqaxbmhly1gwmlmsrnbvvma7f2mp"
}
},
+ {
+ "ename": "rsync-mode",
+ "commit": "3571304cfc14998f72c39067dfbbe879721332d3",
+ "sha256": "10mqm2dmmpl9sz8r5x9qzipbbj8smk40iim2ai2xb9y11854i6wk",
+ "fetcher": "github",
+ "repo": "r-zip/rsync-mode",
+ "unstable": {
+ "version": [
+ 20210911,
+ 0
+ ],
+ "deps": [
+ "spinner"
+ ],
+ "commit": "2bc76aa8c2d82bb08ef70e23813a653d66bf3195",
+ "sha256": "0yy0d5pwy61ybrpblljk4z9qwyii0jcgxgv1y6sckai2qr5dia2x"
+ }
+ },
{
"ename": "rtags",
"commit": "3dea16daf0d72188c8b4043534f0833fe9b04e07",
@@ -93439,8 +93984,8 @@
20210313,
1541
],
- "commit": "3a057f127b931c683288f8731f05ba5e2aab4133",
- "sha256": "1brf05grh0xdcjllaiixpjxmcg2j130gcrxkqm5v4ryb1w9fki7g"
+ "commit": "cdff9b47fc17710aad7815652490c3c620b5e792",
+ "sha256": "0mrb2dayd8ls56cjlp63315ai0ds09d4qsajgv5kks2gqqxbkrjb"
},
"stable": {
"version": [
@@ -93465,8 +94010,8 @@
"deps": [
"rtags"
],
- "commit": "3a057f127b931c683288f8731f05ba5e2aab4133",
- "sha256": "1brf05grh0xdcjllaiixpjxmcg2j130gcrxkqm5v4ryb1w9fki7g"
+ "commit": "cdff9b47fc17710aad7815652490c3c620b5e792",
+ "sha256": "0mrb2dayd8ls56cjlp63315ai0ds09d4qsajgv5kks2gqqxbkrjb"
},
"stable": {
"version": [
@@ -93861,11 +94406,11 @@
"repo": "ideasman42/emacs-run-stuff",
"unstable": {
"version": [
- 20210522,
- 243
+ 20211007,
+ 304
],
- "commit": "767eea8928b92da032aca7c8a429b1cced46781d",
- "sha256": "0pdjhvma0hsd8slz240bavpyzvn9mdna7lsrd1ddw3nf8xjibczq"
+ "commit": "db66c1ca0f6a090f8c9ae17f80f99c878047778e",
+ "sha256": "1kfnk3pa3p50nfylhxhcngxa4n8ilqwna1k179w4abmnsm0r4xz8"
}
},
{
@@ -93999,8 +94544,8 @@
"repo": "brotzeit/rustic",
"unstable": {
"version": [
- 20210805,
- 1755
+ 20211014,
+ 2241
],
"deps": [
"dash",
@@ -94013,8 +94558,8 @@
"spinner",
"xterm-color"
],
- "commit": "1941f52d34e7e4752e1e465e5636b21ef7ad42b9",
- "sha256": "16vis13axbk9d4pnr7588wx527hsr6kcbjxnppc23wpd54j7sgh2"
+ "commit": "4f25042b12a782c0acd87fc436de2a1a9140322e",
+ "sha256": "1aq79dijx62y1h8g5kgdbi5xh4w6wdq47m6g5w64c0rr2igziixg"
}
},
{
@@ -94452,8 +94997,8 @@
"deps": [
"cider"
],
- "commit": "c813d94ee8d0a85dd33d0c5dbae832c24cf37e4f",
- "sha256": "0r0c6h7nikb4181a06bs88sqnqa68jw2f550q2zz34khl7zpr2s6"
+ "commit": "614d44b4abb49d0cc3fdd40580d30b9d572d34b2",
+ "sha256": "03wh1kr9yhcagympbd7h3qgrs7qlycd68b0a6nswva48hdc4ay89"
},
"stable": {
"version": [
@@ -94503,8 +95048,8 @@
20200830,
301
],
- "commit": "4a02387d997b0b4d20b24e9a17a68270692219ad",
- "sha256": "1k2382v1srcx7qc4rqxghqd87lx7c6jrls7wxgqq1warvklxfx87"
+ "commit": "dd7f6c0256ccfbd1e6efa6c06b9a12ef3565c29c",
+ "sha256": "0b5rkj75yxhcdbl7zy008g1n7lwivpnaz78434wb1ljn3l0s56yi"
}
},
{
@@ -94887,11 +95432,11 @@
"repo": "ideasman42/emacs-scroll-on-drag",
"unstable": {
"version": [
- 20210418,
- 1318
+ 20211006,
+ 1410
],
- "commit": "157637ba6b6cbe7a21c57f9eefb8a94fffa0085e",
- "sha256": "195ckjmh65z4qg1afs5acz66r6xvc2g91mfnncz12kv7p8bxwrxx"
+ "commit": "6d62a239a9a6295c9c519827019cde8c6c3658fa",
+ "sha256": "1q2hxnsd3an7v2411f86hsgl31m89aybzd7cb6d0x2s32zaqa9ql"
}
},
{
@@ -94902,11 +95447,11 @@
"repo": "ideasman42/emacs-scroll-on-jump",
"unstable": {
"version": [
- 20210426,
- 1226
+ 20211006,
+ 1416
],
- "commit": "30dc5f5e50fa702eb65756304f0fe406daec2397",
- "sha256": "02w52rcs8gkf58yig55wn6198b7g6zy6ppp5mjh7k1l07cf2kmay"
+ "commit": "a2d6996a36ee2d3d4d4426d1bea60b6717ded10d",
+ "sha256": "0ixjwi3m0dmsivdqfm1bcs7rbp1cw0fhw4hgj4ym49p1acjhha5f"
}
},
{
@@ -95003,11 +95548,20 @@
"repo": "t-e-r-m/sculpture-themes",
"unstable": {
"version": [
- 20210530,
- 624
+ 20210828,
+ 1634
+ ],
+ "commit": "cce59d2bcc48d622f3978c583108cf853f21789c",
+ "sha256": "0f8aqq026j0wxkgs0p3yjq3r1jd30lk79199wrdk58x9120pp6z6"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 2,
+ 2
],
- "commit": "1da2b3501f3732b4a58d28b502e356226a43a96f",
- "sha256": "198rjkyv876h7mbs73h8dq4lx5xhl66p7xrpvb23v0vk4vw0q5vz"
+ "commit": "57fb0022daf5ca753dc77ee9178267c710c318db",
+ "sha256": "01xw04v22dh60w49i8h72wa2hvhwpqgriv0chy90m8vmn2fz40wz"
}
},
{
@@ -95084,8 +95638,8 @@
"dash",
"f"
],
- "commit": "46eefd5b3f4a6f24b2f88c8aa18cce0abb32edb1",
- "sha256": "0fi04v84gp74xr84sh7blbc5s93xxb6apsrdh8zlc9dvwkkh5gza"
+ "commit": "137c5791fb5a307192138a6d7c62340253bb4521",
+ "sha256": "0i6k8nlvacnpfq9cj42crs2h6iqgsfnkm73f8dhc8nn9lyz6chf4"
},
"stable": {
"version": [
@@ -95530,15 +96084,15 @@
"repo": "twlz0ne/separedit.el",
"unstable": {
"version": [
- 20210630,
- 1805
+ 20210930,
+ 1319
],
"deps": [
"dash",
"edit-indirect"
],
- "commit": "4fbbd85c0f809593f6d26edf1388ff059f77e82f",
- "sha256": "0jyz0cz1c6bimkd48iv09lgmzgbb63v5dybcgrjcmcg630c8yh87"
+ "commit": "62c037e2ab1bfcce79ea3316b2fb70ffff291b3d",
+ "sha256": "0a82mds1l7hnfkifirjq6mp2cdfdfkaxvz6dw4i8sqzygw1dn7dl"
},
"stable": {
"version": [
@@ -95562,11 +96116,11 @@
"repo": "brannala/sequed",
"unstable": {
"version": [
- 20210417,
- 28
+ 20210908,
+ 651
],
- "commit": "b28e20bf3e0ec7c56c705632e38ab842083d9c49",
- "sha256": "09bw3kjr32z8hlhrczl8i3h4yavdcmfx6bk7qxsyhn1f0vmskh03"
+ "commit": "c78ef34da948576290978d876b776c21f8832136",
+ "sha256": "1g11hkh3n74f7asgxjpq8isbvghwd82n6rjpjzcvrrwmkrgkhxam"
}
},
{
@@ -95735,11 +96289,11 @@
"repo": "vspinu/sesman",
"unstable": {
"version": [
- 20190909,
- 1754
+ 20210901,
+ 1134
],
- "commit": "edee869c209c016e5f0c5cbb8abb9f3ccd2d1e05",
- "sha256": "16d4kkfmrzc4d0smk9m759mv11bvicixrvwh1za7c6avscg9lym2"
+ "commit": "e0f555f963c9f02f8e4a50e06fc353eb4c15ee77",
+ "sha256": "1xczx6yavpqjx6sjhfnaw7ls5rh54zq8m7y4jidgx4rdz8y28b0a"
},
"stable": {
"version": [
@@ -95783,14 +96337,14 @@
"url": "https://codeberg.org/FelipeLema/session-async.el.git",
"unstable": {
"version": [
- 20210817,
- 2218
+ 20210902,
+ 1533
],
"deps": [
"jsonrpc"
],
- "commit": "e2ff39bdd60da67214d07900582b1049f74d03fc",
- "sha256": "0b05smmgcxn5144wcsxlhi4bpliv9ffk21m02fh3l32mc5h24b30"
+ "commit": "32a36841fbb3c864776a3a1ac08bb94d44ca10b3",
+ "sha256": "1r5b05dvyayj1165w5n7vshkgh3nvfga6xv61bdpvrfpy2xs6y6b"
}
},
{
@@ -96382,11 +96936,11 @@
"repo": "emacs-w3m/emacs-w3m",
"unstable": {
"version": [
- 20210825,
- 707
+ 20210924,
+ 445
],
- "commit": "d7166799bd93cf90f77cb9e4c59cb691bb3a1b8c",
- "sha256": "1ins9lykfycv5nrm4lz66cfm2ny6mc338pblyw6jbxmnx7q300xv"
+ "commit": "c088fe627f12597726dfc2062454e2e7bd99798a",
+ "sha256": "0bhvhhqs55nh1qb212zmmxw76l22xd830pvw0n9wihv02yrg7kim"
}
},
{
@@ -96461,8 +97015,8 @@
20210715,
1227
],
- "commit": "28dc1d6faf21efbc49436b4458821a2d46e38ffe",
- "sha256": "002vyik2nyqcvrf6d0qfbxc9bs95bc74crmyn9havlr50bw52wlc"
+ "commit": "83b9465a3081436df69afc03f9a4f1debdf57882",
+ "sha256": "1qy7ld64qcj4i8c0v6ddp88287gkm2rn6s696bwfgch7ddviya0q"
},
"stable": {
"version": [
@@ -96572,15 +97126,15 @@
"repo": "chenyanming/shrface",
"unstable": {
"version": [
- 20210506,
- 358
+ 20210829,
+ 1013
],
"deps": [
"language-detection",
"org"
],
- "commit": "935cfc793c7380732915c88302c06271d9fc5cee",
- "sha256": "0i4qsz59598cd707fm7cjqvczwa6fmgi6fjyknn2wm58hh3yjldi"
+ "commit": "b8a23e097b25d6c7754f9aaf4de89259f8a0b17d",
+ "sha256": "0krd112xglcvkgjl0dj6z4h9gwzh99avh10hlp4idcsm8b7hgdc9"
},
"stable": {
"version": [
@@ -96827,6 +97381,21 @@
"sha256": "0jsfa5dfs0kl9c7pjxi1niq1mknsxnqm9gs18l0lb9ipbzb949sr"
}
},
+ {
+ "ename": "sidecar-locals",
+ "commit": "223bf20a37b48516b6ec9886c67660151ab37da6",
+ "sha256": "1lw4s749ril3mfbjdvns2myd5d8jf5dhzyywmk18bp7wb5cnmjbg",
+ "fetcher": "gitlab",
+ "repo": "ideasman42/emacs-sidecar-locals",
+ "unstable": {
+ "version": [
+ 20211006,
+ 1413
+ ],
+ "commit": "ee6b399ebda994b9ea6db095947386e3b7f063f7",
+ "sha256": "0avinj829gm7hbxljk8kys2abywrzw5w3li2kp0dwbda1gf8832c"
+ }
+ },
{
"ename": "sift",
"commit": "855ea20024b606314f8590129259747cac0bcc97",
@@ -97039,8 +97608,8 @@
"deps": [
"cl-lib"
],
- "commit": "2281065d00ff8f78a03c1a66fc168fdb198f3d89",
- "sha256": "19x12bw75sizc8b04i930zv5f5jypvmhw45frb0z79m7rw41pbs4"
+ "commit": "7eec13672c2b6d0226d56de8b8b1e12a1f78aa57",
+ "sha256": "03mxy2f4i8pjmb1d9s6llaa4pmzrsigxaf1srfdwzc8ccaj1qi5n"
}
},
{
@@ -97240,11 +97809,11 @@
"repo": "dawranliou/sketch-themes",
"unstable": {
"version": [
- 20210719,
- 2212
+ 20210826,
+ 1816
],
- "commit": "8c4b4ef49fbb059ad00ab9fb76f22c2cdd780e7c",
- "sha256": "0lvjdcsx3gvg7lk22l5c8jmdqk9s38figlr5zcbbk7fgjpf4q0p2"
+ "commit": "50fd9fe9caf24f42dc481560e1f41addc3a06dbb",
+ "sha256": "0j26a9q271cd8b6vcxs6iyn3a3xz210lgyszxb39715x8lvwhvvy"
},
"stable": {
"version": [
@@ -97459,15 +98028,15 @@
"repo": "slime/slime",
"unstable": {
"version": [
- 20210802,
- 2231
+ 20211006,
+ 1733
],
"deps": [
"cl-lib",
"macrostep"
],
- "commit": "8aa055b9ad4a8b5f1b24ff29c9c7009e9475ee2c",
- "sha256": "126ji049i5s8dikzyccj12vsqy31qy32g4rns7zx0szqqjiwzin6"
+ "commit": "0470fc048fbd7987a25413be37f4e0efd93c204f",
+ "sha256": "1m46kkqhmgrfydjnh53a3xfk498829m39c60kkafv2qbz4p7mik8"
},
"stable": {
"version": [
@@ -97999,25 +98568,25 @@
"repo": "Malabarba/smart-mode-line",
"unstable": {
"version": [
- 20210428,
- 1641
+ 20211005,
+ 233
],
"deps": [
"rich-minority"
],
- "commit": "744ee1a9479a7901cedd6f0d59e6c6c86b20a78d",
- "sha256": "18bf6f5yd8gympf5z8fs904qnjjdijapxpincjbpiyb2429yb34a"
+ "commit": "abcb0ab6f7110a03d6c7428bae67cf8731496433",
+ "sha256": "1h5w5lrgrmhpaqwppg5msylh7z78mvwy9mm8xiiv8w4wxvncxxl2"
},
"stable": {
"version": [
2,
- 13
+ 14
],
"deps": [
"rich-minority"
],
- "commit": "9a6d821e0c78361ab35c6e403fc582b76558a1a7",
- "sha256": "164b697xm1rwcggv37dymhf3npbyh2bs59z8b6m5x35lb4c3lf8b"
+ "commit": "abcb0ab6f7110a03d6c7428bae67cf8731496433",
+ "sha256": "1h5w5lrgrmhpaqwppg5msylh7z78mvwy9mm8xiiv8w4wxvncxxl2"
}
},
{
@@ -98053,20 +98622,20 @@
"powerline",
"smart-mode-line"
],
- "commit": "744ee1a9479a7901cedd6f0d59e6c6c86b20a78d",
- "sha256": "18bf6f5yd8gympf5z8fs904qnjjdijapxpincjbpiyb2429yb34a"
+ "commit": "abcb0ab6f7110a03d6c7428bae67cf8731496433",
+ "sha256": "1h5w5lrgrmhpaqwppg5msylh7z78mvwy9mm8xiiv8w4wxvncxxl2"
},
"stable": {
"version": [
2,
- 13
+ 14
],
"deps": [
"powerline",
"smart-mode-line"
],
- "commit": "9a6d821e0c78361ab35c6e403fc582b76558a1a7",
- "sha256": "164b697xm1rwcggv37dymhf3npbyh2bs59z8b6m5x35lb4c3lf8b"
+ "commit": "abcb0ab6f7110a03d6c7428bae67cf8731496433",
+ "sha256": "1h5w5lrgrmhpaqwppg5msylh7z78mvwy9mm8xiiv8w4wxvncxxl2"
}
},
{
@@ -98207,15 +98776,15 @@
"repo": "Fuco1/smartparens",
"unstable": {
"version": [
- 20210817,
- 1912
+ 20210904,
+ 1621
],
"deps": [
"cl-lib",
"dash"
],
- "commit": "22794b5b3773504610127c5f011629402dfec20c",
- "sha256": "14b65bfsh4fglm65cvzgp412na8ykqkcwaqg84hvw6j6lqrvpg6v"
+ "commit": "2834c66c4f09778d0c57e99886c329188eed591a",
+ "sha256": "0zy5jamid6qkx8rml9ccqv85f2sr10j1rp3j77acggkf6zf3c096"
},
"stable": {
"version": [
@@ -98343,8 +98912,8 @@
20200323,
533
],
- "commit": "0cfe0ff083d55bb90c6dfaf1dc930500099c4d5c",
- "sha256": "0vz4fnni2qjghmy040m37xw1p5rlmjljgmzvwrz3gh896kwk48b5"
+ "commit": "afe34e7e3ce811d44880bca11f9fe1e3d91e272f",
+ "sha256": "1yy2lqvn67dr6jhbyqv3zd93rmpw7bggklb1hbhp8spagflvj6li"
},
"stable": {
"version": [
@@ -98719,15 +99288,15 @@
"repo": "SpringHan/sniem",
"unstable": {
"version": [
- 20210825,
- 1510
+ 20210826,
+ 832
],
"deps": [
"dash",
"s"
],
- "commit": "212e7c1492a9d208ece2a85e64bd352e9cd53c89",
- "sha256": "13afcxy5vs38xzx2mi5xpszwiabyc5hiwq1r9vy6jx6pgsb7pkhc"
+ "commit": "4d921b6e9c98fa208578b2b409e627580d1ab8c2",
+ "sha256": "0bh9brzqsvm6dj961smp4592bgjrhhq600qbqbgps8rnbbcjnl3r"
}
},
{
@@ -98814,6 +99383,36 @@
"sha256": "1y1z4lyv1b56sfimfpxzix5zww97hbci8a9q4cphx65hwkgbfa0d"
}
},
+ {
+ "ename": "soccer",
+ "commit": "5111fad0a1dfc8b1e2254ace7907e5ce1be038ca",
+ "sha256": "1gzfqfgzfwkj85mxzrz83i13v6jrqldcbzcwfcz6bg49agh244bk",
+ "fetcher": "github",
+ "repo": "md-arif-shaikh/soccer",
+ "unstable": {
+ "version": [
+ 20211015,
+ 934
+ ],
+ "deps": [
+ "dash"
+ ],
+ "commit": "8547a36789be66ac32caf4c80a330e7bdf9bb5dd",
+ "sha256": "0kddpbha5mlih9rmygkxqm8gs9719ics749p18p2np02pvka3x06"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 1,
+ 0
+ ],
+ "deps": [
+ "dash"
+ ],
+ "commit": "c486cad32f07db9bd55af08c6b4a6bb0cd481d67",
+ "sha256": "0gsd4mi1nddxn4z9jayi0fhn3bvk9rpj6615ssb5426k0zfhwjsz"
+ }
+ },
{
"ename": "socyl",
"commit": "774b3006f5b6b781594257f1d9819068becbbcc1",
@@ -98903,26 +99502,26 @@
"repo": "hlissner/emacs-solaire-mode",
"unstable": {
"version": [
- 20210711,
- 2145
+ 20210927,
+ 1622
],
"deps": [
"cl-lib"
],
- "commit": "030964f7c62696c8cfb29125df6e7649d2bf9aeb",
- "sha256": "01c1lkr21y0cd6gixzd38mql89k70jn049jr0xhazgz16cnw1g7j"
+ "commit": "46408f4a105e216c3c2d88659b8b28601d37d80e",
+ "sha256": "0wq5ckwx3wv4c4l8f9hz3ak6v5wy4lg5yh8xlsgn1h1x6yf8afpp"
},
"stable": {
"version": [
2,
0,
- 2
+ 3
],
"deps": [
"cl-lib"
],
- "commit": "030964f7c62696c8cfb29125df6e7649d2bf9aeb",
- "sha256": "01c1lkr21y0cd6gixzd38mql89k70jn049jr0xhazgz16cnw1g7j"
+ "commit": "46408f4a105e216c3c2d88659b8b28601d37d80e",
+ "sha256": "0wq5ckwx3wv4c4l8f9hz3ak6v5wy4lg5yh8xlsgn1h1x6yf8afpp"
}
},
{
@@ -98933,11 +99532,11 @@
"repo": "bbatsov/solarized-emacs",
"unstable": {
"version": [
- 20210823,
- 609
+ 20210827,
+ 859
],
- "commit": "48158887c0a605b7cc1ffdbeb937f182b299f4db",
- "sha256": "1l9ylidix4l8979d4wzvd9fpsxvjxb6nii09s1g90rsli4av8ps2"
+ "commit": "874694ed8569ae98959262cfb0c66a6528a60367",
+ "sha256": "1j6728229rrpamh4nn2v5mmlbb111i6ar7zh0g9d922k7vqr2nam"
},
"stable": {
"version": [
@@ -98969,8 +99568,8 @@
"flycheck",
"solidity-mode"
],
- "commit": "6f7bd1641e5282ec5163188d8b8c2f6dfddc2e36",
- "sha256": "0rkw21pic9nypv7vz06chyn9mjl560a4dayb84gj5w6v8gfznrcw"
+ "commit": "9c77b390eab999e5e54dc5c1068f57201e6628bf",
+ "sha256": "0i6kjvd82bq3djh4makf4czdbmg3sb5q74wbdfhdyikx6kkzfj0m"
},
"stable": {
"version": [
@@ -98994,11 +99593,11 @@
"repo": "ethereum/emacs-solidity",
"unstable": {
"version": [
- 20210717,
- 844
+ 20211004,
+ 1910
],
- "commit": "6f7bd1641e5282ec5163188d8b8c2f6dfddc2e36",
- "sha256": "0rkw21pic9nypv7vz06chyn9mjl560a4dayb84gj5w6v8gfznrcw"
+ "commit": "9c77b390eab999e5e54dc5c1068f57201e6628bf",
+ "sha256": "0i6kjvd82bq3djh4makf4czdbmg3sb5q74wbdfhdyikx6kkzfj0m"
},
"stable": {
"version": [
@@ -99018,20 +99617,20 @@
"repo": "cstby/solo-jazz-emacs-theme",
"unstable": {
"version": [
- 20201106,
- 1640
+ 20210924,
+ 7
],
- "commit": "3a2d1a0b404ba7c765526a1b76e0f1148ed8d0f2",
- "sha256": "00fs6ylz29p7fsqvc7jgdbbsakkkvf27w3cxg0rlja87m7628khs"
+ "commit": "f7b9ff800cef2c17ecaad9556fca2bfd4b6cc13d",
+ "sha256": "109r3fsxl1m7cf95h264ncnz91dmlhq6i15lavvg4j7fj3rmh768"
},
"stable": {
"version": [
0,
- 6,
+ 7,
0
],
- "commit": "16a943f8ea86e0dbf737a8c1e779b3002e6e140b",
- "sha256": "0crfnpxh32lg2f3crv92j81ylc0h15hkhgbyg708wzlv2bjrxibh"
+ "commit": "82e9ab129d9c2949a4d91b81c2235295a8d83cd9",
+ "sha256": "0v3zhjx685ppngb01pd1p2iplafwvy9j60z1hgdrixdm2pji3f8d"
}
},
{
@@ -99494,11 +100093,11 @@
"repo": "nashamri/spacemacs-theme",
"unstable": {
"version": [
- 20210706,
- 1210
+ 20210924,
+ 1220
],
- "commit": "dfe06629f8211ccd9933fc0d457019401ecbe594",
- "sha256": "1apa6bp3lxs6jia37k079yd3qx79wclzh02bf66xpsqkwc2xg5fr"
+ "commit": "e5ed346b9c31f0b43eb359614efd9aa439e1d18d",
+ "sha256": "1d9554sbyg7y2a07dn2v4y8wms60kr1lpdgy4mq7wgm5kxzi8v85"
}
},
{
@@ -99599,11 +100198,11 @@
"repo": "ideasman42/emacs-spatial-navigate",
"unstable": {
"version": [
- 20201115,
- 1006
+ 20211007,
+ 307
],
- "commit": "03bf203854f80b6a98a8098e4aed08f585cb1d71",
- "sha256": "186qn9zbj4izvkhqwygv65rcx050wvi849ffmdw5l7bizd0m0zr6"
+ "commit": "03bc1255dfaa87fb6cb62a850877445bd7a14455",
+ "sha256": "0xyyc89205qc3i9q96jp1in3y3ravcfia9pc5s2smam01kqvipld"
}
},
{
@@ -99614,11 +100213,11 @@
"repo": "condy0919/spdx.el",
"unstable": {
"version": [
- 20210810,
- 1723
+ 20211003,
+ 611
],
- "commit": "1a623ea518c4fa7af37181eb15b8afd6197e3d93",
- "sha256": "05cln85y978sv5fg9mqir14wz3ksyxxcxly8kp1a32mmkj5j1bvi"
+ "commit": "67e276ad37a0cf3754798b436e54792816a6d3f2",
+ "sha256": "02vflf5j1g4f81xywfr9vi5bb3raxpp1az650qin90g8irkjhy4z"
}
},
{
@@ -99745,11 +100344,11 @@
"repo": "ideasman42/emacs-spell-fu",
"unstable": {
"version": [
- 20210814,
- 748
+ 20211008,
+ 106
],
- "commit": "10823ae58f88874aff2a6a35f2da75c8503e726e",
- "sha256": "0s7d1fgjk6cc27y37qlqfcjrrpqa0fxagr92qxzcn0mp2lb7pnhc"
+ "commit": "f38bebefea9d23c2bd4293ecf7100211c1410cd4",
+ "sha256": "0wvg4c1z5y62icagfwl2vb329jprf6si804726mqka92zj4nnn77"
}
},
{
@@ -100333,11 +100932,11 @@
"repo": "srfi-explorations/emacs-srfi",
"unstable": {
"version": [
- 20210825,
- 1823
+ 20210902,
+ 626
],
- "commit": "a6bbe36afa229b88aa5b406b9ef6e6393d9b2d5d",
- "sha256": "102fhdhr3xa9jvdl5i715p07x0lgd3wxkhkh3li91p7gncxhrvyd"
+ "commit": "021239f0e2bcc60257b72916db9cdd110588ec28",
+ "sha256": "0v79z2d9l824j6chsviffdsfb4m9w93kgwcwdaksdb5rsfmryigp"
},
"stable": {
"version": [
@@ -100450,11 +101049,11 @@
"repo": "jhgorrell/ssh-config-mode-el",
"unstable": {
"version": [
- 20210724,
- 951
+ 20211003,
+ 2330
],
- "commit": "2642659aa4cb882d95d84f780e8f8bf5e3a9114b",
- "sha256": "1fivfpadw14cw9f78jpjhn7zl1b9sh3jhh7g8lh7f62kjv2p0a9m"
+ "commit": "d560a0876a93ad4130baf33dae1b9405ad37a405",
+ "sha256": "13wpi70ys43nx0mqiyn9fssf1iccq63wwcy3a6cylm3shlv13dz8"
}
},
{
@@ -100617,8 +101216,8 @@
20210810,
1814
],
- "commit": "c78a04c5cf00320c0e1e6646295e20425537bf9e",
- "sha256": "01ifxzzrn31d1gaq7yr88dciwylhy5q6lz4kvwkq6smqmvp3x80w"
+ "commit": "aa5bac257ebefd91f4b5dd787a835407bddd6fb2",
+ "sha256": "1zpvbsrmcz9zhlchfsbsfbi3g9z1wxhkhbpg2zp2dpk4czqy76lk"
}
},
{
@@ -100761,16 +101360,16 @@
20200606,
1308
],
- "commit": "c9761ab0439ed1430b635c97cbf7fae7d3019a15",
- "sha256": "07g40r27s7qv68qzrplsvjdkrli7jibmak1a7fnbhsgngq9q9ily"
+ "commit": "ef629fd74c5d963f5b68507179896169a34a078e",
+ "sha256": "1wnx8s8cabg8zja0w0cvk6jfsqbkgbszdx624v1bl6g5iiv2im1j"
},
"stable": {
"version": [
1,
- 1
+ 3
],
- "commit": "68f949852ab7f0e8bb52c6a6fc2ece2a74ded824",
- "sha256": "09d69q9m4k4pwhl2k5r7d7lqd4cj0qf22cys94zjkrsyw5gggd36"
+ "commit": "05900351a9ec7b774931a2a59c15c9f0b6d443f6",
+ "sha256": "18gb1f9ndi64f5zyxrgy9wfjgbn0s12wv6b3817xnj61crhvqwd0"
}
},
{
@@ -100811,11 +101410,11 @@
"repo": "motform/stimmung-themes",
"unstable": {
"version": [
- 20210610,
- 1256
+ 20210920,
+ 1345
],
- "commit": "e69b7532ceb27126fb9516c9a8aff652b032088a",
- "sha256": "1jcqcf34d55r1z786gpkj7jwap646izk498pn2dia7skiwwljx5b"
+ "commit": "caf1c099ee5da59c6686af99c36eb846ebb7a610",
+ "sha256": "112l5g5s71r8krbcx03xgm18v5lm3r4dz10a3qss27s2m6a1y8i5"
}
},
{
@@ -100870,12 +101469,36 @@
"sha256": "1jd930nc2g562n4cqq1ppl2d8dq7bxkr3fh9f0gjms7bcm106kz9"
}
},
+ {
+ "ename": "streak",
+ "commit": "deb209280c77f135fc2f2544c35220160c516f72",
+ "sha256": "0941kfch25qn2579zjbcrhp6s92p3sh447hzswa53w8yz95hgjy5",
+ "fetcher": "github",
+ "repo": "fosskers/streak",
+ "unstable": {
+ "version": [
+ 20211004,
+ 2025
+ ],
+ "commit": "32d6e3814df50284466d6d3a69f7f236e3746699",
+ "sha256": "0a3cn9kqkln4nxln78wjzr2zph3aa5y3hp0kxymniqz26dga29cn"
+ },
+ "stable": {
+ "version": [
+ 3,
+ 0,
+ 0
+ ],
+ "commit": "61723ebe656bc681fc87ad6d86fb9dfca2b2730a",
+ "sha256": "1vn6a9ss9v85ihhch64nm3w151qhq93105lqsi4444n3armsp5ba"
+ }
+ },
{
"ename": "streamlink",
- "commit": "e6674aefa0082fc5754c888538e447037f3618f8",
- "sha256": "17r4dkpap72yz62nk9pr3l48qgpm5hidffmdfnbx7bwl07wzk6cb",
+ "commit": "9d776fb6dfb17d55235b6d7fefed894756fde794",
+ "sha256": "1zz05mhp48i3q3ai0925b7sbrriyfqrr73bngiargydx3753kizv",
"fetcher": "github",
- "repo": "benediktbroich/streamlink",
+ "repo": "BenediktBroich/streamlink",
"unstable": {
"version": [
20210811,
@@ -100944,20 +101567,20 @@
"repo": "akicho8/string-inflection",
"unstable": {
"version": [
- 20210729,
- 658
+ 20210918,
+ 419
],
- "commit": "73b9a35e80e09ba744f2c364db4291f2d6f0a17a",
- "sha256": "0g4lm384380q03pdspqzv8rb2gppb77m354r0xzw71340w8xh3hd"
+ "commit": "fd7926ac17293e9124b31f706a4e8f38f6a9b855",
+ "sha256": "0wskrp3v5gi3b3s9471ijkdncnfd888qd50c72rv2p8846174paj"
},
"stable": {
"version": [
1,
0,
- 14
+ 16
],
- "commit": "73b9a35e80e09ba744f2c364db4291f2d6f0a17a",
- "sha256": "0g4lm384380q03pdspqzv8rb2gppb77m354r0xzw71340w8xh3hd"
+ "commit": "fd7926ac17293e9124b31f706a4e8f38f6a9b855",
+ "sha256": "0wskrp3v5gi3b3s9471ijkdncnfd888qd50c72rv2p8846174paj"
}
},
{
@@ -101107,14 +101730,11 @@
"repo": "brianc/jade-mode",
"unstable": {
"version": [
- 20150313,
- 1512
- ],
- "deps": [
- "sws-mode"
+ 20210908,
+ 2121
],
- "commit": "4dbde92542fc7ad61df38776980905a4721d642e",
- "sha256": "0p6pfxbl98kkwa3lgx82h967w4p0wbd9s96gvs72d74ryan07ij1"
+ "commit": "111460b056838854e470a6383041a99f843b93ee",
+ "sha256": "1v6j0658dch5v0ddkkgw99194jlh28p5cjvkcp6cabwjb7s4pvim"
},
"stable": {
"version": [
@@ -101251,14 +101871,26 @@
"url": "https://git.sr.ht/~amk/subsonic.el",
"unstable": {
"version": [
- 20210808,
- 1328
+ 20211008,
+ 1439
+ ],
+ "deps": [
+ "transient"
+ ],
+ "commit": "de3d4539dd8dd33c9b9d1a982cfc47c452c612de",
+ "sha256": "0xhggrjq3f9wq5aq69ib2bny36295aw678avgdib2vp1bf9xd73n"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 2,
+ 0
],
"deps": [
"transient"
],
- "commit": "42c2e0b5b81c78741c069c8cfdb9e5d494157dc8",
- "sha256": "08q6sccdkad4y3vv9h8bxkdn66dc4b2wxzhf7z87i70v7bw1xnwa"
+ "commit": "5740a2b96c827c499f3ac506f98ec5f9ed31ea37",
+ "sha256": "0qr96a86zj6kipix6p831hj0nkcyj3kvaggyy2zsmvhx7wjavadf"
}
},
{
@@ -101549,11 +102181,11 @@
"repo": "leafOfTree/svelte-mode",
"unstable": {
"version": [
- 20210222,
- 1037
+ 20211011,
+ 607
],
- "commit": "839f579fa881467149e77d0e096a4a4a6c5d9878",
- "sha256": "18hhl6x3xg5lykfq01iw2p03lj248x7rqaygq8nj64hbw46nzn0v"
+ "commit": "282acf8c01c37899955afe3dc32d9cbfb18065e2",
+ "sha256": "1kjnc7nl5kshy8wjppvjzavv94h4ynwas610g1xqbzfbpirsnz2p"
}
},
{
@@ -101802,26 +102434,26 @@
"repo": "swift-emacs/swift-mode",
"unstable": {
"version": [
- 20210810,
- 757
+ 20211009,
+ 635
],
"deps": [
"seq"
],
- "commit": "800efe2910e0a8517ac720c8bd0e0714fef142eb",
- "sha256": "10b475axhqdqighfisnq505m2lk66bpcq1qgry0fd6iq4m8jkia6"
+ "commit": "babe36ca93e72c34b2a3856d8fac7e3fc9884f21",
+ "sha256": "133r7isdnz7r7y7pbf239928kjmk9ps2i8zqnxnma9zcmncw88ld"
},
"stable": {
"version": [
8,
- 3,
- 0
+ 4,
+ 1
],
"deps": [
"seq"
],
- "commit": "1b47a09f1c0e15c543e0551e7f1e643f437e7711",
- "sha256": "1f12rmsxzjz0ixrzvi37gj6kqkjp08mym0qvnxdmqiackagxp2rq"
+ "commit": "babe36ca93e72c34b2a3856d8fac7e3fc9884f21",
+ "sha256": "133r7isdnz7r7y7pbf239928kjmk9ps2i8zqnxnma9zcmncw88ld"
}
},
{
@@ -101886,14 +102518,14 @@
"repo": "abo-abo/swiper",
"unstable": {
"version": [
- 20210521,
- 1319
+ 20210919,
+ 1221
],
"deps": [
"ivy"
],
- "commit": "7cdde66c95d5205287e88010bc7a3a978c931db0",
- "sha256": "0pvgh4krym43vcyiq4bsjl63gg795jlqh6kaa6llsv1awvywfqww"
+ "commit": "1c6b3da377a840e898b14020133f59fca9ceea1c",
+ "sha256": "1w8x2qk8lafnn6ksv1anixayyl476y1j6hp2amfnqmdkh0vnh63v"
},
"stable": {
"version": [
@@ -102044,11 +102676,11 @@
"repo": "brianc/jade-mode",
"unstable": {
"version": [
- 20150317,
- 1945
+ 20210908,
+ 2121
],
- "commit": "4dbde92542fc7ad61df38776980905a4721d642e",
- "sha256": "0p6pfxbl98kkwa3lgx82h967w4p0wbd9s96gvs72d74ryan07ij1"
+ "commit": "111460b056838854e470a6383041a99f843b93ee",
+ "sha256": "1v6j0658dch5v0ddkkgw99194jlh28p5cjvkcp6cabwjb7s4pvim"
},
"stable": {
"version": [
@@ -102133,16 +102765,16 @@
"repo": "bgwines/symbol-navigation-hydra",
"unstable": {
"version": [
- 20201223,
- 2054
+ 20211010,
+ 2353
],
"deps": [
"auto-highlight-symbol",
"hydra",
"multiple-cursors"
],
- "commit": "ed65cd9c22550e59f723d7fc36ecc313aedc83da",
- "sha256": "19a5l2g5j58rfyws78jdnfd4g3dbc5chhq59xps7kghbzm0nmvvv"
+ "commit": "b3b1257e676514d93cd2d71a10a485bf00b5375f",
+ "sha256": "0ic6i589y8g41mpi9vzsd76rzcskxaxicfwwpw8d9g44p8zfghfz"
}
},
{
@@ -102153,14 +102785,14 @@
"repo": "wolray/symbol-overlay",
"unstable": {
"version": [
- 20210422,
- 2110
+ 20210906,
+ 614
],
"deps": [
"seq"
],
- "commit": "4231a36e39b7393d639e9cdef19f311d780deeab",
- "sha256": "0q2x39s3g5kmjf5q47qpqcnzdscnj112dfd7qqb2z0iq0sh2nbrd"
+ "commit": "8629a4ddbe95d42c39ce817d724877deb1984ba1",
+ "sha256": "1g8zi7qky5vfrl2c2wvdcr0mrm8xkkxl3cbhrkh95bhq1vypz5p8"
},
"stable": {
"version": [
@@ -102223,8 +102855,8 @@
"repo": "countvajhula/symex.el",
"unstable": {
"version": [
- 20210820,
- 2202
+ 20211006,
+ 427
],
"deps": [
"evil",
@@ -102236,8 +102868,8 @@
"seq",
"undo-tree"
],
- "commit": "4561214b453e551253e9a273ef57c84c12c7cd8b",
- "sha256": "1adzvdp54l1d6awcbfrbqx41kh40lqk5009h1gpj315l3zq3vhpx"
+ "commit": "71b9ecd2802521f3d48808892ea3f6b5354f8151",
+ "sha256": "0hikl7i4p1g86awdr67pjxfwskifcvcz5b36ahrap7f2fhr10s6q"
},
"stable": {
"version": [
@@ -102470,16 +103102,16 @@
"repo": "vapniks/syslog-mode",
"unstable": {
"version": [
- 20210825,
- 116
+ 20210910,
+ 1952
],
"deps": [
"hide-lines",
"hsluv",
"ov"
],
- "commit": "7773a8488db88424e5e86cf4268c9bbe0b94085a",
- "sha256": "0cph14cc3dzv3dp8aq6ifs3m92rq8jr4b2rpdjgc8pbcjrs9hvyb"
+ "commit": "072664784dae41a573a9de8d178bf577b7526b82",
+ "sha256": "04ddpn6il6mh1f992x3fxl6yljryghi51q4845lx08cbc74wnfz0"
},
"stable": {
"version": [
@@ -102511,10 +103143,10 @@
"stable": {
"version": [
1,
- 0,
- 11
+ 1,
+ 0
],
- "commit": "3ad6d52072f0bd043dced40ba7bd422fd9c00a7b",
+ "commit": "05add2fe051846e2ecb3c23ef22c41ecc59a1f36",
"sha256": "0n4qr5qqy6hbc1hg4wi1d2ckdl870v5mf9xhv5m9vrlwaphvnnjr"
}
},
@@ -102616,11 +103248,11 @@
"repo": "fritzgrabo/tab-bar-echo-area",
"unstable": {
"version": [
- 20210525,
- 2204
+ 20211013,
+ 1942
],
- "commit": "2196e76cb6f11e6ae0f35ac8259dfb755ea60336",
- "sha256": "1xifgdwqpf0ccmdxhdr9zxzqsa769984xs4343v657171f53flz4"
+ "commit": "d0d51ecbc5929eb7752b387c5bdfe4d879e78224",
+ "sha256": "1p3ikc776mr18r5py0sw098ahsj9w45ikqns4wbgr2fsjmgcgksr"
}
},
{
@@ -102631,14 +103263,14 @@
"repo": "fritzgrabo/tab-bar-groups",
"unstable": {
"version": [
- 20210615,
- 1915
+ 20211013,
+ 2012
],
"deps": [
"s"
],
- "commit": "a2e456097322d0b1cfdb7aa37c32a628bcca3bf0",
- "sha256": "19ni9bl34hzmqsb9wiznbghw67m7g9zz3z5m3wgndn9zsj37ccka"
+ "commit": "a0389d87d2e793055dd74ae85b4593aa1d2720fd",
+ "sha256": "040nl3yn0vfhk5nbll2jpxz7qcjf8kdlkzqa44lz0gvc6d9414yv"
}
},
{
@@ -102649,11 +103281,11 @@
"repo": "fritzgrabo/tab-bar-lost-commands",
"unstable": {
"version": [
- 20210215,
- 1412
+ 20211013,
+ 1945
],
- "commit": "e587cdb5d6d2c8d509c43db4b5bb285415916c4e",
- "sha256": "1bnpcfh0lzjz4f1lbj2jqz7ly6d3bv8jhi4lxr5pj3g21437xf4y"
+ "commit": "989e03dc3d1057264b21b9a5d241fcba86cd297a",
+ "sha256": "047261v8nvi67h9yils2f1jilmbxc55n31v98qlqxmf56jkzz158"
}
},
{
@@ -102912,8 +103544,8 @@
20210415,
1322
],
- "commit": "daf9920a8ecb230045f4b07834b3a6f45676f1ce",
- "sha256": "0lh90x5hqxm3pyqiy4qb10nxs5ga7w4hc7k6xkax41yhi41bgd58"
+ "commit": "5eacb6c1c879038c4448c10b3df9a73d95a48fc3",
+ "sha256": "0k7y7lxdgj73mmrs228l7m9b9fdzjjw8knqzwhkvqb5bb93ii6fm"
},
"stable": {
"version": [
@@ -103100,28 +103732,28 @@
"repo": "zevlg/telega.el",
"unstable": {
"version": [
- 20210825,
- 2144
+ 20211014,
+ 927
],
"deps": [
"rainbow-identifiers",
"visual-fill-column"
],
- "commit": "08d652fd85fdb799e5b68caddc64bbbdcb08205b",
- "sha256": "1f5vhxw34qbgxc99zz7m3lml18xw59qdrgdfmxa79nxfrqczabcd"
+ "commit": "744d3698dd27a58822b2edcd4fe9689a701d0c37",
+ "sha256": "1hciz2anrjjrm1nw1j657zgglg4ggf9pkzby96a41s7l6d1qi10a"
},
"stable": {
"version": [
0,
7,
- 30
+ 31
],
"deps": [
"rainbow-identifiers",
"visual-fill-column"
],
- "commit": "26fee82fac70d55ade55381b475e865d99dde496",
- "sha256": "1g29v5fgkqx43wsvh1npx0g3hj00n37lxgvxjvy85fs4h9226gl9"
+ "commit": "c07f310552643dfeb6bed98860bd63b965baf262",
+ "sha256": "05j82796s4k3yr0igl6hir3p8qj0cw66vvhbpbcy28d6q9v9vjjz"
}
},
{
@@ -103203,14 +103835,14 @@
"repo": "lassik/emacs-teletext-yle",
"unstable": {
"version": [
- 20201019,
- 756
+ 20210927,
+ 825
],
"deps": [
"teletext"
],
- "commit": "c5ba744191eb35b6877863b31bc00e6e9a264927",
- "sha256": "11rck07k0fz1rflzwb8b9h7kc7xsgq6q8nhxfnb0pswd58dnrxiv"
+ "commit": "9c8f4b503923c4ec688e2dcc9dff62d71bc55933",
+ "sha256": "0j0qd75nz0b97pg7x58cf6cxanmwkbyam6raq6zwdlvllwmsq6qd"
}
},
{
@@ -103239,11 +103871,11 @@
"repo": "clarete/templatel",
"unstable": {
"version": [
- 20210802,
- 249
+ 20210902,
+ 228
],
- "commit": "23c9bce8c1e3866e7cdbe9a69777648e13707357",
- "sha256": "0rn8d0zn3dlqfvr5m5v687bw96mnv02v6ngq5jvljgykyawh3h58"
+ "commit": "e1ccb88cdc4b482b078276960f810b82ba3b7847",
+ "sha256": "0wy53y7p6i0m9az0ca4zqrqfq40cgn202pilsawdy8rlpj9y619p"
},
"stable": {
"version": [
@@ -103699,20 +104331,20 @@
"repo": "TxGVNN/terraform-doc",
"unstable": {
"version": [
- 20210514,
- 737
+ 20211003,
+ 1333
],
- "commit": "5d35efbf2c1619d9385ef00ed74e9de1ea7cf32d",
- "sha256": "11df5606hiqgglxi6xrrljwh70h2wgkib447ggvs2r3f2jayilr4"
+ "commit": "16179e57ce290190c222b27961900657a1981330",
+ "sha256": "1p77m2babfw544cl9vpfjlnmga79hxfwv13hhczywapfqxzki8y6"
},
"stable": {
"version": [
1,
- 1,
- 1
+ 2,
+ 0
],
- "commit": "5d35efbf2c1619d9385ef00ed74e9de1ea7cf32d",
- "sha256": "11df5606hiqgglxi6xrrljwh70h2wgkib447ggvs2r3f2jayilr4"
+ "commit": "16179e57ce290190c222b27961900657a1981330",
+ "sha256": "1p77m2babfw544cl9vpfjlnmga79hxfwv13hhczywapfqxzki8y6"
}
},
{
@@ -103884,6 +104516,21 @@
"sha256": "18ahbksxg1i3gvsayx2mhkjd1p75c60x5f8d9a3abm4h50gs5mvf"
}
},
+ {
+ "ename": "text-categories",
+ "commit": "f987f609e43adf4df3f0883343edb901a885707f",
+ "sha256": "0znhdi2cqmxp4c09insgi49f1sy9qxivq1scfnz9dbrg4i9zhfay",
+ "fetcher": "github",
+ "repo": "Dspil/text-categories",
+ "unstable": {
+ "version": [
+ 20211001,
+ 830
+ ],
+ "commit": "f73b0e63072463c91a75a292fa21d39a9f06b81c",
+ "sha256": "08m24ap72y461zpackcdprh48vivvd75jz85pw0ad51ysvxq0z08"
+ }
+ },
{
"ename": "textile-mode",
"commit": "3ebe5e52bc9bb8875ca390b34ac32eb47f4e1252",
@@ -103892,11 +104539,11 @@
"repo": "juba/textile-mode",
"unstable": {
"version": [
- 20170304,
- 1716
+ 20210912,
+ 906
],
- "commit": "c37aaab809503df008209390e31e19abf4e23630",
- "sha256": "16543im5iymc5hfcix1lglbvpq4v0441vb7sk58nbnffqba83yzy"
+ "commit": "a49d9bf42166584cca395a92311e9d0a199efc46",
+ "sha256": "0b7vbqy2ryp5c0jz7gb5ddpa3mlqmkd7jlf94hdb0d0ffapspqsv"
}
},
{
@@ -104048,14 +104695,14 @@
"repo": "myTerminal/theme-looper",
"unstable": {
"version": [
- 20210727,
- 249
+ 20210827,
+ 424
],
"deps": [
"cl-lib"
],
- "commit": "32ca76dfa8100a2069ca735e28e19ae87c74f956",
- "sha256": "184n2r33d99wmzrnscpl0rqqivmvm17k60gfbrw5yjppq5fl55m3"
+ "commit": "e6e8efd740df0b68db89805ba72492818dba61ab",
+ "sha256": "1cy1s9iyv2c4c2kld42aricar4s5d0irdhsqb8rsbbriif50vpvd"
},
"stable": {
"version": [
@@ -104191,18 +104838,18 @@
20200212,
1903
],
- "commit": "876f7c33782aaac147e88d9063fd286f7a8a46e1",
- "sha256": "1b2kfqkr9ss9lb5133zpp8sz9w7c77mydv1lvb56fi03lms94a5r"
+ "commit": "9e70d608bf5f80e8ec0132953b91b7fa3d30ca26",
+ "sha256": "0x7hsy9v2py8b5i7pn8v5xymgjg8rixkmsxaxvvsbmr771blpkqm"
},
"stable": {
"version": [
2021,
- 8,
- 23,
+ 10,
+ 11,
0
],
- "commit": "a70658fff6a7cc01e323dd7a4a5b7305906796cc",
- "sha256": "04r195apvbp4b0nk4j45pxhyl5dl6hr7x35zji548v0q8dgiv5lm"
+ "commit": "77016aea52af2156be7c8ebde55b821fe46b7849",
+ "sha256": "1lmh733m0anfny0izi2lc5njqaqdvr2z96lqfkyzsf0ln73ipb9b"
}
},
{
@@ -104258,8 +104905,8 @@
"deps": [
"haskell-mode"
],
- "commit": "7142775c2039cae4cde9bdd6f68b3e0cbae2de9d",
- "sha256": "02913lhc08kwx4m0x6hm40bg1yym449y160bndmpfv4n19d92z44"
+ "commit": "5bc0b35756ab14af950aafa5f3d76bae568d3095",
+ "sha256": "13pryqrqk1y9qb5h2pg45hi62w17xnzrlrg471b6ga9r4zwn8vfz"
},
"stable": {
"version": [
@@ -104282,8 +104929,8 @@
"repo": "ananthakumaran/tide",
"unstable": {
"version": [
- 20210517,
- 507
+ 20210930,
+ 356
],
"deps": [
"cl-lib",
@@ -104292,8 +104939,8 @@
"s",
"typescript-mode"
],
- "commit": "1e376e3e9798206ea3e42a5c037a7c00aa64ee00",
- "sha256": "1g5q70j55qbvsl5ix9hsbmf5xllph1ch27miln7j3y5mi56k0lq9"
+ "commit": "28137ed904deb143dba8f8f67660966e11921c6d",
+ "sha256": "1ikvdxjr9kbs0l5hlann34q79r6gr3796rvi2ci2ki50kp69kfbw"
},
"stable": {
"version": [
@@ -104314,17 +104961,17 @@
},
{
"ename": "tikz",
- "commit": "fe4080be1b98c4016360113741a9bb6b3764e872",
- "sha256": "07wbl8aih7p9gzjnljymryrrakq9ffwzd2l73h08hjvrr8ff92m9",
+ "commit": "fcf5b1f01558daa1c178275435bce7a07867c1c1",
+ "sha256": "0zmzfz8hf4vnsqg0rmcjhzpdgibdnbv6pc0y9wr6fzrz5wy660qp",
"fetcher": "github",
"repo": "emiliotorres/tikz",
"unstable": {
"version": [
- 20200728,
- 913
+ 20210927,
+ 1704
],
- "commit": "f1495516657da6dc2296ffb6c38a3bb4acf118ad",
- "sha256": "0w9xff7y6zhb28b1cfbbam9gy7dp11i96yb4rn4lj8h2yry89293"
+ "commit": "f9ea0793affa34be29e1861bfa559fd248b7d22e",
+ "sha256": "03jcj6vkb8i7jqfwyiix5achq5bgwvjz97w2pwr46v3hbrf4r62q"
}
},
{
@@ -104507,19 +105154,19 @@
"repo": "aimebertrand/timu-spacegrey-theme",
"unstable": {
"version": [
- 20210819,
- 2241
+ 20210930,
+ 1521
],
- "commit": "facb4da1a2ee333a3c66f56009c8dec8e9652dab",
- "sha256": "0wpam6kjg53j00g4k4ar0zhf0v0nbpp00klk0cbpv06yr4lvswpc"
+ "commit": "e7655e95ad48418a3784de4e8a7dc45ed69540ed",
+ "sha256": "05bdavm4a1d0p176b8cnipv6byxlchbbp1fcma67ly5px4wxn0ld"
},
"stable": {
"version": [
1,
- 5
+ 6
],
- "commit": "f3243f0d27988eb4c29215dbe07d35f37031114f",
- "sha256": "0wpam6kjg53j00g4k4ar0zhf0v0nbpp00klk0cbpv06yr4lvswpc"
+ "commit": "acb033ab8e3f4ab7899daa7a7fc0d67187b0554e",
+ "sha256": "1mnhymvwcb3dqzpbsa2z70w90zdqrmlwczgf1ql41c2fxw7wzaqa"
}
},
{
@@ -104626,14 +105273,11 @@
"repo": "kuanyui/tldr.el",
"unstable": {
"version": [
- 20200330,
- 1025
- ],
- "deps": [
- "request"
+ 20210921,
+ 1715
],
- "commit": "d59405bd72f3379417b9e73f06e8848b43cb021d",
- "sha256": "19yb4cxcaif73yvf62d4891l5rvp8ynhxl0f2wc9lvssg0lpx5y0"
+ "commit": "d3fd2a809a266c005915026799121c78e8b358f0",
+ "sha256": "0jbyz1anxq2ql8351v97dw9l70akys7mvh5m8q35nska2sgbzkax"
}
},
{
@@ -104717,16 +105361,16 @@
"repo": "abrochard/emacs-todoist",
"unstable": {
"version": [
- 20200517,
- 1825
+ 20210922,
+ 2254
],
"deps": [
"dash",
"org",
"transient"
],
- "commit": "b3f003603111b7e31b94c354cf4c83c8208c01c3",
- "sha256": "0srk3chc45fl6zjwymzqwmxm22w9pcjxg7968c7fkvbqr21wk6p7"
+ "commit": "3662c323f02e89d48c206103b43a185b930220e7",
+ "sha256": "02wwsaj7vc5vs8xij6kzgqqdwigy0qcvridbp8zsjmhy2rgq4w3w"
}
},
{
@@ -104930,6 +105574,21 @@
"sha256": "05pg1qddsl0m4r73smrxpcvyiwa18d9jl6i8nfanlydwmmjqblb9"
}
},
+ {
+ "ename": "topsy",
+ "commit": "89d455ee48c4567e098bb733396fa2729bec58c6",
+ "sha256": "0nxgfixly4rnvn83kz8lgc7pjdvzhm0px2w711zkx9rp55d5g86z",
+ "fetcher": "github",
+ "repo": "alphapapa/topsy.el",
+ "unstable": {
+ "version": [
+ 20210831,
+ 133
+ ],
+ "commit": "8ae0976dfdbe4461c33ed44cf1dedc2c903b0bb0",
+ "sha256": "032i1prl2v5w4l37zjlqam7063s56nk61nj5l3ypmxp98yz9nrq8"
+ }
+ },
{
"ename": "tornado-template-mode",
"commit": "f329baae028fd17618824128f312a49aa0a0807e",
@@ -105264,11 +105923,11 @@
"repo": "magit/transient",
"unstable": {
"version": [
- 20210819,
- 2118
+ 20211013,
+ 2148
],
- "commit": "65f4eac82c564204d20df0a606dc2fcaa72cc41e",
- "sha256": "1avxr5xdx8awzj63r97a505xd32d49qwjszbjzd0yfs3i5y243li"
+ "commit": "45975c24e1ab08bb32e9bdc06f5c5efe7c256e16",
+ "sha256": "0prx06z35fdvwbn1k18khw74phvfsa64pfsh4kpyihldj2k5f69j"
},
"stable": {
"version": [
@@ -105397,8 +106056,8 @@
20200910,
1636
],
- "commit": "d2651b913a6ec615e6285712833566a79dca7247",
- "sha256": "0pw401npbahlii6x37c6mi66ghd16mv04d6y0d1nirflvg4nfl8a"
+ "commit": "a31c7aae9d48edfcd10ccefc7b513214d6ddfb29",
+ "sha256": "0c7q250bkhjrqb8nzbciggngywbh5rkvbpzay8pcqnb04phxxvax"
},
"stable": {
"version": [
@@ -105486,6 +106145,18 @@
],
"commit": "e2b169daae9d1d6f7e9fc32365247027fb4e87ba",
"sha256": "1wrip00q6lbpllhaz0c7llnm774dq2mizr39ynfssvsdci38z1lm"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1,
+ 0
+ ],
+ "deps": [
+ "transient"
+ ],
+ "commit": "e2b169daae9d1d6f7e9fc32365247027fb4e87ba",
+ "sha256": "1wrip00q6lbpllhaz0c7llnm774dq2mizr39ynfssvsdci38z1lm"
}
},
{
@@ -105505,32 +106176,31 @@
},
{
"ename": "tree-sitter",
- "commit": "315cccbfb1a9aadb546a5b62de5c3b681108ba6c",
- "sha256": "1y7y8qrzc4nr31rh9gi8y3qpgb33jfl8aj8lmbagw5b7pc3v5f11",
+ "commit": "cb5169a41c3284f1fe1887cd2d32f9e98e34fbe0",
+ "sha256": "1n08rsf1cmxsrpld9j78a8smzckcpg006za93h464gfqls4y2kl2",
"fetcher": "github",
"repo": "emacs-tree-sitter/elisp-tree-sitter",
"unstable": {
"version": [
- 20210811,
- 1609
+ 20210912,
+ 1211
],
"deps": [
"tsc"
],
- "commit": "a0f259c024fa15587d225e1d5440d71109d7e3f3",
- "sha256": "1x2p7x7f71kxvayvlg418vr6pa36044fla58saknb9p651hy9rjh"
+ "commit": "2acca5c8d2e3dc66d4d0a99831b33140b5a5f973",
+ "sha256": "00qlrjh3my8w96lvxx3bfx8pshr58irzmrnvr8qrkwzyv3hs0rbl"
},
"stable": {
"version": [
0,
- 15,
- 1
+ 15
],
"deps": [
"tsc"
],
- "commit": "3a600d769bd5da95bf46bec58893934370c6c04f",
- "sha256": "15y0wjnck8rbfhl0xrl71ci7clbcp11lhqil5l8ykprsdjv0c2as"
+ "commit": "2acca5c8d2e3dc66d4d0a99831b33140b5a5f973",
+ "sha256": "00qlrjh3my8w96lvxx3bfx8pshr58irzmrnvr8qrkwzyv3hs0rbl"
}
},
{
@@ -105566,32 +106236,31 @@
},
{
"ename": "tree-sitter-langs",
- "commit": "4163e6e43626c5149be06b95ec2e6de55acb85cc",
- "sha256": "1bkjd5h817dw5zkbfb1dn4yg6cy29m9g0d650ap5ldi2y605zz5v",
+ "commit": "cb5169a41c3284f1fe1887cd2d32f9e98e34fbe0",
+ "sha256": "0dqz421vwbgmp83nib9jigwi0rayb9hqsralwhj0139w6jkvxmmb",
"fetcher": "github",
"repo": "emacs-tree-sitter/tree-sitter-langs",
"unstable": {
"version": [
- 20210825,
- 1205
+ 20210918,
+ 1621
],
"deps": [
"tree-sitter"
],
- "commit": "81adcdc06a9700ecf9659171da275b1c9dcfdfb6",
- "sha256": "0k067rkzdnxs5h5yf5l7pppbnwc0x6c41d97kygi1z03124s77s6"
+ "commit": "2b845a70080c0edd66f13200b9dc8d6d0c3f42ce",
+ "sha256": "0w3jzy4n445nrbcj7i46nbg7jk81gjqjs6zahsjnal8dhyjqaymi"
},
"stable": {
"version": [
0,
- 10,
- 4
+ 10
],
"deps": [
"tree-sitter"
],
- "commit": "95c8d2869926014351ffb932ad6749f5dfaff033",
- "sha256": "19rjnqsx7xi4g5dj32nb4x7xlxmbhagrm652khfn1chlwd7z94la"
+ "commit": "28e98d52e8516d73cce76e7ce5c6294a9728bb56",
+ "sha256": "1zy1wjw6ixpl5mw8f3drp47w256xbbzgxrgs2kpgj0w7wif10yjc"
}
},
{
@@ -105638,8 +106307,8 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20210823,
- 1524
+ 20211015,
+ 1613
],
"deps": [
"ace-window",
@@ -105651,26 +106320,27 @@
"pfuture",
"s"
],
- "commit": "f30a2151aec195026bd55affc05259b629fd9006",
- "sha256": "0qndkwfnqjdkddhrs0gzn9rg2a7fwwrrnya0iz2hjvxpmcdxr37c"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
},
"stable": {
"version": [
2,
- 8
+ 9,
+ 3
],
"deps": [
"ace-window",
+ "cfrs",
"cl-lib",
"dash",
- "f",
"ht",
"hydra",
"pfuture",
"s"
],
- "commit": "16b0819c6f27f45fe0495a29eeff5f01bd765b04",
- "sha256": "0m083g3pg0n4ymi1w0jx34awr7cqbm4r561adij9kklblxsz7sc2"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
}
},
{
@@ -105681,15 +106351,28 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20210408,
- 2051
+ 20211006,
+ 1837
],
"deps": [
"all-the-icons",
"treemacs"
],
- "commit": "f30a2151aec195026bd55affc05259b629fd9006",
- "sha256": "0qndkwfnqjdkddhrs0gzn9rg2a7fwwrrnya0iz2hjvxpmcdxr37c"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
+ },
+ "stable": {
+ "version": [
+ 2,
+ 9,
+ 3
+ ],
+ "deps": [
+ "all-the-icons",
+ "treemacs"
+ ],
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
}
},
{
@@ -105700,27 +106383,28 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20210821,
- 1041
+ 20211007,
+ 1608
],
"deps": [
"evil",
"treemacs"
],
- "commit": "f30a2151aec195026bd55affc05259b629fd9006",
- "sha256": "0qndkwfnqjdkddhrs0gzn9rg2a7fwwrrnya0iz2hjvxpmcdxr37c"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
},
"stable": {
"version": [
2,
- 8
+ 9,
+ 3
],
"deps": [
"evil",
"treemacs"
],
- "commit": "16b0819c6f27f45fe0495a29eeff5f01bd765b04",
- "sha256": "0m083g3pg0n4ymi1w0jx34awr7cqbm4r561adij9kklblxsz7sc2"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
}
},
{
@@ -105731,26 +106415,26 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20210630,
- 1953
+ 20211011,
+ 1824
],
"deps": [
"treemacs"
],
- "commit": "f30a2151aec195026bd55affc05259b629fd9006",
- "sha256": "0qndkwfnqjdkddhrs0gzn9rg2a7fwwrrnya0iz2hjvxpmcdxr37c"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
},
"stable": {
"version": [
2,
- 8
+ 9,
+ 3
],
"deps": [
- "cl-lib",
"treemacs"
],
- "commit": "16b0819c6f27f45fe0495a29eeff5f01bd765b04",
- "sha256": "0m083g3pg0n4ymi1w0jx34awr7cqbm4r561adij9kklblxsz7sc2"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
}
},
{
@@ -105761,29 +106445,30 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20210107,
- 1251
+ 20211010,
+ 1005
],
"deps": [
"magit",
"pfuture",
"treemacs"
],
- "commit": "f30a2151aec195026bd55affc05259b629fd9006",
- "sha256": "0qndkwfnqjdkddhrs0gzn9rg2a7fwwrrnya0iz2hjvxpmcdxr37c"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
},
"stable": {
"version": [
2,
- 8
+ 9,
+ 3
],
"deps": [
"magit",
"pfuture",
"treemacs"
],
- "commit": "16b0819c6f27f45fe0495a29eeff5f01bd765b04",
- "sha256": "0m083g3pg0n4ymi1w0jx34awr7cqbm4r561adij9kklblxsz7sc2"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
}
},
{
@@ -105802,21 +106487,22 @@
"persp-mode",
"treemacs"
],
- "commit": "f30a2151aec195026bd55affc05259b629fd9006",
- "sha256": "0qndkwfnqjdkddhrs0gzn9rg2a7fwwrrnya0iz2hjvxpmcdxr37c"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
},
"stable": {
"version": [
2,
- 8
+ 9,
+ 3
],
"deps": [
"dash",
"persp-mode",
"treemacs"
],
- "commit": "16b0819c6f27f45fe0495a29eeff5f01bd765b04",
- "sha256": "0m083g3pg0n4ymi1w0jx34awr7cqbm4r561adij9kklblxsz7sc2"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
}
},
{
@@ -105835,8 +106521,22 @@
"perspective",
"treemacs"
],
- "commit": "f30a2151aec195026bd55affc05259b629fd9006",
- "sha256": "0qndkwfnqjdkddhrs0gzn9rg2a7fwwrrnya0iz2hjvxpmcdxr37c"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
+ },
+ "stable": {
+ "version": [
+ 2,
+ 9,
+ 3
+ ],
+ "deps": [
+ "dash",
+ "perspective",
+ "treemacs"
+ ],
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
}
},
{
@@ -105854,20 +106554,21 @@
"projectile",
"treemacs"
],
- "commit": "f30a2151aec195026bd55affc05259b629fd9006",
- "sha256": "0qndkwfnqjdkddhrs0gzn9rg2a7fwwrrnya0iz2hjvxpmcdxr37c"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
},
"stable": {
"version": [
2,
- 8
+ 9,
+ 3
],
"deps": [
"projectile",
"treemacs"
],
- "commit": "16b0819c6f27f45fe0495a29eeff5f01bd765b04",
- "sha256": "0m083g3pg0n4ymi1w0jx34awr7cqbm4r561adij9kklblxsz7sc2"
+ "commit": "6b71604773f852158f00596776a68a82fb2633bd",
+ "sha256": "0ik7wkv6w5vga29pmj8zzn3lq9a2ww26gkl380hmaak809in6k65"
}
},
{
@@ -106104,26 +106805,25 @@
},
{
"ename": "tsc",
- "commit": "c44eceafdfe3dd4a98010a8dcac2e9e3ddaeae4c",
- "sha256": "1gwavabszakqvvyw8yrr17dng7k9w27g8dsaf5zwihp8j46wim27",
+ "commit": "cb5169a41c3284f1fe1887cd2d32f9e98e34fbe0",
+ "sha256": "0di9v57sn2b6dvgf7id409drk9ir65brv2mdigk54gra8801fk64",
"fetcher": "github",
"repo": "emacs-tree-sitter/elisp-tree-sitter",
"unstable": {
"version": [
- 20210825,
- 1402
+ 20210912,
+ 1211
],
- "commit": "a0f259c024fa15587d225e1d5440d71109d7e3f3",
- "sha256": "1x2p7x7f71kxvayvlg418vr6pa36044fla58saknb9p651hy9rjh"
+ "commit": "2acca5c8d2e3dc66d4d0a99831b33140b5a5f973",
+ "sha256": "00qlrjh3my8w96lvxx3bfx8pshr58irzmrnvr8qrkwzyv3hs0rbl"
},
"stable": {
"version": [
0,
- 15,
- 1
+ 15
],
- "commit": "3a600d769bd5da95bf46bec58893934370c6c04f",
- "sha256": "15y0wjnck8rbfhl0xrl71ci7clbcp11lhqil5l8ykprsdjv0c2as"
+ "commit": "2acca5c8d2e3dc66d4d0a99831b33140b5a5f973",
+ "sha256": "00qlrjh3my8w96lvxx3bfx8pshr58irzmrnvr8qrkwzyv3hs0rbl"
}
},
{
@@ -106193,14 +106893,14 @@
"repo": "ocaml/tuareg",
"unstable": {
"version": [
- 20210825,
- 1946
+ 20210913,
+ 1031
],
"deps": [
"caml"
],
- "commit": "d2b38f0249e3db467e9708985571f06ae191edbb",
- "sha256": "1gaind3zfj1adl6dhk5yrx2fajqhxb8ch38vk66077s81qqzzw7k"
+ "commit": "00faf47a7c65e4cdcf040f38add1c6a08cd2ee2f",
+ "sha256": "1rjz11q9ww5bvmfp2jri0nlrv9aiw7qzl80wlkmkcv7lv3qmvblb"
},
"stable": {
"version": [
@@ -106457,19 +107157,19 @@
"repo": "emacs-typescript/typescript.el",
"unstable": {
"version": [
- 20201002,
- 1109
+ 20210921,
+ 1849
],
- "commit": "b369d7d2518fa11760ac3908a383405350cd51d2",
- "sha256": "186bpvqxx87kp3857mq0mzn1ddsvzmpijix810k6bdz8522x7zdw"
+ "commit": "c9b22f5f338c4efa138a79d551c4cc4a9e9e7826",
+ "sha256": "0gvh52ms1kg8bjdgnq94fhnvg0h75vp5b97h9g2gcc6kys0r3qbj"
},
"stable": {
"version": [
0,
- 3
+ 4
],
- "commit": "7a5c74d88e3c5513cc4431a837003736f905a75e",
- "sha256": "002f1xfhq43fjaqliwrgxspryfahpa82va5dw3p8kwil2xwvc6mh"
+ "commit": "b369d7d2518fa11760ac3908a383405350cd51d2",
+ "sha256": "186bpvqxx87kp3857mq0mzn1ddsvzmpijix810k6bdz8522x7zdw"
}
},
{
@@ -106707,6 +107407,36 @@
"sha256": "15nspdkjwbvxbqxlhmpsbhdf1zij9zd2z2xxhkmvdyjy89w0hyzp"
}
},
+ {
+ "ename": "ue",
+ "commit": "dc9ec7c99477746b1bddc97231a8f5ee37322d11",
+ "sha256": "0ig2zapbd5iw3nd6rmxy2dnn1wq3ipf54rygwz28z5l3fs6wr0fr",
+ "fetcher": "gitlab",
+ "repo": "unrealemacs/ue.el",
+ "unstable": {
+ "version": [
+ 20210929,
+ 1258
+ ],
+ "deps": [
+ "projectile"
+ ],
+ "commit": "7819d5b78e5b52a09b36c634ce404dc8bc3711ef",
+ "sha256": "0rl71y6mzfcfymkimin18pnfhsa1wb906jywr5jx8b0nwkxk227n"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 0,
+ 9
+ ],
+ "deps": [
+ "projectile"
+ ],
+ "commit": "7819d5b78e5b52a09b36c634ce404dc8bc3711ef",
+ "sha256": "0rl71y6mzfcfymkimin18pnfhsa1wb906jywr5jx8b0nwkxk227n"
+ }
+ },
{
"ename": "uimage",
"commit": "346cb25abdfdd539d121a9f34bce75b2fc5a16be",
@@ -106852,8 +107582,8 @@
20200719,
618
],
- "commit": "741ab716ada8e71a94a9dae3daa4236298d29bd7",
- "sha256": "1ibjwmvx4p7kchxlnfpqxj4p3k99nwxwhzk4m2b1yyswpiad2k0z"
+ "commit": "fa821425572cc75fbc7b990c800d4659dd893a4e",
+ "sha256": "0k9b5lv9nkfjk8r1kmcal7b4jsgiglpgfwzhfczc61lj4q9i9zq7"
},
"stable": {
"version": [
@@ -106896,11 +107626,11 @@
"repo": "ideasman42/emacs-undo-fu",
"unstable": {
"version": [
- 20210813,
- 249
+ 20211007,
+ 306
],
- "commit": "34b27c01da4c3eb8aa595f3613b7e2e1ed4e54be",
- "sha256": "1inh3c88l2dbhcpwivvn88zyq37fba41ccpmyjbkcbyjay52927n"
+ "commit": "71c474e29f6ad726386604a5058761892951782e",
+ "sha256": "1rwcr0d1nrkvssiyf2s7zicp3did8y4x5p0vmvg8n0d3vqsh3d3v"
}
},
{
@@ -106911,11 +107641,11 @@
"repo": "ideasman42/emacs-undo-fu-session",
"unstable": {
"version": [
- 20210617,
- 1327
+ 20211007,
+ 306
],
- "commit": "579936966b41d2d6782f587509fef21477141374",
- "sha256": "1sqjp84hi81q62pyx7py7zvmkwdywh106i6jqqnmgkv0ai9cb2ml"
+ "commit": "1810251485a551bc41472ec9e7e7bfab72a45a3c",
+ "sha256": "195zm428c6gan92g8dsgzgdc30xxyrjfk294dmzqdal86jsajvmr"
}
},
{
@@ -106974,8 +107704,8 @@
20210106,
220
],
- "commit": "eef1614c79eb259cb782437a25680246793a924d",
- "sha256": "0vx0sv8595lbx8x23ly3dg6zb73skp4cxi8l6m2h4l4v8fs6r0fl"
+ "commit": "3bd4c8d3df15fb54a79f97e26177819fc0ebf877",
+ "sha256": "1dwy1pcvsqdxi7zrfgh3k9g2h9dnc3yyaqabmin5h3abs6mivb7v"
},
"stable": {
"version": [
@@ -107117,8 +107847,8 @@
"persistent-soft",
"ucs-utils"
],
- "commit": "e3942fe40b418bfb2dc4e73633e09195437fef01",
- "sha256": "1vyldpmbi92yqzj0v7wbxma86f3cla0jhxbmq8jzl94pqy6q98jc"
+ "commit": "47f2397ade28eba621baa865fd69e4efb71407a5",
+ "sha256": "1c9byhlkzjvijhl7izwxfp4z6dwism4np4m8705i23ccrpf039jw"
},
"stable": {
"version": [
@@ -107775,8 +108505,8 @@
"deps": [
"s"
],
- "commit": "aa6e271e8efc3a93caaaa740245d126d24e778ab",
- "sha256": "0a8qb7wyi5pkg7g0x7imzzxryz55dr8msiila9j9skq6jlmn84hl"
+ "commit": "418d8617f5c6431b72baa3d22e5b67dc5307870f",
+ "sha256": "0fkryq2iipjndhykryc0yzj290il217qaa2cgjv2sxpajh499cyy"
},
"stable": {
"version": [
@@ -107799,11 +108529,11 @@
"repo": "ideasman42/emacs-utimeclock",
"unstable": {
"version": [
- 20210418,
- 1050
+ 20211008,
+ 454
],
- "commit": "21e74953a88ea5a0a17b86a951bf649dc9a0eaf4",
- "sha256": "14hn22ld61l4w4livl83fjf4w59kzwn9qy2pc94p05qpgp8x2hy8"
+ "commit": "e6e3dd50fb7e3b20e38db555950b2f417a12c993",
+ "sha256": "0iri2836zxadqdvivkmm0rz2ai4wxb1khnfxjmk8k8q274w1lslf"
}
},
{
@@ -107882,15 +108612,15 @@
"repo": "damon-kwok/v-mode",
"unstable": {
"version": [
- 20210608,
- 629
+ 20211015,
+ 309
],
"deps": [
"dash",
"hydra"
],
- "commit": "3afbd72180417ada6aeeec861081495aca962124",
- "sha256": "0is9hdh8w87l9x84ihhcd040z8m7cy2321q2rmbfmpffaaja90cl"
+ "commit": "a5f39031a3391d0044c716425eb28645af51c79c",
+ "sha256": "0k0100fxhhzfyl2pcsrwblj1h7j0x9fzfnpcxqd751yvwihgrsb6"
}
},
{
@@ -108133,11 +108863,11 @@
"repo": "venks1/emacs-fossil",
"unstable": {
"version": [
- 20210124,
- 812
+ 20210928,
+ 737
],
- "commit": "5d66231e25f34aaedb4befa0fcd80a9c30d7e607",
- "sha256": "01r8j7a8b3icfgyxpgxh3pimzwig0xbhmggahzllgn96w5fafgpv"
+ "commit": "7815c30d739a01e1100961abb3f2b93e0ea9920f",
+ "sha256": "0j33cmnl9ka2r7ahf84dkj6y2lf8m455986y7rms1d62ih9fq6ds"
}
},
{
@@ -108317,16 +109047,16 @@
"repo": "justbur/emacs-vdiff-magit",
"unstable": {
"version": [
- 20210614,
- 1630
+ 20210908,
+ 135
],
"deps": [
"magit",
"transient",
"vdiff"
],
- "commit": "fa62a260411387702dd4cc4791075c737519001f",
- "sha256": "04n47g3ffnh3bjq5575b6l046cpy7dixksfjy8pzsgh9ah1h37lz"
+ "commit": "d3a39c3f8cb7ad9a6a769ce45f633b613b067490",
+ "sha256": "0ci5zsmd4r7z8h7g19ddd29y09lja0ikkm9rp8d2whxi9fz37dha"
},
"stable": {
"version": [
@@ -108908,11 +109638,11 @@
"repo": "thanhvg/emacs-virtual-comment",
"unstable": {
"version": [
- 20210210,
- 255
+ 20210928,
+ 758
],
- "commit": "dadf36158c7ff89291bea4695999860cca2d094e",
- "sha256": "10vnw6p5zg3azn1hf74014497qyxbxh6rr27lba45nrnxiz6wfmp"
+ "commit": "24271e081be3bb9ebcb41e27e1dad9623a837205",
+ "sha256": "1np4mbw1fry8ja74vy3hjs9fx301c7k8zq3h4a9i7jbnkvzh9iyi"
}
},
{
@@ -109008,11 +109738,11 @@
"repo": "joostkremers/visual-fill-column",
"unstable": {
"version": [
- 20210419,
- 857
+ 20211014,
+ 2141
],
- "commit": "6fa9e7912af412533aec0da8b8f62c227f9f3f54",
- "sha256": "1wfww6bqdphv871in80fc84ml8gkl04il6w51z2ycx99km8b723l"
+ "commit": "2df643827a4fd82b732ea93042916c61078d4206",
+ "sha256": "1j8x044s4xzmfmqrsabim9gv435scj2yhym3f3p9bf5vq5ds2smj"
},
"stable": {
"version": [
@@ -109239,8 +109969,8 @@
20210627,
2121
],
- "commit": "28398f1059f88e7e242f39cfa0ff8213cdaefc42",
- "sha256": "0ln5idsmj7x0b769g7bj9wk0bjr826kq4bryw206dxxnz06s3wcs"
+ "commit": "fc9766b4d772df7006998f3d863e9469498cfdc3",
+ "sha256": "1ssjwmv0f24zx0hp1rhicgza1s3pfcr6b04kf2n00zdyn37gwfvn"
},
"stable": {
"version": [
@@ -109262,8 +109992,8 @@
20210627,
2121
],
- "commit": "500d35f051fca07459abd163d5692c853a49329f",
- "sha256": "1k5akiim0c0qiv10np5yzdndz8p499qhzhhrp1i8dz36gbp5x8ll"
+ "commit": "1211f09ec83f3f375b2e38e4d704bd102bf3f6e3",
+ "sha256": "18ciz8rvx5n4hqqbr4y7vjkjzyq8dc2393yxfi6rhp3hkdld043p"
},
"stable": {
"version": [
@@ -109297,11 +110027,11 @@
"repo": "ianyepan/vscode-dark-plus-emacs-theme",
"unstable": {
"version": [
- 20210720,
- 1218
+ 20210925,
+ 1940
],
- "commit": "aadf603bccb51addfcbd1ee4f684f720d56df56f",
- "sha256": "0zskaz2np8x6wz3zrkqw5bhmwzyq8llvqq5sbwjzlgdl2xph876f"
+ "commit": "b6ab14278cc0aaac13fb7cb3a12e73985a781cb7",
+ "sha256": "1f24cd9isxhlr1rdbk3inhc2rx9n090wx35fs47nxicicz8hncas"
},
"stable": {
"version": [
@@ -109336,11 +110066,11 @@
"repo": "akermu/emacs-libvterm",
"unstable": {
"version": [
- 20210824,
- 1453
+ 20210908,
+ 640
],
- "commit": "9e5a208d8871293a23a2734591fac97a584a41c2",
- "sha256": "13r9zxivgml610d0hk9cagpyv982596knad6jwq48cpncckwxrvl"
+ "commit": "2681120b770573044832ba8c22ccbac192e1a294",
+ "sha256": "173qhfj5h4xd8rrf4avzknp24hzl0nlxs783pr7900d980cpbygr"
}
},
{
@@ -109351,14 +110081,14 @@
"repo": "jixiuf/vterm-toggle",
"unstable": {
"version": [
- 20210629,
- 927
+ 20210902,
+ 828
],
"deps": [
"vterm"
],
- "commit": "2258eb19e8bde75f79505c4306f3476bcedce56c",
- "sha256": "0k5hmn2wnag6hirnk2ch3xviymbxha5pcb3p1j1lj2likbhwizw8"
+ "commit": "ea0bfeaa200d9ed02b51bbbd83222657b02637e7",
+ "sha256": "0bwgzpf2wyzdj9smwys06zx4yha72pw50wzdh4zld45ph40pb6qw"
}
},
{
@@ -109479,16 +110209,16 @@
"repo": "d12frosted/vulpea",
"unstable": {
"version": [
- 20210821,
- 1625
+ 20210930,
+ 527
],
"deps": [
"org",
"org-roam",
"s"
],
- "commit": "bc0abbc81917edf130476db7007184c015768d05",
- "sha256": "0wjpvspyg6h0692gy9vfz42wcwsr599y53b8mfkjmnbdkbdwy1i0"
+ "commit": "b5cbe33c1891e8e1756da52ff911750c0da00f79",
+ "sha256": "1djc3gmy3xa1vj2g56la67lfvqzzwh86c4cd6qjvfw7xyizzk7a1"
},
"stable": {
"version": [
@@ -109558,10 +110288,12 @@
},
"stable": {
"version": [
- 20201005
+ 0,
+ 0,
+ 1
],
- "commit": "34ba004717ecb9d46c3fc20162005261cffb0bcd",
- "sha256": "1n9bbc8s8ls9idjbh1f2nsf4cb829qpdbdq0iws56xqyd8sxsss4"
+ "commit": "1dbdc056f507172857195b5e14b7550c565018bc",
+ "sha256": "0wy9yvbb3a6j797z19ja3mkc0kcp0gprka3pzn865frdkd4bq29s"
}
},
{
@@ -109572,11 +110304,11 @@
"repo": "emacs-w3m/emacs-w3m",
"unstable": {
"version": [
- 20210817,
- 327
+ 20211009,
+ 252
],
- "commit": "d7166799bd93cf90f77cb9e4c59cb691bb3a1b8c",
- "sha256": "1ins9lykfycv5nrm4lz66cfm2ny6mc338pblyw6jbxmnx7q300xv"
+ "commit": "c088fe627f12597726dfc2062454e2e7bd99798a",
+ "sha256": "0bhvhhqs55nh1qb212zmmxw76l22xd830pvw0n9wihv02yrg7kim"
}
},
{
@@ -109664,11 +110396,11 @@
"repo": "darkstego/wakib-keys",
"unstable": {
"version": [
- 20201001,
- 1448
+ 20210903,
+ 1619
],
- "commit": "b803fcaef31539e070a08202b9039bbeb29e8f66",
- "sha256": "1bjl9h8p63334dxbbcdz6rmmskslrlbbvakhklzica9al7gr92w5"
+ "commit": "627e94389fe754da9802a8c93e4a3d1a1831967b",
+ "sha256": "0i0a9imkpz0aq4r340vd2li22m1wnv7p83s4bcaihl1z8axfa611"
}
},
{
@@ -109797,16 +110529,16 @@
"repo": "wanderlust/wanderlust",
"unstable": {
"version": [
- 20210629,
- 1252
+ 20211008,
+ 1118
],
"deps": [
"apel",
"flim",
"semi"
],
- "commit": "769699d60aa033049804083b459ee562b82db77e",
- "sha256": "0mgl28xsvc0421pysy6hh0hymr0li8iayaa330r41cbqsk3gz4nw"
+ "commit": "92ded1534ce7143f379b92a4029db275f3e22ee8",
+ "sha256": "0ai48j19dpyny1mmf81wjwmr5i5i5rnaj4d5n0hfchs4dcng0xrq"
}
},
{
@@ -110043,11 +110775,11 @@
"repo": "fxbois/web-mode",
"unstable": {
"version": [
- 20210131,
- 1758
+ 20210902,
+ 1615
],
- "commit": "8ef47935d638902ba35a557cae5edd6ab6ab1346",
- "sha256": "1csskh7wyyjjpn81if2ss29vaz8vqzszb9yg99ffkgkyx2fr57y1"
+ "commit": "61f057a6baeba6b3595e412ba79b3080dca17dcc",
+ "sha256": "0qmsczsx867h97yqifn9rv5d3gsy7mgwjl9radbf63wfdd89zgcb"
},
"stable": {
"version": [
@@ -110220,26 +110952,26 @@
"repo": "emacs-love/weblorg",
"unstable": {
"version": [
- 20210822,
- 1937
+ 20210919,
+ 1547
],
"deps": [
"templatel"
],
- "commit": "cc095820669c7c2e77b06a86a887ecec70ae4853",
- "sha256": "0ma5shksdha84yf18ay7a6ss4aacjh94827a5wmyv9bc5za5yvq1"
+ "commit": "0f8ec7e9065b2962c93209ee30b46f91843e2815",
+ "sha256": "0jiq879m74ysl0gb9wh1qmxyxi79nhnr2b1slq33mwf98r1nzcbg"
},
"stable": {
"version": [
0,
1,
- 1
+ 2
],
"deps": [
"templatel"
],
- "commit": "3c860c7b52ccee2f8d0b96e8a9e65e9695eb6e0a",
- "sha256": "1lia9g9dpmn7l7valyw7mvh7ipy2nanhjbd60gha1k4p4ypx3sla"
+ "commit": "0f8ec7e9065b2962c93209ee30b46f91843e2815",
+ "sha256": "0jiq879m74ysl0gb9wh1qmxyxi79nhnr2b1slq33mwf98r1nzcbg"
}
},
{
@@ -111333,20 +112065,20 @@
"repo": "magit/with-editor",
"unstable": {
"version": [
- 20210524,
- 1654
+ 20211015,
+ 1917
],
- "commit": "5519b6a67ecd66865b4fdd5447425eee900c54f4",
- "sha256": "1bmvkrfnjzrf0ch2mh75cv784mzs64i4f44l91xysapjqv46lfqn"
+ "commit": "8d52f933e50624c7bca3880f57297ac17ba4ac2d",
+ "sha256": "0k0k7mbsizsbgyjb92qj9hp5f2jbwbkzmfbxjhbmniw87q1flmmp"
},
"stable": {
"version": [
3,
0,
- 4
+ 5
],
- "commit": "5519b6a67ecd66865b4fdd5447425eee900c54f4",
- "sha256": "1bmvkrfnjzrf0ch2mh75cv784mzs64i4f44l91xysapjqv46lfqn"
+ "commit": "0c37fea45603257435294e2e01a403627da23abe",
+ "sha256": "1pynm4ng4rki2b2ka5dz01p66ygghk69mldsfbxs81d52jqfnx8f"
}
},
{
@@ -111459,26 +112191,26 @@
"repo": "10sr/with-venv-el",
"unstable": {
"version": [
- 20200125,
- 1620
+ 20210925,
+ 2336
],
"deps": [
"cl-lib"
],
- "commit": "51ba19ac75a2796d494587b3b20ce51d4eb178a5",
- "sha256": "1nbw88727spdgivrafjnlzbda81nnd1xprqdgmy6h2xfvki23zzb"
+ "commit": "773192d892ec0341e023d8b5e80639f8eb79f2a5",
+ "sha256": "0dh412qj2v4mz6mcjgkiacdcl8pbh2lgyinm70j3dr7qdsbadw97"
},
"stable": {
"version": [
0,
0,
- 1
+ 2
],
"deps": [
"cl-lib"
],
- "commit": "d12341b93420f4acd7a277ed0cd4a54767bc5bd6",
- "sha256": "0knv2ybf4sbn31zyg9ms44mxvmvg7b51krq320g8fpcpa1bq28s6"
+ "commit": "c34979519278a6e17312e8c47a19eb7bc94e5002",
+ "sha256": "1wwj5pyhb3vxrpyqxrmfayjkyamf0v84jq6bb7j2kl90aa8b2m90"
}
},
{
@@ -111653,8 +112385,8 @@
"repo": "abo-abo/worf",
"unstable": {
"version": [
- 20210809,
- 1409
+ 20211014,
+ 1207
],
"deps": [
"ace-link",
@@ -111662,8 +112394,8 @@
"swiper",
"zoutline"
],
- "commit": "e31759db6aae8a951c0843a4c855bccb626348c4",
- "sha256": "1aziil5gmiyk0qb89ydc7h72zdyr2n71z3ybrqji9ypx3vrrdwdx"
+ "commit": "d22146bae521d4eeefd0bc2d95c7b64796760faa",
+ "sha256": "1vakix6pdv4ssmwzw7p7iaprp5kyiqjiw8gpi41hn7l3dsgmi4iq"
},
"stable": {
"version": [
@@ -111837,14 +112569,14 @@
"repo": "joostkremers/writeroom-mode",
"unstable": {
"version": [
- 20201229,
- 2242
+ 20210927,
+ 1301
],
"deps": [
"visual-fill-column"
],
- "commit": "b648b340172ce4e44307375697e190bc723203e0",
- "sha256": "03dq65wsfwf4xdl6rj5zpk72gwzwydfdapfz8gh797jn2mp1dqnk"
+ "commit": "eac1da790f316f357ed76ed67fbb790d6a4d126a",
+ "sha256": "01yrz25aymzwkcj5yzs8pmswsg0jgzbynbp9hmjnf3sqlgmang62"
},
"stable": {
"version": [
@@ -111943,11 +112675,11 @@
"repo": "redguardtoo/wucuo",
"unstable": {
"version": [
- 20210316,
- 156
+ 20210915,
+ 1113
],
- "commit": "986c9d96ff898d346b453422e8e312f7976e6089",
- "sha256": "17wls9mn097kwpcg9f4dxa6is0yshxgmjh2z1pk1nwfd8mdpczvg"
+ "commit": "cf4cfbcdc8e756986b927224a42a9006d070f36a",
+ "sha256": "1ach6c5y54gcfgq1nmgla7lri8mi7nja8a85slws4zxvl4b6802w"
},
"stable": {
"version": [
@@ -112082,11 +112814,15 @@
"repo": "xahlee/xah-css-mode",
"unstable": {
"version": [
- 20210824,
- 120
+ 20211008,
+ 707
],
- "commit": "20737b65529ca185db663e709cca412e8d03cb1e",
- "sha256": "0621vah5xm5zz6mhlgjlbqiccpbq4nssckm3wyqmw30mk2zr29mb"
+ "commit": "eab9b2c6d7b06c23dcef23b0c1127d76ea7b09ba",
+ "error": [
+ "exited abnormally with code 1\n",
+ "",
+ "warning: unknown setting 'experimental-features'\nwarning: unable to download 'https://github.com/xahlee/xah-css-mode/archive/eab9b2c6d7b06c23dcef23b0c1127d76ea7b09ba.tar.gz': Couldn't resolve host name (6); retrying in 269 ms\nerror: unable to download 'https://github.com/xahlee/xah-css-mode/archive/eab9b2c6d7b06c23dcef23b0c1127d76ea7b09ba.tar.gz': HTTP error 404\n"
+ ]
}
},
{
@@ -112097,11 +112833,15 @@
"repo": "xahlee/xah-elisp-mode",
"unstable": {
"version": [
- 20210824,
- 730
+ 20211008,
+ 717
],
- "commit": "4bd7858dadff5c5320f169ebabde379e29bb7559",
- "sha256": "0ygqzyc398asczryyr4qz5sljzz0a4l87l1vys32vv49g4z1szih"
+ "commit": "de43714d9d4993b744afc4829d2f29ad1e806342",
+ "error": [
+ "exited abnormally with code 1\n",
+ "",
+ "warning: unknown setting 'experimental-features'\nerror: unable to download 'https://github.com/xahlee/xah-elisp-mode/archive/de43714d9d4993b744afc4829d2f29ad1e806342.tar.gz': HTTP error 404\n"
+ ]
}
},
{
@@ -112112,11 +112852,11 @@
"repo": "xahlee/xah-find",
"unstable": {
"version": [
- 20210815,
- 201
+ 20210925,
+ 1648
],
- "commit": "88e390e1f7ccee30cd4d5ac112acdf9b4c72780d",
- "sha256": "1rhivz291580s0wn0syqhhaqdv7p3df0a7vk8zmx6485nm1hy4mh"
+ "commit": "4ac27d806b17d646cb46167da30b8163d31d5073",
+ "sha256": "1zww1ycn14jajav9cx71yxn4sdc1hbba9wis8f449ij03cvpbl7y"
}
},
{
@@ -112127,11 +112867,11 @@
"repo": "xahlee/xah-fly-keys",
"unstable": {
"version": [
- 20210823,
- 302
+ 20211009,
+ 1810
],
- "commit": "c5a510db6aa15de78c809490571a5b2e9d765202",
- "sha256": "05xzhrbn3y0wkan6cpk290cvb5gn4gdjkh64s0q466hbybm4lnj5"
+ "commit": "de43f45e5adc5342937a9bb66f5d00b2c02c16a7",
+ "sha256": "01wj3ii4lacns3ly8f0812sc53spvkqkwf9g7nqyda1laxqsgnic"
}
},
{
@@ -112142,11 +112882,11 @@
"repo": "xahlee/xah-get-thing-or-selection",
"unstable": {
"version": [
- 20210813,
- 834
+ 20210909,
+ 1528
],
- "commit": "ba818dc7fd90b49b780709be5266733ede86a556",
- "sha256": "15bjib9n7qakdc70x4xdjaw1q1rm9yz8k55l24mfm848fz62bq30"
+ "commit": "9610142c9edbeb312f3c510af9e3ccfa85fb0014",
+ "sha256": "036gk93hkllhwl76y284c8nk39r6m1yfsjaj8wbmhgmqz4yidyqi"
}
},
{
@@ -112157,11 +112897,15 @@
"repo": "xahlee/lookup-word-on-internet",
"unstable": {
"version": [
- 20210801,
- 102
+ 20211008,
+ 659
],
- "commit": "d69eb2b66eff70ef311967bf774195bf3331cfff",
- "sha256": "12ssjraw8ymphkiyvzpnmh90k9m2sskvklkjcl2ni7qmjc5774v7"
+ "commit": "f9c3fda86930bebf718da6e39649500be5628cc5",
+ "error": [
+ "exited abnormally with code 1\n",
+ "",
+ "warning: unknown setting 'experimental-features'\nerror: unable to download 'https://github.com/xahlee/lookup-word-on-internet/archive/f9c3fda86930bebf718da6e39649500be5628cc5.tar.gz': HTTP error 404\n"
+ ]
}
},
{
@@ -112172,11 +112916,11 @@
"repo": "xahlee/xah-math-input",
"unstable": {
"version": [
- 20210815,
- 211
+ 20210901,
+ 207
],
- "commit": "1685bb8652c28a32c6d55fe61bbf4b5cb3f3dcca",
- "sha256": "11akmsmynrbzadyw502czrvaw0mly06mzlj4zg5adgvy52mpb0ip"
+ "commit": "e7aefa49d6335cac5c08c7aeb4f4837e2706ae9d",
+ "sha256": "0rkrz324l0gr1lm4nbwzlfl5cs8xh6dr2hh00shbkjby9fshy8b1"
}
},
{
@@ -112202,11 +112946,11 @@
"repo": "xahlee/xah-replace-pairs",
"unstable": {
"version": [
- 20210815,
- 207
+ 20210906,
+ 1001
],
- "commit": "b5e4f9ebc9e17916e736af9935bf4e17032edde9",
- "sha256": "1dy3y27xyprmxymnm43d7vsmsfhdrr1nbbdpvfflqxs9q6hll2xn"
+ "commit": "2ae97f13ced5a25881c7d7bca1804259403c1f80",
+ "sha256": "0akyld9fpzw5smgqnqsv7cbqpqah262y2cwpka1di4wdmz8sijdb"
}
},
{
@@ -112522,19 +113266,19 @@
"repo": "ndw/xmlunicode",
"unstable": {
"version": [
- 20210717,
- 1246
+ 20210829,
+ 1631
],
- "commit": "7e4c71c30f0d5214c45d4d4d48b149029ddb6b77",
- "sha256": "0gc9fg95ihqz7lkn3vxc0qaslbxbj5jkhm2ddh41c3v9ym79mblp"
+ "commit": "6e91a39114ae6ec98b26c9670db916a02c721b1f",
+ "sha256": "07qkp4igbsdxffcm1hlprf0jgvq1mc714q85pbpby5kfir9f2lbd"
},
"stable": {
"version": [
1,
- 24
+ 25
],
- "commit": "7e4c71c30f0d5214c45d4d4d48b149029ddb6b77",
- "sha256": "0gc9fg95ihqz7lkn3vxc0qaslbxbj5jkhm2ddh41c3v9ym79mblp"
+ "commit": "6e91a39114ae6ec98b26c9670db916a02c721b1f",
+ "sha256": "07qkp4igbsdxffcm1hlprf0jgvq1mc714q85pbpby5kfir9f2lbd"
}
},
{
@@ -112646,11 +113390,11 @@
"repo": "ideasman42/emacs-xref-rst",
"unstable": {
"version": [
- 20210320,
- 1123
+ 20211006,
+ 2319
],
- "commit": "8d8e00352e6f7e86d38d9ea4330f6cb2380fb2ec",
- "sha256": "07i9x2f1mgfr3d5v507ln5z8mh59zdzqv53yyyrcbhvr7j9vi1p3"
+ "commit": "4ca1c15e9fe98fadfb13098dd0ee104d5ca6abf2",
+ "sha256": "0rawl98fsx1rrhq051d77wmz1xp82m9yr9rgb8k3p5g0yacyfkfv"
}
},
{
@@ -113103,11 +113847,11 @@
"repo": "JorisE/yapfify",
"unstable": {
"version": [
- 20200406,
- 830
+ 20210914,
+ 634
],
- "commit": "3df4e8ce65f55fd69479b3417525ce83a2b00b45",
- "sha256": "13q84a4q5bv56r9dhi84jqbkx7dc1bvi42s01ahh8vmdvg4h39d3"
+ "commit": "c9347e3b1dec5fc8d34883e206fcdc8500d22368",
+ "sha256": "0gkz4f0yfpfchh78v1c0plbjafag23y18gcg8a8rc5s21nqqhkj4"
},
"stable": {
"version": [
@@ -113275,25 +114019,25 @@
"repo": "AndreaCrotti/yasnippet-snippets",
"unstable": {
"version": [
- 20210808,
- 1851
+ 20210910,
+ 1959
],
"deps": [
"yasnippet"
],
- "commit": "8bf33e9e54de0dca1728221b0dda6789d99b7930",
- "sha256": "1gz4q8knyss9bsz5s5vywi4qvazgwg8ryh7byxkrmbrxibvc9d18"
+ "commit": "f50b4c16ca2a73fd04ebd301f0bf2f5ab6107d88",
+ "sha256": "0iglhbwnx2pk2p05ym43fh3p4vwd77kch6f8aw63jz77ia05cba4"
},
"stable": {
"version": [
- 0,
- 24
+ 1,
+ 0
],
"deps": [
"yasnippet"
],
- "commit": "be823d7e1a1a46454d60a9f3dabb16b68b5dd853",
- "sha256": "0ak0drxlg3m2v4ya5chpgl82rcl7ic2nmnybhpw1qk51mcmv643y"
+ "commit": "c0ef1e8cfd05ef77b9240f3d9e8f0798bbcf9a58",
+ "sha256": "0m78jxhjyf4212ig2ncxr6bhhd6yx4c3nc8x4ylamzq21x4fl21r"
}
},
{
@@ -113974,15 +114718,15 @@
"repo": "EFLS/zetteldeft",
"unstable": {
"version": [
- 20210819,
- 1048
+ 20210919,
+ 1306
],
"deps": [
"ace-window",
"deft"
],
- "commit": "910a6607e172ae20347d74e651d29ebedc58ea06",
- "sha256": "1cccj3y7a353b2b8gvbbs2ami1g3a7961j3dwmcar9lc0yrh3hys"
+ "commit": "f4f227a9cdb69cf06fd3715e40ddf17a069063f1",
+ "sha256": "0baydmll48m0z2pk8gw5z5ki9b04mc7xjxw8ljaz58ph7ik1dpi0"
},
"stable": {
"version": [
@@ -113996,6 +114740,36 @@
"sha256": "0y709x03har1sm30vbja3k3vw4p1nfck5zii7cigl4vg0scpcri6"
}
},
+ {
+ "ename": "zettelkasten",
+ "commit": "ed12df24029a4154fe55588f3e8ca0670af3f5f3",
+ "sha256": "07gbkpanzs4rk066gg3nm95mfv3ng1f0si17mv2qax2dfx5k0lzd",
+ "fetcher": "github",
+ "repo": "ymherklotz/emacs-zettelkasten",
+ "unstable": {
+ "version": [
+ 20210830,
+ 1025
+ ],
+ "deps": [
+ "s"
+ ],
+ "commit": "4048bf9e1be7ab759696a9541eec8f435359bcf3",
+ "sha256": "1rnir9mc9cp12wg5p19f0m6g6mvfyv1ahr7zq7azl8hvwmnb6gx7"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 4,
+ 0
+ ],
+ "deps": [
+ "s"
+ ],
+ "commit": "4048bf9e1be7ab759696a9541eec8f435359bcf3",
+ "sha256": "1rnir9mc9cp12wg5p19f0m6g6mvfyv1ahr7zq7azl8hvwmnb6gx7"
+ }
+ },
{
"ename": "zetz-mode",
"commit": "ad2add185cf31a92ca1fcd3a9efcbcdb0aa9e583",
@@ -114036,11 +114810,11 @@
"repo": "ziglang/zig-mode",
"unstable": {
"version": [
- 20210605,
- 513
+ 20210831,
+ 719
],
- "commit": "acf3ee8bbf6b7e49dbfaa8bc82a4c1b9b1f2b531",
- "sha256": "0wc65hr9y1yvyb5ywswiwhk8jjxswzd0qzr92b7pkfwghmf0z1yp"
+ "commit": "aba01b6199b7697692e5e9217f602477dd5ebd9b",
+ "sha256": "1qlvsm4736wr3gw54jvjq3yilf3d7564yydhid4ka1wswvl3sbq3"
}
},
{
@@ -114073,14 +114847,14 @@
"repo": "schmir/zimports.el",
"unstable": {
"version": [
- 20200809,
- 2035
+ 20211011,
+ 2059
],
"deps": [
"projectile"
],
- "commit": "4067b20a2ea25327504b0a42f443903728aa7966",
- "sha256": "01ljp3cpslkmp8kxm24ayp5jlg6r431vpk6dm1b9ylr4x4p1klgx"
+ "commit": "76cf76bdc871cb0454a6fc555aeb1aa94f1b6e57",
+ "sha256": "1vx4j9n5q4gmc63lk1l4gbz5j5qn2423cyfibqcbynkkbwgas11z"
}
},
{
@@ -114392,30 +115166,30 @@
"repo": "fvdbeek/emacs-zotero",
"unstable": {
"version": [
- 20210512,
- 820
+ 20211008,
+ 2207
],
"deps": [
"ht",
"oauth",
"s"
],
- "commit": "15eb7a8d099c93440f0a8920499633103f00fc83",
- "sha256": "13mrssrkcjrrpc470rjpb3mwjfdsyvr4i8niqza54rzk0zxj2m95"
+ "commit": "811bd1f14b38c3dde3f80cd8a13490c9900de888",
+ "sha256": "0gh04kbg109038xxcrzhjffqrfcxx7vbz0dz2idglcmbqpg7lzjq"
},
"stable": {
"version": [
0,
2,
- 2
+ 3
],
"deps": [
"ht",
"oauth",
"s"
],
- "commit": "15eb7a8d099c93440f0a8920499633103f00fc83",
- "sha256": "13mrssrkcjrrpc470rjpb3mwjfdsyvr4i8niqza54rzk0zxj2m95"
+ "commit": "811bd1f14b38c3dde3f80cd8a13490c9900de888",
+ "sha256": "0gh04kbg109038xxcrzhjffqrfcxx7vbz0dz2idglcmbqpg7lzjq"
}
},
{
@@ -114458,11 +115232,11 @@
"repo": "abo-abo/zoutline",
"unstable": {
"version": [
- 20190520,
- 1819
+ 20210913,
+ 1117
],
- "commit": "63756846f8540b6faf89d885438186e4fe1c7d8a",
- "sha256": "1w0zh6vs7klgivq5r030a82mcfg1zwic4x3fimyiqyg5n8p67hyx"
+ "commit": "d678b0ea805dd18c18746455c70ea68e51422c1d",
+ "sha256": "134c9ibk920nnhmgnvkr97zwgxy7a41kqj14dkrzxmw9lhxnmz20"
},
"stable": {
"version": [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/rect-mark/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/rect-mark/default.nix
index 2214b1448d3..aef7fbf8c9a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/rect-mark/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/rect-mark/default.nix
@@ -1,35 +1,26 @@
-{ lib, stdenv, fetchurl, emacs }:
+{ lib
+, trivialBuild
+, fetchFromGitHub
+, emacs
+ }:
-stdenv.mkDerivation {
+trivialBuild rec {
pname = "rect-mark";
version = "1.4";
- src = fetchurl {
- url = "http://emacswiki.org/emacs/download/rect-mark.el";
- sha256 = "0pyyg53z9irh5jdfvh2qp4pm8qrml9r7lh42wfmdw6c7f56qryh8";
+ src = fetchFromGitHub {
+ owner = "emacsmirror";
+ repo = pname;
+ rev = version;
+ hash = "sha256-/8T1VTYkKUxlNWXuuS54S5jpl4UxJBbgSuWc17a/VyM=";
};
- dontUnpack = true;
-
buildInputs = [ emacs ];
- buildPhase = ''
- cp $src rect-mark.el
- emacs --batch -f batch-byte-compile rect-mark.el
- '';
-
- installPhase = ''
- runHook preInstall
- install -d $out/share/emacs/site-lisp
- install rect-mark.el* $out/share/emacs/site-lisp
- runHook postInstall
- '';
-
- meta = {
- description = "Mark a rectangle of text with highlighting";
+ meta = with lib; {
homepage = "http://emacswiki.org/emacs/RectangleMark";
- license = lib.licenses.gpl2Plus;
-
- platforms = lib.platforms.all;
+ description = "Mark a rectangle of text with highlighting";
+ license = licenses.gpl2Plus;
+ inherit (emacs.meta) platforms;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/sunrise-commander/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/sunrise-commander/default.nix
index 8e29fd48c83..1e1fffe9adb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/sunrise-commander/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/sunrise-commander/default.nix
@@ -1,37 +1,24 @@
{ lib
-, stdenv
+, trivialBuild
, fetchFromGitHub
, emacs
}:
-stdenv.mkDerivation rec {
+trivialBuild rec {
pname = "sunrise-commander";
- version = "0.0.0-unstable=2021-04-23";
+ version = "0.pre+unstable=2021-07-22";
src = fetchFromGitHub {
owner = pname;
repo = pname;
- rev = "db880fbea03d2db00db1398c91918c3c6f0392e3";
- hash = "sha256-IGHCKYQaGUapaA9vxq0xO58KCpBPOiQpHqrEaHK0usE=";
+ rev = "7662f635c372224e2356d745185db1e718fb7ee4";
+ hash = "sha256-NYUqJ2rDidVchX2B0+ApNbQeZFxxCnKRYXb6Ia+NzLI=";
};
buildInputs = [
emacs
];
- buildPhase = ''
- runHook preBuild
- emacs -q --no-splash --directory=. --batch --file=batch-byte-compile *.el
- runHook postBuild
- '';
-
- installPhase = ''
- runHook preInstall
- mkdir -p $out/share/emacs/site-lisp
- install *.el* $out/share/emacs/site-lisp
- runHook postInstall
- '';
-
meta = with lib; {
homepage = "https://github.com/sunrise-commander/sunrise-commander/";
description = "Orthodox (two-pane) file manager for Emacs";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/sv-kalender/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/sv-kalender/default.nix
index ea871ccf414..73fee0dcf39 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/sv-kalender/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/sv-kalender/default.nix
@@ -2,14 +2,11 @@
trivialBuild {
pname = "sv-kalender";
- version = "1.9";
+ version = "1.11";
src = fetchurl {
url = "http://bigwalter.net/daniel/elisp/sv-kalender.el";
- sha256 = "0kilp0nyhj67qscy13s0g07kygz2qwmddklhan020sk7z7jv3lpi";
- postFetch = ''
- echo "(provide 'sv-kalender)" >> $out
- '';
+ sha256 = "0mcx7g1pg6kfp0i4b9rh3q9csgdf3054ijswy368bxwdxsjgfz2m";
};
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/tramp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/tramp/default.nix
index 72dbf7a0f47..fee2cca6926 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/tramp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/tramp/default.nix
@@ -7,11 +7,11 @@
stdenv.mkDerivation rec {
pname = "tramp";
- version = "2.5.0";
+ version = "2.5.1";
src = fetchurl {
url = "mirror://gnu/tramp/${pname}-${version}.tar.gz";
- sha256 = "sha256-w+6HJA8kFb75Z+7vM1zDnzOnkSSIXKnLVyCcEh+nMGY=";
+ hash = "sha256-+jjWBcj2dP9Xyj4dzpAX86KnajVa9eFDcjD9xTw6vks=";
};
buildInputs = [
@@ -19,10 +19,11 @@ stdenv.mkDerivation rec {
texinfo
];
- meta = {
+ meta = with lib; {
homepage = "https://www.gnu.org/software/tramp";
- description = "Transparently access remote files from Emacs. Newer versions than built-in.";
- license = lib.licenses.gpl3Plus;
+ description = "Transparently access remote files from Emacs (latest version)";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ AndersonTorres ];
inherit (emacs.meta) platforms;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/urweb-mode/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/urweb-mode/default.nix
new file mode 100644
index 00000000000..6f7e822d81d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/urweb-mode/default.nix
@@ -0,0 +1,27 @@
+{ lib
+, trivialBuild
+, urweb
+, cl-lib
+, flycheck
+}:
+
+trivialBuild {
+ pname = "urweb-mode";
+
+ inherit (urweb) src version;
+
+ packageRequires = [
+ cl-lib
+ flycheck
+ ];
+
+ postUnpack = ''
+ sourceRoot=$sourceRoot/src/elisp
+ '';
+
+ meta = {
+ description = "Major mode for editing Ur/Web";
+ inherit (urweb.meta) license homepage;
+ maintainers = [ lib.maintainers.sternenseemann ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/youtube-dl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/youtube-dl/default.nix
index c99693463a6..7b57d69d559 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/youtube-dl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/youtube-dl/default.nix
@@ -1,8 +1,13 @@
-{ stdenv, fetchFromGitHub, emacs, lib }:
+{ lib
+, stdenv
+, fetchFromGitHub
+, trivialBuild
+, emacs
+}:
-stdenv.mkDerivation {
+trivialBuild {
pname = "youtube-dl";
- version = "2018-10-12";
+ version = "0.pre+unstable=2018-10-12";
src = fetchFromGitHub {
owner = "skeeto";
@@ -13,24 +18,11 @@ stdenv.mkDerivation {
buildInputs = [ emacs ];
- buildPhase = ''
- runHook preBuild
- emacs -L . --batch -f batch-byte-compile *.el
- runHook postBuild
- '';
-
- installPhase = ''
- runHook preInstall
- install -d $out/share/emacs/site-lisp
- install *.el *.elc $out/share/emacs/site-lisp
- runHook postInstall
- '';
-
- meta = {
- description = "Emacs frontend to the youtube-dl utility";
+ meta = with lib; {
+ description = "Emacs youtube-dl download manager";
homepage = "https://github.com/skeeto/youtube-dl-emacs";
- license = lib.licenses.unlicense;
- maintainers = with lib.maintainers; [ leungbk ];
- platforms = emacs.meta.platforms;
+ license = licenses.unlicense;
+ maintainers = with maintainers; [ leungbk ];
+ inherit (emacs.meta) platforms;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/zeitgeist/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/zeitgeist/default.nix
deleted file mode 100644
index 67bf7b500ff..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/elisp-packages/zeitgeist/default.nix
+++ /dev/null
@@ -1,25 +0,0 @@
-{ lib, stdenv, fetchurl, emacs }:
-
-stdenv.mkDerivation {
- name = "zeitgeist-20120221";
-
- dontUnpack = true;
-
- src = fetchurl {
- url = "https://raw.githubusercontent.com/alexmurray/dotfiles/master/.emacs.d/vendor/zeitgeist.el";
- sha256 = "0fssx3lp8ar3b1ichbagir7z17habv367l7zz719ipycr24rf1nw";
- };
-
- buildInputs = [ emacs ];
-
- installPhase = ''
- mkdir -p $out/share/emacs/site-lisp
- cp $src $out/share/emacs/site-lisp/zeitgeist.el
- '';
-
- meta = {
- description = "Integreate Emacs with Zeitgeist";
- homepage = "http://zeitgeist-project.com/";
- platforms = lib.platforms.all;
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/generic.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/generic.nix
index bcd55258a49..db043140e77 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/generic.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/emacs/generic.nix
@@ -198,7 +198,7 @@ let emacs = stdenv.mkDerivation (lib.optionalAttrs nativeComp {
description = "The extensible, customizable GNU text editor";
homepage = "https://www.gnu.org/software/emacs/";
license = licenses.gpl3Plus;
- maintainers = with maintainers; [ lovek323 peti jwiegley adisbladis ];
+ maintainers = with maintainers; [ lovek323 jwiegley adisbladis ];
platforms = platforms.all;
longDescription = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/featherpad/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/featherpad/default.nix
index 42c8e77ac68..84dc16c76d1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/featherpad/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/featherpad/default.nix
@@ -3,13 +3,13 @@
mkDerivation rec {
pname = "featherpad";
- version = "0.18.0";
+ version = "1.0.0";
src = fetchFromGitHub {
owner = "tsujan";
repo = "FeatherPad";
rev = "V${version}";
- sha256 = "0av96yx9ir1ap5adn2cvr6n5y7qjrspk73and21m65dmpwlfdiqb";
+ sha256 = "sha256-GcOvof6bD7GNrABXIR8jOfzjDEN5Lvnj24M154iqQgU=";
};
nativeBuildInputs = [ cmake pkg-config qttools ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/geany/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/geany/default.nix
index e77b63218c3..4f2b3f1eb33 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/geany/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/geany/default.nix
@@ -12,13 +12,13 @@
stdenv.mkDerivation rec {
pname = "geany";
- version = "1.37.1";
+ version = "1.38";
outputs = [ "out" "dev" "doc" "man" ];
src = fetchurl {
url = "https://download.geany.org/${pname}-${version}.tar.bz2";
- sha256 = "060sachn33xpx3a609f09y97qq5ky17gvv686zbvrn618ij7bi8q";
+ sha256 = "abff176e4d48bea35ee53037c49c82f90b6d4c23e69aed6e4a5ca8ccd3aad546";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/gnome-builder/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/gnome-builder/default.nix
index 998341d6bb5..38f38ae3a51 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/gnome-builder/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/gnome-builder/default.nix
@@ -1,17 +1,17 @@
-{ lib, stdenv
+{ stdenv
+, lib
, ctags
+, cmark
, appstream-glib
, desktop-file-utils
-, docbook_xsl
-, docbook_xml_dtd_43
, fetchurl
, flatpak
, gnome
, libgit2-glib
+, gi-docgen
, gobject-introspection
, glade
, gspell
-, gtk-doc
, gtk3
, gtksourceview4
, json-glib
@@ -39,20 +39,20 @@
stdenv.mkDerivation rec {
pname = "gnome-builder";
- version = "3.40.2";
+ version = "41.1";
+
+ outputs = [ "out" "devdoc" ];
src = fetchurl {
- url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "16kikslvcfjqj4q3j857mq9i8cyd965b3lvfzcwijc91x3ylr15j";
+ url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
+ sha256 = "XVXkqqKkdYpGJj0cf9AJyz20RV4O1/nkTDoWNIYfo4o=";
};
nativeBuildInputs = [
appstream-glib
desktop-file-utils
- docbook_xsl
- docbook_xml_dtd_43
+ gi-docgen
gobject-introspection
- gtk-doc
meson
ninja
pkg-config
@@ -63,6 +63,7 @@ stdenv.mkDerivation rec {
buildInputs = [
ctags
+ cmark
flatpak
gnome.devhelp
glade
@@ -92,8 +93,6 @@ stdenv.mkDerivation rec {
xvfb-run
];
- outputs = [ "out" "devdoc" ];
-
prePatch = ''
patchShebangs build-aux/meson/post_install.py
'';
@@ -134,9 +133,13 @@ stdenv.mkDerivation rec {
done
'';
+ postFixup = ''
+ # Cannot be in postInstall, otherwise _multioutDocs hook in preFixup will move right back.
+ moveToOutput share/doc/libide "$devdoc"
+ '';
+
passthru.updateScript = gnome.updateScript {
packageName = pname;
- versionPolicy = "odd-unstable";
};
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/jetbrains/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/jetbrains/default.nix
index bd8751dcf99..05ee956e467 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/jetbrains/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/jetbrains/default.nix
@@ -242,12 +242,12 @@ in
clion = buildClion rec {
name = "clion-${version}";
- version = "2021.2"; /* updated by script */
+ version = "2021.2.3"; /* updated by script */
description = "C/C++ IDE. New. Intelligent. Cross-platform";
license = lib.licenses.unfree;
src = fetchurl {
url = "https://download.jetbrains.com/cpp/CLion-${version}.tar.gz";
- sha256 = "0im14b52b6hgns964m946q68mvyaq8haldm92s9cn5azh8yg1arc"; /* updated by script */
+ sha256 = "09qbzkxyk435s4n04s12ncjyri024wj9pwz8wgjjsswpfa69dhr5"; /* updated by script */
};
wmClass = "jetbrains-clion";
update-channel = "CLion RELEASE"; # channel's id as in http://www.jetbrains.com/updates/updates.xml
@@ -255,12 +255,12 @@ in
datagrip = buildDataGrip rec {
name = "datagrip-${version}";
- version = "2021.2"; /* updated by script */
+ version = "2021.2.4"; /* updated by script */
description = "Your Swiss Army Knife for Databases and SQL";
license = lib.licenses.unfree;
src = fetchurl {
url = "https://download.jetbrains.com/datagrip/${name}.tar.gz";
- sha256 = "17fzrn20mkldf74965c7abw3znyxskssdz1k4lciz6q7kn5cvdbf"; /* updated by script */
+ sha256 = "1vj9ihzw07bh30ngy8mj027ljq9zzd904k61f8jbfpw75vknh8f6"; /* updated by script */
};
wmClass = "jetbrains-datagrip";
update-channel = "DataGrip RELEASE";
@@ -268,12 +268,12 @@ in
goland = buildGoland rec {
name = "goland-${version}";
- version = "2021.2"; /* updated by script */
+ version = "2021.2.3"; /* updated by script */
description = "Up and Coming Go IDE";
license = lib.licenses.unfree;
src = fetchurl {
url = "https://download.jetbrains.com/go/${name}.tar.gz";
- sha256 = "15pxr9w4gs342g9in7a41x0cpb7z68y28hwsamk9ic7yz2qshps8"; /* updated by script */
+ sha256 = "1n0yrk05xv4pard82b6z349ksiw8k75s9525pnpa2ny1ay1klhdg"; /* updated by script */
};
wmClass = "jetbrains-goland";
update-channel = "GoLand RELEASE";
@@ -281,12 +281,12 @@ in
idea-community = buildIdea rec {
name = "idea-community-${version}";
- version = "2021.2"; /* updated by script */
+ version = "2021.2.3"; /* updated by script */
description = "Integrated Development Environment (IDE) by Jetbrains, community edition";
license = lib.licenses.asl20;
src = fetchurl {
url = "https://download.jetbrains.com/idea/ideaIC-${version}.tar.gz";
- sha256 = "1zki6myy3wbr1sgp1gli263y32g75gna2r9m7baa06zvc6c7j9vw"; /* updated by script */
+ sha256 = "166rhssyizn40rlar7ym7gkwz2aawp58qqvrs60w3cwwvjvb0bjq"; /* updated by script */
};
wmClass = "jetbrains-idea-ce";
update-channel = "IntelliJ IDEA RELEASE";
@@ -294,12 +294,12 @@ in
idea-ultimate = buildIdea rec {
name = "idea-ultimate-${version}";
- version = "2021.2"; /* updated by script */
+ version = "2021.2.3"; /* updated by script */
description = "Integrated Development Environment (IDE) by Jetbrains, requires paid license";
license = lib.licenses.unfree;
src = fetchurl {
url = "https://download.jetbrains.com/idea/ideaIU-${version}-no-jbr.tar.gz";
- sha256 = "0gbx1ngf8i2a0dvysw5g0ikakq4a7gghb4rjk7c99jwzwq9hckjm"; /* updated by script */
+ sha256 = "1d0kk2yydrbzvdy6dy9jqr182panidmbf2hy80gvi5ph2r5rv1qd"; /* updated by script */
};
wmClass = "jetbrains-idea";
update-channel = "IntelliJ IDEA RELEASE";
@@ -307,13 +307,13 @@ in
mps = buildMps rec {
name = "mps-${version}";
- version = "2021.1.1"; /* updated by script */
- versionMajorMinor = "2021.1"; /* updated by script */
+ version = "2021.2.1"; /* updated by script */
+ versionMajorMinor = "2021.2"; /* updated by script */
description = "Create your own domain-specific language";
license = lib.licenses.asl20;
src = fetchurl {
url = "https://download.jetbrains.com/mps/${versionMajorMinor}/MPS-${version}.tar.gz";
- sha256 = "1gp9f4b57pr8c20qxcwax4l0d3w13x4lihxpb9z4agdqjafnc9l6"; /* updated by script */
+ sha256 = "1yawjc5xwga1mmlsl3068ml532941mq08i9ji3dhj1nwdkyav2jz"; /* updated by script */
};
wmClass = "jetbrains-mps";
update-channel = "MPS RELEASE";
@@ -321,12 +321,12 @@ in
phpstorm = buildPhpStorm rec {
name = "phpstorm-${version}";
- version = "2021.2"; /* updated by script */
+ version = "2021.2.3"; /* updated by script */
description = "Professional IDE for Web and PHP developers";
license = lib.licenses.unfree;
src = fetchurl {
url = "https://download.jetbrains.com/webide/PhpStorm-${version}.tar.gz";
- sha256 = "11lm7mja3mc2v329i830j8w1zymlz11sj86qvcg7s4pgc4xwnzd6"; /* updated by script */
+ sha256 = "1avcm4fnkn0jkw85s505yz5kjbxzk038463sjdsca04pv5yhsdp0"; /* updated by script */
};
wmClass = "jetbrains-phpstorm";
update-channel = "PhpStorm RELEASE";
@@ -334,12 +334,12 @@ in
pycharm-community = buildPycharm rec {
name = "pycharm-community-${version}";
- version = "2021.2"; /* updated by script */
+ version = "2021.2.2"; /* updated by script */
description = "PyCharm Community Edition";
license = lib.licenses.asl20;
src = fetchurl {
url = "https://download.jetbrains.com/python/${name}.tar.gz";
- sha256 = "075lb50g853791hj8ahhi88zbp07cvfs3zrdahvvif2nd121r1mx"; /* updated by script */
+ sha256 = "0s9kk3n5ac6lvqi2yw9gvvm45865jchiwyrs8pq2dgdkgaligrjv"; /* updated by script */
};
wmClass = "jetbrains-pycharm-ce";
update-channel = "PyCharm RELEASE";
@@ -347,12 +347,12 @@ in
pycharm-professional = buildPycharm rec {
name = "pycharm-professional-${version}";
- version = "2021.2"; /* updated by script */
+ version = "2021.2.2"; /* updated by script */
description = "PyCharm Professional Edition";
license = lib.licenses.unfree;
src = fetchurl {
url = "https://download.jetbrains.com/python/${name}.tar.gz";
- sha256 = "1l83a8wfqz4xddscpzf7v29rhq9ibx32ximcqrj1cw0i9v7qnv9g"; /* updated by script */
+ sha256 = "0mgmmf926n3ipr8fxn6f9hsa5vkil8yrw5qlixi8nwnx7chmkp56"; /* updated by script */
};
wmClass = "jetbrains-pycharm";
update-channel = "PyCharm RELEASE";
@@ -360,12 +360,12 @@ in
rider = buildRider rec {
name = "rider-${version}";
- version = "2021.1.5"; /* updated by script */
+ version = "2021.2.2"; /* updated by script */
description = "A cross-platform .NET IDE based on the IntelliJ platform and ReSharper";
license = lib.licenses.unfree;
src = fetchurl {
url = "https://download.jetbrains.com/rider/JetBrains.Rider-${version}.tar.gz";
- sha256 = "17mxqh6p9jby5qrjqaq5km0j8k1bp8061ch2j059ka3n4ycxy7ph"; /* updated by script */
+ sha256 = "17xx8mz3dr5iqlr0lsiy8a6cxz3wp5vg8z955cdv0hf8b5rncqfa"; /* updated by script */
};
wmClass = "jetbrains-rider";
update-channel = "Rider RELEASE";
@@ -373,12 +373,12 @@ in
ruby-mine = buildRubyMine rec {
name = "ruby-mine-${version}";
- version = "2021.2"; /* updated by script */
+ version = "2021.2.3"; /* updated by script */
description = "The Most Intelligent Ruby and Rails IDE";
license = lib.licenses.unfree;
src = fetchurl {
url = "https://download.jetbrains.com/ruby/RubyMine-${version}.tar.gz";
- sha256 = "169jsd34l83n1pnrhzz548yn7ch1dzxpy8xck7vlymjgrxdqciwi"; /* updated by script */
+ sha256 = "0bbq5ya1dxrgaqqqsc4in4rgv7v292hww3bb0vpzwz6dmc2jly1i"; /* updated by script */
};
wmClass = "jetbrains-rubymine";
update-channel = "RubyMine RELEASE";
@@ -386,12 +386,12 @@ in
webstorm = buildWebStorm rec {
name = "webstorm-${version}";
- version = "2021.2"; /* updated by script */
+ version = "2021.2.2"; /* updated by script */
description = "Professional IDE for Web and JavaScript development";
license = lib.licenses.unfree;
src = fetchurl {
url = "https://download.jetbrains.com/webstorm/WebStorm-${version}.tar.gz";
- sha256 = "1whikk1izzvhq1d7kaf2kn4j4qpxn7aq70hlbxr1kb7zs4mzy9x3"; /* updated by script */
+ sha256 = "1a3vlqza9nbc4a2qxrzdckmq003zx1db9dy7wx462amc8sbh6v92"; /* updated by script */
};
wmClass = "jetbrains-webstorm";
update-channel = "WebStorm RELEASE";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/kakoune/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/kakoune/default.nix
index 1f3b2685fee..2562a821b82 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/kakoune/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/kakoune/default.nix
@@ -1,25 +1,17 @@
-{ lib, stdenv, fetchFromGitHub, ncurses, asciidoc, docbook_xsl, libxslt, pkg-config }:
+{ lib, stdenv, fetchFromGitHub }:
with lib;
stdenv.mkDerivation rec {
pname = "kakoune-unwrapped";
- version = "2020.09.01";
+ version = "2021.08.28";
src = fetchFromGitHub {
repo = "kakoune";
owner = "mawww";
rev = "v${version}";
- sha256 = "091qzk0qs7hql0q51hix99srgma35mhdnjfd5ncfba1bmc1h8x5i";
+ sha256 = "13kc68vkrzg89khir6ayyxgbnmz16dhippcnw09hhzxivf5ayzpy";
};
- nativeBuildInputs = [ pkg-config ];
- buildInputs = [ ncurses asciidoc docbook_xsl libxslt ];
- makeFlags = [ "debug=no" ];
-
- postPatch = ''
- export PREFIX=$out
- cd src
- sed -ie 's#--no-xmllint#--no-xmllint --xsltproc-opts="--nonet"#g' Makefile
- '';
+ makeFlags = [ "debug=no" "PREFIX=${placeholder "out"}" ];
preConfigure = ''
export version="v${version}"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/leo-editor/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/leo-editor/default.nix
index e583671bcb4..cf4a752b1bd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/leo-editor/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/leo-editor/default.nix
@@ -63,5 +63,6 @@ mkDerivation rec {
longDescription = "Leo is a PIM, IDE and outliner that accelerates the work flow of programmers, authors and web designers.";
license = licenses.mit;
maintainers = with maintainers; [ leonardoce ];
+ mainProgram = "leo";
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/lighttable/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/lighttable/default.nix
index 0b550c5f11d..e2e08a84c88 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/lighttable/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/lighttable/default.nix
@@ -1,12 +1,12 @@
{ stdenv, lib, fetchurl, zlib, glib, alsa-lib, makeDesktopItem
, dbus, gtk2, atk, pango, freetype, fontconfig, libgnome-keyring3, gdk-pixbuf
-, cairo, cups, expat, libgpgerror, nspr, gnome2, nss, xorg, systemd, libnotify
+, cairo, cups, expat, libgpg-error, nspr, gnome2, nss, xorg, systemd, libnotify
}:
let
libPath = lib.makeLibraryPath [
stdenv.cc.cc zlib glib dbus gtk2 atk pango freetype libgnome-keyring3 nss
- fontconfig gdk-pixbuf cairo cups expat libgpgerror alsa-lib nspr gnome2.GConf
+ fontconfig gdk-pixbuf cairo cups expat libgpg-error alsa-lib nspr gnome2.GConf
xorg.libXrender xorg.libX11 xorg.libXext xorg.libXdamage xorg.libXtst
xorg.libXcomposite xorg.libXi xorg.libXfixes libnotify xorg.libXrandr
xorg.libXcursor
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/nano/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/nano/default.nix
index bd61933b38d..f7fb1b5bfc6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/nano/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/nano/default.nix
@@ -16,11 +16,11 @@ let
in stdenv.mkDerivation rec {
pname = "nano";
- version = "5.8";
+ version = "5.9";
src = fetchurl {
url = "mirror://gnu/nano/${pname}-${version}.tar.xz";
- sha256 = "133nhxg4xfxisjzi85rn2l575hdbvcax1s13l4m6wcvq5zdn6fz4";
+ sha256 = "dX24zaS7KHNZnkd4OvRj47VHpiewyrsw6nv3H7TCSTc=";
};
nativeBuildInputs = [ texinfo ] ++ optional enableNls gettext;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/nano/nanorc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/nano/nanorc/default.nix
index fcec55871aa..0675ceaba96 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/nano/nanorc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/nano/nanorc/default.nix
@@ -32,7 +32,6 @@ in stdenv.mkDerivation rec {
git
gnused
nix
- nixfmt
]
}
oldVersion="$(nix-instantiate --eval -E "with import ./. {}; lib.getVersion ${pname}" | tr -d '"' | sed 's|\\.|-|g')"
@@ -42,7 +41,6 @@ in stdenv.mkDerivation rec {
default_nix="$nixpkgs/pkgs/applications/editors/nano/nanorc/default.nix"
newTag=$(echo $latestTag | sed 's|\.|-|g')
update-source-version ${pname} "$newTag" --version-key=version --print-changes
- nixfmt "$default_nix"
else
echo "${pname} is already up-to-date"
fi
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/default.nix
index f36009afc69..8655235f292 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/default.nix
@@ -32,13 +32,13 @@ let
in
stdenv.mkDerivation rec {
pname = "neovim-unwrapped";
- version = "0.5.0";
+ version = "0.5.1";
src = fetchFromGitHub {
owner = "neovim";
repo = "neovim";
rev = "v${version}";
- sha256 = "0lgbf90sbachdag1zm9pmnlbn35964l3khs27qy4462qzpqyi9fi";
+ sha256 = "0b2gda9h14lvwahrr7kq3ix8wsw99g4ngy1grmhv5544n93ypcyk";
};
patches = [
@@ -50,6 +50,8 @@ in
dontFixCmake = true;
+ inherit lua;
+
buildInputs = [
gperf
libtermkey
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/neovide/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/neovide/default.nix
index 75d20a12a0d..a40ff76eea3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/neovide/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/neovide/default.nix
@@ -22,16 +22,16 @@
}:
rustPlatform.buildRustPackage rec {
pname = "neovide";
- version = "unstable-2021-08-08";
+ version = "unstable-2021-10-09";
src = fetchFromGitHub {
owner = "Kethku";
repo = "neovide";
- rev = "725f12cafd4a26babd0d6bbcbca9a99c181991ac";
- sha256 = "sha256-ThMobWKe3wHhR15TmmKrI6Gp1wvGVfJ52MzibK0ubkc=";
+ rev = "7f76ad4764197ba75bb9263d25b265d801563ccf";
+ sha256 = "sha256-kcP0WSk3quTaWCGQYN4zYlDQ9jhx/Vu6AamSLGFszwQ=";
};
- cargoSha256 = "sha256-5lOGncnyA8DwetY5bU6k2KXNClFgp+xIBEeA0/iwGF0=";
+ cargoSha256 = "sha256-TQEhz9FtvIb/6Qtyz018dPle0+nub1oMZMFtKAqYcoI=";
SKIA_SOURCE_DIR =
let
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/ruby_provider/Gemfile.lock b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/ruby_provider/Gemfile.lock
index d0827bf2a7f..7a1975c37c6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/ruby_provider/Gemfile.lock
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/ruby_provider/Gemfile.lock
@@ -1,9 +1,9 @@
GEM
remote: https://rubygems.org/
specs:
- msgpack (1.2.6)
- multi_json (1.13.1)
- neovim (0.8.0)
+ msgpack (1.4.2)
+ multi_json (1.15.0)
+ neovim (0.8.1)
msgpack (~> 1.1)
multi_json (~> 1.0)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/ruby_provider/gemset.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/ruby_provider/gemset.nix
index 28a53cc590f..60dcc8ba383 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/ruby_provider/gemset.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/ruby_provider/gemset.nix
@@ -1,27 +1,33 @@
{
msgpack = {
+ groups = ["default"];
+ platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0031gd2mjyba6jb7m97sqa149zjkr0vzn2s2gpb3m9nb67gqkm13";
+ sha256 = "06iajjyhx0rvpn4yr3h1hc4w4w3k59bdmfhxnjzzh76wsrdxxrc6";
type = "gem";
};
- version = "1.2.6";
+ version = "1.4.2";
};
multi_json = {
+ groups = ["default"];
+ platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1rl0qy4inf1mp8mybfk56dfga0mvx97zwpmq5xmiwl5r770171nv";
+ sha256 = "0pb1g1y3dsiahavspyzkdy39j4q377009f6ix0bh1ag4nqw43l0z";
type = "gem";
};
- version = "1.13.1";
+ version = "1.15.0";
};
neovim = {
dependencies = ["msgpack" "multi_json"];
+ groups = ["default"];
+ platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "07scrdfk7pyn5jgx5m2yajdqpbdv42833vbw568qqag6xp99j3yk";
+ sha256 = "0lfrbi4r6lagn2q92lyivk2w22i2spw0jbdzxxlcfj2zhv2wnvvi";
type = "gem";
};
- version = "0.8.0";
+ version = "0.8.1";
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/tests.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/tests.nix
index f9d0d659b73..1e46a59f563 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/tests.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/tests.nix
@@ -84,6 +84,33 @@ rec {
viAlias = true;
};
+ nvim_with_plug = neovim.override {
+ extraName = "-with-plug";
+ configure.plug.plugins = with pkgs.vimPlugins; [
+ (base16-vim.overrideAttrs(old: { pname = old.pname + "-unique-for-tests-please-dont-use"; }))
+ ];
+ configure.customRC = ''
+ color base16-tomorrow-night
+ set background=dark
+ '';
+ };
+
+ run_nvim_with_plug = runTest nvim_with_plug ''
+ export HOME=$TMPDIR
+ ${nvim_with_plug}/bin/nvim -i NONE -c 'color base16-tomorrow-night' +quit! -e
+ '';
+
+
+ # check that the vim-doc hook correctly generates the tag
+ # we know for a fact packer has a doc folder
+ checkForTags = vimPlugins.packer-nvim.overrideAttrs(oldAttrs: {
+ doInstallCheck = true;
+ installCheckPhase = ''
+ [ -f $out/doc/tags ]
+ '';
+ });
+
+
# nixpkgs should detect that no wrapping is necessary
nvimShouldntWrap = wrapNeovim2 "-should-not-wrap" nvimAutoDisableWrap;
@@ -132,4 +159,16 @@ rec {
extraName = "-pathogen";
configure.pathogen.pluginNames = [ "vim-nix" ];
};
+
+ nvimWithLuaPackages = wrapNeovim2 "-with-lua-packages" (makeNeovimConfig {
+ extraLuaPackages = ps: [ps.mpack];
+ customRC = ''
+ lua require("mpack")
+ '';
+ });
+
+ nvim_with_lua_packages = runTest nvimWithLuaPackages ''
+ export HOME=$TMPDIR
+ ${nvimWithLuaPackages}/bin/nvim -i NONE --noplugin -es
+ '';
})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/utils.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/utils.nix
index c753d2cca2c..bf0d80d1f07 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/utils.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/neovim/utils.nix
@@ -28,6 +28,8 @@ let
, extraPython3Packages ? (_: [ ])
, withNodeJs ? false
, withRuby ? true
+ /* the function you would have passed to lua.withPackages */
+ , extraLuaPackages ? (_: [ ])
# expects a list of plugin configuration
# expects { plugin=far-vim; config = "let g:far#source='rg'"; optional = false; }
@@ -76,6 +78,7 @@ let
++ (extraPython3Packages ps)
++ (lib.concatMap (f: f ps) pluginPython3Packages));
+ luaEnv = neovim-unwrapped.lua.withPackages(extraLuaPackages);
# Mapping a boolean argument to a key that tells us whether to add or not to
# add to nvim's 'embedded rc' this:
@@ -110,6 +113,9 @@ let
"--set" "GEM_HOME" "${rubyEnv}/${rubyEnv.ruby.gemPath}"
] ++ lib.optionals (binPath != "") [
"--suffix" "PATH" ":" binPath
+ ] ++ lib.optionals (luaEnv != null) [
+ "--prefix" "LUA_PATH" ";" (neovim-unwrapped.lua.pkgs.lib.genLuaPathAbsStr luaEnv)
+ "--prefix" "LUA_CPATH" ";" (neovim-unwrapped.lua.pkgs.lib.genLuaCPathAbsStr luaEnv)
];
@@ -123,6 +129,7 @@ let
inherit neovimRcContent;
inherit manifestRc;
inherit python3Env;
+ inherit luaEnv;
inherit withNodeJs;
} // lib.optionalAttrs withRuby {
inherit rubyEnv;
@@ -143,6 +150,8 @@ let
, extraPythonPackages ? (_: [])
/* the function you would have passed to python.withPackages */
, withPython3 ? true, extraPython3Packages ? (_: [])
+ /* the function you would have passed to lua.withPackages */
+ , extraLuaPackages ? (_: [])
, withNodeJs ? false
, withRuby ? true
, vimAlias ? false
@@ -159,6 +168,7 @@ let
res = makeNeovimConfig {
inherit withPython3;
extraPython3Packages = compatFun extraPython3Packages;
+ inherit extraLuaPackages;
inherit withNodeJs withRuby viAlias vimAlias;
inherit configure;
inherit extraName;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/netbeans/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/netbeans/default.nix
index 00497eebacf..ae538575367 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/netbeans/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/netbeans/default.nix
@@ -3,7 +3,7 @@
}:
let
- version = "12.4";
+ version = "12.5";
desktopItem = makeDesktopItem {
name = "netbeans";
exec = "netbeans";
@@ -19,7 +19,7 @@ stdenv.mkDerivation {
inherit version;
src = fetchurl {
url = "mirror://apache/netbeans/netbeans/${version}/netbeans-${version}-bin.zip";
- sha512 = "2jwfyq5ik0pwjd61mh0dhyw4xgpzfmgsjc947xg84n7xmns4mzgb8k5ggrss6hgqiqk7jl3psv7v837c2dxk1xdrdnkzs31cg9symbs";
+ sha512 = "3yagspb2clcvd87a9vnpwd64w3afm4kyprqyjccpckysh4php5vhf7dfza3zhs4yzmkikc2pfs7470w54mxz9rcmaixh23h3hlgd1ii";
};
buildCommand = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/clang-location.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/clang-location.patch
index 700ed754d2b..8e4a7e3d84c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/clang-location.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/clang-location.patch
@@ -1,25 +1,61 @@
-diff --git i/src/cpp/core/libclang/LibClang.cpp w/src/cpp/core/libclang/LibClang.cpp
-index ec12a3a1ff..8c81b633ae 100644
---- i/src/cpp/core/libclang/LibClang.cpp
-+++ w/src/cpp/core/libclang/LibClang.cpp
-@@ -54,7 +54,7 @@ std::vector defaultCompileArgs(LibraryVersion version)
+diff --git a/src/cpp/core/libclang/LibClang.cpp b/src/cpp/core/libclang/LibClang.cpp
+index 1186f3a..58e8cc7 100644
+--- a/src/cpp/core/libclang/LibClang.cpp
++++ b/src/cpp/core/libclang/LibClang.cpp
+@@ -58,7 +58,7 @@ std::vector defaultCompileArgs(LibraryVersion version)
// we need to add in the associated libclang headers as
// they are not discovered / used by default during compilation
-- FilePath llvmPath = s_libraryPath.parent().parent();
+- FilePath llvmPath = s_libraryPath.getParent().getParent();
+ FilePath llvmPath("@libclang@");
boost::format fmt("%1%/lib/clang/%2%/include");
- fmt % llvmPath.absolutePath() % version.asString();
+ fmt % llvmPath.getAbsolutePath() % version.asString();
std::string includePath = fmt.str();
-@@ -77,10 +77,7 @@ std::vector systemClangVersions()
- #elif defined(__unix__)
- // default set of versions
- clangVersions = {
+@@ -70,46 +70,7 @@ std::vector defaultCompileArgs(LibraryVersion version)
+
+ std::vector systemClangVersions()
+ {
+- std::vector clangVersions;
+-
+-#if defined(__APPLE__)
+- // NOTE: the version of libclang.dylib bundled with Xcode
+- // doesn't seem to work well when loaded as a library
+- // (there seems to be extra orchestration required to get
+- // include paths set up; easier to just depend on command
+- // line tools since we request their installation in other
+- // contexts as well)
+- clangVersions = {
+- "/Library/Developer/CommandLineTools/usr/lib/libclang.dylib"
+- };
+-#elif defined(__unix__)
+- // default set of versions
+- clangVersions = {
- "/usr/lib/libclang.so",
- "/usr/lib/llvm/libclang.so",
- "/usr/lib64/libclang.so",
- "/usr/lib64/llvm/libclang.so",
-+ "@libclang.so@"
- };
-
- // iterate through the set of available 'llvm' directories
+- };
+-
+- // iterate through the set of available 'llvm' directories
+- for (const char* prefix : {"/usr/lib", "/usr/lib64"})
+- {
+- FilePath prefixPath(prefix);
+- if (!prefixPath.exists())
+- continue;
+-
+- std::vector directories;
+- Error error = prefixPath.getChildren(directories);
+- if (error)
+- LOG_ERROR(error);
+-
+- // generate a path for each 'llvm' directory
+- for (const FilePath& path : directories)
+- if (path.getFilename().find("llvm") == 0)
+- clangVersions.push_back(path.completePath("lib/libclang.so.1").getAbsolutePath());
+- }
+-#endif
+-
++ std::vector clangVersions = { "@libclang.so@" };
+ return clangVersions;
+ }
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/default.nix
index 76d9c2e594b..3ccdd70c455 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/default.nix
@@ -1,141 +1,209 @@
-{ lib, mkDerivation, fetchurl, fetchpatch, fetchFromGitHub, makeDesktopItem, cmake, boost, zlib
-, openssl, R, qtbase, qtxmlpatterns, qtsensors, qtwebengine, qtwebchannel
-, libuuid, hunspellDicts, unzip, ant, jdk, gnumake, makeWrapper, pandoc
+{ lib
+, mkDerivation
+, fetchurl
+, fetchpatch
+, fetchFromGitHub
+, makeDesktopItem
+, copyDesktopItems
+, cmake
+, boost
+, zlib
+, openssl
+, R
+, qtbase
+, qtxmlpatterns
+, qtsensors
+, qtwebengine
+, qtwebchannel
+, libuuid
+, hunspellDicts
+, unzip
+, ant
+, jdk
+, gnumake
+, makeWrapper
+, pandoc
, llvmPackages
+, libyamlcpp
+, soci
+, postgresql
+, nodejs
+, mkYarnModules
+, qmake
}:
-with lib;
let
- verMajor = "1";
- verMinor = "2";
- verPatch = "5042";
- version = "${verMajor}.${verMinor}.${verPatch}";
- ginVer = "2.1.2";
- gwtVer = "2.8.1";
-in
-mkDerivation rec {
pname = "RStudio";
- inherit version;
-
- nativeBuildInputs = [ cmake unzip ant jdk makeWrapper pandoc ];
-
- buildInputs = [ boost zlib openssl R qtbase qtxmlpatterns qtsensors
- qtwebengine qtwebchannel libuuid ];
+ version = "1.4.1717";
+ RSTUDIO_VERSION_MAJOR = lib.versions.major version;
+ RSTUDIO_VERSION_MINOR = lib.versions.minor version;
+ RSTUDIO_VERSION_PATCH = lib.versions.patch version;
src = fetchFromGitHub {
owner = "rstudio";
repo = "rstudio";
rev = "v${version}";
- sha256 = "1n67fa357v51j3z1ma8v2ydfsx3y8n10k2svmfcf4mdzsi8w0kc5";
+ sha256 = "sha256-9c1bNsf8kJjpcZ2cMV/pPNtXQkFOntX29a1cdnXpllE=";
};
- # Hack RStudio to only use the input R and provided libclang.
- patches = [ ./r-location.patch ./clang-location.patch
- (fetchpatch {
- # Fetch a patch to ensure Rstudio compiles against R
- # 4.0.0, should be removed next 1.2.X Rstudio update
- # or possibly 1.3.X
- url = "https://github.com/rstudio/rstudio/commit/3fb2397c2f208bb8ace0bbaf269481ccb96b5b20.patch";
- sha256 = "0qpgjy6aash0fc0xbns42cwpj3nsw49nkbzwyq8az01xwg81g0f3";
- })
- ];
- postPatch = ''
- substituteInPlace src/cpp/core/r_util/REnvironmentPosix.cpp --replace '@R@' ${R}
- substituteInPlace src/cpp/core/libclang/LibClang.cpp \
- --replace '@libclang@' ${llvmPackages.libclang.lib} \
- --replace '@libclang.so@' ${llvmPackages.libclang.lib}/lib/libclang.so
- '';
-
- ginSrc = fetchurl {
- url = "https://s3.amazonaws.com/rstudio-buildtools/gin-${ginVer}.zip";
- sha256 = "16jzmljravpz6p2rxa87k5f7ir8vs7ya75lnfybfajzmci0p13mr";
- };
-
- gwtSrc = fetchurl {
- url = "https://s3.amazonaws.com/rstudio-buildtools/gwt-${gwtVer}.zip";
- sha256 = "19x000m3jwnkqgi6ic81lkzyjvvxcfacw2j0vcfcaknvvagzhyhb";
- };
-
- hunspellDictionaries = filter isDerivation (unique (attrValues hunspellDicts));
- # These dicts contain identically-named dict files, so we only keep the
- # -large versions in case of clashes
- largeDicts = filter (d: hasInfix "-large-wordlist" d) hunspellDictionaries;
- otherDicts = filter (d: !(hasAttr "dictFileName" d &&
- elem d.dictFileName (map (d: d.dictFileName) largeDicts))) hunspellDictionaries;
- dictionaries = largeDicts ++ otherDicts;
-
mathJaxSrc = fetchurl {
- url = "https://s3.amazonaws.com/rstudio-buildtools/mathjax-26.zip";
- sha256 = "0wbcqb9rbfqqvvhqr1pbqax75wp8ydqdyhp91fbqfqp26xzjv6lk";
+ url = "https://s3.amazonaws.com/rstudio-buildtools/mathjax-27.zip";
+ sha256 = "sha256-xWy6psTOA8H8uusrXqPDEtL7diajYCVHcMvLiPsgQXY=";
};
rsconnectSrc = fetchFromGitHub {
owner = "rstudio";
repo = "rsconnect";
- rev = "984745d8";
- sha256 = "037z0y32k1gdda192y5qn5hi7wp8wyap44mkjlklrgcqkmlcylb9";
+ rev = "f5854bb71464f6e3017da9855f058fe3d5b32efd";
+ sha256 = "sha256-ULyWdSgGPSAwMt0t4QPuzeUE6Bo6IJh+5BMgW1bFN+Y=";
};
- preConfigure =
- ''
- export RSTUDIO_VERSION_MAJOR=${verMajor}
- export RSTUDIO_VERSION_MINOR=${verMinor}
- export RSTUDIO_VERSION_PATCH=${verPatch}
+ panmirrorModules = mkYarnModules {
+ inherit pname version;
+ packageJSON = ./package.json;
+ yarnLock = ./yarn.lock;
+ yarnNix = ./yarndeps.nix;
+ };
- GWT_LIB_DIR=src/gwt/lib
+in
+mkDerivation rec {
+ inherit pname version src RSTUDIO_VERSION_MAJOR RSTUDIO_VERSION_MINOR RSTUDIO_VERSION_PATCH;
+
+ nativeBuildInputs = [
+ cmake
+ unzip
+ ant
+ jdk
+ makeWrapper
+ pandoc
+ nodejs
+ copyDesktopItems
+ ];
+
+ buildInputs = [
+ boost
+ zlib
+ openssl
+ R
+ qtbase
+ qtxmlpatterns
+ qtsensors
+ qtwebengine
+ qtwebchannel
+ libuuid
+ libyamlcpp
+ soci
+ postgresql
+ ];
+
+ cmakeFlags = [
+ "-DRSTUDIO_TARGET=Desktop"
+ "-DCMAKE_BUILD_TYPE=Release"
+ "-DQT_QMAKE_EXECUTABLE=${qmake}/bin/qmake"
+ "-DRSTUDIO_USE_SYSTEM_SOCI=ON"
+ "-DRSTUDIO_USE_SYSTEM_BOOST=ON"
+ "-DRSTUDIO_USE_SYSTEM_YAML_CPP=ON"
+ "-DPANDOC_VERSION=${pandoc.version}"
+ "-DCMAKE_INSTALL_PREFIX=${placeholder "out"}/lib/rstudio"
+ ];
+
+ # Hack RStudio to only use the input R and provided libclang.
+ patches = [
+ ./r-location.patch
+ ./clang-location.patch
+ # postFetch doesn't work with this | error: unexpected end-of-file
+ # replacing /usr/bin/node is done in postPatch
+ # https://src.fedoraproject.org/rpms/rstudio/tree/rawhide
+ (fetchpatch {
+ name = "system-node.patch";
+ url = "https://src.fedoraproject.org/rpms/rstudio/raw/5bda2e290c9e72305582f2011040938d3e356906/f/0004-use-system-node.patch";
+ sha256 = "sha256-P1Y07RB/ceFNa749nyBUWSE41eiiZgt43zVcmahvfZM=";
+ })
+ ];
+
+ postPatch = ''
+ substituteInPlace src/cpp/core/r_util/REnvironmentPosix.cpp --replace '@R@' ${R}
- mkdir -p $GWT_LIB_DIR/gin/${ginVer}
- unzip ${ginSrc} -d $GWT_LIB_DIR/gin/${ginVer}
+ substituteInPlace src/cpp/CMakeLists.txt \
+ --replace 'SOCI_LIBRARY_DIR "/usr/lib"' 'SOCI_LIBRARY_DIR "${soci}/lib"'
- unzip ${gwtSrc}
- mkdir -p $GWT_LIB_DIR/gwt
- mv gwt-${gwtVer} $GWT_LIB_DIR/gwt/${gwtVer}
+ substituteInPlace src/gwt/build.xml \
+ --replace '/usr/bin/node' '${nodejs}/bin/node'
- mkdir dependencies/common/dictionaries
- for dict in ${builtins.concatStringsSep " " dictionaries}; do
- for i in "$dict/share/hunspell/"*; do
- ln -sv $i dependencies/common/dictionaries/
- done
- done
+ substituteInPlace src/cpp/core/libclang/LibClang.cpp \
+ --replace '@libclang@' ${llvmPackages.libclang.lib} \
+ --replace '@libclang.so@' ${llvmPackages.libclang.lib}/lib/libclang.so
+
+ substituteInPlace src/cpp/session/include/session/SessionConstants.hpp \
+ --replace "bin/pandoc" "${pandoc}/bin/pandoc"
+ '';
- unzip ${mathJaxSrc} -d dependencies/common/mathjax-26
+ hunspellDictionaries = with lib; filter isDerivation (unique (attrValues hunspellDicts));
+ # These dicts contain identically-named dict files, so we only keep the
+ # -large versions in case of clashes
+ largeDicts = with lib; filter (d: hasInfix "-large-wordlist" d) hunspellDictionaries;
+ otherDicts = with lib; filter
+ (d: !(hasAttr "dictFileName" d &&
+ elem d.dictFileName (map (d: d.dictFileName) largeDicts)))
+ hunspellDictionaries;
+ dictionaries = largeDicts ++ otherDicts;
- mkdir -p dependencies/common/pandoc
- cp ${pandoc}/bin/pandoc dependencies/common/pandoc/
+ preConfigure = ''
+ mkdir dependencies/dictionaries
+ for dict in ${builtins.concatStringsSep " " dictionaries}; do
+ for i in "$dict/share/hunspell/"*; do
+ ln -s $i dependencies/dictionaries/
+ done
+ done
- cp -r ${rsconnectSrc} dependencies/common/rsconnect
- pushd dependencies/common
- ${R}/bin/R CMD build -d --no-build-vignettes rsconnect
- popd
- '';
+ unzip -q ${mathJaxSrc} -d dependencies/mathjax-27
- cmakeFlags = [ "-DRSTUDIO_TARGET=Desktop" "-DQT_QMAKE_EXECUTABLE=$NIX_QT5_TMP/bin/qmake" ];
+ mkdir -p dependencies/pandoc/${pandoc.version}
+ cp ${pandoc}/bin/pandoc dependencies/pandoc/${pandoc.version}/pandoc
- desktopItem = makeDesktopItem {
- name = "${pname}-${version}";
- exec = "rstudio %F";
- icon = "rstudio";
- desktopName = "RStudio";
- genericName = "IDE";
- comment = meta.description;
- categories = "Development;";
- mimeType = "text/x-r-source;text/x-r;text/x-R;text/x-r-doc;text/x-r-sweave;text/x-r-markdown;text/x-r-html;text/x-r-presentation;application/x-r-data;application/x-r-project;text/x-r-history;text/x-r-profile;text/x-tex;text/x-markdown;text/html;text/css;text/javascript;text/x-chdr;text/x-csrc;text/x-c++hdr;text/x-c++src;";
- };
+ cp -r ${rsconnectSrc} dependencies/rsconnect
+ ( cd dependencies && ${R}/bin/R CMD build -d --no-build-vignettes rsconnect )
- qtWrapperArgs = [ "--suffix PATH : ${gnumake}/bin" ];
+ cp -r "${panmirrorModules}" src/gwt/panmirror/src/editor/node_modules
+ '';
postInstall = ''
- mkdir $out/share
- cp -r ${desktopItem}/share/applications $out/share
- mkdir $out/share/icons
- ln $out/rstudio.png $out/share/icons
+ mkdir -p $out/share/icons $out/bin
+ ln $out/lib/rstudio/rstudio.png $out/share/icons
+
+ for f in {diagnostics,rpostback,rstudio}; do
+ ln -s $out/lib/rstudio/bin/$f $out/bin
+ done
+
+ for f in .gitignore .Rbuildignore LICENSE README; do
+ find . -name $f -delete
+ done
+ rm -r $out/lib/rstudio/{INSTALL,COPYING,NOTICE,README.md,SOURCE,VERSION}
+ rm -r $out/lib/rstudio/bin/{pandoc/pandoc,pandoc}
'';
- meta = with lib;
- { description = "Set of integrated tools for the R language";
- homepage = "https://www.rstudio.com/";
- license = licenses.agpl3;
- maintainers = with maintainers; [ ehmry changlinli ciil ];
- platforms = platforms.linux;
- };
+ qtWrapperArgs = [
+ "--suffix PATH : ${lib.makeBinPath [ gnumake ]}"
+ ];
+
+ desktopItems = [
+ (makeDesktopItem {
+ name = "${pname}";
+ exec = "rstudio %F";
+ icon = "rstudio";
+ desktopName = "RStudio";
+ genericName = "IDE";
+ comment = meta.description;
+ categories = "Development;";
+ mimeType = "text/x-r-source;text/x-r;text/x-R;text/x-r-doc;text/x-r-sweave;text/x-r-markdown;text/x-r-html;text/x-r-presentation;application/x-r-data;application/x-r-project;text/x-r-history;text/x-r-profile;text/x-tex;text/x-markdown;text/html;text/css;text/javascript;text/x-chdr;text/x-csrc;text/x-c++hdr;text/x-c++src;";
+ })
+ ];
+
+ meta = with lib; {
+ description = "Set of integrated tools for the R language";
+ homepage = "https://www.rstudio.com/";
+ license = licenses.agpl3Only;
+ maintainers = with maintainers; [ ciil ];
+ platforms = platforms.linux;
+ };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/package.json b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/package.json
new file mode 100644
index 00000000000..31943987a52
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/package.json
@@ -0,0 +1,83 @@
+{
+ "name": "panmirror",
+ "version": "0.1.0",
+ "private": true,
+ "license": "agpl-3.0",
+ "dependencies": {
+ "@types/ace": "^0.0.43",
+ "@types/clipboard": "^2.0.1",
+ "@types/diff-match-patch": "^1.0.32",
+ "@types/js-yaml": "^3.12.3",
+ "@types/lodash.debounce": "^4.0.6",
+ "@types/lodash.uniqby": "^4.7.6",
+ "@types/orderedmap": "^1.0.0",
+ "@types/prosemirror-commands": "^1.0.3",
+ "@types/prosemirror-dev-tools": "^2.1.0",
+ "@types/prosemirror-dropcursor": "^1.0.0",
+ "@types/prosemirror-gapcursor": "^1.0.1",
+ "@types/prosemirror-history": "^1.0.1",
+ "@types/prosemirror-inputrules": "^1.0.3",
+ "@types/prosemirror-keymap": "^1.0.3",
+ "@types/prosemirror-model": "^1.7.2",
+ "@types/prosemirror-schema-list": "^1.0.1",
+ "@types/prosemirror-state": "^1.2.5",
+ "@types/prosemirror-tables": "^0.9.1",
+ "@types/prosemirror-transform": "^1.1.1",
+ "@types/react": "^16.9.32",
+ "@types/react-dom": "^16.9.6",
+ "@types/react-window": "^1.8.2",
+ "@types/zenscroll": "^4.0.0",
+ "biblatex-csl-converter": "^1.9.1",
+ "clipboard": "^2.0.6",
+ "diff-match-patch": "^1.0.4",
+ "fuse.js": "^6.0.4",
+ "js-yaml": "^3.13.1",
+ "lodash.debounce": "^4.0.8",
+ "lodash.uniqby": "^4.7.0",
+ "orderedmap": "^1.0.0",
+ "prosemirror-changeset": "^2.1.2",
+ "prosemirror-commands": "^1.1.4",
+ "prosemirror-dev-tools": "^2.1.1",
+ "prosemirror-dropcursor": "^1.3.2",
+ "prosemirror-gapcursor": "^1.1.5",
+ "prosemirror-history": "^1.1.3",
+ "prosemirror-inputrules": "^1.1.2",
+ "prosemirror-keymap": "^1.1.4",
+ "prosemirror-model": "^1.11.0",
+ "prosemirror-schema-list": "^1.1.4",
+ "prosemirror-state": "^1.3.3",
+ "prosemirror-tables": "^1.1.1",
+ "prosemirror-transform": "^1.2.8",
+ "prosemirror-utils": "^0.9.6",
+ "prosemirror-view": "^1.15.6",
+ "react": "^16.13.1",
+ "react-dom": "^16.13.1",
+ "react-window": "^1.8.5",
+ "sentence-splitter": "^3.2.0",
+ "thenby": "^1.3.3",
+ "tlite": "^0.1.9",
+ "typescript": "3.8.3",
+ "zenscroll": "^4.0.2"
+ },
+ "scripts": {
+ "format": "prettier --write \"src/**/*.ts\" \"src/**/*.tsx\"",
+ "lint": "tslint -c tslint.json 'src/**/*.{ts,tsx}'",
+ "watch": "tsc --watch --noEmit --project './tsconfig.json'",
+ "generate-symbols": "ts-node tools/generate-symbols.ts"
+ },
+ "devDependencies": {
+ "@types/node": "^14.0.4",
+ "@types/unzip": "^0.1.1",
+ "fast-xml-parser": "^3.17.1",
+ "fuse-box": "^3.7.1",
+ "prettier": "^1.18.2",
+ "terser": "^4.6.2",
+ "ts-node": "^8.10.2",
+ "tslint": "^5.20.0",
+ "tslint-config-prettier": "^1.18.0",
+ "tslint-react": "^5.0.0",
+ "typescript-tslint-plugin": "^0.5.5",
+ "uglify-js": "^3.7.4",
+ "unzip": "^0.1.11"
+ }
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/r-location.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/r-location.patch
index 24cb6a24697..44e54b36e0c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/r-location.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/r-location.patch
@@ -1,19 +1,23 @@
-diff -ur rstudio-1.1.216-old/src/cpp/core/CMakeLists.txt rstudio-1.1.216-new/src/cpp/core/CMakeLists.txt
---- rstudio-1.1.216-old/src/cpp/core/r_util/REnvironmentPosix.cpp 2017-04-30 03:37:26.669418665 -0400
-+++ rstudio-1.1.216-new/src/cpp/core/r_util/REnvironmentPosix.cpp 2017-04-30 03:36:33.590726185 -0400
-@@ -87,10 +87,7 @@
+diff --git a/src/cpp/core/r_util/REnvironmentPosix.cpp b/src/cpp/core/r_util/REnvironmentPosix.cpp
+index dbc9a9a1..9a526a86 100644
+--- a/src/cpp/core/r_util/REnvironmentPosix.cpp
++++ b/src/cpp/core/r_util/REnvironmentPosix.cpp
+@@ -107,12 +107,9 @@ FilePath systemDefaultRScript(std::string* pErrMsg)
{
- // define potential paths
- std::vector rScriptPaths;
-- rScriptPaths.push_back("/usr/bin/R");
-- rScriptPaths.push_back("/usr/local/bin/R");
-- rScriptPaths.push_back("/opt/local/bin/R");
-- rScriptPaths.push_back("/Library/Frameworks/R.framework/Resources/bin/R");
-+ rScriptPaths.push_back("@R@/bin/R");
- return scanForRScript(rScriptPaths, pErrMsg);
- }
+ // check fallback paths
+ std::vector rScriptPaths = {
+- "/usr/bin/R",
+- "/usr/local/bin/R",
+- "/opt/local/bin/R",
++ "@R@/bin/R"
+ #ifdef __APPLE__
+- "/opt/homebrew/bin/R",
+- "/Library/Frameworks/R.framework/Resources/bin/R",
++ "@R@/bin/R",
+ #endif
+ };
-@@ -226,8 +223,7 @@
+@@ -225,8 +222,7 @@ FilePath systemDefaultRScript(std::string* pErrMsg)
// scan in standard locations as a fallback
std::string scanErrMsg;
std::vector rScriptPaths;
@@ -21,5 +25,6 @@ diff -ur rstudio-1.1.216-old/src/cpp/core/CMakeLists.txt rstudio-1.1.216-new/src
- rScriptPaths.push_back("/usr/bin/R");
+ rScriptPaths.push_back("@R@/bin/R");
FilePath scriptPath = scanForRScript(rScriptPaths, &scanErrMsg);
- if (scriptPath.empty())
+ if (scriptPath.isEmpty())
{
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/yarn.lock b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/yarn.lock
new file mode 100644
index 00000000000..d1717012db4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/yarn.lock
@@ -0,0 +1,3835 @@
+# THIS IS AN AUTOGENERATED FILE. DO NOT EDIT THIS FILE DIRECTLY.
+# yarn lockfile v1
+
+
+"@babel/code-frame@^7.0.0":
+ version "7.8.3"
+ resolved "https://registry.yarnpkg.com/@babel/code-frame/-/code-frame-7.8.3.tgz#33e25903d7481181534e12ec0a25f16b6fcf419e"
+ integrity sha512-a9gxpmdXtZEInkCSHUJDLHZVBgb1QS0jhss4cPP93EW7s+uC5bikET2twEF3KV+7rDblJcmNvTR7VJejqd2C2g==
+ dependencies:
+ "@babel/highlight" "^7.8.3"
+
+"@babel/helper-module-imports@^7.0.0":
+ version "7.8.3"
+ resolved "https://registry.yarnpkg.com/@babel/helper-module-imports/-/helper-module-imports-7.8.3.tgz#7fe39589b39c016331b6b8c3f441e8f0b1419498"
+ integrity sha512-R0Bx3jippsbAEtzkpZ/6FIiuzOURPcMjHp+Z6xPe6DtApDJx+w7UYyOLanZqO8+wKR9G10s/FmHXvxaMd9s6Kg==
+ dependencies:
+ "@babel/types" "^7.8.3"
+
+"@babel/highlight@^7.8.3":
+ version "7.8.3"
+ resolved "https://registry.yarnpkg.com/@babel/highlight/-/highlight-7.8.3.tgz#28f173d04223eaaa59bc1d439a3836e6d1265797"
+ integrity sha512-PX4y5xQUvy0fnEVHrYOarRPXVWafSjTW9T0Hab8gVIawpl2Sj0ORyrygANq+KjcNlSSTw0YCLSNA8OyZ1I4yEg==
+ dependencies:
+ chalk "^2.0.0"
+ esutils "^2.0.2"
+ js-tokens "^4.0.0"
+
+"@babel/runtime@^7.0.0":
+ version "7.9.6"
+ resolved "https://registry.yarnpkg.com/@babel/runtime/-/runtime-7.9.6.tgz#a9102eb5cadedf3f31d08a9ecf294af7827ea29f"
+ integrity sha512-64AF1xY3OAkFHqOb9s4jpgk1Mm5vDZ4L3acHvAml+53nO1XbXLuDodsVpO4OIUsmemlUHMxNdYMNJmsvOwLrvQ==
+ dependencies:
+ regenerator-runtime "^0.13.4"
+
+"@babel/runtime@^7.6.3", "@babel/runtime@^7.7.2":
+ version "7.8.4"
+ resolved "https://registry.yarnpkg.com/@babel/runtime/-/runtime-7.8.4.tgz#d79f5a2040f7caa24d53e563aad49cbc05581308"
+ integrity sha512-neAp3zt80trRVBI1x0azq6c57aNBqYZH8KhMm3TaB7wEI5Q4A2SHfBHE8w9gOhI/lrqxtEbXZgQIrHP+wvSGwQ==
+ dependencies:
+ regenerator-runtime "^0.13.2"
+
+"@babel/types@^7.8.3":
+ version "7.8.6"
+ resolved "https://registry.yarnpkg.com/@babel/types/-/types-7.8.6.tgz#629ecc33c2557fcde7126e58053127afdb3e6d01"
+ integrity sha512-wqz7pgWMIrht3gquyEFPVXeXCti72Rm8ep9b5tQKz9Yg9LzJA3HxosF1SB3Kc81KD1A3XBkkVYtJvCKS2Z/QrA==
+ dependencies:
+ esutils "^2.0.2"
+ lodash "^4.17.13"
+ to-fast-properties "^2.0.0"
+
+"@emotion/babel-utils@^0.6.4":
+ version "0.6.10"
+ resolved "https://registry.yarnpkg.com/@emotion/babel-utils/-/babel-utils-0.6.10.tgz#83dbf3dfa933fae9fc566e54fbb45f14674c6ccc"
+ integrity sha512-/fnkM/LTEp3jKe++T0KyTszVGWNKPNOUJfjNKLO17BzQ6QPxgbg3whayom1Qr2oLFH3V92tDymU+dT5q676uow==
+ dependencies:
+ "@emotion/hash" "^0.6.6"
+ "@emotion/memoize" "^0.6.6"
+ "@emotion/serialize" "^0.9.1"
+ convert-source-map "^1.5.1"
+ find-root "^1.1.0"
+ source-map "^0.7.2"
+
+"@emotion/hash@^0.6.2", "@emotion/hash@^0.6.6":
+ version "0.6.6"
+ resolved "https://registry.yarnpkg.com/@emotion/hash/-/hash-0.6.6.tgz#62266c5f0eac6941fece302abad69f2ee7e25e44"
+ integrity sha512-ojhgxzUHZ7am3D2jHkMzPpsBAiB005GF5YU4ea+8DNPybMk01JJUM9V9YRlF/GE95tcOm8DxQvWA2jq19bGalQ==
+
+"@emotion/is-prop-valid@^0.6.1":
+ version "0.6.8"
+ resolved "https://registry.yarnpkg.com/@emotion/is-prop-valid/-/is-prop-valid-0.6.8.tgz#68ad02831da41213a2089d2cab4e8ac8b30cbd85"
+ integrity sha512-IMSL7ekYhmFlILXcouA6ket3vV7u9BqStlXzbKOF9HBtpUPMMlHU+bBxrLOa2NvleVwNIxeq/zL8LafLbeUXcA==
+ dependencies:
+ "@emotion/memoize" "^0.6.6"
+
+"@emotion/memoize@^0.6.1", "@emotion/memoize@^0.6.6":
+ version "0.6.6"
+ resolved "https://registry.yarnpkg.com/@emotion/memoize/-/memoize-0.6.6.tgz#004b98298d04c7ca3b4f50ca2035d4f60d2eed1b"
+ integrity sha512-h4t4jFjtm1YV7UirAFuSuFGyLa+NNxjdkq6DpFLANNQY5rHueFZHVY+8Cu1HYVP6DrheB0kv4m5xPjo7eKT7yQ==
+
+"@emotion/serialize@^0.9.1":
+ version "0.9.1"
+ resolved "https://registry.yarnpkg.com/@emotion/serialize/-/serialize-0.9.1.tgz#a494982a6920730dba6303eb018220a2b629c145"
+ integrity sha512-zTuAFtyPvCctHBEL8KZ5lJuwBanGSutFEncqLn/m9T1a6a93smBStK+bZzcNPgj4QS8Rkw9VTwJGhRIUVO8zsQ==
+ dependencies:
+ "@emotion/hash" "^0.6.6"
+ "@emotion/memoize" "^0.6.6"
+ "@emotion/unitless" "^0.6.7"
+ "@emotion/utils" "^0.8.2"
+
+"@emotion/stylis@^0.7.0":
+ version "0.7.1"
+ resolved "https://registry.yarnpkg.com/@emotion/stylis/-/stylis-0.7.1.tgz#50f63225e712d99e2b2b39c19c70fff023793ca5"
+ integrity sha512-/SLmSIkN13M//53TtNxgxo57mcJk/UJIDFRKwOiLIBEyBHEcipgR6hNMQ/59Sl4VjCJ0Z/3zeAZyvnSLPG/1HQ==
+
+"@emotion/unitless@^0.6.2", "@emotion/unitless@^0.6.7":
+ version "0.6.7"
+ resolved "https://registry.yarnpkg.com/@emotion/unitless/-/unitless-0.6.7.tgz#53e9f1892f725b194d5e6a1684a7b394df592397"
+ integrity sha512-Arj1hncvEVqQ2p7Ega08uHLr1JuRYBuO5cIvcA+WWEQ5+VmkOE3ZXzl04NbQxeQpWX78G7u6MqxKuNX3wvYZxg==
+
+"@emotion/utils@^0.8.2":
+ version "0.8.2"
+ resolved "https://registry.yarnpkg.com/@emotion/utils/-/utils-0.8.2.tgz#576ff7fb1230185b619a75d258cbc98f0867a8dc"
+ integrity sha512-rLu3wcBWH4P5q1CGoSSH/i9hrXs7SlbRLkoq9IGuoPYNGQvDJ3pt/wmOM+XgYjIDRMVIdkUWt0RsfzF50JfnCw==
+
+"@textlint/ast-node-types@^4.2.5":
+ version "4.3.4"
+ resolved "https://registry.yarnpkg.com/@textlint/ast-node-types/-/ast-node-types-4.3.4.tgz#f6596c45c32c85dc06915c3077bb7686033efd32"
+ integrity sha512-Grq+vJuNH7HCa278eFeiqJvowrD+onMCoG2ctLyoN+fXYIQGIr1/8fo8AcIg+VM16Kga+N6Y1UWNOWPd8j1nFg==
+
+"@types/ace@^0.0.43":
+ version "0.0.43"
+ resolved "https://registry.yarnpkg.com/@types/ace/-/ace-0.0.43.tgz#9f0916174b6060dabbccd36ba4868ea769a1c633"
+ integrity sha512-eQdX8AQ7CfSHym07MZMBQ8FKUj9AZ2Wcc26W5Ct8J4KOMjFY6SFUaf2YA8YHBut0Fwl//2kZ+0GLZNp+NQNRIA==
+
+"@types/clipboard@^2.0.1":
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/@types/clipboard/-/clipboard-2.0.1.tgz#75a74086c293d75b12bc93ff13bc7797fef05a40"
+ integrity sha512-gJJX9Jjdt3bIAePQRRjYWG20dIhAgEqonguyHxXuqALxsoDsDLimihqrSg8fXgVTJ4KZCzkfglKtwsh/8dLfbA==
+
+"@types/diff-match-patch@^1.0.32":
+ version "1.0.32"
+ resolved "https://registry.yarnpkg.com/@types/diff-match-patch/-/diff-match-patch-1.0.32.tgz#d9c3b8c914aa8229485351db4865328337a3d09f"
+ integrity sha512-bPYT5ECFiblzsVzyURaNhljBH2Gh1t9LowgUwciMrNAhFewLkHT2H0Mto07Y4/3KCOGZHRQll3CTtQZ0X11D/A==
+
+"@types/js-yaml@^3.12.3":
+ version "3.12.3"
+ resolved "https://registry.yarnpkg.com/@types/js-yaml/-/js-yaml-3.12.3.tgz#abf383c5b639d0aa8b8c4a420d6a85f703357d6c"
+ integrity sha512-otRe77JNNWzoVGLKw8TCspKswRoQToys4tuL6XYVBFxjgeM0RUrx7m3jkaTdxILxeGry3zM8mGYkGXMeQ02guA==
+
+"@types/lodash.debounce@^4.0.6":
+ version "4.0.6"
+ resolved "https://registry.yarnpkg.com/@types/lodash.debounce/-/lodash.debounce-4.0.6.tgz#c5a2326cd3efc46566c47e4c0aa248dc0ee57d60"
+ integrity sha512-4WTmnnhCfDvvuLMaF3KV4Qfki93KebocUF45msxhYyjMttZDQYzHkO639ohhk8+oco2cluAFL3t5+Jn4mleylQ==
+ dependencies:
+ "@types/lodash" "*"
+
+"@types/lodash.uniqby@^4.7.6":
+ version "4.7.6"
+ resolved "https://registry.yarnpkg.com/@types/lodash.uniqby/-/lodash.uniqby-4.7.6.tgz#672827a701403f07904fe37f0721ae92abfa80e8"
+ integrity sha512-9wBhrm1y6asW50Joj6tsySCNUgzK2tCqL7vtKIej0E9RyeBFdcte7fxUosmFuMoOU0eHqOMK76kCCrK99jxHgg==
+ dependencies:
+ "@types/lodash" "*"
+
+"@types/lodash@*":
+ version "4.14.154"
+ resolved "https://registry.yarnpkg.com/@types/lodash/-/lodash-4.14.154.tgz#069e3c703fdb264e67be9e03b20a640bc0198ecc"
+ integrity sha512-VoDZIJmg3P8vPEnTldLvgA+q7RkIbVkbYX4k0cAVFzGAOQwUehVgRHgIr2/wepwivDst/rVRqaiBSjCXRnoWwQ==
+
+"@types/node@*", "@types/node@^14.0.4":
+ version "14.0.4"
+ resolved "https://registry.yarnpkg.com/@types/node/-/node-14.0.4.tgz#43a63fc5edce226bed106b31b875165256271107"
+ integrity sha512-k3NqigXWRzQZVBDS5D1U70A5E8Qk4Kh+Ha/x4M8Bt9pF0X05eggfnC9+63Usc9Q928hRUIpIhTQaXsZwZBl4Ew==
+
+"@types/orderedmap@*", "@types/orderedmap@^1.0.0":
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/@types/orderedmap/-/orderedmap-1.0.0.tgz#807455a192bba52cbbb4517044bc82bdbfa8c596"
+ integrity sha512-dxKo80TqYx3YtBipHwA/SdFmMMyLCnP+5mkEqN0eMjcTBzHkiiX0ES118DsjDBjvD+zeSsSU9jULTZ+frog+Gw==
+
+"@types/parse-json@^4.0.0":
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/@types/parse-json/-/parse-json-4.0.0.tgz#2f8bb441434d163b35fb8ffdccd7138927ffb8c0"
+ integrity sha512-//oorEZjL6sbPcKUaCdIGlIUeH26mgzimjBB77G6XRgnDl/L5wOnpyBGRe/Mmf5CVW3PwEBE1NjiMZ/ssFh4wA==
+
+"@types/prop-types@*":
+ version "15.7.3"
+ resolved "https://registry.yarnpkg.com/@types/prop-types/-/prop-types-15.7.3.tgz#2ab0d5da2e5815f94b0b9d4b95d1e5f243ab2ca7"
+ integrity sha512-KfRL3PuHmqQLOG+2tGpRO26Ctg+Cq1E01D2DMriKEATHgWLfeNDmq9e29Q9WIky0dQ3NPkd1mzYH8Lm936Z9qw==
+
+"@types/prosemirror-commands@*", "@types/prosemirror-commands@^1.0.3":
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-commands/-/prosemirror-commands-1.0.3.tgz#e9fa5653cffd1c75c260594cf3ec5244c9004dbf"
+ integrity sha512-AjFCJqBvAhQ4gOzXPgUcnEZwu4jd7se7ani3dYAv8p4L+cWEPD6Pshrpp5uJDI5/pzvNXLWQ/4c2Qk4h9IML1w==
+ dependencies:
+ "@types/prosemirror-model" "*"
+ "@types/prosemirror-state" "*"
+ "@types/prosemirror-view" "*"
+
+"@types/prosemirror-dev-tools@^2.1.0":
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-dev-tools/-/prosemirror-dev-tools-2.1.0.tgz#91e2ef4f36129f5155f924296e306de187e86bdb"
+ integrity sha512-OhnSaC4yrrEMLPRUkEWcHAIPVqgKlLkE4kISqL3cHeAYxASouSPvPMLqhBIbWkGwaozy43DjjVC1OXkxTo+y5Q==
+ dependencies:
+ "@types/prosemirror-state" "*"
+ "@types/prosemirror-view" "*"
+
+"@types/prosemirror-dropcursor@^1.0.0":
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-dropcursor/-/prosemirror-dropcursor-1.0.0.tgz#2df872bc6431a9f06bc1a4a0eac7c2dc527e7f12"
+ integrity sha512-S2ndHt94M64avSqjBcgIblaF3YeC3RfcmpY9/WIdfqU7aoJxuOh4RJk5emdmQPHZT1wbczMHFmFSsRqgErK0EQ==
+ dependencies:
+ "@types/prosemirror-state" "*"
+
+"@types/prosemirror-gapcursor@^1.0.1":
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-gapcursor/-/prosemirror-gapcursor-1.0.1.tgz#56a6274ef39f62c339adcc64305294b800211a5e"
+ integrity sha512-ruA7FK9NJv+bn5s55SZYFf9SwaN3wk/MkBvqRmhIqIHvowTTa7nzIGWbUdWZMga1DDTk+GrwdcQaEHunAFjFsQ==
+ dependencies:
+ "@types/prosemirror-state" "*"
+
+"@types/prosemirror-history@^1.0.1":
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-history/-/prosemirror-history-1.0.1.tgz#b8d7595f73788b63fc9f2b57a763ba8375abfe87"
+ integrity sha512-BYyPJlWDo3VEnWS5X2DCHXrrAKEjdbCe1DUjGL6R/8hmwMFe3iMJGYdBkOXU1FfkTpw7Z+PlwY/pMyeelVydmg==
+ dependencies:
+ "@types/prosemirror-model" "*"
+ "@types/prosemirror-state" "*"
+
+"@types/prosemirror-inputrules@^1.0.3":
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-inputrules/-/prosemirror-inputrules-1.0.3.tgz#3f8f07921f692b6c7e4781fa426aee3e76b9018c"
+ integrity sha512-cxMkCcu/di8//68jWc/NrRpvpCbizgq9vqv4rCRsAiuSiJ8L5hf4aFlCBUYCffuQnrY98uOfJ8YAUY3dbtaF9A==
+ dependencies:
+ "@types/prosemirror-model" "*"
+ "@types/prosemirror-state" "*"
+
+"@types/prosemirror-keymap@^1.0.3":
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-keymap/-/prosemirror-keymap-1.0.3.tgz#09cc469a69222a4c8a3d415d02eeb459bb74269c"
+ integrity sha512-iCYUtt0u8y6qeDZVsidEWJGbw2Kas+jtHD1QY374W/N2jASYp+8auucFLXe0UvoOy9jiWcGcqcecec1R+vkzgw==
+ dependencies:
+ "@types/prosemirror-commands" "*"
+ "@types/prosemirror-model" "*"
+ "@types/prosemirror-state" "*"
+ "@types/prosemirror-view" "*"
+
+"@types/prosemirror-model@*", "@types/prosemirror-model@^1.7.2":
+ version "1.7.2"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-model/-/prosemirror-model-1.7.2.tgz#9c7aff2fd62f0f56eb76e2e0eb27bf6996e6c28a"
+ integrity sha512-2l+yXvidg3AUHN07mO4Jd8Q84fo6ksFsy7LHUurLYrZ74uTahBp2fzcO49AKZMzww2EulXJ40Kl/OFaQ/7A1fw==
+ dependencies:
+ "@types/orderedmap" "*"
+
+"@types/prosemirror-schema-list@^1.0.1":
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-schema-list/-/prosemirror-schema-list-1.0.1.tgz#7f53e3c0326b1359755f3971b8c448d98b722f21"
+ integrity sha512-+iUYq+pj2wVHSThj0MjNDzkkGwq8aDQ6j0UJK8a0cNCL8v44Ftcx1noGPtBIEUJgitH960VnfBNoTWfQoQZfRA==
+ dependencies:
+ "@types/orderedmap" "*"
+ "@types/prosemirror-model" "*"
+ "@types/prosemirror-state" "*"
+
+"@types/prosemirror-state@*":
+ version "1.2.3"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-state/-/prosemirror-state-1.2.3.tgz#7f5f871acf7b8c22e1862ff0068f9bf7e9682c0e"
+ integrity sha512-6m433Hubix9bx+JgcLW7zzyiZuzwjq5mBdSMYY4Yi5c5ZpV2RiVmg7Cy6f9Thtts8vuztilw+PczJAgDm1Frfw==
+ dependencies:
+ "@types/prosemirror-model" "*"
+ "@types/prosemirror-transform" "*"
+ "@types/prosemirror-view" "*"
+
+"@types/prosemirror-state@^1.2.5":
+ version "1.2.5"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-state/-/prosemirror-state-1.2.5.tgz#a91304e9aab6e71f868e23b3a1ae514a75033f8f"
+ integrity sha512-a5DxAifiF6vmdSJ5jsDMkpykUgUJUy+T5Q5hCjFOKJ4cfd3m3q1lsFKr7Bc4r91Qb7rfqyiKCMDnASS8LIHrKw==
+ dependencies:
+ "@types/prosemirror-model" "*"
+ "@types/prosemirror-transform" "*"
+ "@types/prosemirror-view" "*"
+
+"@types/prosemirror-tables@^0.9.1":
+ version "0.9.1"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-tables/-/prosemirror-tables-0.9.1.tgz#d2203330f0fa1161c04152bf02c39e152082d408"
+ integrity sha512-zoY1qcAC6kG4UjnaQQXuoyYQdDJMQmY9uzRKdyUppP8rWRR5/kXBHOd84CD9ZvrYUBo3uDmS20qQnc3knr2j9A==
+ dependencies:
+ prosemirror-tables "*"
+
+"@types/prosemirror-transform@*", "@types/prosemirror-transform@^1.1.1":
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-transform/-/prosemirror-transform-1.1.1.tgz#5a0de16e8e0123b4c3d9559235e19f39cee85e5c"
+ integrity sha512-yYCYSoiRH+Wcbl8GJc0PFCzeyMzNQ1vL2xrHHSXZuNcIlH75VoiKrZFeZ6BS9cl8mYXjZrlmdBe8YOxYvyKM6A==
+ dependencies:
+ "@types/prosemirror-model" "*"
+
+"@types/prosemirror-view@*":
+ version "1.11.2"
+ resolved "https://registry.yarnpkg.com/@types/prosemirror-view/-/prosemirror-view-1.11.2.tgz#58af5dcb7de20b7de874de99147552d5627209a1"
+ integrity sha512-EKcQmR4KdkFZU13wS5pWrkSojRCPGqz/l/uzpZFfW5cgdr7fQsftf2/ttvIjpk1a94ISifEY4UZwflVJ+uL4Rg==
+ dependencies:
+ "@types/prosemirror-model" "*"
+ "@types/prosemirror-state" "*"
+ "@types/prosemirror-transform" "*"
+
+"@types/react-dom@^16.9.6":
+ version "16.9.6"
+ resolved "https://registry.yarnpkg.com/@types/react-dom/-/react-dom-16.9.6.tgz#9e7f83d90566521cc2083be2277c6712dcaf754c"
+ integrity sha512-S6ihtlPMDotrlCJE9ST1fRmYrQNNwfgL61UB4I1W7M6kPulUKx9fXAleW5zpdIjUQ4fTaaog8uERezjsGUj9HQ==
+ dependencies:
+ "@types/react" "*"
+
+"@types/react-window@^1.8.2":
+ version "1.8.2"
+ resolved "https://registry.yarnpkg.com/@types/react-window/-/react-window-1.8.2.tgz#a5a6b2762ce73ffaab7911ee1397cf645f2459fe"
+ integrity sha512-gP1xam68Wc4ZTAee++zx6pTdDAH08rAkQrWm4B4F/y6hhmlT9Mgx2q8lTCXnrPHXsr15XjRN9+K2DLKcz44qEQ==
+ dependencies:
+ "@types/react" "*"
+
+"@types/react@*", "@types/react@^16.9.32":
+ version "16.9.32"
+ resolved "https://registry.yarnpkg.com/@types/react/-/react-16.9.32.tgz#f6368625b224604148d1ddf5920e4fefbd98d383"
+ integrity sha512-fmejdp0CTH00mOJmxUPPbWCEBWPvRIL4m8r0qD+BSDUqmutPyGQCHifzMpMzdvZwROdEdL78IuZItntFWgPXHQ==
+ dependencies:
+ "@types/prop-types" "*"
+ csstype "^2.2.0"
+
+"@types/unzip@^0.1.1":
+ version "0.1.1"
+ resolved "https://registry.yarnpkg.com/@types/unzip/-/unzip-0.1.1.tgz#96e80dc5e2917a769c8be01aa49c4fe660e7bab3"
+ integrity sha512-skD6Um7Pk2l7y+tVOKSgOA9vXViyhk/qJYmr17Ek4Uw3Zgo/DWPScphTPztPbApTIngyYSJnkEW87xrHzRYaew==
+ dependencies:
+ "@types/node" "*"
+
+"@types/zenscroll@^4.0.0":
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/@types/zenscroll/-/zenscroll-4.0.0.tgz#9acc7df6c87cc9e064f5a6230df499835dee1972"
+ integrity sha512-n9np/qsr3HBH3VBVfviHhQPmGP1+D01+VI/40QFq/7LyJqDoIlcaaABu/qPAVats/oNuUJ/dhrjrOjVaqos+4A==
+
+abbrev@1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/abbrev/-/abbrev-1.1.1.tgz#f8f2c887ad10bf67f634f005b6987fed3179aac8"
+ integrity sha512-nne9/IiQ/hzIhY6pdDnbBtz7DjPTKrY00P/zvPSm5pOFkl6xuGrGnXn/VtTNNfNtAfZ9/1RtehkszU9qcTii0Q==
+
+accepts@~1.3.7:
+ version "1.3.7"
+ resolved "https://registry.yarnpkg.com/accepts/-/accepts-1.3.7.tgz#531bc726517a3b2b41f850021c6cc15eaab507cd"
+ integrity sha512-Il80Qs2WjYlJIBNzNkK6KYqlVMTbZLXgHx2oT0pU/fjRHyEp+PEfEPY0R3WCwAGVOtauxh1hOxNgIf5bv7dQpA==
+ dependencies:
+ mime-types "~2.1.24"
+ negotiator "0.6.2"
+
+acorn-jsx@^4.0.1:
+ version "4.1.1"
+ resolved "https://registry.yarnpkg.com/acorn-jsx/-/acorn-jsx-4.1.1.tgz#e8e41e48ea2fe0c896740610ab6a4ffd8add225e"
+ integrity sha512-JY+iV6r+cO21KtntVvFkD+iqjtdpRUpGqKWgfkCdZq1R+kbreEl8EcdcJR4SmiIgsIQT33s6QzheQ9a275Q8xw==
+ dependencies:
+ acorn "^5.0.3"
+
+acorn@^5.0.3, acorn@^5.7.3:
+ version "5.7.3"
+ resolved "https://registry.yarnpkg.com/acorn/-/acorn-5.7.3.tgz#67aa231bf8812974b85235a96771eb6bd07ea279"
+ integrity sha512-T/zvzYRfbVojPWahDsE5evJdHb3oJoQfFbsrKM7w5Zcs++Tr257tia3BmMP8XYVjp1S9RZXQMh7gao96BlqZOw==
+
+ajax-request@^1.2.0:
+ version "1.2.3"
+ resolved "https://registry.yarnpkg.com/ajax-request/-/ajax-request-1.2.3.tgz#99fcbec1d6d2792f85fa949535332bd14f5f3790"
+ integrity sha1-mfy+wdbSeS+F+pSVNTMr0U9fN5A=
+ dependencies:
+ file-system "^2.1.1"
+ utils-extend "^1.0.7"
+
+ajv@^6.5.5:
+ version "6.12.0"
+ resolved "https://registry.yarnpkg.com/ajv/-/ajv-6.12.0.tgz#06d60b96d87b8454a5adaba86e7854da629db4b7"
+ integrity sha512-D6gFiFA0RRLyUbvijN74DWAjXSFxWKaWP7mldxkVhyhAV3+SWA9HEJPHQ2c9soIeTFJqcSdFDGFgdqs1iUU2Hw==
+ dependencies:
+ fast-deep-equal "^3.1.1"
+ fast-json-stable-stringify "^2.0.0"
+ json-schema-traverse "^0.4.1"
+ uri-js "^4.2.2"
+
+ansi-escapes@^3.0.0:
+ version "3.2.0"
+ resolved "https://registry.yarnpkg.com/ansi-escapes/-/ansi-escapes-3.2.0.tgz#8780b98ff9dbf5638152d1f1fe5c1d7b4442976b"
+ integrity sha512-cBhpre4ma+U0T1oM5fXg7Dy1Jw7zzwv7lt/GoCpr+hDQJoYnKVPLL4dCvSEFMmQurOQvSrwT7SL/DAlhBI97RQ==
+
+ansi-regex@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-3.0.0.tgz#ed0317c322064f79466c02966bddb605ab37d998"
+ integrity sha1-7QMXwyIGT3lGbAKWa922Bas32Zg=
+
+ansi-styles@^3.2.1:
+ version "3.2.1"
+ resolved "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-3.2.1.tgz#41fbb20243e50b12be0f04b8dedbf07520ce841d"
+ integrity sha512-VT0ZI6kZRdTh8YyJw3SMbYm/u+NqfsAxEpWO0Pf9sq8/e94WxxOpPKx9FR1FlyCtOVDNOQ+8ntlqFxiRc+r5qA==
+ dependencies:
+ color-convert "^1.9.0"
+
+ansi@^0.3.1:
+ version "0.3.1"
+ resolved "https://registry.yarnpkg.com/ansi/-/ansi-0.3.1.tgz#0c42d4fb17160d5a9af1e484bace1c66922c1b21"
+ integrity sha1-DELU+xcWDVqa8eSEus4cZpIsGyE=
+
+anymatch@^1.3.0:
+ version "1.3.2"
+ resolved "https://registry.yarnpkg.com/anymatch/-/anymatch-1.3.2.tgz#553dcb8f91e3c889845dfdba34c77721b90b9d7a"
+ integrity sha512-0XNayC8lTHQ2OI8aljNCN3sSx6hsr/1+rlcDAotXJR7C1oZZHCNsfpbKwMjRA3Uqb5tF1Rae2oloTr4xpq+WjA==
+ dependencies:
+ micromatch "^2.1.5"
+ normalize-path "^2.0.0"
+
+app-root-path@^1.3.0:
+ version "1.4.0"
+ resolved "https://registry.yarnpkg.com/app-root-path/-/app-root-path-1.4.0.tgz#6335d865c9640d0fad99004e5a79232238e92dfa"
+ integrity sha1-YzXYZclkDQ+tmQBOWnkjIjjpLfo=
+
+app-root-path@^2.0.1:
+ version "2.2.1"
+ resolved "https://registry.yarnpkg.com/app-root-path/-/app-root-path-2.2.1.tgz#d0df4a682ee408273583d43f6f79e9892624bc9a"
+ integrity sha512-91IFKeKk7FjfmezPKkwtaRvSpnUc4gDwPAjA1YZ9Gn0q0PPeW+vbeUsZuyDwjI7+QTHhcLen2v25fi/AmhvbJA==
+
+arg@^4.1.0:
+ version "4.1.3"
+ resolved "https://registry.yarnpkg.com/arg/-/arg-4.1.3.tgz#269fc7ad5b8e42cb63c896d5666017261c144089"
+ integrity sha512-58S9QDqG0Xx27YwPSt9fJxivjYl432YCwfDMfZ+71RAqUrZef7LrKQZ3LHLOwCS4FLNBplP533Zx895SeOCHvA==
+
+argparse@^1.0.7:
+ version "1.0.10"
+ resolved "https://registry.yarnpkg.com/argparse/-/argparse-1.0.10.tgz#bcd6791ea5ae09725e17e5ad988134cd40b3d911"
+ integrity sha512-o5Roy6tNG4SL/FOkCAN6RzjiakZS25RLYFrcMttJqbdd8BWrnA+fGz57iN5Pb06pvBGvl5gQ0B48dJlslXvoTg==
+ dependencies:
+ sprintf-js "~1.0.2"
+
+arr-diff@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/arr-diff/-/arr-diff-2.0.0.tgz#8f3b827f955a8bd669697e4a4256ac3ceae356cf"
+ integrity sha1-jzuCf5Vai9ZpaX5KQlasPOrjVs8=
+ dependencies:
+ arr-flatten "^1.0.1"
+
+arr-diff@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/arr-diff/-/arr-diff-4.0.0.tgz#d6461074febfec71e7e15235761a329a5dc7c520"
+ integrity sha1-1kYQdP6/7HHn4VI1dhoyml3HxSA=
+
+arr-flatten@^1.0.1, arr-flatten@^1.1.0:
+ version "1.1.0"
+ resolved "https://registry.yarnpkg.com/arr-flatten/-/arr-flatten-1.1.0.tgz#36048bbff4e7b47e136644316c99669ea5ae91f1"
+ integrity sha512-L3hKV5R/p5o81R7O02IGnwpDmkp6E982XhtbuwSe3O4qOtMMMtodicASA1Cny2U+aCXcNpml+m4dPsvsJ3jatg==
+
+arr-union@^3.1.0:
+ version "3.1.0"
+ resolved "https://registry.yarnpkg.com/arr-union/-/arr-union-3.1.0.tgz#e39b09aea9def866a8f206e288af63919bae39c4"
+ integrity sha1-45sJrqne+Gao8gbiiK9jkZuuOcQ=
+
+array-flatten@1.1.1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/array-flatten/-/array-flatten-1.1.1.tgz#9a5f699051b1e7073328f2a008968b64ea2955d2"
+ integrity sha1-ml9pkFGx5wczKPKgCJaLZOopVdI=
+
+array-unique@^0.2.1:
+ version "0.2.1"
+ resolved "https://registry.yarnpkg.com/array-unique/-/array-unique-0.2.1.tgz#a1d97ccafcbc2625cc70fadceb36a50c58b01a53"
+ integrity sha1-odl8yvy8JiXMcPrc6zalDFiwGlM=
+
+array-unique@^0.3.2:
+ version "0.3.2"
+ resolved "https://registry.yarnpkg.com/array-unique/-/array-unique-0.3.2.tgz#a894b75d4bc4f6cd679ef3244a9fd8f46ae2d428"
+ integrity sha1-qJS3XUvE9s1nnvMkSp/Y9Gri1Cg=
+
+asn1@~0.2.3:
+ version "0.2.4"
+ resolved "https://registry.yarnpkg.com/asn1/-/asn1-0.2.4.tgz#8d2475dfab553bb33e77b54e59e880bb8ce23136"
+ integrity sha512-jxwzQpLQjSmWXgwaCZE9Nz+glAG01yF1QnWgbhGwHI5A6FRIEY6IVqtHhIepHqI7/kyEyQEagBC5mBEFlIYvdg==
+ dependencies:
+ safer-buffer "~2.1.0"
+
+assert-plus@1.0.0, assert-plus@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/assert-plus/-/assert-plus-1.0.0.tgz#f12e0f3c5d77b0b1cdd9146942e4e96c1e4dd525"
+ integrity sha1-8S4PPF13sLHN2RRpQuTpbB5N1SU=
+
+assign-symbols@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/assign-symbols/-/assign-symbols-1.0.0.tgz#59667f41fadd4f20ccbc2bb96b8d4f7f78ec0367"
+ integrity sha1-WWZ/QfrdTyDMvCu5a41Pf3jsA2c=
+
+async-each@^1.0.0:
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/async-each/-/async-each-1.0.3.tgz#b727dbf87d7651602f06f4d4ac387f47d91b0cbf"
+ integrity sha512-z/WhQ5FPySLdvREByI2vZiTWwCnF0moMJ1hK9YQwDTHKh6I7/uSckMetoRGb5UBZPC1z0jlw+n/XCgjeH7y1AQ==
+
+asynckit@^0.4.0:
+ version "0.4.0"
+ resolved "https://registry.yarnpkg.com/asynckit/-/asynckit-0.4.0.tgz#c79ed97f7f34cb8f2ba1bc9790bcc366474b4b79"
+ integrity sha1-x57Zf380y48robyXkLzDZkdLS3k=
+
+atob@^2.1.2:
+ version "2.1.2"
+ resolved "https://registry.yarnpkg.com/atob/-/atob-2.1.2.tgz#6d9517eb9e030d2436666651e86bd9f6f13533c9"
+ integrity sha512-Wm6ukoaOGJi/73p/cl2GvLjTI5JM1k/O14isD73YML8StrH/7/lRFgmg8nICZgD3bZZvjwCGxtMOD3wWNAu8cg==
+
+aws-sign2@~0.7.0:
+ version "0.7.0"
+ resolved "https://registry.yarnpkg.com/aws-sign2/-/aws-sign2-0.7.0.tgz#b46e890934a9591f2d2f6f86d7e6a9f1b3fe76a8"
+ integrity sha1-tG6JCTSpWR8tL2+G1+ap8bP+dqg=
+
+aws4@^1.8.0:
+ version "1.9.1"
+ resolved "https://registry.yarnpkg.com/aws4/-/aws4-1.9.1.tgz#7e33d8f7d449b3f673cd72deb9abdc552dbe528e"
+ integrity sha512-wMHVg2EOHaMRxbzgFJ9gtjOOCrI80OHLG14rxi28XwOW8ux6IiEbRCGGGqCtdAIg4FQCbW20k9RsT4y3gJlFug==
+
+babel-plugin-emotion@^9.2.11:
+ version "9.2.11"
+ resolved "https://registry.yarnpkg.com/babel-plugin-emotion/-/babel-plugin-emotion-9.2.11.tgz#319c005a9ee1d15bb447f59fe504c35fd5807728"
+ integrity sha512-dgCImifnOPPSeXod2znAmgc64NhaaOjGEHROR/M+lmStb3841yK1sgaDYAYMnlvWNz8GnpwIPN0VmNpbWYZ+VQ==
+ dependencies:
+ "@babel/helper-module-imports" "^7.0.0"
+ "@emotion/babel-utils" "^0.6.4"
+ "@emotion/hash" "^0.6.2"
+ "@emotion/memoize" "^0.6.1"
+ "@emotion/stylis" "^0.7.0"
+ babel-plugin-macros "^2.0.0"
+ babel-plugin-syntax-jsx "^6.18.0"
+ convert-source-map "^1.5.0"
+ find-root "^1.1.0"
+ mkdirp "^0.5.1"
+ source-map "^0.5.7"
+ touch "^2.0.1"
+
+babel-plugin-macros@^2.0.0:
+ version "2.8.0"
+ resolved "https://registry.yarnpkg.com/babel-plugin-macros/-/babel-plugin-macros-2.8.0.tgz#0f958a7cc6556b1e65344465d99111a1e5e10138"
+ integrity sha512-SEP5kJpfGYqYKpBrj5XU3ahw5p5GOHJ0U5ssOSQ/WBVdwkD2Dzlce95exQTs3jOVWPPKLBN2rlEWkCK7dSmLvg==
+ dependencies:
+ "@babel/runtime" "^7.7.2"
+ cosmiconfig "^6.0.0"
+ resolve "^1.12.0"
+
+babel-plugin-syntax-jsx@^6.18.0:
+ version "6.18.0"
+ resolved "https://registry.yarnpkg.com/babel-plugin-syntax-jsx/-/babel-plugin-syntax-jsx-6.18.0.tgz#0af32a9a6e13ca7a3fd5069e62d7b0f58d0d8946"
+ integrity sha1-CvMqmm4Tyno/1QaeYtew9Y0NiUY=
+
+babel-runtime@^6.6.1:
+ version "6.26.0"
+ resolved "https://registry.yarnpkg.com/babel-runtime/-/babel-runtime-6.26.0.tgz#965c7058668e82b55d7bfe04ff2337bc8b5647fe"
+ integrity sha1-llxwWGaOgrVde/4E/yM3vItWR/4=
+ dependencies:
+ core-js "^2.4.0"
+ regenerator-runtime "^0.11.0"
+
+balanced-match@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/balanced-match/-/balanced-match-1.0.0.tgz#89b4d199ab2bee49de164ea02b89ce462d71b767"
+ integrity sha1-ibTRmasr7kneFk6gK4nORi1xt2c=
+
+base16@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/base16/-/base16-1.0.0.tgz#e297f60d7ec1014a7a971a39ebc8a98c0b681e70"
+ integrity sha1-4pf2DX7BAUp6lxo568ipjAtoHnA=
+
+base64-img@^1.0.3:
+ version "1.0.4"
+ resolved "https://registry.yarnpkg.com/base64-img/-/base64-img-1.0.4.tgz#3e22d55d6c74a24553d840d2b1bc12a7db078d35"
+ integrity sha1-PiLVXWx0okVT2EDSsbwSp9sHjTU=
+ dependencies:
+ ajax-request "^1.2.0"
+ file-system "^2.1.0"
+
+base64-js@^1.2.0:
+ version "1.3.1"
+ resolved "https://registry.yarnpkg.com/base64-js/-/base64-js-1.3.1.tgz#58ece8cb75dd07e71ed08c736abc5fac4dbf8df1"
+ integrity sha512-mLQ4i2QO1ytvGWFWmcngKO//JXAQueZvwEKtjgQFM4jIK0kU+ytMfplL8j+n5mspOfjHwoAg+9yhb7BwAHm36g==
+
+base@^0.11.1:
+ version "0.11.2"
+ resolved "https://registry.yarnpkg.com/base/-/base-0.11.2.tgz#7bde5ced145b6d551a90db87f83c558b4eb48a8f"
+ integrity sha512-5T6P4xPgpp0YDFvSWwEZ4NoE3aM4QBQXDzmVbraCkFj8zHM+mba8SyqB5DbZWyR7mYHo6Y7BdQo3MoA4m0TeQg==
+ dependencies:
+ cache-base "^1.0.1"
+ class-utils "^0.3.5"
+ component-emitter "^1.2.1"
+ define-property "^1.0.0"
+ isobject "^3.0.1"
+ mixin-deep "^1.2.0"
+ pascalcase "^0.1.1"
+
+bcrypt-pbkdf@^1.0.0:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/bcrypt-pbkdf/-/bcrypt-pbkdf-1.0.2.tgz#a4301d389b6a43f9b67ff3ca11a3f6637e360e9e"
+ integrity sha1-pDAdOJtqQ/m2f/PKEaP2Y342Dp4=
+ dependencies:
+ tweetnacl "^0.14.3"
+
+biblatex-csl-converter@^1.9.1:
+ version "1.9.1"
+ resolved "https://registry.yarnpkg.com/biblatex-csl-converter/-/biblatex-csl-converter-1.9.1.tgz#50aacfef172997f1c98d72837ffdd3b19c62f8c4"
+ integrity sha512-M7HkWas8NbiFoNdS/lZOfup5A83Scw4iWFoPn9r84zh9DzaG/gHU86qH1QHMgUc2dSaquuIBQZRHC9wCs7k92g==
+
+binary-extensions@^1.0.0:
+ version "1.13.1"
+ resolved "https://registry.yarnpkg.com/binary-extensions/-/binary-extensions-1.13.1.tgz#598afe54755b2868a5330d2aff9d4ebb53209b65"
+ integrity sha512-Un7MIEDdUC5gNpcGDV97op1Ywk748MpHcFTHoYs6qnj1Z3j7I53VG3nwZhKzoBZmbdRNnb6WRdFlwl7tSDuZGw==
+
+"binary@>= 0.3.0 < 1":
+ version "0.3.0"
+ resolved "https://registry.yarnpkg.com/binary/-/binary-0.3.0.tgz#9f60553bc5ce8c3386f3b553cff47462adecaa79"
+ integrity sha1-n2BVO8XOjDOG87VTz/R0Yq3sqnk=
+ dependencies:
+ buffers "~0.1.1"
+ chainsaw "~0.1.0"
+
+bindings@^1.5.0:
+ version "1.5.0"
+ resolved "https://registry.yarnpkg.com/bindings/-/bindings-1.5.0.tgz#10353c9e945334bc0511a6d90b38fbc7c9c504df"
+ integrity sha512-p2q/t/mhvuOj/UeLlV6566GD/guowlr0hHxClI0W9m7MWYkL1F0hLo+0Aexs9HSPCtR1SXQ0TD3MMKrXZajbiQ==
+ dependencies:
+ file-uri-to-path "1.0.0"
+
+body-parser@1.19.0:
+ version "1.19.0"
+ resolved "https://registry.yarnpkg.com/body-parser/-/body-parser-1.19.0.tgz#96b2709e57c9c4e09a6fd66a8fd979844f69f08a"
+ integrity sha512-dhEPs72UPbDnAQJ9ZKMNTP6ptJaionhP5cBb541nXPlW60Jepo9RV/a4fX4XWW9CuFNK22krhrj1+rgzifNCsw==
+ dependencies:
+ bytes "3.1.0"
+ content-type "~1.0.4"
+ debug "2.6.9"
+ depd "~1.1.2"
+ http-errors "1.7.2"
+ iconv-lite "0.4.24"
+ on-finished "~2.3.0"
+ qs "6.7.0"
+ raw-body "2.4.0"
+ type-is "~1.6.17"
+
+boundary@^1.0.1:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/boundary/-/boundary-1.0.1.tgz#4d67dc2602c0cc16dd9bce7ebf87e948290f5812"
+ integrity sha1-TWfcJgLAzBbdm85+v4fpSCkPWBI=
+
+bowser@^2.0.0-beta.3:
+ version "2.9.0"
+ resolved "https://registry.yarnpkg.com/bowser/-/bowser-2.9.0.tgz#3bed854233b419b9a7422d9ee3e85504373821c9"
+ integrity sha512-2ld76tuLBNFekRgmJfT2+3j5MIrP6bFict8WAIT3beq+srz1gcKNAdNKMqHqauQt63NmAa88HfP1/Ypa9Er3HA==
+
+brace-expansion@^1.1.7:
+ version "1.1.11"
+ resolved "https://registry.yarnpkg.com/brace-expansion/-/brace-expansion-1.1.11.tgz#3c7fcbf529d87226f3d2f52b966ff5271eb441dd"
+ integrity sha512-iCuPHDFgrHX7H2vEI/5xpz07zSHB00TpugqhmYtVmMO6518mCuRMoOYFldEBl0g187ufozdaHgWKcYFb61qGiA==
+ dependencies:
+ balanced-match "^1.0.0"
+ concat-map "0.0.1"
+
+braces@^1.8.2:
+ version "1.8.5"
+ resolved "https://registry.yarnpkg.com/braces/-/braces-1.8.5.tgz#ba77962e12dff969d6b76711e914b737857bf6a7"
+ integrity sha1-uneWLhLf+WnWt2cR6RS3N4V79qc=
+ dependencies:
+ expand-range "^1.8.1"
+ preserve "^0.2.0"
+ repeat-element "^1.1.2"
+
+braces@^2.3.1:
+ version "2.3.2"
+ resolved "https://registry.yarnpkg.com/braces/-/braces-2.3.2.tgz#5979fd3f14cd531565e5fa2df1abfff1dfaee729"
+ integrity sha512-aNdbnj9P8PjdXU4ybaWLK2IF3jc/EoDYbC7AazW6to3TRsfXxscC9UXOB5iDiEQrkyIbWp2SLQda4+QAa7nc3w==
+ dependencies:
+ arr-flatten "^1.1.0"
+ array-unique "^0.3.2"
+ extend-shallow "^2.0.1"
+ fill-range "^4.0.0"
+ isobject "^3.0.1"
+ repeat-element "^1.1.2"
+ snapdragon "^0.8.1"
+ snapdragon-node "^2.0.1"
+ split-string "^3.0.2"
+ to-regex "^3.0.1"
+
+buffer-from@^1.0.0:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/buffer-from/-/buffer-from-1.1.1.tgz#32713bc028f75c02fdb710d7c7bcec1f2c6070ef"
+ integrity sha512-MQcXEUbCKtEo7bhqEs6560Hyd4XaovZlO/k9V3hjVUF/zwW7KBVdSK4gIt/bzwS9MbR5qob+F5jusZsb0YQK2A==
+
+buffers@~0.1.1:
+ version "0.1.1"
+ resolved "https://registry.yarnpkg.com/buffers/-/buffers-0.1.1.tgz#b24579c3bed4d6d396aeee6d9a8ae7f5482ab7bb"
+ integrity sha1-skV5w77U1tOWru5tmorn9Ugqt7s=
+
+builtin-modules@^1.1.1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/builtin-modules/-/builtin-modules-1.1.1.tgz#270f076c5a72c02f5b65a47df94c5fe3a278892f"
+ integrity sha1-Jw8HbFpywC9bZaR9+Uxf46J4iS8=
+
+bytes@3.1.0:
+ version "3.1.0"
+ resolved "https://registry.yarnpkg.com/bytes/-/bytes-3.1.0.tgz#f6cf7933a360e0588fa9fde85651cdc7f805d1f6"
+ integrity sha512-zauLjrfCG+xvoyaqLoV8bLVXXNGC4JqlxFCutSDWA6fJrTo2ZuvLYTqZ7aHBLZSMOopbzwv8f+wZcVzfVTI2Dg==
+
+cache-base@^1.0.1:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/cache-base/-/cache-base-1.0.1.tgz#0a7f46416831c8b662ee36fe4e7c59d76f666ab2"
+ integrity sha512-AKcdTnFSWATd5/GCPRxr2ChwIJ85CeyrEyjRHlKxQ56d4XJMGym0uAiKn0xbLOGOl3+yRpOTi484dVCEc5AUzQ==
+ dependencies:
+ collection-visit "^1.0.0"
+ component-emitter "^1.2.1"
+ get-value "^2.0.6"
+ has-value "^1.0.0"
+ isobject "^3.0.1"
+ set-value "^2.0.0"
+ to-object-path "^0.3.0"
+ union-value "^1.0.0"
+ unset-value "^1.0.0"
+
+callsites@^3.0.0:
+ version "3.1.0"
+ resolved "https://registry.yarnpkg.com/callsites/-/callsites-3.1.0.tgz#b3630abd8943432f54b3f0519238e33cd7df2f73"
+ integrity sha512-P8BjAsXvZS+VIDUI11hHCQEv74YT67YUi5JJFNWIqL235sBmjX4+qx9Muvls5ivyNENctx46xQLQ3aTuE7ssaQ==
+
+caseless@~0.12.0:
+ version "0.12.0"
+ resolved "https://registry.yarnpkg.com/caseless/-/caseless-0.12.0.tgz#1b681c21ff84033c826543090689420d187151dc"
+ integrity sha1-G2gcIf+EAzyCZUMJBolCDRhxUdw=
+
+chain-able@^1.0.1:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/chain-able/-/chain-able-1.0.1.tgz#b48ac9bdc18f2192ec730abc66609f90aab5605f"
+ integrity sha1-tIrJvcGPIZLscwq8ZmCfkKq1YF8=
+
+chain-able@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/chain-able/-/chain-able-3.0.0.tgz#dcffe8b04f3da210941a23843bc1332bb288ca9f"
+ integrity sha512-26MoELhta86n7gCsE2T1hGRyncZvPjFXTkB/DEp4+i/EJVSxXQNwXMDZZb2+SWcbPuow18wQtztaW7GXOel9DA==
+
+chainsaw@~0.1.0:
+ version "0.1.0"
+ resolved "https://registry.yarnpkg.com/chainsaw/-/chainsaw-0.1.0.tgz#5eab50b28afe58074d0d58291388828b5e5fbc98"
+ integrity sha1-XqtQsor+WAdNDVgpE4iCi15fvJg=
+ dependencies:
+ traverse ">=0.3.0 <0.4"
+
+chalk@^2.0.0, chalk@^2.3.0, chalk@^2.4.1:
+ version "2.4.2"
+ resolved "https://registry.yarnpkg.com/chalk/-/chalk-2.4.2.tgz#cd42541677a54333cf541a49108c1432b44c9424"
+ integrity sha512-Mti+f9lpJNcwF4tWV8/OrTTtF1gZi+f8FqlyAdouralcFWFQWF2+NgCHShjkCb+IFBLq9buZwE1xckQU4peSuQ==
+ dependencies:
+ ansi-styles "^3.2.1"
+ escape-string-regexp "^1.0.5"
+ supports-color "^5.3.0"
+
+chardet@^0.4.0:
+ version "0.4.2"
+ resolved "https://registry.yarnpkg.com/chardet/-/chardet-0.4.2.tgz#b5473b33dc97c424e5d98dc87d55d4d8a29c8bf2"
+ integrity sha1-tUc7M9yXxCTl2Y3IfVXU2KKci/I=
+
+chokidar@^1.6.1:
+ version "1.7.0"
+ resolved "https://registry.yarnpkg.com/chokidar/-/chokidar-1.7.0.tgz#798e689778151c8076b4b360e5edd28cda2bb468"
+ integrity sha1-eY5ol3gVHIB2tLNg5e3SjNortGg=
+ dependencies:
+ anymatch "^1.3.0"
+ async-each "^1.0.0"
+ glob-parent "^2.0.0"
+ inherits "^2.0.1"
+ is-binary-path "^1.0.0"
+ is-glob "^2.0.0"
+ path-is-absolute "^1.0.0"
+ readdirp "^2.0.0"
+ optionalDependencies:
+ fsevents "^1.0.0"
+
+class-utils@^0.3.5:
+ version "0.3.6"
+ resolved "https://registry.yarnpkg.com/class-utils/-/class-utils-0.3.6.tgz#f93369ae8b9a7ce02fd41faad0ca83033190c463"
+ integrity sha512-qOhPa/Fj7s6TY8H8esGu5QNpMMQxz79h+urzrNYN6mn+9BnxlDGf5QZ+XeCDsxSjPqsSR56XOZOJmpeurnLMeg==
+ dependencies:
+ arr-union "^3.1.0"
+ define-property "^0.2.5"
+ isobject "^3.0.0"
+ static-extend "^0.1.1"
+
+clean-css@^4.1.9:
+ version "4.2.3"
+ resolved "https://registry.yarnpkg.com/clean-css/-/clean-css-4.2.3.tgz#507b5de7d97b48ee53d84adb0160ff6216380f78"
+ integrity sha512-VcMWDN54ZN/DS+g58HYL5/n4Zrqe8vHJpGA8KdgUXFU4fuP/aHNw8eld9SyEIyabIMJX/0RaY/fplOo5hYLSFA==
+ dependencies:
+ source-map "~0.6.0"
+
+cli-cursor@^2.1.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/cli-cursor/-/cli-cursor-2.1.0.tgz#b35dac376479facc3e94747d41d0d0f5238ffcb5"
+ integrity sha1-s12sN2R5+sw+lHR9QdDQ9SOP/LU=
+ dependencies:
+ restore-cursor "^2.0.0"
+
+cli-width@^2.0.0:
+ version "2.2.0"
+ resolved "https://registry.yarnpkg.com/cli-width/-/cli-width-2.2.0.tgz#ff19ede8a9a5e579324147b0c11f0fbcbabed639"
+ integrity sha1-/xnt6Kml5XkyQUewwR8PvLq+1jk=
+
+clipboard@^2.0.6:
+ version "2.0.6"
+ resolved "https://registry.yarnpkg.com/clipboard/-/clipboard-2.0.6.tgz#52921296eec0fdf77ead1749421b21c968647376"
+ integrity sha512-g5zbiixBRk/wyKakSwCKd7vQXDjFnAMGHoEyBogG/bw9kTD9GvdAvaoRR1ALcEzt3pVKxZR0pViekPMIS0QyGg==
+ dependencies:
+ good-listener "^1.2.2"
+ select "^1.1.2"
+ tiny-emitter "^2.0.0"
+
+collection-visit@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/collection-visit/-/collection-visit-1.0.0.tgz#4bc0373c164bc3291b4d368c829cf1a80a59dca0"
+ integrity sha1-S8A3PBZLwykbTTaMgpzxqApZ3KA=
+ dependencies:
+ map-visit "^1.0.0"
+ object-visit "^1.0.0"
+
+color-convert@^1.9.0:
+ version "1.9.3"
+ resolved "https://registry.yarnpkg.com/color-convert/-/color-convert-1.9.3.tgz#bb71850690e1f136567de629d2d5471deda4c1e8"
+ integrity sha512-QfAUtd+vFdAtFQcC8CCyYt1fYWxSqAiK2cSD6zDB8N3cpsEBAvRxp9zOGg6G/SHHJYAT88/az/IuDGALsNVbGg==
+ dependencies:
+ color-name "1.1.3"
+
+color-name@1.1.3:
+ version "1.1.3"
+ resolved "https://registry.yarnpkg.com/color-name/-/color-name-1.1.3.tgz#a7d0558bd89c42f795dd42328f740831ca53bc25"
+ integrity sha1-p9BVi9icQveV3UIyj3QIMcpTvCU=
+
+combined-stream@^1.0.6, combined-stream@~1.0.6:
+ version "1.0.8"
+ resolved "https://registry.yarnpkg.com/combined-stream/-/combined-stream-1.0.8.tgz#c3d45a8b34fd730631a110a8a2520682b31d5a7f"
+ integrity sha512-FQN4MRfuJeHf7cBbBMJFXhKSDq+2kAArBlmRBvcvFE5BB1HZKXtSFASDhdlz9zOYwxh8lDdnvmMOe/+5cdoEdg==
+ dependencies:
+ delayed-stream "~1.0.0"
+
+commander@^2.12.1, commander@^2.20.0, commander@~2.20.3:
+ version "2.20.3"
+ resolved "https://registry.yarnpkg.com/commander/-/commander-2.20.3.tgz#fd485e84c03eb4881c20722ba48035e8531aeb33"
+ integrity sha512-GpVkmM8vF2vQUkj2LvZmD35JxeJOLCwJ9cUkugyk2nuhbv3+mJvpLYYt+0+USMxE+oj+ey/lJEnhZw75x/OMcQ==
+
+component-emitter@^1.2.1:
+ version "1.3.0"
+ resolved "https://registry.yarnpkg.com/component-emitter/-/component-emitter-1.3.0.tgz#16e4070fba8ae29b679f2215853ee181ab2eabc0"
+ integrity sha512-Rd3se6QB+sO1TwqZjscQrurpEPIfO0/yYnSin6Q/rD3mOutHvUrCAhJub3r90uNb+SESBuE0QYoB90YdfatsRg==
+
+concat-map@0.0.1:
+ version "0.0.1"
+ resolved "https://registry.yarnpkg.com/concat-map/-/concat-map-0.0.1.tgz#d8a96bd77fd68df7793a73036a3ba0d5405d477b"
+ integrity sha1-2Klr13/Wjfd5OnMDajug1UBdR3s=
+
+concat-stream@^1.4.7:
+ version "1.6.2"
+ resolved "https://registry.yarnpkg.com/concat-stream/-/concat-stream-1.6.2.tgz#904bdf194cd3122fc675c77fc4ac3d4ff0fd1a34"
+ integrity sha512-27HBghJxjiZtIk3Ycvn/4kbJk/1uZuJFfuPEns6LaEvpvG1f0hTea8lilrouyo9mVc2GWdcEZ8OLoGmSADlrCw==
+ dependencies:
+ buffer-from "^1.0.0"
+ inherits "^2.0.3"
+ readable-stream "^2.2.2"
+ typedarray "^0.0.6"
+
+concat-stream@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/concat-stream/-/concat-stream-2.0.0.tgz#414cf5af790a48c60ab9be4527d56d5e41133cb1"
+ integrity sha512-MWufYdFw53ccGjCA+Ol7XJYpAlW6/prSMzuPOTRnJGcGzuhLn4Scrz7qf6o8bROZ514ltazcIFJZevcfbo0x7A==
+ dependencies:
+ buffer-from "^1.0.0"
+ inherits "^2.0.3"
+ readable-stream "^3.0.2"
+ typedarray "^0.0.6"
+
+content-disposition@0.5.3:
+ version "0.5.3"
+ resolved "https://registry.yarnpkg.com/content-disposition/-/content-disposition-0.5.3.tgz#e130caf7e7279087c5616c2007d0485698984fbd"
+ integrity sha512-ExO0774ikEObIAEV9kDo50o+79VCUdEB6n6lzKgGwupcVeRlhrj3qGAfwq8G6uBJjkqLrhT0qEYFcWng8z1z0g==
+ dependencies:
+ safe-buffer "5.1.2"
+
+content-type@~1.0.4:
+ version "1.0.4"
+ resolved "https://registry.yarnpkg.com/content-type/-/content-type-1.0.4.tgz#e138cc75e040c727b1966fe5e5f8c9aee256fe3b"
+ integrity sha512-hIP3EEPs8tB9AT1L+NUqtwOAps4mk2Zob89MWXMHjHWg9milF/j4osnnQLXBCBFBk/tvIG/tUc9mOUJiPBhPXA==
+
+convert-source-map@^1.5.0, convert-source-map@^1.5.1:
+ version "1.7.0"
+ resolved "https://registry.yarnpkg.com/convert-source-map/-/convert-source-map-1.7.0.tgz#17a2cb882d7f77d3490585e2ce6c524424a3a442"
+ integrity sha512-4FJkXzKXEDB1snCFZlLP4gpC3JILicCpGbzG9f9G7tGqGCzETQ2hWPrcinA9oU4wtf2biUaEH5065UnMeR33oA==
+ dependencies:
+ safe-buffer "~5.1.1"
+
+cookie-signature@1.0.6:
+ version "1.0.6"
+ resolved "https://registry.yarnpkg.com/cookie-signature/-/cookie-signature-1.0.6.tgz#e303a882b342cc3ee8ca513a79999734dab3ae2c"
+ integrity sha1-4wOogrNCzD7oylE6eZmXNNqzriw=
+
+cookie@0.4.0:
+ version "0.4.0"
+ resolved "https://registry.yarnpkg.com/cookie/-/cookie-0.4.0.tgz#beb437e7022b3b6d49019d088665303ebe9c14ba"
+ integrity sha512-+Hp8fLp57wnUSt0tY0tHEXh4voZRDnoIrZPqlo3DPiI4y9lwg/jqx+1Om94/W6ZaPDOUbnjOt/99w66zk+l1Xg==
+
+copy-descriptor@^0.1.0:
+ version "0.1.1"
+ resolved "https://registry.yarnpkg.com/copy-descriptor/-/copy-descriptor-0.1.1.tgz#676f6eb3c39997c2ee1ac3a924fd6124748f578d"
+ integrity sha1-Z29us8OZl8LuGsOpJP1hJHSPV40=
+
+core-js@^2.4.0:
+ version "2.6.11"
+ resolved "https://registry.yarnpkg.com/core-js/-/core-js-2.6.11.tgz#38831469f9922bded8ee21c9dc46985e0399308c"
+ integrity sha512-5wjnpaT/3dV+XB4borEsnAYQchn00XSgTAWKDkEqv+K8KevjbzmofK6hfJ9TZIlpj2N0xQpazy7PiRQiWHqzWg==
+
+core-util-is@1.0.2, core-util-is@~1.0.0:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/core-util-is/-/core-util-is-1.0.2.tgz#b5fd54220aa2bc5ab57aab7140c940754503c1a7"
+ integrity sha1-tf1UIgqivFq1eqtxQMlAdUUDwac=
+
+cosmiconfig@^6.0.0:
+ version "6.0.0"
+ resolved "https://registry.yarnpkg.com/cosmiconfig/-/cosmiconfig-6.0.0.tgz#da4fee853c52f6b1e6935f41c1a2fc50bd4a9982"
+ integrity sha512-xb3ZL6+L8b9JLLCx3ZdoZy4+2ECphCMo2PwqgP1tlfVq6M6YReyzBJtvWWtbDSpNr9hn96pkCiZqUcFEc+54Qg==
+ dependencies:
+ "@types/parse-json" "^4.0.0"
+ import-fresh "^3.1.0"
+ parse-json "^5.0.0"
+ path-type "^4.0.0"
+ yaml "^1.7.2"
+
+create-emotion-styled@^9.2.8:
+ version "9.2.8"
+ resolved "https://registry.yarnpkg.com/create-emotion-styled/-/create-emotion-styled-9.2.8.tgz#c0050e768ba439609bec108600467adf2de67cc3"
+ integrity sha512-2LrNM5MREWzI5hZK+LyiBHglwE18WE3AEbBQgpHQ1+zmyLSm/dJsUZBeFAwuIMb+TjNZP0KsMZlV776ufOtFdg==
+ dependencies:
+ "@emotion/is-prop-valid" "^0.6.1"
+
+create-emotion@^9.2.12:
+ version "9.2.12"
+ resolved "https://registry.yarnpkg.com/create-emotion/-/create-emotion-9.2.12.tgz#0fc8e7f92c4f8bb924b0fef6781f66b1d07cb26f"
+ integrity sha512-P57uOF9NL2y98Xrbl2OuiDQUZ30GVmASsv5fbsjF4Hlraip2kyAvMm+2PoYUvFFw03Fhgtxk3RqZSm2/qHL9hA==
+ dependencies:
+ "@emotion/hash" "^0.6.2"
+ "@emotion/memoize" "^0.6.1"
+ "@emotion/stylis" "^0.7.0"
+ "@emotion/unitless" "^0.6.2"
+ csstype "^2.5.2"
+ stylis "^3.5.0"
+ stylis-rule-sheet "^0.0.10"
+
+create-react-context@^0.1.5:
+ version "0.1.6"
+ resolved "https://registry.yarnpkg.com/create-react-context/-/create-react-context-0.1.6.tgz#0f425931d907741127acc6e31acb4f9015dd9fdc"
+ integrity sha512-eCnYYEUEc5i32LHwpE/W7NlddOB9oHwsPaWtWzYtflNkkwa3IfindIcoXdVWs12zCbwaMCavKNu84EXogVIWHw==
+
+csstype@^2.2.0:
+ version "2.6.10"
+ resolved "https://registry.yarnpkg.com/csstype/-/csstype-2.6.10.tgz#e63af50e66d7c266edb6b32909cfd0aabe03928b"
+ integrity sha512-D34BqZU4cIlMCY93rZHbrq9pjTAQJ3U8S8rfBqjwHxkGPThWFjzZDQpgMJY0QViLxth6ZKYiwFBo14RdN44U/w==
+
+csstype@^2.5.2:
+ version "2.6.9"
+ resolved "https://registry.yarnpkg.com/csstype/-/csstype-2.6.9.tgz#05141d0cd557a56b8891394c1911c40c8a98d098"
+ integrity sha512-xz39Sb4+OaTsULgUERcCk+TJj8ylkL4aSVDQiX/ksxbELSqwkgt4d4RD7fovIdgJGSuNYqwZEiVjYY5l0ask+Q==
+
+dashdash@^1.12.0:
+ version "1.14.1"
+ resolved "https://registry.yarnpkg.com/dashdash/-/dashdash-1.14.1.tgz#853cfa0f7cbe2fed5de20326b8dd581035f6e2f0"
+ integrity sha1-hTz6D3y+L+1d4gMmuN1YEDX24vA=
+ dependencies:
+ assert-plus "^1.0.0"
+
+debug@2.6.9, debug@^2.2.0, debug@^2.3.3:
+ version "2.6.9"
+ resolved "https://registry.yarnpkg.com/debug/-/debug-2.6.9.tgz#5d128515df134ff327e90a4c93f4e077a536341f"
+ integrity sha512-bC7ElrdJaJnPbAP+1EotYvqZsb3ecl5wi6Bfi6BJTUcNowp6cvspg0jXznRTKDjm/E7AdgFBVeAPVMNcKGsHMA==
+ dependencies:
+ ms "2.0.0"
+
+decode-uri-component@^0.2.0:
+ version "0.2.0"
+ resolved "https://registry.yarnpkg.com/decode-uri-component/-/decode-uri-component-0.2.0.tgz#eb3913333458775cb84cd1a1fae062106bb87545"
+ integrity sha1-6zkTMzRYd1y4TNGh+uBiEGu4dUU=
+
+deep-is@~0.1.3:
+ version "0.1.3"
+ resolved "https://registry.yarnpkg.com/deep-is/-/deep-is-0.1.3.tgz#b369d6fb5dbc13eecf524f91b070feedc357cf34"
+ integrity sha1-s2nW+128E+7PUk+RsHD+7cNXzzQ=
+
+define-properties@^1.1.2, define-properties@^1.1.3:
+ version "1.1.3"
+ resolved "https://registry.yarnpkg.com/define-properties/-/define-properties-1.1.3.tgz#cf88da6cbee26fe6db7094f61d870cbd84cee9f1"
+ integrity sha512-3MqfYKj2lLzdMSf8ZIZE/V+Zuy+BgD6f164e8K2w7dgnpKArBDerGYpM46IYYcjnkdPNMjPk9A6VFB8+3SKlXQ==
+ dependencies:
+ object-keys "^1.0.12"
+
+define-property@^0.2.5:
+ version "0.2.5"
+ resolved "https://registry.yarnpkg.com/define-property/-/define-property-0.2.5.tgz#c35b1ef918ec3c990f9a5bc57be04aacec5c8116"
+ integrity sha1-w1se+RjsPJkPmlvFe+BKrOxcgRY=
+ dependencies:
+ is-descriptor "^0.1.0"
+
+define-property@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/define-property/-/define-property-1.0.0.tgz#769ebaaf3f4a63aad3af9e8d304c9bbe79bfb0e6"
+ integrity sha1-dp66rz9KY6rTr56NMEybvnm/sOY=
+ dependencies:
+ is-descriptor "^1.0.0"
+
+define-property@^2.0.2:
+ version "2.0.2"
+ resolved "https://registry.yarnpkg.com/define-property/-/define-property-2.0.2.tgz#d459689e8d654ba77e02a817f8710d702cb16e9d"
+ integrity sha512-jwK2UV4cnPpbcG7+VRARKTZPUWowwXA8bzH5NP6ud0oeAxyYPuGZUAC7hMugpCdz4BeSZl2Dl9k66CHJ/46ZYQ==
+ dependencies:
+ is-descriptor "^1.0.2"
+ isobject "^3.0.1"
+
+delayed-stream@~1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/delayed-stream/-/delayed-stream-1.0.0.tgz#df3ae199acadfb7d440aaae0b29e2272b24ec619"
+ integrity sha1-3zrhmayt+31ECqrgsp4icrJOxhk=
+
+delegate@^3.1.2:
+ version "3.2.0"
+ resolved "https://registry.yarnpkg.com/delegate/-/delegate-3.2.0.tgz#b66b71c3158522e8ab5744f720d8ca0c2af59166"
+ integrity sha512-IofjkYBZaZivn0V8nnsMJGBr4jVLxHDheKSW88PyxS5QC4Vo9ZbZVvhzlSxY87fVq3STR6r+4cGepyHkcWOQSw==
+
+depd@~1.1.2:
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/depd/-/depd-1.1.2.tgz#9bcd52e14c097763e749b274c4346ed2e560b5a9"
+ integrity sha1-m81S4UwJd2PnSbJ0xDRu0uVgtak=
+
+destroy@~1.0.4:
+ version "1.0.4"
+ resolved "https://registry.yarnpkg.com/destroy/-/destroy-1.0.4.tgz#978857442c44749e4206613e37946205826abd80"
+ integrity sha1-l4hXRCxEdJ5CBmE+N5RiBYJqvYA=
+
+diff-match-patch@^1.0.0, diff-match-patch@^1.0.4:
+ version "1.0.4"
+ resolved "https://registry.yarnpkg.com/diff-match-patch/-/diff-match-patch-1.0.4.tgz#6ac4b55237463761c4daf0dc603eb869124744b1"
+ integrity sha512-Uv3SW8bmH9nAtHKaKSanOQmj2DnlH65fUpcrMdfdaOxUG02QQ4YGZ8AE7kKOMisF7UqvOlGKVYWRvezdncW9lg==
+
+diff@^4.0.1:
+ version "4.0.2"
+ resolved "https://registry.yarnpkg.com/diff/-/diff-4.0.2.tgz#60f3aecb89d5fae520c11aa19efc2bb982aade7d"
+ integrity sha512-58lmxKSA4BNyLz+HHMUzlOEpg09FV+ev6ZMe3vJihgdxzgcwZ8VoEEPmALCZG9LmqfVoNMMKpttIYTVG6uDY7A==
+
+ecc-jsbn@~0.1.1:
+ version "0.1.2"
+ resolved "https://registry.yarnpkg.com/ecc-jsbn/-/ecc-jsbn-0.1.2.tgz#3a83a904e54353287874c564b7549386849a98c9"
+ integrity sha1-OoOpBOVDUyh4dMVkt1SThoSamMk=
+ dependencies:
+ jsbn "~0.1.0"
+ safer-buffer "^2.1.0"
+
+ee-first@1.1.1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/ee-first/-/ee-first-1.1.1.tgz#590c61156b0ae2f4f0255732a158b266bc56b21d"
+ integrity sha1-WQxhFWsK4vTwJVcyoViyZrxWsh0=
+
+emotion@^9.2.5:
+ version "9.2.12"
+ resolved "https://registry.yarnpkg.com/emotion/-/emotion-9.2.12.tgz#53925aaa005614e65c6e43db8243c843574d1ea9"
+ integrity sha512-hcx7jppaI8VoXxIWEhxpDW7I+B4kq9RNzQLmsrF6LY8BGKqe2N+gFAQr0EfuFucFlPs2A9HM4+xNj4NeqEWIOQ==
+ dependencies:
+ babel-plugin-emotion "^9.2.11"
+ create-emotion "^9.2.12"
+
+encodeurl@~1.0.2:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/encodeurl/-/encodeurl-1.0.2.tgz#ad3ff4c86ec2d029322f5a02c3a9a606c95b3f59"
+ integrity sha1-rT/0yG7C0CkyL1oCw6mmBslbP1k=
+
+error-ex@^1.3.1:
+ version "1.3.2"
+ resolved "https://registry.yarnpkg.com/error-ex/-/error-ex-1.3.2.tgz#b4ac40648107fdcdcfae242f428bea8a14d4f1bf"
+ integrity sha512-7dFHNmqeFSEt2ZBsCriorKnn3Z2pj+fd9kmI6QoWw4//DL+icEBfc0U7qJCisqrTsKTjw4fNFy2pW9OqStD84g==
+ dependencies:
+ is-arrayish "^0.2.1"
+
+es-abstract@^1.17.0-next.1, es-abstract@^1.17.5:
+ version "1.17.6"
+ resolved "https://registry.yarnpkg.com/es-abstract/-/es-abstract-1.17.6.tgz#9142071707857b2cacc7b89ecb670316c3e2d52a"
+ integrity sha512-Fr89bON3WFyUi5EvAeI48QTWX0AyekGgLA8H+c+7fbfCkJwRWRMLd8CQedNEyJuoYYhmtEqY92pgte1FAhBlhw==
+ dependencies:
+ es-to-primitive "^1.2.1"
+ function-bind "^1.1.1"
+ has "^1.0.3"
+ has-symbols "^1.0.1"
+ is-callable "^1.2.0"
+ is-regex "^1.1.0"
+ object-inspect "^1.7.0"
+ object-keys "^1.1.1"
+ object.assign "^4.1.0"
+ string.prototype.trimend "^1.0.1"
+ string.prototype.trimstart "^1.0.1"
+
+es-to-primitive@^1.2.1:
+ version "1.2.1"
+ resolved "https://registry.yarnpkg.com/es-to-primitive/-/es-to-primitive-1.2.1.tgz#e55cd4c9cdc188bcefb03b366c736323fc5c898a"
+ integrity sha512-QCOllgZJtaUo9miYBcLChTUaHNjJF3PYs1VidD7AwiEj1kYxKeQTctLAezAOH5ZKRH0g2IgPn6KwB4IT8iRpvA==
+ dependencies:
+ is-callable "^1.1.4"
+ is-date-object "^1.0.1"
+ is-symbol "^1.0.2"
+
+es6-object-assign@^1.1.0:
+ version "1.1.0"
+ resolved "https://registry.yarnpkg.com/es6-object-assign/-/es6-object-assign-1.1.0.tgz#c2c3582656247c39ea107cb1e6652b6f9f24523c"
+ integrity sha1-wsNYJlYkfDnqEHyx5mUrb58kUjw=
+
+escape-html@~1.0.3:
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/escape-html/-/escape-html-1.0.3.tgz#0258eae4d3d0c0974de1c169188ef0051d1d1988"
+ integrity sha1-Aljq5NPQwJdN4cFpGI7wBR0dGYg=
+
+escape-string-regexp@^1.0.5:
+ version "1.0.5"
+ resolved "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-1.0.5.tgz#1b61c0562190a8dff6ae3bb2cf0200ca130b86d4"
+ integrity sha1-G2HAViGQqN/2rjuyzwIAyhMLhtQ=
+
+escodegen@^1.8.1:
+ version "1.14.1"
+ resolved "https://registry.yarnpkg.com/escodegen/-/escodegen-1.14.1.tgz#ba01d0c8278b5e95a9a45350142026659027a457"
+ integrity sha512-Bmt7NcRySdIfNPfU2ZoXDrrXsG9ZjvDxcAlMfDUgRBjLOWTuIACXPBFJH7Z+cLb40JeQco5toikyc9t9P8E9SQ==
+ dependencies:
+ esprima "^4.0.1"
+ estraverse "^4.2.0"
+ esutils "^2.0.2"
+ optionator "^0.8.1"
+ optionalDependencies:
+ source-map "~0.6.1"
+
+esprima@^4.0.0, esprima@^4.0.1:
+ version "4.0.1"
+ resolved "https://registry.yarnpkg.com/esprima/-/esprima-4.0.1.tgz#13b04cdb3e6c5d19df91ab6987a8695619b0aa71"
+ integrity sha512-eGuFFw7Upda+g4p+QHvnW0RyTX/SVeJBDM/gCtMARO0cLuT2HcEKnTPvhjV6aGeqrCB/sbNop0Kszm0jsaWU4A==
+
+estraverse@^4.2.0:
+ version "4.3.0"
+ resolved "https://registry.yarnpkg.com/estraverse/-/estraverse-4.3.0.tgz#398ad3f3c5a24948be7725e83d11a7de28cdbd1d"
+ integrity sha512-39nnKffWz8xN1BU/2c79n9nB9HDzo0niYUqx6xyqUnyoAnQyyWpOTdZEeiCch8BBu515t4wp9ZmgVfVhn9EBpw==
+
+esutils@^2.0.2:
+ version "2.0.3"
+ resolved "https://registry.yarnpkg.com/esutils/-/esutils-2.0.3.tgz#74d2eb4de0b8da1293711910d50775b9b710ef64"
+ integrity sha512-kVscqXk4OCp68SZ0dkgEKVi6/8ij300KBWTJq32P/dYeWTSwK41WyTxalN1eRmA5Z9UU/LX9D7FWSmV9SAYx6g==
+
+etag@~1.8.1:
+ version "1.8.1"
+ resolved "https://registry.yarnpkg.com/etag/-/etag-1.8.1.tgz#41ae2eeb65efa62268aebfea83ac7d79299b0887"
+ integrity sha1-Qa4u62XvpiJorr/qg6x9eSmbCIc=
+
+exec-sh@^0.2.0:
+ version "0.2.2"
+ resolved "https://registry.yarnpkg.com/exec-sh/-/exec-sh-0.2.2.tgz#2a5e7ffcbd7d0ba2755bdecb16e5a427dfbdec36"
+ integrity sha512-FIUCJz1RbuS0FKTdaAafAByGS0CPvU3R0MeHxgtl+djzCc//F8HakL8GzmVNZanasTbTAY/3DRFA0KpVqj/eAw==
+ dependencies:
+ merge "^1.2.0"
+
+expand-brackets@^0.1.4:
+ version "0.1.5"
+ resolved "https://registry.yarnpkg.com/expand-brackets/-/expand-brackets-0.1.5.tgz#df07284e342a807cd733ac5af72411e581d1177b"
+ integrity sha1-3wcoTjQqgHzXM6xa9yQR5YHRF3s=
+ dependencies:
+ is-posix-bracket "^0.1.0"
+
+expand-brackets@^2.1.4:
+ version "2.1.4"
+ resolved "https://registry.yarnpkg.com/expand-brackets/-/expand-brackets-2.1.4.tgz#b77735e315ce30f6b6eff0f83b04151a22449622"
+ integrity sha1-t3c14xXOMPa27/D4OwQVGiJEliI=
+ dependencies:
+ debug "^2.3.3"
+ define-property "^0.2.5"
+ extend-shallow "^2.0.1"
+ posix-character-classes "^0.1.0"
+ regex-not "^1.0.0"
+ snapdragon "^0.8.1"
+ to-regex "^3.0.1"
+
+expand-range@^1.8.1:
+ version "1.8.2"
+ resolved "https://registry.yarnpkg.com/expand-range/-/expand-range-1.8.2.tgz#a299effd335fe2721ebae8e257ec79644fc85337"
+ integrity sha1-opnv/TNf4nIeuujiV+x5ZE/IUzc=
+ dependencies:
+ fill-range "^2.1.0"
+
+express@^4.14.0:
+ version "4.17.1"
+ resolved "https://registry.yarnpkg.com/express/-/express-4.17.1.tgz#4491fc38605cf51f8629d39c2b5d026f98a4c134"
+ integrity sha512-mHJ9O79RqluphRrcw2X/GTh3k9tVv8YcoyY4Kkh4WDMUYKRZUq0h1o0w2rrrxBqM7VoeUVqgb27xlEMXTnYt4g==
+ dependencies:
+ accepts "~1.3.7"
+ array-flatten "1.1.1"
+ body-parser "1.19.0"
+ content-disposition "0.5.3"
+ content-type "~1.0.4"
+ cookie "0.4.0"
+ cookie-signature "1.0.6"
+ debug "2.6.9"
+ depd "~1.1.2"
+ encodeurl "~1.0.2"
+ escape-html "~1.0.3"
+ etag "~1.8.1"
+ finalhandler "~1.1.2"
+ fresh "0.5.2"
+ merge-descriptors "1.0.1"
+ methods "~1.1.2"
+ on-finished "~2.3.0"
+ parseurl "~1.3.3"
+ path-to-regexp "0.1.7"
+ proxy-addr "~2.0.5"
+ qs "6.7.0"
+ range-parser "~1.2.1"
+ safe-buffer "5.1.2"
+ send "0.17.1"
+ serve-static "1.14.1"
+ setprototypeof "1.1.1"
+ statuses "~1.5.0"
+ type-is "~1.6.18"
+ utils-merge "1.0.1"
+ vary "~1.1.2"
+
+extend-shallow@^2.0.1:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/extend-shallow/-/extend-shallow-2.0.1.tgz#51af7d614ad9a9f610ea1bafbb989d6b1c56890f"
+ integrity sha1-Ua99YUrZqfYQ6huvu5idaxxWiQ8=
+ dependencies:
+ is-extendable "^0.1.0"
+
+extend-shallow@^3.0.0, extend-shallow@^3.0.2:
+ version "3.0.2"
+ resolved "https://registry.yarnpkg.com/extend-shallow/-/extend-shallow-3.0.2.tgz#26a71aaf073b39fb2127172746131c2704028db8"
+ integrity sha1-Jqcarwc7OfshJxcnRhMcJwQCjbg=
+ dependencies:
+ assign-symbols "^1.0.0"
+ is-extendable "^1.0.1"
+
+extend@~3.0.2:
+ version "3.0.2"
+ resolved "https://registry.yarnpkg.com/extend/-/extend-3.0.2.tgz#f8b1136b4071fbd8eb140aff858b1019ec2915fa"
+ integrity sha512-fjquC59cD7CyW6urNXK0FBufkZcoiGG80wTuPujX590cB5Ttln20E2UB4S/WARVqhXffZl2LNgS+gQdPIIim/g==
+
+external-editor@^2.0.4:
+ version "2.2.0"
+ resolved "https://registry.yarnpkg.com/external-editor/-/external-editor-2.2.0.tgz#045511cfd8d133f3846673d1047c154e214ad3d5"
+ integrity sha512-bSn6gvGxKt+b7+6TKEv1ZycHleA7aHhRHyAqJyp5pbUFuYYNIzpZnQDk7AsYckyWdEnTeAnay0aCy2aV6iTk9A==
+ dependencies:
+ chardet "^0.4.0"
+ iconv-lite "^0.4.17"
+ tmp "^0.0.33"
+
+extglob@^0.3.1:
+ version "0.3.2"
+ resolved "https://registry.yarnpkg.com/extglob/-/extglob-0.3.2.tgz#2e18ff3d2f49ab2765cec9023f011daa8d8349a1"
+ integrity sha1-Lhj/PS9JqydlzskCPwEdqo2DSaE=
+ dependencies:
+ is-extglob "^1.0.0"
+
+extglob@^2.0.4:
+ version "2.0.4"
+ resolved "https://registry.yarnpkg.com/extglob/-/extglob-2.0.4.tgz#ad00fe4dc612a9232e8718711dc5cb5ab0285543"
+ integrity sha512-Nmb6QXkELsuBr24CJSkilo6UHHgbekK5UiZgfE6UHD3Eb27YC6oD+bhcT+tJ6cl8dmsgdQxnWlcry8ksBIBLpw==
+ dependencies:
+ array-unique "^0.3.2"
+ define-property "^1.0.0"
+ expand-brackets "^2.1.4"
+ extend-shallow "^2.0.1"
+ fragment-cache "^0.2.1"
+ regex-not "^1.0.0"
+ snapdragon "^0.8.1"
+ to-regex "^3.0.1"
+
+extsprintf@1.3.0:
+ version "1.3.0"
+ resolved "https://registry.yarnpkg.com/extsprintf/-/extsprintf-1.3.0.tgz#96918440e3041a7a414f8c52e3c574eb3c3e1e05"
+ integrity sha1-lpGEQOMEGnpBT4xS48V06zw+HgU=
+
+extsprintf@^1.2.0:
+ version "1.4.0"
+ resolved "https://registry.yarnpkg.com/extsprintf/-/extsprintf-1.4.0.tgz#e2689f8f356fad62cca65a3a91c5df5f9551692f"
+ integrity sha1-4mifjzVvrWLMplo6kcXfX5VRaS8=
+
+fast-deep-equal@^3.1.1:
+ version "3.1.1"
+ resolved "https://registry.yarnpkg.com/fast-deep-equal/-/fast-deep-equal-3.1.1.tgz#545145077c501491e33b15ec408c294376e94ae4"
+ integrity sha512-8UEa58QDLauDNfpbrX55Q9jrGHThw2ZMdOky5Gl1CDtVeJDPVrG4Jxx1N8jw2gkWaff5UUuX1KJd+9zGe2B+ZA==
+
+fast-json-stable-stringify@^2.0.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/fast-json-stable-stringify/-/fast-json-stable-stringify-2.1.0.tgz#874bf69c6f404c2b5d99c481341399fd55892633"
+ integrity sha512-lhd/wF+Lk98HZoTCtlVraHtfh5XYijIjalXck7saUtuanSDyLMxnHhSXEDJqHxD7msR8D0uCmqlkwjCV8xvwHw==
+
+fast-levenshtein@~2.0.6:
+ version "2.0.6"
+ resolved "https://registry.yarnpkg.com/fast-levenshtein/-/fast-levenshtein-2.0.6.tgz#3d8a5c66883a16a30ca8643e851f19baa7797917"
+ integrity sha1-PYpcZog6FqMMqGQ+hR8Zuqd5eRc=
+
+fast-xml-parser@^3.17.1:
+ version "3.17.1"
+ resolved "https://registry.yarnpkg.com/fast-xml-parser/-/fast-xml-parser-3.17.1.tgz#579fa64346cc891ce240d378268c6216e74aab10"
+ integrity sha512-jZ0EVn1iBuZtx/sbQnfvhSaaUltz+0+yfR+6QRyzrlt5yMiU+8ZfGj9i3/hoXJxm+aFri7dycBWbncox7frCAQ==
+
+figures@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/figures/-/figures-2.0.0.tgz#3ab1a2d2a62c8bfb431a0c94cb797a2fce27c962"
+ integrity sha1-OrGi0qYsi/tDGgyUy3l6L84nyWI=
+ dependencies:
+ escape-string-regexp "^1.0.5"
+
+file-match@^1.0.1:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/file-match/-/file-match-1.0.2.tgz#c9cad265d2c8adf3a81475b0df475859069faef7"
+ integrity sha1-ycrSZdLIrfOoFHWw30dYWQafrvc=
+ dependencies:
+ utils-extend "^1.0.6"
+
+file-system@^2.1.0, file-system@^2.1.1:
+ version "2.2.2"
+ resolved "https://registry.yarnpkg.com/file-system/-/file-system-2.2.2.tgz#7d65833e3a2347dcd956a813c677153ed3edd987"
+ integrity sha1-fWWDPjojR9zZVqgTxncVPtPt2Yc=
+ dependencies:
+ file-match "^1.0.1"
+ utils-extend "^1.0.4"
+
+file-uri-to-path@1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/file-uri-to-path/-/file-uri-to-path-1.0.0.tgz#553a7b8446ff6f684359c445f1e37a05dacc33dd"
+ integrity sha512-0Zt+s3L7Vf1biwWZ29aARiVYLx7iMGnEUl9x33fbB/j3jR81u/O2LbqK+Bm1CDSNDKVtJ/YjwY7TUd5SkeLQLw==
+
+filename-regex@^2.0.0:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/filename-regex/-/filename-regex-2.0.1.tgz#c1c4b9bee3e09725ddb106b75c1e301fe2f18b26"
+ integrity sha1-wcS5vuPglyXdsQa3XB4wH+LxiyY=
+
+fill-range@^2.1.0:
+ version "2.2.4"
+ resolved "https://registry.yarnpkg.com/fill-range/-/fill-range-2.2.4.tgz#eb1e773abb056dcd8df2bfdf6af59b8b3a936565"
+ integrity sha512-cnrcCbj01+j2gTG921VZPnHbjmdAf8oQV/iGeV2kZxGSyfYjjTyY79ErsK1WJWMpw6DaApEX72binqJE+/d+5Q==
+ dependencies:
+ is-number "^2.1.0"
+ isobject "^2.0.0"
+ randomatic "^3.0.0"
+ repeat-element "^1.1.2"
+ repeat-string "^1.5.2"
+
+fill-range@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/fill-range/-/fill-range-4.0.0.tgz#d544811d428f98eb06a63dc402d2403c328c38f7"
+ integrity sha1-1USBHUKPmOsGpj3EAtJAPDKMOPc=
+ dependencies:
+ extend-shallow "^2.0.1"
+ is-number "^3.0.0"
+ repeat-string "^1.6.1"
+ to-regex-range "^2.1.0"
+
+finalhandler@~1.1.2:
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/finalhandler/-/finalhandler-1.1.2.tgz#b7e7d000ffd11938d0fdb053506f6ebabe9f587d"
+ integrity sha512-aAWcW57uxVNrQZqFXjITpW3sIUQmHGG3qSb9mUah9MgMC4NeWhNOlNjXEYq3HjRAvL6arUviZGGJsBg6z0zsWA==
+ dependencies:
+ debug "2.6.9"
+ encodeurl "~1.0.2"
+ escape-html "~1.0.3"
+ on-finished "~2.3.0"
+ parseurl "~1.3.3"
+ statuses "~1.5.0"
+ unpipe "~1.0.0"
+
+find-root@^1.1.0:
+ version "1.1.0"
+ resolved "https://registry.yarnpkg.com/find-root/-/find-root-1.1.0.tgz#abcfc8ba76f708c42a97b3d685b7e9450bfb9ce4"
+ integrity sha512-NKfW6bec6GfKc0SGx1e07QZY9PE99u0Bft/0rzSD5k3sO/vwkVUpDUKVm5Gpp5Ue3YfShPFTX2070tDs5kB9Ng==
+
+fliplog@^0.3.13:
+ version "0.3.13"
+ resolved "https://registry.yarnpkg.com/fliplog/-/fliplog-0.3.13.tgz#dd0d786e821822aae272e0ddc84012596a96154c"
+ integrity sha512-R504CdX+mdhMYpmyrdiQ9PW6ncAyZnxyeA85fS1/P/Y9qmbMiQsqt6QzsYhq5kbqMb84PibVOcS1oz98GJl6EQ==
+ dependencies:
+ chain-able "^1.0.1"
+
+for-in@^1.0.1, for-in@^1.0.2:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/for-in/-/for-in-1.0.2.tgz#81068d295a8142ec0ac726c6e2200c30fb6d5e80"
+ integrity sha1-gQaNKVqBQuwKxybG4iAMMPttXoA=
+
+for-own@^0.1.4:
+ version "0.1.5"
+ resolved "https://registry.yarnpkg.com/for-own/-/for-own-0.1.5.tgz#5265c681a4f294dabbf17c9509b6763aa84510ce"
+ integrity sha1-UmXGgaTylNq78XyVCbZ2OqhFEM4=
+ dependencies:
+ for-in "^1.0.1"
+
+forever-agent@~0.6.1:
+ version "0.6.1"
+ resolved "https://registry.yarnpkg.com/forever-agent/-/forever-agent-0.6.1.tgz#fbc71f0c41adeb37f96c577ad1ed42d8fdacca91"
+ integrity sha1-+8cfDEGt6zf5bFd60e1C2P2sypE=
+
+form-data@~2.3.2:
+ version "2.3.3"
+ resolved "https://registry.yarnpkg.com/form-data/-/form-data-2.3.3.tgz#dcce52c05f644f298c6a7ab936bd724ceffbf3a6"
+ integrity sha512-1lLKB2Mu3aGP1Q/2eCOx0fNbRMe7XdwktwOruhfqqd0rIJWwN4Dh+E3hrPSlDCXnSR7UtZ1N38rVXm+6+MEhJQ==
+ dependencies:
+ asynckit "^0.4.0"
+ combined-stream "^1.0.6"
+ mime-types "^2.1.12"
+
+forwarded@~0.1.2:
+ version "0.1.2"
+ resolved "https://registry.yarnpkg.com/forwarded/-/forwarded-0.1.2.tgz#98c23dab1175657b8c0573e8ceccd91b0ff18c84"
+ integrity sha1-mMI9qxF1ZXuMBXPozszZGw/xjIQ=
+
+fragment-cache@^0.2.1:
+ version "0.2.1"
+ resolved "https://registry.yarnpkg.com/fragment-cache/-/fragment-cache-0.2.1.tgz#4290fad27f13e89be7f33799c6bc5a0abfff0d19"
+ integrity sha1-QpD60n8T6Jvn8zeZxrxaCr//DRk=
+ dependencies:
+ map-cache "^0.2.2"
+
+fresh@0.5.2:
+ version "0.5.2"
+ resolved "https://registry.yarnpkg.com/fresh/-/fresh-0.5.2.tgz#3d8cadd90d976569fa835ab1f8e4b23a105605a7"
+ integrity sha1-PYyt2Q2XZWn6g1qx+OSyOhBWBac=
+
+fs-extra@^7.0.0:
+ version "7.0.1"
+ resolved "https://registry.yarnpkg.com/fs-extra/-/fs-extra-7.0.1.tgz#4f189c44aa123b895f722804f55ea23eadc348e9"
+ integrity sha512-YJDaCJZEnBmcbw13fvdAM9AwNOJwOzrE4pqMqBq5nFiEqXUqHwlK4B+3pUw6JNvfSPtX05xFHtYy/1ni01eGCw==
+ dependencies:
+ graceful-fs "^4.1.2"
+ jsonfile "^4.0.0"
+ universalify "^0.1.0"
+
+fs.realpath@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/fs.realpath/-/fs.realpath-1.0.0.tgz#1504ad2523158caa40db4a2787cb01411994ea4f"
+ integrity sha1-FQStJSMVjKpA20onh8sBQRmU6k8=
+
+fsevents@^1.0.0:
+ version "1.2.11"
+ resolved "https://registry.yarnpkg.com/fsevents/-/fsevents-1.2.11.tgz#67bf57f4758f02ede88fb2a1712fef4d15358be3"
+ integrity sha512-+ux3lx6peh0BpvY0JebGyZoiR4D+oYzdPZMKJwkZ+sFkNJzpL7tXc/wehS49gUAxg3tmMHPHZkA8JU2rhhgDHw==
+ dependencies:
+ bindings "^1.5.0"
+ nan "^2.12.1"
+
+"fstream@>= 0.1.30 < 1":
+ version "0.1.31"
+ resolved "https://registry.yarnpkg.com/fstream/-/fstream-0.1.31.tgz#7337f058fbbbbefa8c9f561a28cab0849202c988"
+ integrity sha1-czfwWPu7vvqMn1YaKMqwhJICyYg=
+ dependencies:
+ graceful-fs "~3.0.2"
+ inherits "~2.0.0"
+ mkdirp "0.5"
+ rimraf "2"
+
+function-bind@^1.1.1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/function-bind/-/function-bind-1.1.1.tgz#a56899d3ea3c9bab874bb9773b7c5ede92f4895d"
+ integrity sha512-yIovAzMX49sF8Yl58fSCWJ5svSLuaibPxXQJFLmBObTuCr0Mf1KiPopGM9NiFjiYBCbfaa2Fh6breQ6ANVTI0A==
+
+fuse-box@^3.7.1:
+ version "3.7.1"
+ resolved "https://registry.yarnpkg.com/fuse-box/-/fuse-box-3.7.1.tgz#d32879ceee4c8bcec9bbd8fcfe5b29e7142371cd"
+ integrity sha512-aM7t9bUcRpNNQu9M+YjXXzx9JSJQVPWeY+8iTyv7OhvJNWHrqqEWPzbn9OfcyFa2AfPwAUyC/uzWexBbjtTvsA==
+ dependencies:
+ acorn "^5.7.3"
+ acorn-jsx "^4.0.1"
+ ansi "^0.3.1"
+ app-root-path "^2.0.1"
+ base64-img "^1.0.3"
+ base64-js "^1.2.0"
+ bowser "^2.0.0-beta.3"
+ chokidar "^1.6.1"
+ clean-css "^4.1.9"
+ escodegen "^1.8.1"
+ express "^4.14.0"
+ fliplog "^0.3.13"
+ fs-extra "^7.0.0"
+ fuse-concat-with-sourcemaps "^1.0.5"
+ getopts "^2.1.1"
+ glob "^7.1.1"
+ ieee754 "^1.1.8"
+ inquirer "^3.0.6"
+ lego-api "^1.0.7"
+ mustache "^2.3.0"
+ postcss "^6.0.1"
+ pretty-time "^0.2.0"
+ prettysize "0.0.3"
+ realm-utils "^1.0.9"
+ regexpu-core "^4.1.3"
+ request "^2.79.0"
+ shorthash "0.0.2"
+ source-map "^0.7.1"
+ sourcemap-blender "1.0.5"
+ stream-browserify "^2.0.1"
+ tslib "^1.8.0"
+ watch "^1.0.1"
+ ws "^1.1.1"
+
+fuse-concat-with-sourcemaps@^1.0.5:
+ version "1.0.5"
+ resolved "https://registry.yarnpkg.com/fuse-concat-with-sourcemaps/-/fuse-concat-with-sourcemaps-1.0.5.tgz#9c6a521f675cff5cdbb48db1ca9c181ae49a7b97"
+ integrity sha512-tKsRJIxn9tU3IH8JHMwFhGbObqkDKXhNKOvcM+QyflAlYb2EgOvIQe8D6WB/cocA3puldHatsp9SN5SKryasrw==
+ dependencies:
+ source-map "^0.6.1"
+
+fuse.js@^6.0.4:
+ version "6.0.4"
+ resolved "https://registry.yarnpkg.com/fuse.js/-/fuse.js-6.0.4.tgz#9f5af976f836247ad5d2c338090d6ce13cf9a4d2"
+ integrity sha512-XAeQaT+DV8dxqohN911+Qzkb4iMzTzae04mdb9/XSQbMjbsFasQxe0+UwM+3UWP+8vO7svz1Rj0KuQw6xJ45Ww==
+
+get-caller-file@^1.0.2:
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/get-caller-file/-/get-caller-file-1.0.3.tgz#f978fa4c90d1dfe7ff2d6beda2a515e713bdcf4a"
+ integrity sha512-3t6rVToeoZfYSGd8YoLFR2DJkiQrIiUrGcjvFX2mDw3bn6k2OtwHN0TNCLbBO+w8qTvimhDkv+LSscbJY1vE6w==
+
+get-value@^2.0.3, get-value@^2.0.6:
+ version "2.0.6"
+ resolved "https://registry.yarnpkg.com/get-value/-/get-value-2.0.6.tgz#dc15ca1c672387ca76bd37ac0a395ba2042a2c28"
+ integrity sha1-3BXKHGcjh8p2vTesCjlbogQqLCg=
+
+getopts@^2.1.1:
+ version "2.2.5"
+ resolved "https://registry.yarnpkg.com/getopts/-/getopts-2.2.5.tgz#67a0fe471cacb9c687d817cab6450b96dde8313b"
+ integrity sha512-9jb7AW5p3in+IiJWhQiZmmwkpLaR/ccTWdWQCtZM66HJcHHLegowh4q4tSD7gouUyeNvFWRavfK9GXosQHDpFA==
+
+getpass@^0.1.1:
+ version "0.1.7"
+ resolved "https://registry.yarnpkg.com/getpass/-/getpass-0.1.7.tgz#5eff8e3e684d569ae4cb2b1282604e8ba62149fa"
+ integrity sha1-Xv+OPmhNVprkyysSgmBOi6YhSfo=
+ dependencies:
+ assert-plus "^1.0.0"
+
+glob-base@^0.3.0:
+ version "0.3.0"
+ resolved "https://registry.yarnpkg.com/glob-base/-/glob-base-0.3.0.tgz#dbb164f6221b1c0b1ccf82aea328b497df0ea3c4"
+ integrity sha1-27Fk9iIbHAscz4Kuoyi0l98Oo8Q=
+ dependencies:
+ glob-parent "^2.0.0"
+ is-glob "^2.0.0"
+
+glob-parent@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/glob-parent/-/glob-parent-2.0.0.tgz#81383d72db054fcccf5336daa902f182f6edbb28"
+ integrity sha1-gTg9ctsFT8zPUzbaqQLxgvbtuyg=
+ dependencies:
+ is-glob "^2.0.0"
+
+glob@^7.1.1, glob@^7.1.3:
+ version "7.1.6"
+ resolved "https://registry.yarnpkg.com/glob/-/glob-7.1.6.tgz#141f33b81a7c2492e125594307480c46679278a6"
+ integrity sha512-LwaxwyZ72Lk7vZINtNNrywX0ZuLyStrdDtabefZKAY5ZGJhVtgdznluResxNmPitE0SAO+O26sWTHeKSI2wMBA==
+ dependencies:
+ fs.realpath "^1.0.0"
+ inflight "^1.0.4"
+ inherits "2"
+ minimatch "^3.0.4"
+ once "^1.3.0"
+ path-is-absolute "^1.0.0"
+
+good-listener@^1.2.2:
+ version "1.2.2"
+ resolved "https://registry.yarnpkg.com/good-listener/-/good-listener-1.2.2.tgz#d53b30cdf9313dffb7dc9a0d477096aa6d145c50"
+ integrity sha1-1TswzfkxPf+33JoNR3CWqm0UXFA=
+ dependencies:
+ delegate "^3.1.2"
+
+graceful-fs@^4.1.11, graceful-fs@^4.1.2, graceful-fs@^4.1.6:
+ version "4.2.3"
+ resolved "https://registry.yarnpkg.com/graceful-fs/-/graceful-fs-4.2.3.tgz#4a12ff1b60376ef09862c2093edd908328be8423"
+ integrity sha512-a30VEBm4PEdx1dRB7MFK7BejejvCvBronbLjht+sHuGYj8PHs7M/5Z+rt5lw551vZ7yfTCj4Vuyy3mSJytDWRQ==
+
+graceful-fs@~3.0.2:
+ version "3.0.12"
+ resolved "https://registry.yarnpkg.com/graceful-fs/-/graceful-fs-3.0.12.tgz#0034947ce9ed695ec8ab0b854bc919e82b1ffaef"
+ integrity sha512-J55gaCS4iTTJfTXIxSVw3EMQckcqkpdRv3IR7gu6sq0+tbC363Zx6KH/SEwXASK9JRbhyZmVjJEVJIOxYsB3Qg==
+ dependencies:
+ natives "^1.1.3"
+
+har-schema@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/har-schema/-/har-schema-2.0.0.tgz#a94c2224ebcac04782a0d9035521f24735b7ec92"
+ integrity sha1-qUwiJOvKwEeCoNkDVSHyRzW37JI=
+
+har-validator@~5.1.3:
+ version "5.1.3"
+ resolved "https://registry.yarnpkg.com/har-validator/-/har-validator-5.1.3.tgz#1ef89ebd3e4996557675eed9893110dc350fa080"
+ integrity sha512-sNvOCzEQNr/qrvJgc3UG/kD4QtlHycrzwS+6mfTrrSq97BvaYcPZZI1ZSqGSPR73Cxn4LKTD4PttRwfU7jWq5g==
+ dependencies:
+ ajv "^6.5.5"
+ har-schema "^2.0.0"
+
+has-flag@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/has-flag/-/has-flag-3.0.0.tgz#b5d454dc2199ae225699f3467e5a07f3b955bafd"
+ integrity sha1-tdRU3CGZriJWmfNGfloH87lVuv0=
+
+has-symbols@^1.0.0, has-symbols@^1.0.1:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/has-symbols/-/has-symbols-1.0.1.tgz#9f5214758a44196c406d9bd76cebf81ec2dd31e8"
+ integrity sha512-PLcsoqu++dmEIZB+6totNFKq/7Do+Z0u4oT0zKOJNl3lYK6vGwwu2hjHs+68OEZbTjiUE9bgOABXbP/GvrS0Kg==
+
+has-value@^0.3.1:
+ version "0.3.1"
+ resolved "https://registry.yarnpkg.com/has-value/-/has-value-0.3.1.tgz#7b1f58bada62ca827ec0a2078025654845995e1f"
+ integrity sha1-ex9YutpiyoJ+wKIHgCVlSEWZXh8=
+ dependencies:
+ get-value "^2.0.3"
+ has-values "^0.1.4"
+ isobject "^2.0.0"
+
+has-value@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/has-value/-/has-value-1.0.0.tgz#18b281da585b1c5c51def24c930ed29a0be6b177"
+ integrity sha1-GLKB2lhbHFxR3vJMkw7SmgvmsXc=
+ dependencies:
+ get-value "^2.0.6"
+ has-values "^1.0.0"
+ isobject "^3.0.0"
+
+has-values@^0.1.4:
+ version "0.1.4"
+ resolved "https://registry.yarnpkg.com/has-values/-/has-values-0.1.4.tgz#6d61de95d91dfca9b9a02089ad384bff8f62b771"
+ integrity sha1-bWHeldkd/Km5oCCJrThL/49it3E=
+
+has-values@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/has-values/-/has-values-1.0.0.tgz#95b0b63fec2146619a6fe57fe75628d5a39efe4f"
+ integrity sha1-lbC2P+whRmGab+V/51Yo1aOe/k8=
+ dependencies:
+ is-number "^3.0.0"
+ kind-of "^4.0.0"
+
+has@^1.0.3:
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/has/-/has-1.0.3.tgz#722d7cbfc1f6aa8241f16dd814e011e1f41e8796"
+ integrity sha512-f2dvO0VU6Oej7RkWJGrehjbzMAjFp5/VKPp5tTpWIV4JHHZK1/BxbFRtf/siA2SWTe09caDmVtYYzWEIbBS4zw==
+ dependencies:
+ function-bind "^1.1.1"
+
+html@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/html/-/html-1.0.0.tgz#a544fa9ea5492bfb3a2cca8210a10be7b5af1f61"
+ integrity sha1-pUT6nqVJK/s6LMqCEKEL57WvH2E=
+ dependencies:
+ concat-stream "^1.4.7"
+
+http-errors@1.7.2:
+ version "1.7.2"
+ resolved "https://registry.yarnpkg.com/http-errors/-/http-errors-1.7.2.tgz#4f5029cf13239f31036e5b2e55292bcfbcc85c8f"
+ integrity sha512-uUQBt3H/cSIVfch6i1EuPNy/YsRSOUBXTVfZ+yR7Zjez3qjBz6i9+i4zjNaoqcoFVI4lQJ5plg63TvGfRSDCRg==
+ dependencies:
+ depd "~1.1.2"
+ inherits "2.0.3"
+ setprototypeof "1.1.1"
+ statuses ">= 1.5.0 < 2"
+ toidentifier "1.0.0"
+
+http-errors@~1.7.2:
+ version "1.7.3"
+ resolved "https://registry.yarnpkg.com/http-errors/-/http-errors-1.7.3.tgz#6c619e4f9c60308c38519498c14fbb10aacebb06"
+ integrity sha512-ZTTX0MWrsQ2ZAhA1cejAwDLycFsd7I7nVtnkT3Ol0aqodaKW+0CTZDQ1uBv5whptCnc8e8HeRRJxRs0kmm/Qfw==
+ dependencies:
+ depd "~1.1.2"
+ inherits "2.0.4"
+ setprototypeof "1.1.1"
+ statuses ">= 1.5.0 < 2"
+ toidentifier "1.0.0"
+
+http-signature@~1.2.0:
+ version "1.2.0"
+ resolved "https://registry.yarnpkg.com/http-signature/-/http-signature-1.2.0.tgz#9aecd925114772f3d95b65a60abb8f7c18fbace1"
+ integrity sha1-muzZJRFHcvPZW2WmCruPfBj7rOE=
+ dependencies:
+ assert-plus "^1.0.0"
+ jsprim "^1.2.2"
+ sshpk "^1.7.0"
+
+iconv-lite@0.4.24, iconv-lite@^0.4.17:
+ version "0.4.24"
+ resolved "https://registry.yarnpkg.com/iconv-lite/-/iconv-lite-0.4.24.tgz#2022b4b25fbddc21d2f524974a474aafe733908b"
+ integrity sha512-v3MXnZAcvnywkTUEZomIActle7RXXeedOR31wwl7VlyoXO4Qi9arvSenNQWne1TcRwhCL1HwLI21bEqdpj8/rA==
+ dependencies:
+ safer-buffer ">= 2.1.2 < 3"
+
+ie-array-find-polyfill@^1.1.0:
+ version "1.1.0"
+ resolved "https://registry.yarnpkg.com/ie-array-find-polyfill/-/ie-array-find-polyfill-1.1.0.tgz#5078e533f026831da22bd7476513d9460d65a142"
+ integrity sha1-UHjlM/Amgx2iK9dHZRPZRg1loUI=
+
+ieee754@^1.1.8:
+ version "1.1.13"
+ resolved "https://registry.yarnpkg.com/ieee754/-/ieee754-1.1.13.tgz#ec168558e95aa181fd87d37f55c32bbcb6708b84"
+ integrity sha512-4vf7I2LYV/HaWerSo3XmlMkp5eZ83i+/CDluXi/IGTs/O1sejBNhTtnxzmRZfvOUqj7lZjqHkeTvpgSFDlWZTg==
+
+import-fresh@^3.1.0:
+ version "3.2.1"
+ resolved "https://registry.yarnpkg.com/import-fresh/-/import-fresh-3.2.1.tgz#633ff618506e793af5ac91bf48b72677e15cbe66"
+ integrity sha512-6e1q1cnWP2RXD9/keSkxHScg508CdXqXWgWBaETNhyuBFz+kUZlKboh+ISK+bU++DmbHimVBrOz/zzPe0sZ3sQ==
+ dependencies:
+ parent-module "^1.0.0"
+ resolve-from "^4.0.0"
+
+inflight@^1.0.4:
+ version "1.0.6"
+ resolved "https://registry.yarnpkg.com/inflight/-/inflight-1.0.6.tgz#49bd6331d7d02d0c09bc910a1075ba8165b56df9"
+ integrity sha1-Sb1jMdfQLQwJvJEKEHW6gWW1bfk=
+ dependencies:
+ once "^1.3.0"
+ wrappy "1"
+
+inherits@2, inherits@2.0.4, inherits@^2.0.1, inherits@^2.0.3, inherits@~2.0.0, inherits@~2.0.1, inherits@~2.0.3:
+ version "2.0.4"
+ resolved "https://registry.yarnpkg.com/inherits/-/inherits-2.0.4.tgz#0fa2c64f932917c3433a0ded55363aae37416b7c"
+ integrity sha512-k/vGaX4/Yla3WzyMCvTQOXYeIHvqOKtnqBduzTHpzpQZzAskKMhZ2K+EnBiSM9zGSoIFeMpXKxa4dYeZIQqewQ==
+
+inherits@2.0.3:
+ version "2.0.3"
+ resolved "https://registry.yarnpkg.com/inherits/-/inherits-2.0.3.tgz#633c2c83e3da42a502f52466022480f4208261de"
+ integrity sha1-Yzwsg+PaQqUC9SRmAiSA9CCCYd4=
+
+inquirer@^3.0.6:
+ version "3.3.0"
+ resolved "https://registry.yarnpkg.com/inquirer/-/inquirer-3.3.0.tgz#9dd2f2ad765dcab1ff0443b491442a20ba227dc9"
+ integrity sha512-h+xtnyk4EwKvFWHrUYsWErEVR+igKtLdchu+o0Z1RL7VU/jVMFbYir2bp6bAj8efFNxWqHX0dIss6fJQ+/+qeQ==
+ dependencies:
+ ansi-escapes "^3.0.0"
+ chalk "^2.0.0"
+ cli-cursor "^2.1.0"
+ cli-width "^2.0.0"
+ external-editor "^2.0.4"
+ figures "^2.0.0"
+ lodash "^4.3.0"
+ mute-stream "0.0.7"
+ run-async "^2.2.0"
+ rx-lite "^4.0.8"
+ rx-lite-aggregates "^4.0.8"
+ string-width "^2.1.0"
+ strip-ansi "^4.0.0"
+ through "^2.3.6"
+
+ipaddr.js@1.9.1:
+ version "1.9.1"
+ resolved "https://registry.yarnpkg.com/ipaddr.js/-/ipaddr.js-1.9.1.tgz#bff38543eeb8984825079ff3a2a8e6cbd46781b3"
+ integrity sha512-0KI/607xoxSToH7GjN1FfSbLoU0+btTicjsQSWQlh/hZykN8KpmMf7uYwPW3R+akZ6R/w18ZlXSHBYXiYUPO3g==
+
+is-accessor-descriptor@^0.1.6:
+ version "0.1.6"
+ resolved "https://registry.yarnpkg.com/is-accessor-descriptor/-/is-accessor-descriptor-0.1.6.tgz#a9e12cb3ae8d876727eeef3843f8a0897b5c98d6"
+ integrity sha1-qeEss66Nh2cn7u84Q/igiXtcmNY=
+ dependencies:
+ kind-of "^3.0.2"
+
+is-accessor-descriptor@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/is-accessor-descriptor/-/is-accessor-descriptor-1.0.0.tgz#169c2f6d3df1f992618072365c9b0ea1f6878656"
+ integrity sha512-m5hnHTkcVsPfqx3AKlyttIPb7J+XykHvJP2B9bZDjlhLIoEq4XoK64Vg7boZlVWYK6LUY94dYPEE7Lh0ZkZKcQ==
+ dependencies:
+ kind-of "^6.0.0"
+
+is-arrayish@^0.2.1:
+ version "0.2.1"
+ resolved "https://registry.yarnpkg.com/is-arrayish/-/is-arrayish-0.2.1.tgz#77c99840527aa8ecb1a8ba697b80645a7a926a9d"
+ integrity sha1-d8mYQFJ6qOyxqLppe4BkWnqSap0=
+
+is-binary-path@^1.0.0:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/is-binary-path/-/is-binary-path-1.0.1.tgz#75f16642b480f187a711c814161fd3a4a7655898"
+ integrity sha1-dfFmQrSA8YenEcgUFh/TpKdlWJg=
+ dependencies:
+ binary-extensions "^1.0.0"
+
+is-buffer@^1.1.5:
+ version "1.1.6"
+ resolved "https://registry.yarnpkg.com/is-buffer/-/is-buffer-1.1.6.tgz#efaa2ea9daa0d7ab2ea13a97b2b8ad51fefbe8be"
+ integrity sha512-NcdALwpXkTm5Zvvbk7owOUSvVvBKDgKP5/ewfXEznmQFfs4ZRmanOeKBTjRVjka3QFoN6XJ+9F3USqfHqTaU5w==
+
+is-callable@^1.1.4, is-callable@^1.2.0:
+ version "1.2.0"
+ resolved "https://registry.yarnpkg.com/is-callable/-/is-callable-1.2.0.tgz#83336560b54a38e35e3a2df7afd0454d691468bb"
+ integrity sha512-pyVD9AaGLxtg6srb2Ng6ynWJqkHU9bEM087AKck0w8QwDarTfNcpIYoU8x8Hv2Icm8u6kFJM18Dag8lyqGkviw==
+
+is-data-descriptor@^0.1.4:
+ version "0.1.4"
+ resolved "https://registry.yarnpkg.com/is-data-descriptor/-/is-data-descriptor-0.1.4.tgz#0b5ee648388e2c860282e793f1856fec3f301b56"
+ integrity sha1-C17mSDiOLIYCgueT8YVv7D8wG1Y=
+ dependencies:
+ kind-of "^3.0.2"
+
+is-data-descriptor@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/is-data-descriptor/-/is-data-descriptor-1.0.0.tgz#d84876321d0e7add03990406abbbbd36ba9268c7"
+ integrity sha512-jbRXy1FmtAoCjQkVmIVYwuuqDFUbaOeDjmed1tOGPrsMhtJA4rD9tkgA0F1qJ3gRFRXcHYVkdeaP50Q5rE/jLQ==
+ dependencies:
+ kind-of "^6.0.0"
+
+is-date-object@^1.0.1:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/is-date-object/-/is-date-object-1.0.2.tgz#bda736f2cd8fd06d32844e7743bfa7494c3bfd7e"
+ integrity sha512-USlDT524woQ08aoZFzh3/Z6ch9Y/EWXEHQ/AaRN0SkKq4t2Jw2R2339tSXmwuVoY7LLlBCbOIlx2myP/L5zk0g==
+
+is-descriptor@^0.1.0:
+ version "0.1.6"
+ resolved "https://registry.yarnpkg.com/is-descriptor/-/is-descriptor-0.1.6.tgz#366d8240dde487ca51823b1ab9f07a10a78251ca"
+ integrity sha512-avDYr0SB3DwO9zsMov0gKCESFYqCnE4hq/4z3TdUlukEy5t9C0YRq7HLrsN52NAcqXKaepeCD0n+B0arnVG3Hg==
+ dependencies:
+ is-accessor-descriptor "^0.1.6"
+ is-data-descriptor "^0.1.4"
+ kind-of "^5.0.0"
+
+is-descriptor@^1.0.0, is-descriptor@^1.0.2:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/is-descriptor/-/is-descriptor-1.0.2.tgz#3b159746a66604b04f8c81524ba365c5f14d86ec"
+ integrity sha512-2eis5WqQGV7peooDyLmNEPUrps9+SXX5c9pL3xEB+4e9HnGuDa7mB7kHxHw4CbqS9k1T2hOH3miL8n8WtiYVtg==
+ dependencies:
+ is-accessor-descriptor "^1.0.0"
+ is-data-descriptor "^1.0.0"
+ kind-of "^6.0.2"
+
+is-dotfile@^1.0.0:
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/is-dotfile/-/is-dotfile-1.0.3.tgz#a6a2f32ffd2dfb04f5ca25ecd0f6b83cf798a1e1"
+ integrity sha1-pqLzL/0t+wT1yiXs0Pa4PPeYoeE=
+
+is-equal-shallow@^0.1.3:
+ version "0.1.3"
+ resolved "https://registry.yarnpkg.com/is-equal-shallow/-/is-equal-shallow-0.1.3.tgz#2238098fc221de0bcfa5d9eac4c45d638aa1c534"
+ integrity sha1-IjgJj8Ih3gvPpdnqxMRdY4qhxTQ=
+ dependencies:
+ is-primitive "^2.0.0"
+
+is-extendable@^0.1.0, is-extendable@^0.1.1:
+ version "0.1.1"
+ resolved "https://registry.yarnpkg.com/is-extendable/-/is-extendable-0.1.1.tgz#62b110e289a471418e3ec36a617d472e301dfc89"
+ integrity sha1-YrEQ4omkcUGOPsNqYX1HLjAd/Ik=
+
+is-extendable@^1.0.1:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/is-extendable/-/is-extendable-1.0.1.tgz#a7470f9e426733d81bd81e1155264e3a3507cab4"
+ integrity sha512-arnXMxT1hhoKo9k1LZdmlNyJdDDfy2v0fXjFlmok4+i8ul/6WlbVge9bhM74OpNPQPMGUToDtz+KXa1PneJxOA==
+ dependencies:
+ is-plain-object "^2.0.4"
+
+is-extglob@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/is-extglob/-/is-extglob-1.0.0.tgz#ac468177c4943405a092fc8f29760c6ffc6206c0"
+ integrity sha1-rEaBd8SUNAWgkvyPKXYMb/xiBsA=
+
+is-fullwidth-code-point@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-2.0.0.tgz#a3b30a5c4f199183167aaab93beefae3ddfb654f"
+ integrity sha1-o7MKXE8ZkYMWeqq5O+764937ZU8=
+
+is-glob@^2.0.0, is-glob@^2.0.1:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/is-glob/-/is-glob-2.0.1.tgz#d096f926a3ded5600f3fdfd91198cb0888c2d863"
+ integrity sha1-0Jb5JqPe1WAPP9/ZEZjLCIjC2GM=
+ dependencies:
+ is-extglob "^1.0.0"
+
+is-number@^2.0.2, is-number@^2.1.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/is-number/-/is-number-2.1.0.tgz#01fcbbb393463a548f2f466cce16dece49db908f"
+ integrity sha1-Afy7s5NGOlSPL0ZszhbezknbkI8=
+ dependencies:
+ kind-of "^3.0.2"
+
+is-number@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/is-number/-/is-number-3.0.0.tgz#24fd6201a4782cf50561c810276afc7d12d71195"
+ integrity sha1-JP1iAaR4LPUFYcgQJ2r8fRLXEZU=
+ dependencies:
+ kind-of "^3.0.2"
+
+is-number@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/is-number/-/is-number-4.0.0.tgz#0026e37f5454d73e356dfe6564699867c6a7f0ff"
+ integrity sha512-rSklcAIlf1OmFdyAqbnWTLVelsQ58uvZ66S/ZyawjWqIviTWCjg2PzVGw8WUA+nNuPTqb4wgA+NszrJ+08LlgQ==
+
+is-plain-object@^2.0.3, is-plain-object@^2.0.4:
+ version "2.0.4"
+ resolved "https://registry.yarnpkg.com/is-plain-object/-/is-plain-object-2.0.4.tgz#2c163b3fafb1b606d9d17928f05c2a1c38e07677"
+ integrity sha512-h5PpgXkWitc38BBMYawTYMWJHFZJVnBquFE57xFpjB8pJFiF6gZ+bU+WyI/yqXiFR5mdLsgYNaPe8uao6Uv9Og==
+ dependencies:
+ isobject "^3.0.1"
+
+is-posix-bracket@^0.1.0:
+ version "0.1.1"
+ resolved "https://registry.yarnpkg.com/is-posix-bracket/-/is-posix-bracket-0.1.1.tgz#3334dc79774368e92f016e6fbc0a88f5cd6e6bc4"
+ integrity sha1-MzTceXdDaOkvAW5vvAqI9c1ua8Q=
+
+is-primitive@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/is-primitive/-/is-primitive-2.0.0.tgz#207bab91638499c07b2adf240a41a87210034575"
+ integrity sha1-IHurkWOEmcB7Kt8kCkGochADRXU=
+
+is-promise@^2.1.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/is-promise/-/is-promise-2.1.0.tgz#79a2a9ece7f096e80f36d2b2f3bc16c1ff4bf3fa"
+ integrity sha1-eaKp7OfwlugPNtKy87wWwf9L8/o=
+
+is-regex@^1.1.0:
+ version "1.1.0"
+ resolved "https://registry.yarnpkg.com/is-regex/-/is-regex-1.1.0.tgz#ece38e389e490df0dc21caea2bd596f987f767ff"
+ integrity sha512-iI97M8KTWID2la5uYXlkbSDQIg4F6o1sYboZKKTDpnDQMLtUL86zxhgDet3Q2SriaYsyGqZ6Mn2SjbRKeLHdqw==
+ dependencies:
+ has-symbols "^1.0.1"
+
+is-symbol@^1.0.2:
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/is-symbol/-/is-symbol-1.0.3.tgz#38e1014b9e6329be0de9d24a414fd7441ec61937"
+ integrity sha512-OwijhaRSgqvhm/0ZdAcXNZt9lYdKFpcRDT5ULUuYXPoT794UNOdU+gpT6Rzo7b4V2HUl/op6GqY894AZwv9faQ==
+ dependencies:
+ has-symbols "^1.0.1"
+
+is-typedarray@~1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/is-typedarray/-/is-typedarray-1.0.0.tgz#e479c80858df0c1b11ddda6940f96011fcda4a9a"
+ integrity sha1-5HnICFjfDBsR3dppQPlgEfzaSpo=
+
+is-windows@^1.0.2:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/is-windows/-/is-windows-1.0.2.tgz#d1850eb9791ecd18e6182ce12a30f396634bb19d"
+ integrity sha512-eXK1UInq2bPmjyX6e3VHIzMLobc4J94i4AWn+Hpq3OU5KkrRC96OAcR3PRJ/pGu6m8TRnBHP9dkXQVsT/COVIA==
+
+isarray@0.0.1:
+ version "0.0.1"
+ resolved "https://registry.yarnpkg.com/isarray/-/isarray-0.0.1.tgz#8a18acfca9a8f4177e09abfc6038939b05d1eedf"
+ integrity sha1-ihis/Kmo9Bd+Cav8YDiTmwXR7t8=
+
+isarray@1.0.0, isarray@~1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/isarray/-/isarray-1.0.0.tgz#bb935d48582cba168c06834957a54a3e07124f11"
+ integrity sha1-u5NdSFgsuhaMBoNJV6VKPgcSTxE=
+
+isobject@^2.0.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/isobject/-/isobject-2.1.0.tgz#f065561096a3f1da2ef46272f815c840d87e0c89"
+ integrity sha1-8GVWEJaj8dou9GJy+BXIQNh+DIk=
+ dependencies:
+ isarray "1.0.0"
+
+isobject@^3.0.0, isobject@^3.0.1:
+ version "3.0.1"
+ resolved "https://registry.yarnpkg.com/isobject/-/isobject-3.0.1.tgz#4e431e92b11a9731636aa1f9c8d1ccbcfdab78df"
+ integrity sha1-TkMekrEalzFjaqH5yNHMvP2reN8=
+
+isstream@~0.1.2:
+ version "0.1.2"
+ resolved "https://registry.yarnpkg.com/isstream/-/isstream-0.1.2.tgz#47e63f7af55afa6f92e1500e690eb8b8529c099a"
+ integrity sha1-R+Y/evVa+m+S4VAOaQ64uFKcCZo=
+
+"js-tokens@^3.0.0 || ^4.0.0", js-tokens@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/js-tokens/-/js-tokens-4.0.0.tgz#19203fb59991df98e3a287050d4647cdeaf32499"
+ integrity sha512-RdJUflcE3cUzKiMqQgsCu06FPu9UdIJO0beYbPhHN4k6apgJtifcoCtT9bcxOpYBtpD2kCM6Sbzg4CausW/PKQ==
+
+js-yaml@^3.13.1:
+ version "3.13.1"
+ resolved "https://registry.yarnpkg.com/js-yaml/-/js-yaml-3.13.1.tgz#aff151b30bfdfa8e49e05da22e7415e9dfa37847"
+ integrity sha512-YfbcO7jXDdyj0DGxYVSlSeQNHbD7XPWvrVWeVUujrQEoZzWJIRrCPoyk6kL6IAjAG2IolMK4T0hNUe0HOUs5Jw==
+ dependencies:
+ argparse "^1.0.7"
+ esprima "^4.0.0"
+
+jsbn@~0.1.0:
+ version "0.1.1"
+ resolved "https://registry.yarnpkg.com/jsbn/-/jsbn-0.1.1.tgz#a5e654c2e5a2deb5f201d96cefbca80c0ef2f513"
+ integrity sha1-peZUwuWi3rXyAdls77yoDA7y9RM=
+
+jsesc@~0.5.0:
+ version "0.5.0"
+ resolved "https://registry.yarnpkg.com/jsesc/-/jsesc-0.5.0.tgz#e7dee66e35d6fc16f710fe91d5cf69f70f08911d"
+ integrity sha1-597mbjXW/Bb3EP6R1c9p9w8IkR0=
+
+json-parse-better-errors@^1.0.1:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/json-parse-better-errors/-/json-parse-better-errors-1.0.2.tgz#bb867cfb3450e69107c131d1c514bab3dc8bcaa9"
+ integrity sha512-mrqyZKfX5EhL7hvqcV6WG1yYjnjeuYDzDhhcAAUrq8Po85NBQBJP+ZDUT75qZQ98IkUoBqdkExkukOU7Ts2wrw==
+
+json-schema-traverse@^0.4.1:
+ version "0.4.1"
+ resolved "https://registry.yarnpkg.com/json-schema-traverse/-/json-schema-traverse-0.4.1.tgz#69f6a87d9513ab8bb8fe63bdb0979c448e684660"
+ integrity sha512-xbbCH5dCYU5T8LcEhhuh7HJ88HXuW3qsI3Y0zOZFKfZEHcpWiHU/Jxzk629Brsab/mMiHQti9wMP+845RPe3Vg==
+
+json-schema@0.2.3:
+ version "0.2.3"
+ resolved "https://registry.yarnpkg.com/json-schema/-/json-schema-0.2.3.tgz#b480c892e59a2f05954ce727bd3f2a4e882f9e13"
+ integrity sha1-tIDIkuWaLwWVTOcnvT8qTogvnhM=
+
+json-stringify-safe@~5.0.1:
+ version "5.0.1"
+ resolved "https://registry.yarnpkg.com/json-stringify-safe/-/json-stringify-safe-5.0.1.tgz#1296a2d58fd45f19a0f6ce01d65701e2c735b6eb"
+ integrity sha1-Epai1Y/UXxmg9s4B1lcB4sc1tus=
+
+jsondiffpatch@^0.3.11:
+ version "0.3.11"
+ resolved "https://registry.yarnpkg.com/jsondiffpatch/-/jsondiffpatch-0.3.11.tgz#43f9443a0d081b5f79d413fe20f302079e493201"
+ integrity sha512-Xi3Iygdt/BGhml6bdUFhgDki1TgOsp3hG3iiH3KtzP+CahtGcdPfKRLlnZbSw+3b1umZkhmKrqXUgUcKenyhtA==
+ dependencies:
+ chalk "^2.3.0"
+ diff-match-patch "^1.0.0"
+
+jsonfile@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/jsonfile/-/jsonfile-4.0.0.tgz#8771aae0799b64076b76640fca058f9c10e33ecb"
+ integrity sha1-h3Gq4HmbZAdrdmQPygWPnBDjPss=
+ optionalDependencies:
+ graceful-fs "^4.1.6"
+
+jsprim@^1.2.2:
+ version "1.4.1"
+ resolved "https://registry.yarnpkg.com/jsprim/-/jsprim-1.4.1.tgz#313e66bc1e5cc06e438bc1b7499c2e5c56acb6a2"
+ integrity sha1-MT5mvB5cwG5Di8G3SZwuXFastqI=
+ dependencies:
+ assert-plus "1.0.0"
+ extsprintf "1.3.0"
+ json-schema "0.2.3"
+ verror "1.10.0"
+
+kind-of@^3.0.2, kind-of@^3.0.3, kind-of@^3.2.0:
+ version "3.2.2"
+ resolved "https://registry.yarnpkg.com/kind-of/-/kind-of-3.2.2.tgz#31ea21a734bab9bbb0f32466d893aea51e4a3c64"
+ integrity sha1-MeohpzS6ubuw8yRm2JOupR5KPGQ=
+ dependencies:
+ is-buffer "^1.1.5"
+
+kind-of@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/kind-of/-/kind-of-4.0.0.tgz#20813df3d712928b207378691a45066fae72dd57"
+ integrity sha1-IIE989cSkosgc3hpGkUGb65y3Vc=
+ dependencies:
+ is-buffer "^1.1.5"
+
+kind-of@^5.0.0:
+ version "5.1.0"
+ resolved "https://registry.yarnpkg.com/kind-of/-/kind-of-5.1.0.tgz#729c91e2d857b7a419a1f9aa65685c4c33f5845d"
+ integrity sha512-NGEErnH6F2vUuXDh+OlbcKW7/wOcfdRHaZ7VWtqCztfHri/++YKmP51OdWeGPuqCOba6kk2OTe5d02VmTB80Pw==
+
+kind-of@^6.0.0, kind-of@^6.0.2:
+ version "6.0.3"
+ resolved "https://registry.yarnpkg.com/kind-of/-/kind-of-6.0.3.tgz#07c05034a6c349fa06e24fa35aa76db4580ce4dd"
+ integrity sha512-dcS1ul+9tmeD95T+x28/ehLgd9mENa3LsvDTtzm3vyBEO7RPptvAD+t44WVXaUjTBRcrpFeFlC8WCruUR456hw==
+
+lego-api@^1.0.7:
+ version "1.0.8"
+ resolved "https://registry.yarnpkg.com/lego-api/-/lego-api-1.0.8.tgz#5e26be726c5e11d540f89e7c6b1abf8c5834bd01"
+ integrity sha512-pZD0mf32+RL1bUMJztRcXiNBB1gE8gd/h4MDLWdZp7vaMZyjPiYK/zNpNNGoJvmoa7D/wf9dll+5z7pDObdLFg==
+ dependencies:
+ chain-able "^3.0.0"
+
+levn@~0.3.0:
+ version "0.3.0"
+ resolved "https://registry.yarnpkg.com/levn/-/levn-0.3.0.tgz#3b09924edf9f083c0490fdd4c0bc4421e04764ee"
+ integrity sha1-OwmSTt+fCDwEkP3UwLxEIeBHZO4=
+ dependencies:
+ prelude-ls "~1.1.2"
+ type-check "~0.3.2"
+
+lines-and-columns@^1.1.6:
+ version "1.1.6"
+ resolved "https://registry.yarnpkg.com/lines-and-columns/-/lines-and-columns-1.1.6.tgz#1c00c743b433cd0a4e80758f7b64a57440d9ff00"
+ integrity sha1-HADHQ7QzzQpOgHWPe2SldEDZ/wA=
+
+lodash._getnative@^3.0.0:
+ version "3.9.1"
+ resolved "https://registry.yarnpkg.com/lodash._getnative/-/lodash._getnative-3.9.1.tgz#570bc7dede46d61cdcde687d65d3eecbaa3aaff5"
+ integrity sha1-VwvH3t5G1hzc3mh9ZdPuy6o6r/U=
+
+lodash.curry@^4.0.1:
+ version "4.1.1"
+ resolved "https://registry.yarnpkg.com/lodash.curry/-/lodash.curry-4.1.1.tgz#248e36072ede906501d75966200a86dab8b23170"
+ integrity sha1-JI42By7ekGUB11lmIAqG2riyMXA=
+
+lodash.debounce@^3.1.1:
+ version "3.1.1"
+ resolved "https://registry.yarnpkg.com/lodash.debounce/-/lodash.debounce-3.1.1.tgz#812211c378a94cc29d5aa4e3346cf0bfce3a7df5"
+ integrity sha1-gSIRw3ipTMKdWqTjNGzwv846ffU=
+ dependencies:
+ lodash._getnative "^3.0.0"
+
+lodash.debounce@^4.0.8:
+ version "4.0.8"
+ resolved "https://registry.yarnpkg.com/lodash.debounce/-/lodash.debounce-4.0.8.tgz#82d79bff30a67c4005ffd5e2515300ad9ca4d7af"
+ integrity sha1-gteb/zCmfEAF/9XiUVMArZyk168=
+
+lodash.flow@^3.3.0:
+ version "3.5.0"
+ resolved "https://registry.yarnpkg.com/lodash.flow/-/lodash.flow-3.5.0.tgz#87bf40292b8cf83e4e8ce1a3ae4209e20071675a"
+ integrity sha1-h79AKSuM+D5OjOGjrkIJ4gBxZ1o=
+
+lodash.uniqby@^4.7.0:
+ version "4.7.0"
+ resolved "https://registry.yarnpkg.com/lodash.uniqby/-/lodash.uniqby-4.7.0.tgz#d99c07a669e9e6d24e1362dfe266c67616af1302"
+ integrity sha1-2ZwHpmnp5tJOE2Lf4mbGdhavEwI=
+
+lodash@^4.17.13, lodash@^4.3.0:
+ version "4.17.15"
+ resolved "https://registry.yarnpkg.com/lodash/-/lodash-4.17.15.tgz#b447f6670a0455bbfeedd11392eff330ea097548"
+ integrity sha512-8xOcRHvCjnocdS5cpwXQXVzmmh5e5+saE2QGoeQmbKmRS6J3VQppPOIt0MnmE+4xlZoumy0GPG0D0MVIQbNA1A==
+
+loose-envify@^1.1.0, loose-envify@^1.4.0:
+ version "1.4.0"
+ resolved "https://registry.yarnpkg.com/loose-envify/-/loose-envify-1.4.0.tgz#71ee51fa7be4caec1a63839f7e682d8132d30caf"
+ integrity sha512-lyuxPGr/Wfhrlem2CL/UcnUc1zcqKAImBDzukY7Y5F/yQiNdko6+fRLevlw1HgMySw7f611UIY408EtxRSoK3Q==
+ dependencies:
+ js-tokens "^3.0.0 || ^4.0.0"
+
+make-error@^1.1.1:
+ version "1.3.6"
+ resolved "https://registry.yarnpkg.com/make-error/-/make-error-1.3.6.tgz#2eb2e37ea9b67c4891f684a1394799af484cf7a2"
+ integrity sha512-s8UhlNe7vPKomQhC1qFelMokr/Sc3AgNbso3n74mVPA5LTZwkB9NlXf4XPamLxJE8h0gh73rM94xvwRT2CVInw==
+
+map-cache@^0.2.2:
+ version "0.2.2"
+ resolved "https://registry.yarnpkg.com/map-cache/-/map-cache-0.2.2.tgz#c32abd0bd6525d9b051645bb4f26ac5dc98a0dbf"
+ integrity sha1-wyq9C9ZSXZsFFkW7TyasXcmKDb8=
+
+map-visit@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/map-visit/-/map-visit-1.0.0.tgz#ecdca8f13144e660f1b5bd41f12f3479d98dfb8f"
+ integrity sha1-7Nyo8TFE5mDxtb1B8S80edmN+48=
+ dependencies:
+ object-visit "^1.0.0"
+
+"match-stream@>= 0.0.2 < 1":
+ version "0.0.2"
+ resolved "https://registry.yarnpkg.com/match-stream/-/match-stream-0.0.2.tgz#99eb050093b34dffade421b9ac0b410a9cfa17cf"
+ integrity sha1-mesFAJOzTf+t5CG5rAtBCpz6F88=
+ dependencies:
+ buffers "~0.1.1"
+ readable-stream "~1.0.0"
+
+math-random@^1.0.1:
+ version "1.0.4"
+ resolved "https://registry.yarnpkg.com/math-random/-/math-random-1.0.4.tgz#5dd6943c938548267016d4e34f057583080c514c"
+ integrity sha512-rUxjysqif/BZQH2yhd5Aaq7vXMSx9NdEsQcyA07uEzIvxgI7zIr33gGsh+RU0/XjmQpCW7RsVof1vlkvQVCK5A==
+
+media-typer@0.3.0:
+ version "0.3.0"
+ resolved "https://registry.yarnpkg.com/media-typer/-/media-typer-0.3.0.tgz#8710d7af0aa626f8fffa1ce00168545263255748"
+ integrity sha1-hxDXrwqmJvj/+hzgAWhUUmMlV0g=
+
+"memoize-one@>=3.1.1 <6":
+ version "5.1.1"
+ resolved "https://registry.yarnpkg.com/memoize-one/-/memoize-one-5.1.1.tgz#047b6e3199b508eaec03504de71229b8eb1d75c0"
+ integrity sha512-HKeeBpWvqiVJD57ZUAsJNm71eHTykffzcLZVYWiVfQeI1rJtuEaS7hQiEpWfVVk18donPwJEcFKIkCmPJNOhHA==
+
+merge-descriptors@1.0.1:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/merge-descriptors/-/merge-descriptors-1.0.1.tgz#b00aaa556dd8b44568150ec9d1b953f3f90cbb61"
+ integrity sha1-sAqqVW3YtEVoFQ7J0blT8/kMu2E=
+
+merge@^1.2.0:
+ version "1.2.1"
+ resolved "https://registry.yarnpkg.com/merge/-/merge-1.2.1.tgz#38bebf80c3220a8a487b6fcfb3941bb11720c145"
+ integrity sha512-VjFo4P5Whtj4vsLzsYBu5ayHhoHJ0UqNm7ibvShmbmoz7tGi0vXaoJbGdB+GmDMLUdg8DpQXEIeVDAe8MaABvQ==
+
+methods@~1.1.2:
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/methods/-/methods-1.1.2.tgz#5529a4d67654134edcc5266656835b0f851afcee"
+ integrity sha1-VSmk1nZUE07cxSZmVoNbD4Ua/O4=
+
+micromatch@^2.1.5:
+ version "2.3.11"
+ resolved "https://registry.yarnpkg.com/micromatch/-/micromatch-2.3.11.tgz#86677c97d1720b363431d04d0d15293bd38c1565"
+ integrity sha1-hmd8l9FyCzY0MdBNDRUpO9OMFWU=
+ dependencies:
+ arr-diff "^2.0.0"
+ array-unique "^0.2.1"
+ braces "^1.8.2"
+ expand-brackets "^0.1.4"
+ extglob "^0.3.1"
+ filename-regex "^2.0.0"
+ is-extglob "^1.0.0"
+ is-glob "^2.0.1"
+ kind-of "^3.0.2"
+ normalize-path "^2.0.1"
+ object.omit "^2.0.0"
+ parse-glob "^3.0.4"
+ regex-cache "^0.4.2"
+
+micromatch@^3.1.10:
+ version "3.1.10"
+ resolved "https://registry.yarnpkg.com/micromatch/-/micromatch-3.1.10.tgz#70859bc95c9840952f359a068a3fc49f9ecfac23"
+ integrity sha512-MWikgl9n9M3w+bpsY3He8L+w9eF9338xRl8IAO5viDizwSzziFEyUzo2xrrloB64ADbTf8uA8vRqqttDTOmccg==
+ dependencies:
+ arr-diff "^4.0.0"
+ array-unique "^0.3.2"
+ braces "^2.3.1"
+ define-property "^2.0.2"
+ extend-shallow "^3.0.2"
+ extglob "^2.0.4"
+ fragment-cache "^0.2.1"
+ kind-of "^6.0.2"
+ nanomatch "^1.2.9"
+ object.pick "^1.3.0"
+ regex-not "^1.0.0"
+ snapdragon "^0.8.1"
+ to-regex "^3.0.2"
+
+mime-db@1.43.0:
+ version "1.43.0"
+ resolved "https://registry.yarnpkg.com/mime-db/-/mime-db-1.43.0.tgz#0a12e0502650e473d735535050e7c8f4eb4fae58"
+ integrity sha512-+5dsGEEovYbT8UY9yD7eE4XTc4UwJ1jBYlgaQQF38ENsKR3wj/8q8RFZrF9WIZpB2V1ArTVFUva8sAul1NzRzQ==
+
+mime-types@^2.1.12, mime-types@~2.1.19, mime-types@~2.1.24:
+ version "2.1.26"
+ resolved "https://registry.yarnpkg.com/mime-types/-/mime-types-2.1.26.tgz#9c921fc09b7e149a65dfdc0da4d20997200b0a06"
+ integrity sha512-01paPWYgLrkqAyrlDorC1uDwl2p3qZT7yl806vW7DvDoxwXi46jsjFbg+WdwotBIk6/MbEhO/dh5aZ5sNj/dWQ==
+ dependencies:
+ mime-db "1.43.0"
+
+mime@1.6.0:
+ version "1.6.0"
+ resolved "https://registry.yarnpkg.com/mime/-/mime-1.6.0.tgz#32cd9e5c64553bd58d19a568af452acff04981b1"
+ integrity sha512-x0Vn8spI+wuJ1O6S7gnbaQg8Pxh4NNHb7KSINmEWKiPE4RKOplvijn+NkmYmmRgP68mc70j2EbeTFRsrswaQeg==
+
+mimic-fn@^1.0.0:
+ version "1.2.0"
+ resolved "https://registry.yarnpkg.com/mimic-fn/-/mimic-fn-1.2.0.tgz#820c86a39334640e99516928bd03fca88057d022"
+ integrity sha512-jf84uxzwiuiIVKiOLpfYk7N46TSy8ubTonmneY9vrpHNAnp0QBt2BxWV9dO3/j+BoVAb+a5G6YDPW3M5HOdMWQ==
+
+minimatch@^3.0.4:
+ version "3.0.4"
+ resolved "https://registry.yarnpkg.com/minimatch/-/minimatch-3.0.4.tgz#5166e286457f03306064be5497e8dbb0c3d32083"
+ integrity sha512-yJHVQEhyqPLUTgt9B83PXu6W3rx4MvvHvSUvToogpwoGDOUQ+yDrR0HRot+yOCdCO7u4hX3pWft6kWBBcqh0UA==
+ dependencies:
+ brace-expansion "^1.1.7"
+
+minimist@0.0.8:
+ version "0.0.8"
+ resolved "https://registry.yarnpkg.com/minimist/-/minimist-0.0.8.tgz#857fcabfc3397d2625b8228262e86aa7a011b05d"
+ integrity sha1-hX/Kv8M5fSYluCKCYuhqp6ARsF0=
+
+minimist@^1.2.0:
+ version "1.2.0"
+ resolved "https://registry.yarnpkg.com/minimist/-/minimist-1.2.0.tgz#a35008b20f41383eec1fb914f4cd5df79a264284"
+ integrity sha1-o1AIsg9BOD7sH7kU9M1d95omQoQ=
+
+minimist@^1.2.5:
+ version "1.2.5"
+ resolved "https://registry.yarnpkg.com/minimist/-/minimist-1.2.5.tgz#67d66014b66a6a8aaa0c083c5fd58df4e4e97602"
+ integrity sha512-FM9nNUYrRBAELZQT3xeZQ7fmMOBg6nWNmJKTcgsJeaLstP/UODVpGsr5OhXhhXg6f+qtJ8uiZ+PUxkDWcgIXLw==
+
+mixin-deep@^1.2.0:
+ version "1.3.2"
+ resolved "https://registry.yarnpkg.com/mixin-deep/-/mixin-deep-1.3.2.tgz#1120b43dc359a785dce65b55b82e257ccf479566"
+ integrity sha512-WRoDn//mXBiJ1H40rqa3vH0toePwSsGb45iInWlTySa+Uu4k3tYUSxa2v1KqAiLtvlrSzaExqS1gtk96A9zvEA==
+ dependencies:
+ for-in "^1.0.2"
+ is-extendable "^1.0.1"
+
+mkdirp@0.5:
+ version "0.5.5"
+ resolved "https://registry.yarnpkg.com/mkdirp/-/mkdirp-0.5.5.tgz#d91cefd62d1436ca0f41620e251288d420099def"
+ integrity sha512-NKmAlESf6jMGym1++R0Ra7wvhV+wFW63FaSOFPwRahvea0gMUcGUhVeAg/0BC0wiv9ih5NYPB1Wn1UEI1/L+xQ==
+ dependencies:
+ minimist "^1.2.5"
+
+mkdirp@^0.5.1:
+ version "0.5.1"
+ resolved "https://registry.yarnpkg.com/mkdirp/-/mkdirp-0.5.1.tgz#30057438eac6cf7f8c4767f38648d6697d75c903"
+ integrity sha1-MAV0OOrGz3+MR2fzhkjWaX11yQM=
+ dependencies:
+ minimist "0.0.8"
+
+mock-require@^3.0.3:
+ version "3.0.3"
+ resolved "https://registry.yarnpkg.com/mock-require/-/mock-require-3.0.3.tgz#ccd544d9eae81dd576b3f219f69ec867318a1946"
+ integrity sha512-lLzfLHcyc10MKQnNUCv7dMcoY/2Qxd6wJfbqCcVk3LDb8An4hF6ohk5AztrvgKhJCqj36uyzi/p5se+tvyD+Wg==
+ dependencies:
+ get-caller-file "^1.0.2"
+ normalize-path "^2.1.1"
+
+ms@2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/ms/-/ms-2.0.0.tgz#5608aeadfc00be6c2901df5f9861788de0d597c8"
+ integrity sha1-VgiurfwAvmwpAd9fmGF4jeDVl8g=
+
+ms@2.1.1:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/ms/-/ms-2.1.1.tgz#30a5864eb3ebb0a66f2ebe6d727af06a09d86e0a"
+ integrity sha512-tgp+dl5cGk28utYktBsrFqA7HKgrhgPsg6Z/EfhWI4gl1Hwq8B/GmY/0oXZ6nF8hDVesS/FpnYaD/kOWhYQvyg==
+
+mustache@^2.3.0:
+ version "2.3.2"
+ resolved "https://registry.yarnpkg.com/mustache/-/mustache-2.3.2.tgz#a6d4d9c3f91d13359ab889a812954f9230a3d0c5"
+ integrity sha512-KpMNwdQsYz3O/SBS1qJ/o3sqUJ5wSb8gb0pul8CO0S56b9Y2ALm8zCfsjPXsqGFfoNBkDwZuZIAjhsZI03gYVQ==
+
+mute-stream@0.0.7:
+ version "0.0.7"
+ resolved "https://registry.yarnpkg.com/mute-stream/-/mute-stream-0.0.7.tgz#3075ce93bc21b8fab43e1bc4da7e8115ed1e7bab"
+ integrity sha1-MHXOk7whuPq0PhvE2n6BFe0ee6s=
+
+nan@^2.12.1:
+ version "2.14.0"
+ resolved "https://registry.yarnpkg.com/nan/-/nan-2.14.0.tgz#7818f722027b2459a86f0295d434d1fc2336c52c"
+ integrity sha512-INOFj37C7k3AfaNTtX8RhsTw7qRy7eLET14cROi9+5HAVbbHuIWUHEauBv5qT4Av2tWasiTY1Jw6puUNqRJXQg==
+
+nanomatch@^1.2.9:
+ version "1.2.13"
+ resolved "https://registry.yarnpkg.com/nanomatch/-/nanomatch-1.2.13.tgz#b87a8aa4fc0de8fe6be88895b38983ff265bd119"
+ integrity sha512-fpoe2T0RbHwBTBUOftAfBPaDEi06ufaUai0mE6Yn1kacc3SnTErfb/h+X94VXzI64rKFHYImXSvdwGGCmwOqCA==
+ dependencies:
+ arr-diff "^4.0.0"
+ array-unique "^0.3.2"
+ define-property "^2.0.2"
+ extend-shallow "^3.0.2"
+ fragment-cache "^0.2.1"
+ is-windows "^1.0.2"
+ kind-of "^6.0.2"
+ object.pick "^1.3.0"
+ regex-not "^1.0.0"
+ snapdragon "^0.8.1"
+ to-regex "^3.0.1"
+
+nanoseconds@^0.1.0:
+ version "0.1.0"
+ resolved "https://registry.yarnpkg.com/nanoseconds/-/nanoseconds-0.1.0.tgz#69ec39fcd00e77ab3a72de0a43342824cd79233a"
+ integrity sha1-aew5/NAOd6s6ct4KQzQoJM15Izo=
+
+natives@^1.1.3:
+ version "1.1.6"
+ resolved "https://registry.yarnpkg.com/natives/-/natives-1.1.6.tgz#a603b4a498ab77173612b9ea1acdec4d980f00bb"
+ integrity sha512-6+TDFewD4yxY14ptjKaS63GVdtKiES1pTPyxn9Jb0rBqPMZ7VcCiooEhPNsr+mqHtMGxa/5c/HhcC4uPEUw/nA==
+
+negotiator@0.6.2:
+ version "0.6.2"
+ resolved "https://registry.yarnpkg.com/negotiator/-/negotiator-0.6.2.tgz#feacf7ccf525a77ae9634436a64883ffeca346fb"
+ integrity sha512-hZXc7K2e+PgeI1eDBe/10Ard4ekbfrrqG8Ep+8Jmf4JID2bNg7NvCPOZN+kfF574pFQI7mum2AUqDidoKqcTOw==
+
+nopt@~1.0.10:
+ version "1.0.10"
+ resolved "https://registry.yarnpkg.com/nopt/-/nopt-1.0.10.tgz#6ddd21bd2a31417b92727dd585f8a6f37608ebee"
+ integrity sha1-bd0hvSoxQXuScn3Vhfim83YI6+4=
+ dependencies:
+ abbrev "1"
+
+normalize-path@^2.0.0, normalize-path@^2.0.1, normalize-path@^2.1.1:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/normalize-path/-/normalize-path-2.1.1.tgz#1ab28b556e198363a8c1a6f7e6fa20137fe6aed9"
+ integrity sha1-GrKLVW4Zg2Oowab35vogE3/mrtk=
+ dependencies:
+ remove-trailing-separator "^1.0.1"
+
+oauth-sign@~0.9.0:
+ version "0.9.0"
+ resolved "https://registry.yarnpkg.com/oauth-sign/-/oauth-sign-0.9.0.tgz#47a7b016baa68b5fa0ecf3dee08a85c679ac6455"
+ integrity sha512-fexhUFFPTGV8ybAtSIGbV6gOkSv8UtRbDBnAyLQw4QPKkgNlsH2ByPGtMUqdWkos6YCRmAqViwgZrJc/mRDzZQ==
+
+object-assign@^4.1.1:
+ version "4.1.1"
+ resolved "https://registry.yarnpkg.com/object-assign/-/object-assign-4.1.1.tgz#2109adc7965887cfc05cbbd442cac8bfbb360863"
+ integrity sha1-IQmtx5ZYh8/AXLvUQsrIv7s2CGM=
+
+object-copy@^0.1.0:
+ version "0.1.0"
+ resolved "https://registry.yarnpkg.com/object-copy/-/object-copy-0.1.0.tgz#7e7d858b781bd7c991a41ba975ed3812754e998c"
+ integrity sha1-fn2Fi3gb18mRpBupde04EnVOmYw=
+ dependencies:
+ copy-descriptor "^0.1.0"
+ define-property "^0.2.5"
+ kind-of "^3.0.3"
+
+object-inspect@^1.7.0:
+ version "1.8.0"
+ resolved "https://registry.yarnpkg.com/object-inspect/-/object-inspect-1.8.0.tgz#df807e5ecf53a609cc6bfe93eac3cc7be5b3a9d0"
+ integrity sha512-jLdtEOB112fORuypAyl/50VRVIBIdVQOSUUGQHzJ4xBSbit81zRarz7GThkEFZy1RceYrWYcPcBFPQwHyAc1gA==
+
+object-keys@^1.0.11, object-keys@^1.0.12, object-keys@^1.1.1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/object-keys/-/object-keys-1.1.1.tgz#1c47f272df277f3b1daf061677d9c82e2322c60e"
+ integrity sha512-NuAESUOUMrlIXOfHKzD6bpPu3tYt3xvjNdRIQ+FeT0lNb4K8WR70CaDxhuNguS2XG+GjkyMwOzsN5ZktImfhLA==
+
+object-visit@^1.0.0:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/object-visit/-/object-visit-1.0.1.tgz#f79c4493af0c5377b59fe39d395e41042dd045bb"
+ integrity sha1-95xEk68MU3e1n+OdOV5BBC3QRbs=
+ dependencies:
+ isobject "^3.0.0"
+
+object.assign@^4.1.0:
+ version "4.1.0"
+ resolved "https://registry.yarnpkg.com/object.assign/-/object.assign-4.1.0.tgz#968bf1100d7956bb3ca086f006f846b3bc4008da"
+ integrity sha512-exHJeq6kBKj58mqGyTQ9DFvrZC/eR6OwxzoM9YRoGBqrXYonaFyGiFMuc9VZrXf7DarreEwMpurG3dd+CNyW5w==
+ dependencies:
+ define-properties "^1.1.2"
+ function-bind "^1.1.1"
+ has-symbols "^1.0.0"
+ object-keys "^1.0.11"
+
+object.omit@^2.0.0:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/object.omit/-/object.omit-2.0.1.tgz#1a9c744829f39dbb858c76ca3579ae2a54ebd1fa"
+ integrity sha1-Gpx0SCnznbuFjHbKNXmuKlTr0fo=
+ dependencies:
+ for-own "^0.1.4"
+ is-extendable "^0.1.1"
+
+object.pick@^1.3.0:
+ version "1.3.0"
+ resolved "https://registry.yarnpkg.com/object.pick/-/object.pick-1.3.0.tgz#87a10ac4c1694bd2e1cbf53591a66141fb5dd747"
+ integrity sha1-h6EKxMFpS9Lhy/U1kaZhQftd10c=
+ dependencies:
+ isobject "^3.0.1"
+
+object.values@^1.1.0:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/object.values/-/object.values-1.1.1.tgz#68a99ecde356b7e9295a3c5e0ce31dc8c953de5e"
+ integrity sha512-WTa54g2K8iu0kmS/us18jEmdv1a4Wi//BZ/DTVYEcH0XhLM5NYdpDHja3gt57VrZLcNAO2WGA+KpWsDBaHt6eA==
+ dependencies:
+ define-properties "^1.1.3"
+ es-abstract "^1.17.0-next.1"
+ function-bind "^1.1.1"
+ has "^1.0.3"
+
+on-finished@~2.3.0:
+ version "2.3.0"
+ resolved "https://registry.yarnpkg.com/on-finished/-/on-finished-2.3.0.tgz#20f1336481b083cd75337992a16971aa2d906947"
+ integrity sha1-IPEzZIGwg811M3mSoWlxqi2QaUc=
+ dependencies:
+ ee-first "1.1.1"
+
+once@^1.3.0:
+ version "1.4.0"
+ resolved "https://registry.yarnpkg.com/once/-/once-1.4.0.tgz#583b1aa775961d4b113ac17d9c50baef9dd76bd1"
+ integrity sha1-WDsap3WWHUsROsF9nFC6753Xa9E=
+ dependencies:
+ wrappy "1"
+
+onetime@^2.0.0:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/onetime/-/onetime-2.0.1.tgz#067428230fd67443b2794b22bba528b6867962d4"
+ integrity sha1-BnQoIw/WdEOyeUsiu6UotoZ5YtQ=
+ dependencies:
+ mimic-fn "^1.0.0"
+
+optionator@^0.8.1:
+ version "0.8.3"
+ resolved "https://registry.yarnpkg.com/optionator/-/optionator-0.8.3.tgz#84fa1d036fe9d3c7e21d99884b601167ec8fb495"
+ integrity sha512-+IW9pACdk3XWmmTXG8m3upGUJst5XRGzxMRjXzAuJ1XnIFNvfhjjIuYkDvysnPQ7qzqVzLt78BCruntqRhWQbA==
+ dependencies:
+ deep-is "~0.1.3"
+ fast-levenshtein "~2.0.6"
+ levn "~0.3.0"
+ prelude-ls "~1.1.2"
+ type-check "~0.3.2"
+ word-wrap "~1.2.3"
+
+options@>=0.0.5:
+ version "0.0.6"
+ resolved "https://registry.yarnpkg.com/options/-/options-0.0.6.tgz#ec22d312806bb53e731773e7cdaefcf1c643128f"
+ integrity sha1-7CLTEoBrtT5zF3Pnza788cZDEo8=
+
+orderedmap@^1.0.0, orderedmap@^1.1.0:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/orderedmap/-/orderedmap-1.1.1.tgz#c618e77611b3b21d0fe3edc92586265e0059c789"
+ integrity sha512-3Ux8um0zXbVacKUkcytc0u3HgC0b0bBLT+I60r2J/En72cI0nZffqrA7Xtf2Hqs27j1g82llR5Mhbd0Z1XW4AQ==
+
+os-tmpdir@~1.0.2:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/os-tmpdir/-/os-tmpdir-1.0.2.tgz#bbe67406c79aa85c5cfec766fe5734555dfa1274"
+ integrity sha1-u+Z0BseaqFxc/sdm/lc0VV36EnQ=
+
+"over@>= 0.0.5 < 1":
+ version "0.0.5"
+ resolved "https://registry.yarnpkg.com/over/-/over-0.0.5.tgz#f29852e70fd7e25f360e013a8ec44c82aedb5708"
+ integrity sha1-8phS5w/X4l82DgE6jsRMgq7bVwg=
+
+parent-module@^1.0.0:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/parent-module/-/parent-module-1.0.1.tgz#691d2709e78c79fae3a156622452d00762caaaa2"
+ integrity sha512-GQ2EWRpQV8/o+Aw8YqtfZZPfNRWZYkbidE9k5rpl/hC3vtHHBfGm2Ifi6qWV+coDGkrUKZAxE3Lot5kcsRlh+g==
+ dependencies:
+ callsites "^3.0.0"
+
+parse-glob@^3.0.4:
+ version "3.0.4"
+ resolved "https://registry.yarnpkg.com/parse-glob/-/parse-glob-3.0.4.tgz#b2c376cfb11f35513badd173ef0bb6e3a388391c"
+ integrity sha1-ssN2z7EfNVE7rdFz7wu246OIORw=
+ dependencies:
+ glob-base "^0.3.0"
+ is-dotfile "^1.0.0"
+ is-extglob "^1.0.0"
+ is-glob "^2.0.0"
+
+parse-json@^5.0.0:
+ version "5.0.0"
+ resolved "https://registry.yarnpkg.com/parse-json/-/parse-json-5.0.0.tgz#73e5114c986d143efa3712d4ea24db9a4266f60f"
+ integrity sha512-OOY5b7PAEFV0E2Fir1KOkxchnZNCdowAJgQ5NuxjpBKTRP3pQhwkrkxqQjeoKJ+fO7bCpmIZaogI4eZGDMEGOw==
+ dependencies:
+ "@babel/code-frame" "^7.0.0"
+ error-ex "^1.3.1"
+ json-parse-better-errors "^1.0.1"
+ lines-and-columns "^1.1.6"
+
+parseurl@~1.3.3:
+ version "1.3.3"
+ resolved "https://registry.yarnpkg.com/parseurl/-/parseurl-1.3.3.tgz#9da19e7bee8d12dff0513ed5b76957793bc2e8d4"
+ integrity sha512-CiyeOxFT/JZyN5m0z9PfXw4SCBJ6Sygz1Dpl0wqjlhDEGGBP1GnsUVEL0p63hoG1fcj3fHynXi9NYO4nWOL+qQ==
+
+pascalcase@^0.1.1:
+ version "0.1.1"
+ resolved "https://registry.yarnpkg.com/pascalcase/-/pascalcase-0.1.1.tgz#b363e55e8006ca6fe21784d2db22bd15d7917f14"
+ integrity sha1-s2PlXoAGym/iF4TS2yK9FdeRfxQ=
+
+path-is-absolute@^1.0.0:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/path-is-absolute/-/path-is-absolute-1.0.1.tgz#174b9268735534ffbc7ace6bf53a5a9e1b5c5f5f"
+ integrity sha1-F0uSaHNVNP+8es5r9TpanhtcX18=
+
+path-parse@^1.0.6:
+ version "1.0.6"
+ resolved "https://registry.yarnpkg.com/path-parse/-/path-parse-1.0.6.tgz#d62dbb5679405d72c4737ec58600e9ddcf06d24c"
+ integrity sha512-GSmOT2EbHrINBf9SR7CDELwlJ8AENk3Qn7OikK4nFYAu3Ote2+JYNVvkpAEQm3/TLNEJFD/xZJjzyxg3KBWOzw==
+
+path-to-regexp@0.1.7:
+ version "0.1.7"
+ resolved "https://registry.yarnpkg.com/path-to-regexp/-/path-to-regexp-0.1.7.tgz#df604178005f522f15eb4490e7247a1bfaa67f8c"
+ integrity sha1-32BBeABfUi8V60SQ5yR6G/qmf4w=
+
+path-type@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/path-type/-/path-type-4.0.0.tgz#84ed01c0a7ba380afe09d90a8c180dcd9d03043b"
+ integrity sha512-gDKb8aZMDeD/tZWs9P6+q0J9Mwkdl6xMV8TjnGP3qJVJ06bdMgkbBlLU8IdfOsIsFz2BW1rNVT3XuNEl8zPAvw==
+
+performance-now@^2.1.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/performance-now/-/performance-now-2.1.0.tgz#6309f4e0e5fa913ec1c69307ae364b4b377c9e7b"
+ integrity sha1-Ywn04OX6kT7BxpMHrjZLSzd8nns=
+
+posix-character-classes@^0.1.0:
+ version "0.1.1"
+ resolved "https://registry.yarnpkg.com/posix-character-classes/-/posix-character-classes-0.1.1.tgz#01eac0fe3b5af71a2a6c02feabb8c1fef7e00eab"
+ integrity sha1-AerA/jta9xoqbAL+q7jB/vfgDqs=
+
+postcss@^6.0.1:
+ version "6.0.23"
+ resolved "https://registry.yarnpkg.com/postcss/-/postcss-6.0.23.tgz#61c82cc328ac60e677645f979054eb98bc0e3324"
+ integrity sha512-soOk1h6J3VMTZtVeVpv15/Hpdl2cBLX3CAw4TAbkpTJiNPk9YP/zWcD1ND+xEtvyuuvKzbxliTOIyvkSeSJ6ag==
+ dependencies:
+ chalk "^2.4.1"
+ source-map "^0.6.1"
+ supports-color "^5.4.0"
+
+prelude-ls@~1.1.2:
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/prelude-ls/-/prelude-ls-1.1.2.tgz#21932a549f5e52ffd9a827f570e04be62a97da54"
+ integrity sha1-IZMqVJ9eUv/ZqCf1cOBL5iqX2lQ=
+
+preserve@^0.2.0:
+ version "0.2.0"
+ resolved "https://registry.yarnpkg.com/preserve/-/preserve-0.2.0.tgz#815ed1f6ebc65926f865b310c0713bcb3315ce4b"
+ integrity sha1-gV7R9uvGWSb4ZbMQwHE7yzMVzks=
+
+prettier@^1.18.2:
+ version "1.19.1"
+ resolved "https://registry.yarnpkg.com/prettier/-/prettier-1.19.1.tgz#f7d7f5ff8a9cd872a7be4ca142095956a60797cb"
+ integrity sha512-s7PoyDv/II1ObgQunCbB9PdLmUcBZcnWOcxDh7O0N/UwDEsHyqkW+Qh28jW+mVuCdx7gLB0BotYI1Y6uI9iyew==
+
+pretty-time@^0.2.0:
+ version "0.2.0"
+ resolved "https://registry.yarnpkg.com/pretty-time/-/pretty-time-0.2.0.tgz#7a3bdec4049c620cd7c42b7f342b74d56e73d74e"
+ integrity sha1-ejvexAScYgzXxCt/NCt01W5z104=
+ dependencies:
+ is-number "^2.0.2"
+ nanoseconds "^0.1.0"
+
+prettysize@0.0.3:
+ version "0.0.3"
+ resolved "https://registry.yarnpkg.com/prettysize/-/prettysize-0.0.3.tgz#14afff6a645e591a4ddf1c72919c23b4146181a1"
+ integrity sha1-FK//amReWRpN3xxykZwjtBRhgaE=
+
+process-nextick-args@~2.0.0:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/process-nextick-args/-/process-nextick-args-2.0.1.tgz#7820d9b16120cc55ca9ae7792680ae7dba6d7fe2"
+ integrity sha512-3ouUOpQhtgrbOa17J7+uxOTpITYWaGP7/AhoR3+A+/1e9skrzelGi/dXzEYyvbxubEF6Wn2ypscTKiKJFFn1ag==
+
+prop-types@^15.5.8, prop-types@^15.6.2:
+ version "15.7.2"
+ resolved "https://registry.yarnpkg.com/prop-types/-/prop-types-15.7.2.tgz#52c41e75b8c87e72b9d9360e0206b99dcbffa6c5"
+ integrity sha512-8QQikdH7//R2vurIJSutZ1smHYTcLpRWEOlHnzcWHmBYrOGUysKwSsrC89BCiFj3CbrfJ/nXFdJepOVrY1GCHQ==
+ dependencies:
+ loose-envify "^1.4.0"
+ object-assign "^4.1.1"
+ react-is "^16.8.1"
+
+prosemirror-changeset@^2.1.2:
+ version "2.1.2"
+ resolved "https://registry.yarnpkg.com/prosemirror-changeset/-/prosemirror-changeset-2.1.2.tgz#91dee900eb4618b21ed0c38c8d41dc7539303864"
+ integrity sha512-/eeAM2XeOFmtiPsFVfVkM3Iq4xfNlFuDB6MlC8Hqch/ibq3YlH3YxDi8fqg78fT8fkrfvN6zRu9EE0HkSmH8PA==
+ dependencies:
+ prosemirror-transform "^1.0.0"
+
+prosemirror-commands@^1.1.4:
+ version "1.1.4"
+ resolved "https://registry.yarnpkg.com/prosemirror-commands/-/prosemirror-commands-1.1.4.tgz#991563e67623acab4f8c510fad1570f8b4693780"
+ integrity sha512-kj4Qi+8h3EpJtZuuEDwZ9h2/QNGWDsIX/CzjmClxi9GhxWyBUMVUvIFk0mgdqHyX20lLeGmOpc0TLA5aPzgpWg==
+ dependencies:
+ prosemirror-model "^1.0.0"
+ prosemirror-state "^1.0.0"
+ prosemirror-transform "^1.0.0"
+
+prosemirror-dev-tools@^2.1.1:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/prosemirror-dev-tools/-/prosemirror-dev-tools-2.1.1.tgz#0c4304b05b437608b3666b72fdb4b21e24fa29fc"
+ integrity sha512-d9MG4PF82meg5Ru64ox6WCKPkQNsiZEaG5xR5a+l88RJ0VRButMZq5JzPh28vUlTBq+TXnpdTJRlPQIgTOtpqg==
+ dependencies:
+ emotion "^9.2.5"
+ es6-object-assign "^1.1.0"
+ html "^1.0.0"
+ ie-array-find-polyfill "^1.1.0"
+ jsondiffpatch "^0.3.11"
+ prop-types "^15.6.2"
+ prosemirror-model ">=1.0.0"
+ prosemirror-state ">=1.0.0"
+ react-dock "^0.2.4"
+ react-emotion "^9.2.5"
+ react-json-tree "^0.11.0"
+ unstated "^2.1.1"
+
+prosemirror-dropcursor@^1.3.2:
+ version "1.3.2"
+ resolved "https://registry.yarnpkg.com/prosemirror-dropcursor/-/prosemirror-dropcursor-1.3.2.tgz#28738c4ed7102e814d7a8a26d70018523fc7cd6d"
+ integrity sha512-4c94OUGyobGnwcQI70OXyMhE/9T4aTgjU+CHxkd5c7D+jH/J0mKM/lk+jneFVKt7+E4/M0D9HzRPifu8U28Thw==
+ dependencies:
+ prosemirror-state "^1.0.0"
+ prosemirror-transform "^1.1.0"
+ prosemirror-view "^1.1.0"
+
+prosemirror-gapcursor@^1.1.5:
+ version "1.1.5"
+ resolved "https://registry.yarnpkg.com/prosemirror-gapcursor/-/prosemirror-gapcursor-1.1.5.tgz#0c37fd6cbb1d7c46358c2e7397f8da9a8b5c6246"
+ integrity sha512-SjbUZq5pgsBDuV3hu8GqgIpZR5eZvGLM+gPQTqjVVYSMUCfKW3EGXTEYaLHEl1bGduwqNC95O3bZflgtAb4L6w==
+ dependencies:
+ prosemirror-keymap "^1.0.0"
+ prosemirror-model "^1.0.0"
+ prosemirror-state "^1.0.0"
+ prosemirror-view "^1.0.0"
+
+prosemirror-history@^1.1.3:
+ version "1.1.3"
+ resolved "https://registry.yarnpkg.com/prosemirror-history/-/prosemirror-history-1.1.3.tgz#4f76a1e71db4ef7cdf0e13dec6d8da2aeaecd489"
+ integrity sha512-zGDotijea+vnfnyyUGyiy1wfOQhf0B/b6zYcCouBV8yo6JmrE9X23M5q7Nf/nATywEZbgRLG70R4DmfSTC+gfg==
+ dependencies:
+ prosemirror-state "^1.2.2"
+ prosemirror-transform "^1.0.0"
+ rope-sequence "^1.3.0"
+
+prosemirror-inputrules@^1.1.2:
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/prosemirror-inputrules/-/prosemirror-inputrules-1.1.2.tgz#487e46c763e1212a4577397aba7706139084f012"
+ integrity sha512-Ja5Z3BWestlHYGvtSGqyvxMeB8QEuBjlHM8YnKtLGUXMDp965qdDV4goV8lJb17kIWHk7e7JNj6Catuoa3302g==
+ dependencies:
+ prosemirror-state "^1.0.0"
+ prosemirror-transform "^1.0.0"
+
+prosemirror-keymap@^1.0.0, prosemirror-keymap@^1.1.2:
+ version "1.1.3"
+ resolved "https://registry.yarnpkg.com/prosemirror-keymap/-/prosemirror-keymap-1.1.3.tgz#be22d6108df2521608e9216a87b1a810f0ed361e"
+ integrity sha512-PRA4NzkUMzV/NFf5pyQ6tmlIHiW/qjQ1kGWUlV2rF/dvlOxtpGpTEjIMhWgLuMf+HiDEFnUEP7uhYXu+t+491g==
+ dependencies:
+ prosemirror-state "^1.0.0"
+ w3c-keyname "^2.2.0"
+
+prosemirror-keymap@^1.1.4:
+ version "1.1.4"
+ resolved "https://registry.yarnpkg.com/prosemirror-keymap/-/prosemirror-keymap-1.1.4.tgz#8b481bf8389a5ac40d38dbd67ec3da2c7eac6a6d"
+ integrity sha512-Al8cVUOnDFL4gcI5IDlG6xbZ0aOD/i3B17VT+1JbHWDguCgt/lBHVTHUBcKvvbSg6+q/W4Nj1Fu6bwZSca3xjg==
+ dependencies:
+ prosemirror-state "^1.0.0"
+ w3c-keyname "^2.2.0"
+
+prosemirror-model@>=1.0.0, prosemirror-model@^1.0.0, prosemirror-model@^1.1.0, prosemirror-model@^1.8.1:
+ version "1.9.1"
+ resolved "https://registry.yarnpkg.com/prosemirror-model/-/prosemirror-model-1.9.1.tgz#8c08cf556f593c5f015548d2c1a6825661df087f"
+ integrity sha512-Qblh8pm1c7Ll64sYLauwwzjimo/tFg1zW3Q3IWhKRhvfOEgRKqa6dC5pRrAa+XHOIjBFEYrqbi52J5bqA2dV8Q==
+ dependencies:
+ orderedmap "^1.1.0"
+
+prosemirror-model@^1.11.0:
+ version "1.11.0"
+ resolved "https://registry.yarnpkg.com/prosemirror-model/-/prosemirror-model-1.11.0.tgz#dc36cdb3ad6442b9f6325c7d89170c624f9dc520"
+ integrity sha512-GqoAz/mIYjdv8gVYJ8mWFKpHoTxn/lXq4tXJ6bTVxs+rem2LzMYXrNVXfucGtfsgqsJlRIgng/ByG9j7Q8XDrg==
+ dependencies:
+ orderedmap "^1.1.0"
+
+prosemirror-schema-list@^1.1.4:
+ version "1.1.4"
+ resolved "https://registry.yarnpkg.com/prosemirror-schema-list/-/prosemirror-schema-list-1.1.4.tgz#471f9caf2d2bed93641d2e490434c0d2d4330df1"
+ integrity sha512-pNTuZflacFOBlxrTcWSdWhjoB8BaucwfJVp/gJNxztOwaN3wQiC65axclXyplf6TKgXD/EkWfS/QAov3/Znadw==
+ dependencies:
+ prosemirror-model "^1.0.0"
+ prosemirror-transform "^1.0.0"
+
+prosemirror-state@>=1.0.0, prosemirror-state@^1.0.0, prosemirror-state@^1.2.2, prosemirror-state@^1.3.1:
+ version "1.3.2"
+ resolved "https://registry.yarnpkg.com/prosemirror-state/-/prosemirror-state-1.3.2.tgz#1b910b0dc01c1f00926bb9ba1589f7b7ac0d658b"
+ integrity sha512-t/JqE3aR0SV9QrzFVkAXsQwsgrQBNs/BDbcFH20RssW0xauqNNdjTXxy/J/kM7F+0zYi6+BRmz7cMMQQFU3mwQ==
+ dependencies:
+ prosemirror-model "^1.0.0"
+ prosemirror-transform "^1.0.0"
+
+prosemirror-state@^1.3.3:
+ version "1.3.3"
+ resolved "https://registry.yarnpkg.com/prosemirror-state/-/prosemirror-state-1.3.3.tgz#b2862866b14dec2b3ae1ab18229f2bd337651a2c"
+ integrity sha512-PLXh2VJsIgvlgSTH6I2Yg6vk1CzPDp21DFreVpQtDMY2S6WaMmrQgDTLRcsrD8X38v8Yc873H7+ogdGzyIPn+w==
+ dependencies:
+ prosemirror-model "^1.0.0"
+ prosemirror-transform "^1.0.0"
+
+prosemirror-tables@*:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/prosemirror-tables/-/prosemirror-tables-1.0.0.tgz#ec3d0b11e638c6a92dd14ae816d0a2efd1719b70"
+ integrity sha512-zFw5Us4G5Vdq0yIj8GiqZOGA6ud5UKpMKElux9O0HrfmhkuGa1jf1PCpz2R5pmIQJv+tIM24H1mox/ODBAX37Q==
+ dependencies:
+ prosemirror-keymap "^1.1.2"
+ prosemirror-model "^1.8.1"
+ prosemirror-state "^1.3.1"
+ prosemirror-transform "^1.2.1"
+ prosemirror-view "^1.13.3"
+
+prosemirror-tables@^1.1.1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/prosemirror-tables/-/prosemirror-tables-1.1.1.tgz#ad66300cc49500455cf1243bb129c9e7d883321e"
+ integrity sha512-LmCz4jrlqQZRsYRDzCRYf/pQ5CUcSOyqZlAj5kv67ZWBH1SVLP2U9WJEvQfimWgeRlIz0y0PQVqO1arRm1+woA==
+ dependencies:
+ prosemirror-keymap "^1.1.2"
+ prosemirror-model "^1.8.1"
+ prosemirror-state "^1.3.1"
+ prosemirror-transform "^1.2.1"
+ prosemirror-view "^1.13.3"
+
+prosemirror-transform@^1.0.0, prosemirror-transform@^1.1.0, prosemirror-transform@^1.2.1:
+ version "1.2.3"
+ resolved "https://registry.yarnpkg.com/prosemirror-transform/-/prosemirror-transform-1.2.3.tgz#239d17591af24d39ef3f1999daa09e1f1c76b06a"
+ integrity sha512-PUfayeskQfuUBXktvL6207ZWRwHBFNPNPiek4fR+LgCPnBofuEb2+L0FfbNtrAwffHVs6M3DaFvJB1W2VQdV0A==
+ dependencies:
+ prosemirror-model "^1.0.0"
+
+prosemirror-transform@^1.2.8:
+ version "1.2.8"
+ resolved "https://registry.yarnpkg.com/prosemirror-transform/-/prosemirror-transform-1.2.8.tgz#4b86544fa43637fe381549fb7b019f4fb71fe65c"
+ integrity sha512-hKqceqv9ZmMQXNQkhFjr0KFGPvkhygaWND+uIM0GxRpALrKfxP97SsgHTBs3OpJhDmh5N+mB4D/CksB291Eavg==
+ dependencies:
+ prosemirror-model "^1.0.0"
+
+prosemirror-utils@^0.9.6:
+ version "0.9.6"
+ resolved "https://registry.yarnpkg.com/prosemirror-utils/-/prosemirror-utils-0.9.6.tgz#3d97bd85897e3b535555867dc95a51399116a973"
+ integrity sha512-UC+j9hQQ1POYfMc5p7UFxBTptRiGPR7Kkmbl3jVvU8VgQbkI89tR/GK+3QYC8n+VvBZrtAoCrJItNhWSxX3slA==
+
+prosemirror-view@^1.0.0, prosemirror-view@^1.1.0, prosemirror-view@^1.13.3:
+ version "1.14.2"
+ resolved "https://registry.yarnpkg.com/prosemirror-view/-/prosemirror-view-1.14.2.tgz#23eb89f6101e9671b5e0c19d82ee0ad9de5608de"
+ integrity sha512-9yPVH6OLyaEraHjWHbSk2DB0R/1TsEE6AA1LI+vmCypXXA+zTzNrktUFzBhSJHehXDoEJcQfnl1Wdp5GPSh2+g==
+ dependencies:
+ prosemirror-model "^1.1.0"
+ prosemirror-state "^1.0.0"
+ prosemirror-transform "^1.1.0"
+
+prosemirror-view@^1.15.6:
+ version "1.15.6"
+ resolved "https://registry.yarnpkg.com/prosemirror-view/-/prosemirror-view-1.15.6.tgz#446bf7662235300c5f47362af2db805c6df3ad24"
+ integrity sha512-9FBFB+rK5pvvzHsHOacy0T/Jf+OxZSzY8tSlQiur3SZwAVaNVQm+fl23V/6gU2dHBnreGxjYx9jK+F3XPsPCGw==
+ dependencies:
+ prosemirror-model "^1.1.0"
+ prosemirror-state "^1.0.0"
+ prosemirror-transform "^1.1.0"
+
+proxy-addr@~2.0.5:
+ version "2.0.6"
+ resolved "https://registry.yarnpkg.com/proxy-addr/-/proxy-addr-2.0.6.tgz#fdc2336505447d3f2f2c638ed272caf614bbb2bf"
+ integrity sha512-dh/frvCBVmSsDYzw6n926jv974gddhkFPfiN8hPOi30Wax25QZyZEGveluCgliBnqmuM+UJmBErbAUFIoDbjOw==
+ dependencies:
+ forwarded "~0.1.2"
+ ipaddr.js "1.9.1"
+
+psl@^1.1.28:
+ version "1.7.0"
+ resolved "https://registry.yarnpkg.com/psl/-/psl-1.7.0.tgz#f1c4c47a8ef97167dea5d6bbf4816d736e884a3c"
+ integrity sha512-5NsSEDv8zY70ScRnOTn7bK7eanl2MvFrOrS/R6x+dBt5g1ghnj9Zv90kO8GwT8gxcu2ANyFprnFYB85IogIJOQ==
+
+"pullstream@>= 0.4.1 < 1":
+ version "0.4.1"
+ resolved "https://registry.yarnpkg.com/pullstream/-/pullstream-0.4.1.tgz#d6fb3bf5aed697e831150eb1002c25a3f8ae1314"
+ integrity sha1-1vs79a7Wl+gxFQ6xACwlo/iuExQ=
+ dependencies:
+ over ">= 0.0.5 < 1"
+ readable-stream "~1.0.31"
+ setimmediate ">= 1.0.2 < 2"
+ slice-stream ">= 1.0.0 < 2"
+
+punycode@^2.1.0, punycode@^2.1.1:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/punycode/-/punycode-2.1.1.tgz#b58b010ac40c22c5657616c8d2c2c02c7bf479ec"
+ integrity sha512-XRsRjdf+j5ml+y/6GKHPZbrF/8p2Yga0JPtdqTIY2Xe5ohJPD9saDJJLPvp9+NSBprVvevdXZybnj2cv8OEd0A==
+
+pure-color@^1.2.0:
+ version "1.3.0"
+ resolved "https://registry.yarnpkg.com/pure-color/-/pure-color-1.3.0.tgz#1fe064fb0ac851f0de61320a8bf796836422f33e"
+ integrity sha1-H+Bk+wrIUfDeYTIKi/eWg2Qi8z4=
+
+qs@6.7.0:
+ version "6.7.0"
+ resolved "https://registry.yarnpkg.com/qs/-/qs-6.7.0.tgz#41dc1a015e3d581f1621776be31afb2876a9b1bc"
+ integrity sha512-VCdBRNFTX1fyE7Nb6FYoURo/SPe62QCaAyzJvUjwRaIsc+NePBEniHlvxFmmX56+HZphIGtV0XeCirBtpDrTyQ==
+
+qs@~6.5.2:
+ version "6.5.2"
+ resolved "https://registry.yarnpkg.com/qs/-/qs-6.5.2.tgz#cb3ae806e8740444584ef154ce8ee98d403f3e36"
+ integrity sha512-N5ZAX4/LxJmF+7wN74pUD6qAh9/wnvdQcjq9TZjevvXzSUo7bfmw91saqMjzGS2xq91/odN2dW/WOl7qQHNDGA==
+
+randomatic@^3.0.0:
+ version "3.1.1"
+ resolved "https://registry.yarnpkg.com/randomatic/-/randomatic-3.1.1.tgz#b776efc59375984e36c537b2f51a1f0aff0da1ed"
+ integrity sha512-TuDE5KxZ0J461RVjrJZCJc+J+zCkTb1MbH9AQUq68sMhOMcy9jLcb3BrZKgp9q9Ncltdg4QVqWrH02W2EFFVYw==
+ dependencies:
+ is-number "^4.0.0"
+ kind-of "^6.0.0"
+ math-random "^1.0.1"
+
+range-parser@~1.2.1:
+ version "1.2.1"
+ resolved "https://registry.yarnpkg.com/range-parser/-/range-parser-1.2.1.tgz#3cf37023d199e1c24d1a55b84800c2f3e6468031"
+ integrity sha512-Hrgsx+orqoygnmhFbKaHE6c296J+HTAQXoxEF6gNupROmmGJRoyzfG3ccAveqCBrwr/2yxQ5BVd/GTl5agOwSg==
+
+raw-body@2.4.0:
+ version "2.4.0"
+ resolved "https://registry.yarnpkg.com/raw-body/-/raw-body-2.4.0.tgz#a1ce6fb9c9bc356ca52e89256ab59059e13d0332"
+ integrity sha512-4Oz8DUIwdvoa5qMJelxipzi/iJIi40O5cGV1wNYp5hvZP8ZN0T+jiNkL0QepXs+EsQ9XJ8ipEDoiH70ySUJP3Q==
+ dependencies:
+ bytes "3.1.0"
+ http-errors "1.7.2"
+ iconv-lite "0.4.24"
+ unpipe "1.0.0"
+
+react-base16-styling@^0.5.1:
+ version "0.5.3"
+ resolved "https://registry.yarnpkg.com/react-base16-styling/-/react-base16-styling-0.5.3.tgz#3858f24e9c4dd8cbd3f702f3f74d581ca2917269"
+ integrity sha1-OFjyTpxN2MvT9wLz901YHKKRcmk=
+ dependencies:
+ base16 "^1.0.0"
+ lodash.curry "^4.0.1"
+ lodash.flow "^3.3.0"
+ pure-color "^1.2.0"
+
+react-dock@^0.2.4:
+ version "0.2.4"
+ resolved "https://registry.yarnpkg.com/react-dock/-/react-dock-0.2.4.tgz#e727dc7550b3b73116635dcb9c0e04d0b7afe17c"
+ integrity sha1-5yfcdVCztzEWY13LnA4E0Lev4Xw=
+ dependencies:
+ lodash.debounce "^3.1.1"
+ prop-types "^15.5.8"
+
+react-dom@^16.13.1:
+ version "16.13.1"
+ resolved "https://registry.yarnpkg.com/react-dom/-/react-dom-16.13.1.tgz#c1bd37331a0486c078ee54c4740720993b2e0e7f"
+ integrity sha512-81PIMmVLnCNLO/fFOQxdQkvEq/+Hfpv24XNJfpyZhTRfO0QcmQIF/PgCa1zCOj2w1hrn12MFLyaJ/G0+Mxtfag==
+ dependencies:
+ loose-envify "^1.1.0"
+ object-assign "^4.1.1"
+ prop-types "^15.6.2"
+ scheduler "^0.19.1"
+
+react-emotion@^9.2.5:
+ version "9.2.12"
+ resolved "https://registry.yarnpkg.com/react-emotion/-/react-emotion-9.2.12.tgz#74d1494f89e22d0b9442e92a33ca052461955c83"
+ integrity sha512-qt7XbxnEKX5sZ73rERJ92JMbEOoyOwG3BuCRFRkXrsJhEe+rFBRTljRw7yOLHZUCQC4GBObZhjXIduQ8S0ZpYw==
+ dependencies:
+ babel-plugin-emotion "^9.2.11"
+ create-emotion-styled "^9.2.8"
+
+react-is@^16.8.1:
+ version "16.13.0"
+ resolved "https://registry.yarnpkg.com/react-is/-/react-is-16.13.0.tgz#0f37c3613c34fe6b37cd7f763a0d6293ab15c527"
+ integrity sha512-GFMtL0vHkiBv9HluwNZTggSn/sCyEt9n02aM0dSAjGGyqyNlAyftYm4phPxdvCigG15JreC5biwxCgTAJZ7yAA==
+
+react-json-tree@^0.11.0:
+ version "0.11.2"
+ resolved "https://registry.yarnpkg.com/react-json-tree/-/react-json-tree-0.11.2.tgz#af70199fcbc265699ade2aec492465c51608f95e"
+ integrity sha512-aYhUPj1y5jR3ZQ+G3N7aL8FbTyO03iLwnVvvEikLcNFqNTyabdljo9xDftZndUBFyyyL0aK3qGO9+8EilILHUw==
+ dependencies:
+ babel-runtime "^6.6.1"
+ prop-types "^15.5.8"
+ react-base16-styling "^0.5.1"
+
+react-window@^1.8.5:
+ version "1.8.5"
+ resolved "https://registry.yarnpkg.com/react-window/-/react-window-1.8.5.tgz#a56b39307e79979721021f5d06a67742ecca52d1"
+ integrity sha512-HeTwlNa37AFa8MDZFZOKcNEkuF2YflA0hpGPiTT9vR7OawEt+GZbfM6wqkBahD3D3pUjIabQYzsnY/BSJbgq6Q==
+ dependencies:
+ "@babel/runtime" "^7.0.0"
+ memoize-one ">=3.1.1 <6"
+
+react@^16.13.1:
+ version "16.13.1"
+ resolved "https://registry.yarnpkg.com/react/-/react-16.13.1.tgz#2e818822f1a9743122c063d6410d85c1e3afe48e"
+ integrity sha512-YMZQQq32xHLX0bz5Mnibv1/LHb3Sqzngu7xstSM+vrkE5Kzr9xE0yMByK5kMoTK30YVJE61WfbxIFFvfeDKT1w==
+ dependencies:
+ loose-envify "^1.1.0"
+ object-assign "^4.1.1"
+ prop-types "^15.6.2"
+
+readable-stream@^2.0.2, readable-stream@^2.2.2:
+ version "2.3.7"
+ resolved "https://registry.yarnpkg.com/readable-stream/-/readable-stream-2.3.7.tgz#1eca1cf711aef814c04f62252a36a62f6cb23b57"
+ integrity sha512-Ebho8K4jIbHAxnuxi7o42OrZgF/ZTNcsZj6nRKyUmkhLFq8CHItp/fy6hQZuZmP/n3yZ9VBUbp4zz/mX8hmYPw==
+ dependencies:
+ core-util-is "~1.0.0"
+ inherits "~2.0.3"
+ isarray "~1.0.0"
+ process-nextick-args "~2.0.0"
+ safe-buffer "~5.1.1"
+ string_decoder "~1.1.1"
+ util-deprecate "~1.0.1"
+
+readable-stream@^3.0.2:
+ version "3.6.0"
+ resolved "https://registry.yarnpkg.com/readable-stream/-/readable-stream-3.6.0.tgz#337bbda3adc0706bd3e024426a286d4b4b2c9198"
+ integrity sha512-BViHy7LKeTz4oNnkcLJ+lVSL6vpiFeX6/d3oSH8zCW7UxP2onchk+vTGB143xuFjHS3deTgkKoXXymXqymiIdA==
+ dependencies:
+ inherits "^2.0.3"
+ string_decoder "^1.1.1"
+ util-deprecate "^1.0.1"
+
+readable-stream@~1.0.0, readable-stream@~1.0.31:
+ version "1.0.34"
+ resolved "https://registry.yarnpkg.com/readable-stream/-/readable-stream-1.0.34.tgz#125820e34bc842d2f2aaafafe4c2916ee32c157c"
+ integrity sha1-Elgg40vIQtLyqq+v5MKRbuMsFXw=
+ dependencies:
+ core-util-is "~1.0.0"
+ inherits "~2.0.1"
+ isarray "0.0.1"
+ string_decoder "~0.10.x"
+
+readdirp@^2.0.0:
+ version "2.2.1"
+ resolved "https://registry.yarnpkg.com/readdirp/-/readdirp-2.2.1.tgz#0e87622a3325aa33e892285caf8b4e846529a525"
+ integrity sha512-1JU/8q+VgFZyxwrJ+SVIOsh+KywWGpds3NTqikiKpDMZWScmAYyKIgqkO+ARvNWJfXeXR1zxz7aHF4u4CyH6vQ==
+ dependencies:
+ graceful-fs "^4.1.11"
+ micromatch "^3.1.10"
+ readable-stream "^2.0.2"
+
+realm-utils@^1.0.9:
+ version "1.0.9"
+ resolved "https://registry.yarnpkg.com/realm-utils/-/realm-utils-1.0.9.tgz#5c76a5ff39e4816af2c133a161f4221d6628eff4"
+ integrity sha1-XHal/znkgWrywTOhYfQiHWYo7/Q=
+ dependencies:
+ app-root-path "^1.3.0"
+ mkdirp "^0.5.1"
+
+regenerate-unicode-properties@^8.1.0:
+ version "8.1.0"
+ resolved "https://registry.yarnpkg.com/regenerate-unicode-properties/-/regenerate-unicode-properties-8.1.0.tgz#ef51e0f0ea4ad424b77bf7cb41f3e015c70a3f0e"
+ integrity sha512-LGZzkgtLY79GeXLm8Dp0BVLdQlWICzBnJz/ipWUgo59qBaZ+BHtq51P2q1uVZlppMuUAT37SDk39qUbjTWB7bA==
+ dependencies:
+ regenerate "^1.4.0"
+
+regenerate@^1.4.0:
+ version "1.4.0"
+ resolved "https://registry.yarnpkg.com/regenerate/-/regenerate-1.4.0.tgz#4a856ec4b56e4077c557589cae85e7a4c8869a11"
+ integrity sha512-1G6jJVDWrt0rK99kBjvEtziZNCICAuvIPkSiUFIQxVP06RCVpq3dmDo2oi6ABpYaDYaTRr67BEhL8r1wgEZZKg==
+
+regenerator-runtime@^0.11.0:
+ version "0.11.1"
+ resolved "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.11.1.tgz#be05ad7f9bf7d22e056f9726cee5017fbf19e2e9"
+ integrity sha512-MguG95oij0fC3QV3URf4V2SDYGJhJnJGqvIIgdECeODCT98wSWDAJ94SSuVpYQUoTcGUIL6L4yNB7j1DFFHSBg==
+
+regenerator-runtime@^0.13.2:
+ version "0.13.3"
+ resolved "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.13.3.tgz#7cf6a77d8f5c6f60eb73c5fc1955b2ceb01e6bf5"
+ integrity sha512-naKIZz2GQ8JWh///G7L3X6LaQUAMp2lvb1rvwwsURe/VXwD6VMfr+/1NuNw3ag8v2kY1aQ/go5SNn79O9JU7yw==
+
+regenerator-runtime@^0.13.4:
+ version "0.13.5"
+ resolved "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.13.5.tgz#d878a1d094b4306d10b9096484b33ebd55e26697"
+ integrity sha512-ZS5w8CpKFinUzOwW3c83oPeVXoNsrLsaCoLtJvAClH135j/R77RuymhiSErhm2lKcwSCIpmvIWSbDkIfAqKQlA==
+
+regex-cache@^0.4.2:
+ version "0.4.4"
+ resolved "https://registry.yarnpkg.com/regex-cache/-/regex-cache-0.4.4.tgz#75bdc58a2a1496cec48a12835bc54c8d562336dd"
+ integrity sha512-nVIZwtCjkC9YgvWkpM55B5rBhBYRZhAaJbgcFYXXsHnbZ9UZI9nnVWYZpBlCqv9ho2eZryPnWrZGsOdPwVWXWQ==
+ dependencies:
+ is-equal-shallow "^0.1.3"
+
+regex-not@^1.0.0, regex-not@^1.0.2:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/regex-not/-/regex-not-1.0.2.tgz#1f4ece27e00b0b65e0247a6810e6a85d83a5752c"
+ integrity sha512-J6SDjUgDxQj5NusnOtdFxDwN/+HWykR8GELwctJ7mdqhcyy1xEc4SRFHUXvxTp661YaVKAjfRLZ9cCqS6tn32A==
+ dependencies:
+ extend-shallow "^3.0.2"
+ safe-regex "^1.1.0"
+
+regexpu-core@^4.1.3:
+ version "4.6.0"
+ resolved "https://registry.yarnpkg.com/regexpu-core/-/regexpu-core-4.6.0.tgz#2037c18b327cfce8a6fea2a4ec441f2432afb8b6"
+ integrity sha512-YlVaefl8P5BnFYOITTNzDvan1ulLOiXJzCNZxduTIosN17b87h3bvG9yHMoHaRuo88H4mQ06Aodj5VtYGGGiTg==
+ dependencies:
+ regenerate "^1.4.0"
+ regenerate-unicode-properties "^8.1.0"
+ regjsgen "^0.5.0"
+ regjsparser "^0.6.0"
+ unicode-match-property-ecmascript "^1.0.4"
+ unicode-match-property-value-ecmascript "^1.1.0"
+
+regjsgen@^0.5.0:
+ version "0.5.1"
+ resolved "https://registry.yarnpkg.com/regjsgen/-/regjsgen-0.5.1.tgz#48f0bf1a5ea205196929c0d9798b42d1ed98443c"
+ integrity sha512-5qxzGZjDs9w4tzT3TPhCJqWdCc3RLYwy9J2NB0nm5Lz+S273lvWcpjaTGHsT1dc6Hhfq41uSEOw8wBmxrKOuyg==
+
+regjsparser@^0.6.0:
+ version "0.6.3"
+ resolved "https://registry.yarnpkg.com/regjsparser/-/regjsparser-0.6.3.tgz#74192c5805d35e9f5ebe3c1fb5b40d40a8a38460"
+ integrity sha512-8uZvYbnfAtEm9Ab8NTb3hdLwL4g/LQzEYP7Xs27T96abJCCE2d6r3cPZPQEsLKy0vRSGVNG+/zVGtLr86HQduA==
+ dependencies:
+ jsesc "~0.5.0"
+
+remove-trailing-separator@^1.0.1:
+ version "1.1.0"
+ resolved "https://registry.yarnpkg.com/remove-trailing-separator/-/remove-trailing-separator-1.1.0.tgz#c24bce2a283adad5bc3f58e0d48249b92379d8ef"
+ integrity sha1-wkvOKig62tW8P1jg1IJJuSN52O8=
+
+repeat-element@^1.1.2:
+ version "1.1.3"
+ resolved "https://registry.yarnpkg.com/repeat-element/-/repeat-element-1.1.3.tgz#782e0d825c0c5a3bb39731f84efee6b742e6b1ce"
+ integrity sha512-ahGq0ZnV5m5XtZLMb+vP76kcAM5nkLqk0lpqAuojSKGgQtn4eRi4ZZGm2olo2zKFH+sMsWaqOCW1dqAnOru72g==
+
+repeat-string@^1.5.2, repeat-string@^1.6.1:
+ version "1.6.1"
+ resolved "https://registry.yarnpkg.com/repeat-string/-/repeat-string-1.6.1.tgz#8dcae470e1c88abc2d600fff4a776286da75e637"
+ integrity sha1-jcrkcOHIirwtYA//Sndihtp15jc=
+
+request@^2.79.0:
+ version "2.88.2"
+ resolved "https://registry.yarnpkg.com/request/-/request-2.88.2.tgz#d73c918731cb5a87da047e207234146f664d12b3"
+ integrity sha512-MsvtOrfG9ZcrOwAW+Qi+F6HbD0CWXEh9ou77uOb7FM2WPhwT7smM833PzanhJLsgXjN89Ir6V2PczXNnMpwKhw==
+ dependencies:
+ aws-sign2 "~0.7.0"
+ aws4 "^1.8.0"
+ caseless "~0.12.0"
+ combined-stream "~1.0.6"
+ extend "~3.0.2"
+ forever-agent "~0.6.1"
+ form-data "~2.3.2"
+ har-validator "~5.1.3"
+ http-signature "~1.2.0"
+ is-typedarray "~1.0.0"
+ isstream "~0.1.2"
+ json-stringify-safe "~5.0.1"
+ mime-types "~2.1.19"
+ oauth-sign "~0.9.0"
+ performance-now "^2.1.0"
+ qs "~6.5.2"
+ safe-buffer "^5.1.2"
+ tough-cookie "~2.5.0"
+ tunnel-agent "^0.6.0"
+ uuid "^3.3.2"
+
+resolve-from@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/resolve-from/-/resolve-from-4.0.0.tgz#4abcd852ad32dd7baabfe9b40e00a36db5f392e6"
+ integrity sha512-pb/MYmXstAkysRFx8piNI1tGFNQIFA3vkE3Gq4EuA1dF6gHp/+vgZqsCGJapvy8N3Q+4o7FwvquPJcnZ7RYy4g==
+
+resolve-url@^0.2.1:
+ version "0.2.1"
+ resolved "https://registry.yarnpkg.com/resolve-url/-/resolve-url-0.2.1.tgz#2c637fe77c893afd2a663fe21aa9080068e2052a"
+ integrity sha1-LGN/53yJOv0qZj/iGqkIAGjiBSo=
+
+resolve@^1.12.0, resolve@^1.3.2:
+ version "1.15.1"
+ resolved "https://registry.yarnpkg.com/resolve/-/resolve-1.15.1.tgz#27bdcdeffeaf2d6244b95bb0f9f4b4653451f3e8"
+ integrity sha512-84oo6ZTtoTUpjgNEr5SJyzQhzL72gaRodsSfyxC/AXRvwu0Yse9H8eF9IpGo7b8YetZhlI6v7ZQ6bKBFV/6S7w==
+ dependencies:
+ path-parse "^1.0.6"
+
+restore-cursor@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/restore-cursor/-/restore-cursor-2.0.0.tgz#9f7ee287f82fd326d4fd162923d62129eee0dfaf"
+ integrity sha1-n37ih/gv0ybU/RYpI9YhKe7g368=
+ dependencies:
+ onetime "^2.0.0"
+ signal-exit "^3.0.2"
+
+ret@~0.1.10:
+ version "0.1.15"
+ resolved "https://registry.yarnpkg.com/ret/-/ret-0.1.15.tgz#b8a4825d5bdb1fc3f6f53c2bc33f81388681c7bc"
+ integrity sha512-TTlYpa+OL+vMMNG24xSlQGEJ3B/RzEfUlLct7b5G/ytav+wPrplCpVMFuwzXbkecJrb6IYo1iFb0S9v37754mg==
+
+rimraf@2:
+ version "2.7.1"
+ resolved "https://registry.yarnpkg.com/rimraf/-/rimraf-2.7.1.tgz#35797f13a7fdadc566142c29d4f07ccad483e3ec"
+ integrity sha512-uWjbaKIK3T1OSVptzX7Nl6PvQ3qAGtKEtVRjRuazjfL3Bx5eI409VZSqgND+4UNnmzLVdPj9FqFJNPqBZFve4w==
+ dependencies:
+ glob "^7.1.3"
+
+rope-sequence@^1.3.0:
+ version "1.3.2"
+ resolved "https://registry.yarnpkg.com/rope-sequence/-/rope-sequence-1.3.2.tgz#a19e02d72991ca71feb6b5f8a91154e48e3c098b"
+ integrity sha512-ku6MFrwEVSVmXLvy3dYph3LAMNS0890K7fabn+0YIRQ2T96T9F4gkFf0vf0WW0JUraNWwGRtInEpH7yO4tbQZg==
+
+run-async@^2.2.0:
+ version "2.4.0"
+ resolved "https://registry.yarnpkg.com/run-async/-/run-async-2.4.0.tgz#e59054a5b86876cfae07f431d18cbaddc594f1e8"
+ integrity sha512-xJTbh/d7Lm7SBhc1tNvTpeCHaEzoyxPrqNlvSdMfBTYwaY++UJFyXUOxAtsRUXjlqOfj8luNaR9vjCh4KeV+pg==
+ dependencies:
+ is-promise "^2.1.0"
+
+rx-lite-aggregates@^4.0.8:
+ version "4.0.8"
+ resolved "https://registry.yarnpkg.com/rx-lite-aggregates/-/rx-lite-aggregates-4.0.8.tgz#753b87a89a11c95467c4ac1626c4efc4e05c67be"
+ integrity sha1-dTuHqJoRyVRnxKwWJsTvxOBcZ74=
+ dependencies:
+ rx-lite "*"
+
+rx-lite@*, rx-lite@^4.0.8:
+ version "4.0.8"
+ resolved "https://registry.yarnpkg.com/rx-lite/-/rx-lite-4.0.8.tgz#0b1e11af8bc44836f04a6407e92da42467b79444"
+ integrity sha1-Cx4Rr4vESDbwSmQH6S2kJGe3lEQ=
+
+safe-buffer@5.1.2, safe-buffer@~5.1.0, safe-buffer@~5.1.1:
+ version "5.1.2"
+ resolved "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.1.2.tgz#991ec69d296e0313747d59bdfd2b745c35f8828d"
+ integrity sha512-Gd2UZBJDkXlY7GbJxfsE8/nvKkUEU1G38c1siN6QP6a9PT9MmHB8GnpscSmMJSoF8LOIrt8ud/wPtojys4G6+g==
+
+safe-buffer@^5.0.1, safe-buffer@^5.1.2:
+ version "5.2.0"
+ resolved "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.2.0.tgz#b74daec49b1148f88c64b68d49b1e815c1f2f519"
+ integrity sha512-fZEwUGbVl7kouZs1jCdMLdt95hdIv0ZeHg6L7qPeciMZhZ+/gdesW4wgTARkrFWEpspjEATAzUGPG8N2jJiwbg==
+
+safe-buffer@~5.2.0:
+ version "5.2.1"
+ resolved "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.2.1.tgz#1eaf9fa9bdb1fdd4ec75f58f9cdb4e6b7827eec6"
+ integrity sha512-rp3So07KcdmmKbGvgaNxQSJr7bGVSVk5S9Eq1F+ppbRo70+YeaDxkw5Dd8NPN+GD6bjnYm2VuPuCXmpuYvmCXQ==
+
+safe-regex@^1.1.0:
+ version "1.1.0"
+ resolved "https://registry.yarnpkg.com/safe-regex/-/safe-regex-1.1.0.tgz#40a3669f3b077d1e943d44629e157dd48023bf2e"
+ integrity sha1-QKNmnzsHfR6UPURinhV91IAjvy4=
+ dependencies:
+ ret "~0.1.10"
+
+"safer-buffer@>= 2.1.2 < 3", safer-buffer@^2.0.2, safer-buffer@^2.1.0, safer-buffer@~2.1.0:
+ version "2.1.2"
+ resolved "https://registry.yarnpkg.com/safer-buffer/-/safer-buffer-2.1.2.tgz#44fa161b0187b9549dd84bb91802f9bd8385cd6a"
+ integrity sha512-YZo3K82SD7Riyi0E1EQPojLz7kpepnSQI9IyPbHHg1XXXevb5dJI7tpyN2ADxGcQbHG7vcyRHk0cbwqcQriUtg==
+
+scheduler@^0.19.1:
+ version "0.19.1"
+ resolved "https://registry.yarnpkg.com/scheduler/-/scheduler-0.19.1.tgz#4f3e2ed2c1a7d65681f4c854fa8c5a1ccb40f196"
+ integrity sha512-n/zwRWRYSUj0/3g/otKDRPMh6qv2SYMWNq85IEa8iZyAv8od9zDYpGSnpBEjNgcMNq6Scbu5KfIPxNF72R/2EA==
+ dependencies:
+ loose-envify "^1.1.0"
+ object-assign "^4.1.1"
+
+select@^1.1.2:
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/select/-/select-1.1.2.tgz#0e7350acdec80b1108528786ec1d4418d11b396d"
+ integrity sha1-DnNQrN7ICxEIUoeG7B1EGNEbOW0=
+
+semver@^5.3.0:
+ version "5.7.1"
+ resolved "https://registry.yarnpkg.com/semver/-/semver-5.7.1.tgz#a954f931aeba508d307bbf069eff0c01c96116f7"
+ integrity sha512-sauaDf/PZdVgrLTNYHRtpXa1iRiKcaebiKQ1BJdpQlWH2lCvexQdX55snPFyK7QzpudqbCI0qXFfOasHdyNDGQ==
+
+send@0.17.1:
+ version "0.17.1"
+ resolved "https://registry.yarnpkg.com/send/-/send-0.17.1.tgz#c1d8b059f7900f7466dd4938bdc44e11ddb376c8"
+ integrity sha512-BsVKsiGcQMFwT8UxypobUKyv7irCNRHk1T0G680vk88yf6LBByGcZJOTJCrTP2xVN6yI+XjPJcNuE3V4fT9sAg==
+ dependencies:
+ debug "2.6.9"
+ depd "~1.1.2"
+ destroy "~1.0.4"
+ encodeurl "~1.0.2"
+ escape-html "~1.0.3"
+ etag "~1.8.1"
+ fresh "0.5.2"
+ http-errors "~1.7.2"
+ mime "1.6.0"
+ ms "2.1.1"
+ on-finished "~2.3.0"
+ range-parser "~1.2.1"
+ statuses "~1.5.0"
+
+sentence-splitter@^3.2.0:
+ version "3.2.0"
+ resolved "https://registry.yarnpkg.com/sentence-splitter/-/sentence-splitter-3.2.0.tgz#fb2cd2f61f40006643ba83d9acf4609233c1c68c"
+ integrity sha512-lKX2tZ1rsA9Tu0gW8vRmMDmIEJoZ1d7cKpzcbFZdUrSpCR6gy/7OPPh7jjT/6Oc6Z79ToUmC2l8tyTEGanVmiA==
+ dependencies:
+ "@textlint/ast-node-types" "^4.2.5"
+ concat-stream "^2.0.0"
+ object.values "^1.1.0"
+ structured-source "^3.0.2"
+
+serve-static@1.14.1:
+ version "1.14.1"
+ resolved "https://registry.yarnpkg.com/serve-static/-/serve-static-1.14.1.tgz#666e636dc4f010f7ef29970a88a674320898b2f9"
+ integrity sha512-JMrvUwE54emCYWlTI+hGrGv5I8dEwmco/00EvkzIIsR7MqrHonbD9pO2MOfFnpFntl7ecpZs+3mW+XbQZu9QCg==
+ dependencies:
+ encodeurl "~1.0.2"
+ escape-html "~1.0.3"
+ parseurl "~1.3.3"
+ send "0.17.1"
+
+set-value@^2.0.0, set-value@^2.0.1:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/set-value/-/set-value-2.0.1.tgz#a18d40530e6f07de4228c7defe4227af8cad005b"
+ integrity sha512-JxHc1weCN68wRY0fhCoXpyK55m/XPHafOmK4UWD7m2CI14GMcFypt4w/0+NV5f/ZMby2F6S2wwA7fgynh9gWSw==
+ dependencies:
+ extend-shallow "^2.0.1"
+ is-extendable "^0.1.1"
+ is-plain-object "^2.0.3"
+ split-string "^3.0.1"
+
+"setimmediate@>= 1.0.1 < 2", "setimmediate@>= 1.0.2 < 2":
+ version "1.0.5"
+ resolved "https://registry.yarnpkg.com/setimmediate/-/setimmediate-1.0.5.tgz#290cbb232e306942d7d7ea9b83732ab7856f8285"
+ integrity sha1-KQy7Iy4waULX1+qbg3Mqt4VvgoU=
+
+setprototypeof@1.1.1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/setprototypeof/-/setprototypeof-1.1.1.tgz#7e95acb24aa92f5885e0abef5ba131330d4ae683"
+ integrity sha512-JvdAWfbXeIGaZ9cILp38HntZSFSo3mWg6xGcJJsd+d4aRMOqauag1C63dJfDw7OaMYwEbHMOxEZ1lqVRYP2OAw==
+
+shorthash@0.0.2:
+ version "0.0.2"
+ resolved "https://registry.yarnpkg.com/shorthash/-/shorthash-0.0.2.tgz#59b268eecbde59038b30da202bcfbddeb2c4a4eb"
+ integrity sha1-WbJo7sveWQOLMNogK8+93rLEpOs=
+
+signal-exit@^3.0.2:
+ version "3.0.2"
+ resolved "https://registry.yarnpkg.com/signal-exit/-/signal-exit-3.0.2.tgz#b5fdc08f1287ea1178628e415e25132b73646c6d"
+ integrity sha1-tf3AjxKH6hF4Yo5BXiUTK3NkbG0=
+
+"slice-stream@>= 1.0.0 < 2":
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/slice-stream/-/slice-stream-1.0.0.tgz#5b33bd66f013b1a7f86460b03d463dec39ad3ea0"
+ integrity sha1-WzO9ZvATsaf4ZGCwPUY97DmtPqA=
+ dependencies:
+ readable-stream "~1.0.31"
+
+snapdragon-node@^2.0.1:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/snapdragon-node/-/snapdragon-node-2.1.1.tgz#6c175f86ff14bdb0724563e8f3c1b021a286853b"
+ integrity sha512-O27l4xaMYt/RSQ5TR3vpWCAB5Kb/czIcqUFOM/C4fYcLnbZUc1PkjTAMjof2pBWaSTwOUd6qUHcFGVGj7aIwnw==
+ dependencies:
+ define-property "^1.0.0"
+ isobject "^3.0.0"
+ snapdragon-util "^3.0.1"
+
+snapdragon-util@^3.0.1:
+ version "3.0.1"
+ resolved "https://registry.yarnpkg.com/snapdragon-util/-/snapdragon-util-3.0.1.tgz#f956479486f2acd79700693f6f7b805e45ab56e2"
+ integrity sha512-mbKkMdQKsjX4BAL4bRYTj21edOf8cN7XHdYUJEe+Zn99hVEYcMvKPct1IqNe7+AZPirn8BCDOQBHQZknqmKlZQ==
+ dependencies:
+ kind-of "^3.2.0"
+
+snapdragon@^0.8.1:
+ version "0.8.2"
+ resolved "https://registry.yarnpkg.com/snapdragon/-/snapdragon-0.8.2.tgz#64922e7c565b0e14204ba1aa7d6964278d25182d"
+ integrity sha512-FtyOnWN/wCHTVXOMwvSv26d+ko5vWlIDD6zoUJ7LW8vh+ZBC8QdljveRP+crNrtBwioEUWy/4dMtbBjA4ioNlg==
+ dependencies:
+ base "^0.11.1"
+ debug "^2.2.0"
+ define-property "^0.2.5"
+ extend-shallow "^2.0.1"
+ map-cache "^0.2.2"
+ source-map "^0.5.6"
+ source-map-resolve "^0.5.0"
+ use "^3.1.0"
+
+source-map-resolve@^0.5.0:
+ version "0.5.3"
+ resolved "https://registry.yarnpkg.com/source-map-resolve/-/source-map-resolve-0.5.3.tgz#190866bece7553e1f8f267a2ee82c606b5509a1a"
+ integrity sha512-Htz+RnsXWk5+P2slx5Jh3Q66vhQj1Cllm0zvnaY98+NFx+Dv2CF/f5O/t8x+KaNdrdIAsruNzoh/KpialbqAnw==
+ dependencies:
+ atob "^2.1.2"
+ decode-uri-component "^0.2.0"
+ resolve-url "^0.2.1"
+ source-map-url "^0.4.0"
+ urix "^0.1.0"
+
+source-map-support@^0.5.17:
+ version "0.5.19"
+ resolved "https://registry.yarnpkg.com/source-map-support/-/source-map-support-0.5.19.tgz#a98b62f86dcaf4f67399648c085291ab9e8fed61"
+ integrity sha512-Wonm7zOCIJzBGQdB+thsPar0kYuCIzYvxZwlBa87yi/Mdjv7Tip2cyVbLj5o0cFPN4EVkuTwb3GDDyUx2DGnGw==
+ dependencies:
+ buffer-from "^1.0.0"
+ source-map "^0.6.0"
+
+source-map-support@~0.5.12:
+ version "0.5.16"
+ resolved "https://registry.yarnpkg.com/source-map-support/-/source-map-support-0.5.16.tgz#0ae069e7fe3ba7538c64c98515e35339eac5a042"
+ integrity sha512-efyLRJDr68D9hBBNIPWFjhpFzURh+KJykQwvMyW5UiZzYwoF6l4YMMDIJJEyFWxWCqfyxLzz6tSfUFR+kXXsVQ==
+ dependencies:
+ buffer-from "^1.0.0"
+ source-map "^0.6.0"
+
+source-map-url@^0.4.0:
+ version "0.4.0"
+ resolved "https://registry.yarnpkg.com/source-map-url/-/source-map-url-0.4.0.tgz#3e935d7ddd73631b97659956d55128e87b5084a3"
+ integrity sha1-PpNdfd1zYxuXZZlW1VEo6HtQhKM=
+
+source-map@^0.5.6, source-map@^0.5.7:
+ version "0.5.7"
+ resolved "https://registry.yarnpkg.com/source-map/-/source-map-0.5.7.tgz#8a039d2d1021d22d1ea14c80d8ea468ba2ef3fcc"
+ integrity sha1-igOdLRAh0i0eoUyA2OpGi6LvP8w=
+
+source-map@^0.6.0, source-map@^0.6.1, source-map@~0.6.0, source-map@~0.6.1:
+ version "0.6.1"
+ resolved "https://registry.yarnpkg.com/source-map/-/source-map-0.6.1.tgz#74722af32e9614e9c287a8d0bbde48b5e2f1a263"
+ integrity sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==
+
+source-map@^0.7.1, source-map@^0.7.2, source-map@^0.7.3:
+ version "0.7.3"
+ resolved "https://registry.yarnpkg.com/source-map/-/source-map-0.7.3.tgz#5302f8169031735226544092e64981f751750383"
+ integrity sha512-CkCj6giN3S+n9qrYiBTX5gystlENnRW5jZeNLHpe6aue+SrHcG5VYwujhW9s4dY31mEGsxBDrHR6oI69fTXsaQ==
+
+sourcemap-blender@1.0.5:
+ version "1.0.5"
+ resolved "https://registry.yarnpkg.com/sourcemap-blender/-/sourcemap-blender-1.0.5.tgz#d361f3d12381c4e477178113878fdf984a91bdbc"
+ integrity sha512-GPhjCmDtJ8YY6zt1L6kP6WtBg6WrdWt5hw2Wmgt9rwC3yiwLo9vEuabh/YYSZ5KmFV20hVkGdkTwpXtT2E65TA==
+ dependencies:
+ source-map "^0.7.3"
+
+split-string@^3.0.1, split-string@^3.0.2:
+ version "3.1.0"
+ resolved "https://registry.yarnpkg.com/split-string/-/split-string-3.1.0.tgz#7cb09dda3a86585705c64b39a6466038682e8fe2"
+ integrity sha512-NzNVhJDYpwceVVii8/Hu6DKfD2G+NrQHlS/V/qgv763EYudVwEcMQNxd2lh+0VrUByXN/oJkl5grOhYWvQUYiw==
+ dependencies:
+ extend-shallow "^3.0.0"
+
+sprintf-js@~1.0.2:
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/sprintf-js/-/sprintf-js-1.0.3.tgz#04e6926f662895354f3dd015203633b857297e2c"
+ integrity sha1-BOaSb2YolTVPPdAVIDYzuFcpfiw=
+
+sshpk@^1.7.0:
+ version "1.16.1"
+ resolved "https://registry.yarnpkg.com/sshpk/-/sshpk-1.16.1.tgz#fb661c0bef29b39db40769ee39fa70093d6f6877"
+ integrity sha512-HXXqVUq7+pcKeLqqZj6mHFUMvXtOJt1uoUx09pFW6011inTMxqI8BA8PM95myrIyyKwdnzjdFjLiE6KBPVtJIg==
+ dependencies:
+ asn1 "~0.2.3"
+ assert-plus "^1.0.0"
+ bcrypt-pbkdf "^1.0.0"
+ dashdash "^1.12.0"
+ ecc-jsbn "~0.1.1"
+ getpass "^0.1.1"
+ jsbn "~0.1.0"
+ safer-buffer "^2.0.2"
+ tweetnacl "~0.14.0"
+
+static-extend@^0.1.1:
+ version "0.1.2"
+ resolved "https://registry.yarnpkg.com/static-extend/-/static-extend-0.1.2.tgz#60809c39cbff55337226fd5e0b520f341f1fb5c6"
+ integrity sha1-YICcOcv/VTNyJv1eC1IPNB8ftcY=
+ dependencies:
+ define-property "^0.2.5"
+ object-copy "^0.1.0"
+
+"statuses@>= 1.5.0 < 2", statuses@~1.5.0:
+ version "1.5.0"
+ resolved "https://registry.yarnpkg.com/statuses/-/statuses-1.5.0.tgz#161c7dac177659fd9811f43771fa99381478628c"
+ integrity sha1-Fhx9rBd2Wf2YEfQ3cfqZOBR4Yow=
+
+stream-browserify@^2.0.1:
+ version "2.0.2"
+ resolved "https://registry.yarnpkg.com/stream-browserify/-/stream-browserify-2.0.2.tgz#87521d38a44aa7ee91ce1cd2a47df0cb49dd660b"
+ integrity sha512-nX6hmklHs/gr2FuxYDltq8fJA1GDlxKQCz8O/IM4atRqBH8OORmBNgfvW5gG10GT/qQ9u0CzIvr2X5Pkt6ntqg==
+ dependencies:
+ inherits "~2.0.1"
+ readable-stream "^2.0.2"
+
+string-width@^2.1.0:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/string-width/-/string-width-2.1.1.tgz#ab93f27a8dc13d28cac815c462143a6d9012ae9e"
+ integrity sha512-nOqH59deCq9SRHlxq1Aw85Jnt4w6KvLKqWVik6oA9ZklXLNIOlqg4F2yrT1MVaTjAqvVwdfeZ7w7aCvJD7ugkw==
+ dependencies:
+ is-fullwidth-code-point "^2.0.0"
+ strip-ansi "^4.0.0"
+
+string.prototype.trimend@^1.0.1:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/string.prototype.trimend/-/string.prototype.trimend-1.0.1.tgz#85812a6b847ac002270f5808146064c995fb6913"
+ integrity sha512-LRPxFUaTtpqYsTeNKaFOw3R4bxIzWOnbQ837QfBylo8jIxtcbK/A/sMV7Q+OAV/vWo+7s25pOE10KYSjaSO06g==
+ dependencies:
+ define-properties "^1.1.3"
+ es-abstract "^1.17.5"
+
+string.prototype.trimstart@^1.0.1:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/string.prototype.trimstart/-/string.prototype.trimstart-1.0.1.tgz#14af6d9f34b053f7cfc89b72f8f2ee14b9039a54"
+ integrity sha512-XxZn+QpvrBI1FOcg6dIpxUPgWCPuNXvMD72aaRaUQv1eD4e/Qy8i/hFTe0BUmD60p/QA6bh1avmuPTfNjqVWRw==
+ dependencies:
+ define-properties "^1.1.3"
+ es-abstract "^1.17.5"
+
+string_decoder@^1.1.1:
+ version "1.3.0"
+ resolved "https://registry.yarnpkg.com/string_decoder/-/string_decoder-1.3.0.tgz#42f114594a46cf1a8e30b0a84f56c78c3edac21e"
+ integrity sha512-hkRX8U1WjJFd8LsDJ2yQ/wWWxaopEsABU1XfkM8A+j0+85JAGppt16cr1Whg6KIbb4okU6Mql6BOj+uup/wKeA==
+ dependencies:
+ safe-buffer "~5.2.0"
+
+string_decoder@~0.10.x:
+ version "0.10.31"
+ resolved "https://registry.yarnpkg.com/string_decoder/-/string_decoder-0.10.31.tgz#62e203bc41766c6c28c9fc84301dab1c5310fa94"
+ integrity sha1-YuIDvEF2bGwoyfyEMB2rHFMQ+pQ=
+
+string_decoder@~1.1.1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/string_decoder/-/string_decoder-1.1.1.tgz#9cf1611ba62685d7030ae9e4ba34149c3af03fc8"
+ integrity sha512-n/ShnvDi6FHbbVfviro+WojiFzv+s8MPMHBczVePfUpDJLwoLT0ht1l4YwBCbi8pJAveEEdnkHyPyTP/mzRfwg==
+ dependencies:
+ safe-buffer "~5.1.0"
+
+strip-ansi@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-4.0.0.tgz#a8479022eb1ac368a871389b635262c505ee368f"
+ integrity sha1-qEeQIusaw2iocTibY1JixQXuNo8=
+ dependencies:
+ ansi-regex "^3.0.0"
+
+structured-source@^3.0.2:
+ version "3.0.2"
+ resolved "https://registry.yarnpkg.com/structured-source/-/structured-source-3.0.2.tgz#dd802425e0f53dc4a6e7aca3752901a1ccda7af5"
+ integrity sha1-3YAkJeD1PcSm56yjdSkBoczaevU=
+ dependencies:
+ boundary "^1.0.1"
+
+stylis-rule-sheet@^0.0.10:
+ version "0.0.10"
+ resolved "https://registry.yarnpkg.com/stylis-rule-sheet/-/stylis-rule-sheet-0.0.10.tgz#44e64a2b076643f4b52e5ff71efc04d8c3c4a430"
+ integrity sha512-nTbZoaqoBnmK+ptANthb10ZRZOGC+EmTLLUxeYIuHNkEKcmKgXX1XWKkUBT2Ac4es3NybooPe0SmvKdhKJZAuw==
+
+stylis@^3.5.0:
+ version "3.5.4"
+ resolved "https://registry.yarnpkg.com/stylis/-/stylis-3.5.4.tgz#f665f25f5e299cf3d64654ab949a57c768b73fbe"
+ integrity sha512-8/3pSmthWM7lsPBKv7NXkzn2Uc9W7NotcwGNpJaa3k7WMM1XDCA4MgT5k/8BIexd5ydZdboXtU90XH9Ec4Bv/Q==
+
+supports-color@^5.3.0, supports-color@^5.4.0:
+ version "5.5.0"
+ resolved "https://registry.yarnpkg.com/supports-color/-/supports-color-5.5.0.tgz#e2e69a44ac8772f78a1ec0b35b689df6530efc8f"
+ integrity sha512-QjVjwdXIt408MIiAqCX4oUKsgU2EqAGzs2Ppkm4aQYbjm+ZEWEcW4SfFNTr4uMNZma0ey4f5lgLrkB0aX0QMow==
+ dependencies:
+ has-flag "^3.0.0"
+
+terser@^4.6.2:
+ version "4.6.4"
+ resolved "https://registry.yarnpkg.com/terser/-/terser-4.6.4.tgz#40a0b37afbe5b57e494536815efa68326840fc00"
+ integrity sha512-5fqgBPLgVHZ/fVvqRhhUp9YUiGXhFJ9ZkrZWD9vQtFBR4QIGTnbsb+/kKqSqfgp3WnBwGWAFnedGTtmX1YTn0w==
+ dependencies:
+ commander "^2.20.0"
+ source-map "~0.6.1"
+ source-map-support "~0.5.12"
+
+thenby@^1.3.3:
+ version "1.3.3"
+ resolved "https://registry.yarnpkg.com/thenby/-/thenby-1.3.3.tgz#016c3427772a284bbfef982d978f7574fd15ee9d"
+ integrity sha512-vCzp0TxrQ+2bfRJoWNhMwk6RNfboOUN2S+nbEfhJfj7RwJHD6PlgtXH/hXiSmv6UJs35IQDtVqiI45J+cAgLqg==
+
+through@^2.3.6:
+ version "2.3.8"
+ resolved "https://registry.yarnpkg.com/through/-/through-2.3.8.tgz#0dd4c9ffaabc357960b1b724115d7e0e86a2e1f5"
+ integrity sha1-DdTJ/6q8NXlgsbckEV1+Doai4fU=
+
+tiny-emitter@^2.0.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/tiny-emitter/-/tiny-emitter-2.1.0.tgz#1d1a56edfc51c43e863cbb5382a72330e3555423"
+ integrity sha512-NB6Dk1A9xgQPMoGqC5CVXn123gWyte215ONT5Pp5a0yt4nlEoO1ZWeCwpncaekPHXO60i47ihFnZPiRPjRMq4Q==
+
+tlite@^0.1.9:
+ version "0.1.9"
+ resolved "https://registry.yarnpkg.com/tlite/-/tlite-0.1.9.tgz#e886e4a305b7522242e2453b7ca4fb84f2d9de0f"
+ integrity sha512-5QOBAvDxZZwW1i+2YXMgF6/PuV/KhA0LyE9PyVi8Ywr3bfIPziZcQD+RpdJaQurCU8zIGtBo/XuPCEHdvyeFuQ==
+
+tmp@^0.0.33:
+ version "0.0.33"
+ resolved "https://registry.yarnpkg.com/tmp/-/tmp-0.0.33.tgz#6d34335889768d21b2bcda0aa277ced3b1bfadf9"
+ integrity sha512-jRCJlojKnZ3addtTOjdIqoRuPEKBvNXcGYqzO6zWZX8KfKEpnGY5jfggJQ3EjKuu8D4bJRr0y+cYJFmYbImXGw==
+ dependencies:
+ os-tmpdir "~1.0.2"
+
+to-fast-properties@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/to-fast-properties/-/to-fast-properties-2.0.0.tgz#dc5e698cbd079265bc73e0377681a4e4e83f616e"
+ integrity sha1-3F5pjL0HkmW8c+A3doGk5Og/YW4=
+
+to-object-path@^0.3.0:
+ version "0.3.0"
+ resolved "https://registry.yarnpkg.com/to-object-path/-/to-object-path-0.3.0.tgz#297588b7b0e7e0ac08e04e672f85c1f4999e17af"
+ integrity sha1-KXWIt7Dn4KwI4E5nL4XB9JmeF68=
+ dependencies:
+ kind-of "^3.0.2"
+
+to-regex-range@^2.1.0:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/to-regex-range/-/to-regex-range-2.1.1.tgz#7c80c17b9dfebe599e27367e0d4dd5590141db38"
+ integrity sha1-fIDBe53+vlmeJzZ+DU3VWQFB2zg=
+ dependencies:
+ is-number "^3.0.0"
+ repeat-string "^1.6.1"
+
+to-regex@^3.0.1, to-regex@^3.0.2:
+ version "3.0.2"
+ resolved "https://registry.yarnpkg.com/to-regex/-/to-regex-3.0.2.tgz#13cfdd9b336552f30b51f33a8ae1b42a7a7599ce"
+ integrity sha512-FWtleNAtZ/Ki2qtqej2CXTOayOH9bHDQF+Q48VpWyDXjbYxA4Yz8iDB31zXOBUlOHHKidDbqGVrTUvQMPmBGBw==
+ dependencies:
+ define-property "^2.0.2"
+ extend-shallow "^3.0.2"
+ regex-not "^1.0.2"
+ safe-regex "^1.1.0"
+
+toidentifier@1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/toidentifier/-/toidentifier-1.0.0.tgz#7e1be3470f1e77948bc43d94a3c8f4d7752ba553"
+ integrity sha512-yaOH/Pk/VEhBWWTlhI+qXxDFXlejDGcQipMlyxda9nthulaxLZUNcUqFxokp0vcYnvteJln5FNQDRrxj3YcbVw==
+
+touch@^2.0.1:
+ version "2.0.2"
+ resolved "https://registry.yarnpkg.com/touch/-/touch-2.0.2.tgz#ca0b2a3ae3211246a61b16ba9e6cbf1596287164"
+ integrity sha512-qjNtvsFXTRq7IuMLweVgFxmEuQ6gLbRs2jQxL80TtZ31dEKWYIxRXquij6w6VimyDek5hD3PytljHmEtAs2u0A==
+ dependencies:
+ nopt "~1.0.10"
+
+tough-cookie@~2.5.0:
+ version "2.5.0"
+ resolved "https://registry.yarnpkg.com/tough-cookie/-/tough-cookie-2.5.0.tgz#cd9fb2a0aa1d5a12b473bd9fb96fa3dcff65ade2"
+ integrity sha512-nlLsUzgm1kfLXSXfRZMc1KLAugd4hqJHDTvc2hDIwS3mZAfMEuMbc03SujMF+GEcpaX/qboeycw6iO8JwVv2+g==
+ dependencies:
+ psl "^1.1.28"
+ punycode "^2.1.1"
+
+"traverse@>=0.3.0 <0.4":
+ version "0.3.9"
+ resolved "https://registry.yarnpkg.com/traverse/-/traverse-0.3.9.tgz#717b8f220cc0bb7b44e40514c22b2e8bbc70d8b9"
+ integrity sha1-cXuPIgzAu3tE5AUUwisui7xw2Lk=
+
+ts-node@^8.10.2:
+ version "8.10.2"
+ resolved "https://registry.yarnpkg.com/ts-node/-/ts-node-8.10.2.tgz#eee03764633b1234ddd37f8db9ec10b75ec7fb8d"
+ integrity sha512-ISJJGgkIpDdBhWVu3jufsWpK3Rzo7bdiIXJjQc0ynKxVOVcg2oIrf2H2cejminGrptVc6q6/uynAHNCuWGbpVA==
+ dependencies:
+ arg "^4.1.0"
+ diff "^4.0.1"
+ make-error "^1.1.1"
+ source-map-support "^0.5.17"
+ yn "3.1.1"
+
+tslib@^1.8.0, tslib@^1.8.1:
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/tslib/-/tslib-1.11.1.tgz#eb15d128827fbee2841549e171f45ed338ac7e35"
+ integrity sha512-aZW88SY8kQbU7gpV19lN24LtXh/yD4ZZg6qieAJDDg+YBsJcSmLGK9QpnUjAKVG/xefmvJGd1WUmfpT/g6AJGA==
+
+tslint-config-prettier@^1.18.0:
+ version "1.18.0"
+ resolved "https://registry.yarnpkg.com/tslint-config-prettier/-/tslint-config-prettier-1.18.0.tgz#75f140bde947d35d8f0d238e0ebf809d64592c37"
+ integrity sha512-xPw9PgNPLG3iKRxmK7DWr+Ea/SzrvfHtjFt5LBl61gk2UBG/DB9kCXRjv+xyIU1rUtnayLeMUVJBcMX8Z17nDg==
+
+tslint-react@^5.0.0:
+ version "5.0.0"
+ resolved "https://registry.yarnpkg.com/tslint-react/-/tslint-react-5.0.0.tgz#d0ae644e8163bdd3e134012e9353094904e8dd44"
+ integrity sha512-/IbcSmoBPlFic8kQaRfQ4knTY4mivwo5LVzvozvX6Dyu2ynEnrh1dIcR2ujjyp/IodXqY/H5GbxFxSMo/Kf2Hg==
+ dependencies:
+ tsutils "^3.17.1"
+
+tslint@^5.20.0:
+ version "5.20.1"
+ resolved "https://registry.yarnpkg.com/tslint/-/tslint-5.20.1.tgz#e401e8aeda0152bc44dd07e614034f3f80c67b7d"
+ integrity sha512-EcMxhzCFt8k+/UP5r8waCf/lzmeSyVlqxqMEDQE7rWYiQky8KpIBz1JAoYXfROHrPZ1XXd43q8yQnULOLiBRQg==
+ dependencies:
+ "@babel/code-frame" "^7.0.0"
+ builtin-modules "^1.1.1"
+ chalk "^2.3.0"
+ commander "^2.12.1"
+ diff "^4.0.1"
+ glob "^7.1.1"
+ js-yaml "^3.13.1"
+ minimatch "^3.0.4"
+ mkdirp "^0.5.1"
+ resolve "^1.3.2"
+ semver "^5.3.0"
+ tslib "^1.8.0"
+ tsutils "^2.29.0"
+
+tsutils@^2.29.0:
+ version "2.29.0"
+ resolved "https://registry.yarnpkg.com/tsutils/-/tsutils-2.29.0.tgz#32b488501467acbedd4b85498673a0812aca0b99"
+ integrity sha512-g5JVHCIJwzfISaXpXE1qvNalca5Jwob6FjI4AoPlqMusJ6ftFE7IkkFoMhVLRgK+4Kx3gkzb8UZK5t5yTTvEmA==
+ dependencies:
+ tslib "^1.8.1"
+
+tsutils@^3.17.1:
+ version "3.17.1"
+ resolved "https://registry.yarnpkg.com/tsutils/-/tsutils-3.17.1.tgz#ed719917f11ca0dee586272b2ac49e015a2dd759"
+ integrity sha512-kzeQ5B8H3w60nFY2g8cJIuH7JDpsALXySGtwGJ0p2LSjLgay3NdIpqq5SoOBe46bKDW2iq25irHCr8wjomUS2g==
+ dependencies:
+ tslib "^1.8.1"
+
+tunnel-agent@^0.6.0:
+ version "0.6.0"
+ resolved "https://registry.yarnpkg.com/tunnel-agent/-/tunnel-agent-0.6.0.tgz#27a5dea06b36b04a0a9966774b290868f0fc40fd"
+ integrity sha1-J6XeoGs2sEoKmWZ3SykIaPD8QP0=
+ dependencies:
+ safe-buffer "^5.0.1"
+
+tweetnacl@^0.14.3, tweetnacl@~0.14.0:
+ version "0.14.5"
+ resolved "https://registry.yarnpkg.com/tweetnacl/-/tweetnacl-0.14.5.tgz#5ae68177f192d4456269d108afa93ff8743f4f64"
+ integrity sha1-WuaBd/GS1EViadEIr6k/+HQ/T2Q=
+
+type-check@~0.3.2:
+ version "0.3.2"
+ resolved "https://registry.yarnpkg.com/type-check/-/type-check-0.3.2.tgz#5884cab512cf1d355e3fb784f30804b2b520db72"
+ integrity sha1-WITKtRLPHTVeP7eE8wgEsrUg23I=
+ dependencies:
+ prelude-ls "~1.1.2"
+
+type-is@~1.6.17, type-is@~1.6.18:
+ version "1.6.18"
+ resolved "https://registry.yarnpkg.com/type-is/-/type-is-1.6.18.tgz#4e552cd05df09467dcbc4ef739de89f2cf37c131"
+ integrity sha512-TkRKr9sUTxEH8MdfuCSP7VizJyzRNMjj2J2do2Jr3Kym598JVdEksuzPQCnlFPW4ky9Q+iA+ma9BGm06XQBy8g==
+ dependencies:
+ media-typer "0.3.0"
+ mime-types "~2.1.24"
+
+typedarray@^0.0.6:
+ version "0.0.6"
+ resolved "https://registry.yarnpkg.com/typedarray/-/typedarray-0.0.6.tgz#867ac74e3864187b1d3d47d996a78ec5c8830777"
+ integrity sha1-hnrHTjhkGHsdPUfZlqeOxciDB3c=
+
+typescript-tslint-plugin@^0.5.5:
+ version "0.5.5"
+ resolved "https://registry.yarnpkg.com/typescript-tslint-plugin/-/typescript-tslint-plugin-0.5.5.tgz#673875c43640251f1ab3d63745d7d49726ff961c"
+ integrity sha512-tR5igNQP+6FhxaPJYRlUBVsEl0n5cSuXRbg7L1y80mL4B1jUHb8uiIcbQBJ9zWyypJEdFYFUccpXxvMwZR8+AA==
+ dependencies:
+ minimatch "^3.0.4"
+ mock-require "^3.0.3"
+ vscode-languageserver "^5.2.1"
+
+typescript@3.8.3:
+ version "3.8.3"
+ resolved "https://registry.yarnpkg.com/typescript/-/typescript-3.8.3.tgz#409eb8544ea0335711205869ec458ab109ee1061"
+ integrity sha512-MYlEfn5VrLNsgudQTVJeNaQFUAI7DkhnOjdpAp4T+ku1TfQClewlbSuTVHiA+8skNBgaf02TL/kLOvig4y3G8w==
+
+uglify-js@^3.7.4:
+ version "3.8.0"
+ resolved "https://registry.yarnpkg.com/uglify-js/-/uglify-js-3.8.0.tgz#f3541ae97b2f048d7e7e3aa4f39fd8a1f5d7a805"
+ integrity sha512-ugNSTT8ierCsDHso2jkBHXYrU8Y5/fY2ZUprfrJUiD7YpuFvV4jODLFmb3h4btQjqr5Nh4TX4XtgDfCU1WdioQ==
+ dependencies:
+ commander "~2.20.3"
+ source-map "~0.6.1"
+
+ultron@1.0.x:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/ultron/-/ultron-1.0.2.tgz#ace116ab557cd197386a4e88f4685378c8b2e4fa"
+ integrity sha1-rOEWq1V80Zc4ak6I9GhTeMiy5Po=
+
+unicode-canonical-property-names-ecmascript@^1.0.4:
+ version "1.0.4"
+ resolved "https://registry.yarnpkg.com/unicode-canonical-property-names-ecmascript/-/unicode-canonical-property-names-ecmascript-1.0.4.tgz#2619800c4c825800efdd8343af7dd9933cbe2818"
+ integrity sha512-jDrNnXWHd4oHiTZnx/ZG7gtUTVp+gCcTTKr8L0HjlwphROEW3+Him+IpvC+xcJEFegapiMZyZe02CyuOnRmbnQ==
+
+unicode-match-property-ecmascript@^1.0.4:
+ version "1.0.4"
+ resolved "https://registry.yarnpkg.com/unicode-match-property-ecmascript/-/unicode-match-property-ecmascript-1.0.4.tgz#8ed2a32569961bce9227d09cd3ffbb8fed5f020c"
+ integrity sha512-L4Qoh15vTfntsn4P1zqnHulG0LdXgjSO035fEpdtp6YxXhMT51Q6vgM5lYdG/5X3MjS+k/Y9Xw4SFCY9IkR0rg==
+ dependencies:
+ unicode-canonical-property-names-ecmascript "^1.0.4"
+ unicode-property-aliases-ecmascript "^1.0.4"
+
+unicode-match-property-value-ecmascript@^1.1.0:
+ version "1.1.0"
+ resolved "https://registry.yarnpkg.com/unicode-match-property-value-ecmascript/-/unicode-match-property-value-ecmascript-1.1.0.tgz#5b4b426e08d13a80365e0d657ac7a6c1ec46a277"
+ integrity sha512-hDTHvaBk3RmFzvSl0UVrUmC3PuW9wKVnpoUDYH0JDkSIovzw+J5viQmeYHxVSBptubnr7PbH2e0fnpDRQnQl5g==
+
+unicode-property-aliases-ecmascript@^1.0.4:
+ version "1.0.5"
+ resolved "https://registry.yarnpkg.com/unicode-property-aliases-ecmascript/-/unicode-property-aliases-ecmascript-1.0.5.tgz#a9cc6cc7ce63a0a3023fc99e341b94431d405a57"
+ integrity sha512-L5RAqCfXqAwR3RriF8pM0lU0w4Ryf/GgzONwi6KnL1taJQa7x1TCxdJnILX59WIGOwR57IVxn7Nej0fz1Ny6fw==
+
+union-value@^1.0.0:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/union-value/-/union-value-1.0.1.tgz#0b6fe7b835aecda61c6ea4d4f02c14221e109847"
+ integrity sha512-tJfXmxMeWYnczCVs7XAEvIV7ieppALdyepWMkHkwciRpZraG/xwT+s2JN8+pr1+8jCRf80FFzvr+MpQeeoF4Xg==
+ dependencies:
+ arr-union "^3.1.0"
+ get-value "^2.0.6"
+ is-extendable "^0.1.1"
+ set-value "^2.0.1"
+
+universalify@^0.1.0:
+ version "0.1.2"
+ resolved "https://registry.yarnpkg.com/universalify/-/universalify-0.1.2.tgz#b646f69be3942dabcecc9d6639c80dc105efaa66"
+ integrity sha512-rBJeI5CXAlmy1pV+617WB9J63U6XcazHHF2f2dbJix4XzpUF0RS3Zbj0FGIOCAva5P/d/GBOYaACQ1w+0azUkg==
+
+unpipe@1.0.0, unpipe@~1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/unpipe/-/unpipe-1.0.0.tgz#b2bf4ee8514aae6165b4817829d21b2ef49904ec"
+ integrity sha1-sr9O6FFKrmFltIF4KdIbLvSZBOw=
+
+unset-value@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/unset-value/-/unset-value-1.0.0.tgz#8376873f7d2335179ffb1e6fc3a8ed0dfc8ab559"
+ integrity sha1-g3aHP30jNRef+x5vw6jtDfyKtVk=
+ dependencies:
+ has-value "^0.3.1"
+ isobject "^3.0.0"
+
+unstated@^2.1.1:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/unstated/-/unstated-2.1.1.tgz#36b124dfb2e7a12d39d0bb9c46dfb6e51276e3a2"
+ integrity sha512-fORlTWMZxq7NuMJDxyIrrYIZKN7wEWYQ9SiaJfIRcSpsowr6Ph/JIfK2tgtXLW614JfPG/t5q9eEIhXRCf55xg==
+ dependencies:
+ create-react-context "^0.1.5"
+
+unzip@^0.1.11:
+ version "0.1.11"
+ resolved "https://registry.yarnpkg.com/unzip/-/unzip-0.1.11.tgz#89749c63b058d7d90d619f86b98aa1535d3b97f0"
+ integrity sha1-iXScY7BY19kNYZ+GuYqhU107l/A=
+ dependencies:
+ binary ">= 0.3.0 < 1"
+ fstream ">= 0.1.30 < 1"
+ match-stream ">= 0.0.2 < 1"
+ pullstream ">= 0.4.1 < 1"
+ readable-stream "~1.0.31"
+ setimmediate ">= 1.0.1 < 2"
+
+uri-js@^4.2.2:
+ version "4.2.2"
+ resolved "https://registry.yarnpkg.com/uri-js/-/uri-js-4.2.2.tgz#94c540e1ff772956e2299507c010aea6c8838eb0"
+ integrity sha512-KY9Frmirql91X2Qgjry0Wd4Y+YTdrdZheS8TFwvkbLWf/G5KNJDCh6pKL5OZctEW4+0Baa5idK2ZQuELRwPznQ==
+ dependencies:
+ punycode "^2.1.0"
+
+urix@^0.1.0:
+ version "0.1.0"
+ resolved "https://registry.yarnpkg.com/urix/-/urix-0.1.0.tgz#da937f7a62e21fec1fd18d49b35c2935067a6c72"
+ integrity sha1-2pN/emLiH+wf0Y1Js1wpNQZ6bHI=
+
+use@^3.1.0:
+ version "3.1.1"
+ resolved "https://registry.yarnpkg.com/use/-/use-3.1.1.tgz#d50c8cac79a19fbc20f2911f56eb973f4e10070f"
+ integrity sha512-cwESVXlO3url9YWlFW/TA9cshCEhtu7IKJ/p5soJ/gGpj7vbvFrAY/eIioQ6Dw23KjZhYgiIo8HOs1nQ2vr/oQ==
+
+util-deprecate@^1.0.1, util-deprecate@~1.0.1:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/util-deprecate/-/util-deprecate-1.0.2.tgz#450d4dc9fa70de732762fbd2d4a28981419a0ccf"
+ integrity sha1-RQ1Nyfpw3nMnYvvS1KKJgUGaDM8=
+
+utils-extend@^1.0.4, utils-extend@^1.0.6, utils-extend@^1.0.7:
+ version "1.0.8"
+ resolved "https://registry.yarnpkg.com/utils-extend/-/utils-extend-1.0.8.tgz#ccfd7b64540f8e90ee21eec57769d0651cab8a5f"
+ integrity sha1-zP17ZFQPjpDuIe7Fd2nQZRyril8=
+
+utils-merge@1.0.1:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/utils-merge/-/utils-merge-1.0.1.tgz#9f95710f50a267947b2ccc124741c1028427e713"
+ integrity sha1-n5VxD1CiZ5R7LMwSR0HBAoQn5xM=
+
+uuid@^3.3.2:
+ version "3.4.0"
+ resolved "https://registry.yarnpkg.com/uuid/-/uuid-3.4.0.tgz#b23e4358afa8a202fe7a100af1f5f883f02007ee"
+ integrity sha512-HjSDRw6gZE5JMggctHBcjVak08+KEVhSIiDzFnT9S9aegmp85S/bReBVTb4QTFaRNptJ9kuYaNhnbNEOkbKb/A==
+
+vary@~1.1.2:
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/vary/-/vary-1.1.2.tgz#2299f02c6ded30d4a5961b0b9f74524a18f634fc"
+ integrity sha1-IpnwLG3tMNSllhsLn3RSShj2NPw=
+
+verror@1.10.0:
+ version "1.10.0"
+ resolved "https://registry.yarnpkg.com/verror/-/verror-1.10.0.tgz#3a105ca17053af55d6e270c1f8288682e18da400"
+ integrity sha1-OhBcoXBTr1XW4nDB+CiGguGNpAA=
+ dependencies:
+ assert-plus "^1.0.0"
+ core-util-is "1.0.2"
+ extsprintf "^1.2.0"
+
+vscode-jsonrpc@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/vscode-jsonrpc/-/vscode-jsonrpc-4.0.0.tgz#a7bf74ef3254d0a0c272fab15c82128e378b3be9"
+ integrity sha512-perEnXQdQOJMTDFNv+UF3h1Y0z4iSiaN9jIlb0OqIYgosPCZGYh/MCUlkFtV2668PL69lRDO32hmvL2yiidUYg==
+
+vscode-languageserver-protocol@3.14.1:
+ version "3.14.1"
+ resolved "https://registry.yarnpkg.com/vscode-languageserver-protocol/-/vscode-languageserver-protocol-3.14.1.tgz#b8aab6afae2849c84a8983d39a1cf742417afe2f"
+ integrity sha512-IL66BLb2g20uIKog5Y2dQ0IiigW0XKrvmWiOvc0yXw80z3tMEzEnHjaGAb3ENuU7MnQqgnYJ1Cl2l9RvNgDi4g==
+ dependencies:
+ vscode-jsonrpc "^4.0.0"
+ vscode-languageserver-types "3.14.0"
+
+vscode-languageserver-types@3.14.0:
+ version "3.14.0"
+ resolved "https://registry.yarnpkg.com/vscode-languageserver-types/-/vscode-languageserver-types-3.14.0.tgz#d3b5952246d30e5241592b6dde8280e03942e743"
+ integrity sha512-lTmS6AlAlMHOvPQemVwo3CezxBp0sNB95KNPkqp3Nxd5VFEnuG1ByM0zlRWos0zjO3ZWtkvhal0COgiV1xIA4A==
+
+vscode-languageserver@^5.2.1:
+ version "5.2.1"
+ resolved "https://registry.yarnpkg.com/vscode-languageserver/-/vscode-languageserver-5.2.1.tgz#0d2feddd33f92aadf5da32450df498d52f6f14eb"
+ integrity sha512-GuayqdKZqAwwaCUjDvMTAVRPJOp/SLON3mJ07eGsx/Iq9HjRymhKWztX41rISqDKhHVVyFM+IywICyZDla6U3A==
+ dependencies:
+ vscode-languageserver-protocol "3.14.1"
+ vscode-uri "^1.0.6"
+
+vscode-uri@^1.0.6:
+ version "1.0.8"
+ resolved "https://registry.yarnpkg.com/vscode-uri/-/vscode-uri-1.0.8.tgz#9769aaececae4026fb6e22359cb38946580ded59"
+ integrity sha512-obtSWTlbJ+a+TFRYGaUumtVwb+InIUVI0Lu0VBUAPmj2cU5JutEXg3xUE0c2J5Tcy7h2DEKVJBFi+Y9ZSFzzPQ==
+
+w3c-keyname@^2.2.0:
+ version "2.2.2"
+ resolved "https://registry.yarnpkg.com/w3c-keyname/-/w3c-keyname-2.2.2.tgz#7ea63170454bb19f1a3c6b628fc3dc8889276e91"
+ integrity sha512-8Vs/aVwcy0IJACaPm4tyzh1fzehZE70bGSjEl3dDms5UXtWnaBElrSHC8lDDeak0Gk5jxKOFstL64/65o7Ge2A==
+
+watch@^1.0.1:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/watch/-/watch-1.0.2.tgz#340a717bde765726fa0aa07d721e0147a551df0c"
+ integrity sha1-NApxe952Vyb6CqB9ch4BR6VR3ww=
+ dependencies:
+ exec-sh "^0.2.0"
+ minimist "^1.2.0"
+
+word-wrap@~1.2.3:
+ version "1.2.3"
+ resolved "https://registry.yarnpkg.com/word-wrap/-/word-wrap-1.2.3.tgz#610636f6b1f703891bd34771ccb17fb93b47079c"
+ integrity sha512-Hz/mrNwitNRh/HUAtM/VT/5VH+ygD6DV7mYKZAtHOrbs8U7lvPS6xf7EJKMF0uW1KJCl0H701g3ZGus+muE5vQ==
+
+wrappy@1:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/wrappy/-/wrappy-1.0.2.tgz#b5243d8f3ec1aa35f1364605bc0d1036e30ab69f"
+ integrity sha1-tSQ9jz7BqjXxNkYFvA0QNuMKtp8=
+
+ws@^1.1.1:
+ version "1.1.5"
+ resolved "https://registry.yarnpkg.com/ws/-/ws-1.1.5.tgz#cbd9e6e75e09fc5d2c90015f21f0c40875e0dd51"
+ integrity sha512-o3KqipXNUdS7wpQzBHSe180lBGO60SoK0yVo3CYJgb2MkobuWuBX6dhkYP5ORCLd55y+SaflMOV5fqAB53ux4w==
+ dependencies:
+ options ">=0.0.5"
+ ultron "1.0.x"
+
+yaml@^1.7.2:
+ version "1.7.2"
+ resolved "https://registry.yarnpkg.com/yaml/-/yaml-1.7.2.tgz#f26aabf738590ab61efaca502358e48dc9f348b2"
+ integrity sha512-qXROVp90sb83XtAoqE8bP9RwAkTTZbugRUTm5YeFCBfNRPEp2YzTeqWiz7m5OORHzEvrA/qcGS8hp/E+MMROYw==
+ dependencies:
+ "@babel/runtime" "^7.6.3"
+
+yn@3.1.1:
+ version "3.1.1"
+ resolved "https://registry.yarnpkg.com/yn/-/yn-3.1.1.tgz#1e87401a09d767c1d5eab26a6e4c185182d2eb50"
+ integrity sha512-Ux4ygGWsu2c7isFWe8Yu1YluJmqVhxqK2cLXNQA5AcC3QfbGNpM7fu0Y8b/z16pXLnFxZYvWhd3fhBY9DLmC6Q==
+
+zenscroll@^4.0.2:
+ version "4.0.2"
+ resolved "https://registry.yarnpkg.com/zenscroll/-/zenscroll-4.0.2.tgz#e8d5774d1c0738a47bcfa8729f3712e2deddeb25"
+ integrity sha1-6NV3TRwHOKR7z6hynzcS4t7d6yU=
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/yarndeps.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/yarndeps.nix
new file mode 100644
index 00000000000..be4480a67c6
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/rstudio/yarndeps.nix
@@ -0,0 +1,4373 @@
+{ fetchurl, fetchgit, linkFarm, runCommand, gnutar }: rec {
+ offline_cache = linkFarm "offline" packages;
+ packages = [
+ {
+ name = "_babel_code_frame___code_frame_7.8.3.tgz";
+ path = fetchurl {
+ name = "_babel_code_frame___code_frame_7.8.3.tgz";
+ url = "https://registry.yarnpkg.com/@babel/code-frame/-/code-frame-7.8.3.tgz";
+ sha1 = "33e25903d7481181534e12ec0a25f16b6fcf419e";
+ };
+ }
+ {
+ name = "_babel_helper_module_imports___helper_module_imports_7.8.3.tgz";
+ path = fetchurl {
+ name = "_babel_helper_module_imports___helper_module_imports_7.8.3.tgz";
+ url = "https://registry.yarnpkg.com/@babel/helper-module-imports/-/helper-module-imports-7.8.3.tgz";
+ sha1 = "7fe39589b39c016331b6b8c3f441e8f0b1419498";
+ };
+ }
+ {
+ name = "_babel_highlight___highlight_7.8.3.tgz";
+ path = fetchurl {
+ name = "_babel_highlight___highlight_7.8.3.tgz";
+ url = "https://registry.yarnpkg.com/@babel/highlight/-/highlight-7.8.3.tgz";
+ sha1 = "28f173d04223eaaa59bc1d439a3836e6d1265797";
+ };
+ }
+ {
+ name = "_babel_runtime___runtime_7.9.6.tgz";
+ path = fetchurl {
+ name = "_babel_runtime___runtime_7.9.6.tgz";
+ url = "https://registry.yarnpkg.com/@babel/runtime/-/runtime-7.9.6.tgz";
+ sha1 = "a9102eb5cadedf3f31d08a9ecf294af7827ea29f";
+ };
+ }
+ {
+ name = "_babel_runtime___runtime_7.8.4.tgz";
+ path = fetchurl {
+ name = "_babel_runtime___runtime_7.8.4.tgz";
+ url = "https://registry.yarnpkg.com/@babel/runtime/-/runtime-7.8.4.tgz";
+ sha1 = "d79f5a2040f7caa24d53e563aad49cbc05581308";
+ };
+ }
+ {
+ name = "_babel_types___types_7.8.6.tgz";
+ path = fetchurl {
+ name = "_babel_types___types_7.8.6.tgz";
+ url = "https://registry.yarnpkg.com/@babel/types/-/types-7.8.6.tgz";
+ sha1 = "629ecc33c2557fcde7126e58053127afdb3e6d01";
+ };
+ }
+ {
+ name = "_emotion_babel_utils___babel_utils_0.6.10.tgz";
+ path = fetchurl {
+ name = "_emotion_babel_utils___babel_utils_0.6.10.tgz";
+ url = "https://registry.yarnpkg.com/@emotion/babel-utils/-/babel-utils-0.6.10.tgz";
+ sha1 = "83dbf3dfa933fae9fc566e54fbb45f14674c6ccc";
+ };
+ }
+ {
+ name = "_emotion_hash___hash_0.6.6.tgz";
+ path = fetchurl {
+ name = "_emotion_hash___hash_0.6.6.tgz";
+ url = "https://registry.yarnpkg.com/@emotion/hash/-/hash-0.6.6.tgz";
+ sha1 = "62266c5f0eac6941fece302abad69f2ee7e25e44";
+ };
+ }
+ {
+ name = "_emotion_is_prop_valid___is_prop_valid_0.6.8.tgz";
+ path = fetchurl {
+ name = "_emotion_is_prop_valid___is_prop_valid_0.6.8.tgz";
+ url = "https://registry.yarnpkg.com/@emotion/is-prop-valid/-/is-prop-valid-0.6.8.tgz";
+ sha1 = "68ad02831da41213a2089d2cab4e8ac8b30cbd85";
+ };
+ }
+ {
+ name = "_emotion_memoize___memoize_0.6.6.tgz";
+ path = fetchurl {
+ name = "_emotion_memoize___memoize_0.6.6.tgz";
+ url = "https://registry.yarnpkg.com/@emotion/memoize/-/memoize-0.6.6.tgz";
+ sha1 = "004b98298d04c7ca3b4f50ca2035d4f60d2eed1b";
+ };
+ }
+ {
+ name = "_emotion_serialize___serialize_0.9.1.tgz";
+ path = fetchurl {
+ name = "_emotion_serialize___serialize_0.9.1.tgz";
+ url = "https://registry.yarnpkg.com/@emotion/serialize/-/serialize-0.9.1.tgz";
+ sha1 = "a494982a6920730dba6303eb018220a2b629c145";
+ };
+ }
+ {
+ name = "_emotion_stylis___stylis_0.7.1.tgz";
+ path = fetchurl {
+ name = "_emotion_stylis___stylis_0.7.1.tgz";
+ url = "https://registry.yarnpkg.com/@emotion/stylis/-/stylis-0.7.1.tgz";
+ sha1 = "50f63225e712d99e2b2b39c19c70fff023793ca5";
+ };
+ }
+ {
+ name = "_emotion_unitless___unitless_0.6.7.tgz";
+ path = fetchurl {
+ name = "_emotion_unitless___unitless_0.6.7.tgz";
+ url = "https://registry.yarnpkg.com/@emotion/unitless/-/unitless-0.6.7.tgz";
+ sha1 = "53e9f1892f725b194d5e6a1684a7b394df592397";
+ };
+ }
+ {
+ name = "_emotion_utils___utils_0.8.2.tgz";
+ path = fetchurl {
+ name = "_emotion_utils___utils_0.8.2.tgz";
+ url = "https://registry.yarnpkg.com/@emotion/utils/-/utils-0.8.2.tgz";
+ sha1 = "576ff7fb1230185b619a75d258cbc98f0867a8dc";
+ };
+ }
+ {
+ name = "_textlint_ast_node_types___ast_node_types_4.3.4.tgz";
+ path = fetchurl {
+ name = "_textlint_ast_node_types___ast_node_types_4.3.4.tgz";
+ url = "https://registry.yarnpkg.com/@textlint/ast-node-types/-/ast-node-types-4.3.4.tgz";
+ sha1 = "f6596c45c32c85dc06915c3077bb7686033efd32";
+ };
+ }
+ {
+ name = "_types_ace___ace_0.0.43.tgz";
+ path = fetchurl {
+ name = "_types_ace___ace_0.0.43.tgz";
+ url = "https://registry.yarnpkg.com/@types/ace/-/ace-0.0.43.tgz";
+ sha1 = "9f0916174b6060dabbccd36ba4868ea769a1c633";
+ };
+ }
+ {
+ name = "_types_clipboard___clipboard_2.0.1.tgz";
+ path = fetchurl {
+ name = "_types_clipboard___clipboard_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/@types/clipboard/-/clipboard-2.0.1.tgz";
+ sha1 = "75a74086c293d75b12bc93ff13bc7797fef05a40";
+ };
+ }
+ {
+ name = "_types_diff_match_patch___diff_match_patch_1.0.32.tgz";
+ path = fetchurl {
+ name = "_types_diff_match_patch___diff_match_patch_1.0.32.tgz";
+ url = "https://registry.yarnpkg.com/@types/diff-match-patch/-/diff-match-patch-1.0.32.tgz";
+ sha1 = "d9c3b8c914aa8229485351db4865328337a3d09f";
+ };
+ }
+ {
+ name = "_types_js_yaml___js_yaml_3.12.3.tgz";
+ path = fetchurl {
+ name = "_types_js_yaml___js_yaml_3.12.3.tgz";
+ url = "https://registry.yarnpkg.com/@types/js-yaml/-/js-yaml-3.12.3.tgz";
+ sha1 = "abf383c5b639d0aa8b8c4a420d6a85f703357d6c";
+ };
+ }
+ {
+ name = "_types_lodash.debounce___lodash.debounce_4.0.6.tgz";
+ path = fetchurl {
+ name = "_types_lodash.debounce___lodash.debounce_4.0.6.tgz";
+ url = "https://registry.yarnpkg.com/@types/lodash.debounce/-/lodash.debounce-4.0.6.tgz";
+ sha1 = "c5a2326cd3efc46566c47e4c0aa248dc0ee57d60";
+ };
+ }
+ {
+ name = "_types_lodash.uniqby___lodash.uniqby_4.7.6.tgz";
+ path = fetchurl {
+ name = "_types_lodash.uniqby___lodash.uniqby_4.7.6.tgz";
+ url = "https://registry.yarnpkg.com/@types/lodash.uniqby/-/lodash.uniqby-4.7.6.tgz";
+ sha1 = "672827a701403f07904fe37f0721ae92abfa80e8";
+ };
+ }
+ {
+ name = "_types_lodash___lodash_4.14.154.tgz";
+ path = fetchurl {
+ name = "_types_lodash___lodash_4.14.154.tgz";
+ url = "https://registry.yarnpkg.com/@types/lodash/-/lodash-4.14.154.tgz";
+ sha1 = "069e3c703fdb264e67be9e03b20a640bc0198ecc";
+ };
+ }
+ {
+ name = "_types_node___node_14.0.4.tgz";
+ path = fetchurl {
+ name = "_types_node___node_14.0.4.tgz";
+ url = "https://registry.yarnpkg.com/@types/node/-/node-14.0.4.tgz";
+ sha1 = "43a63fc5edce226bed106b31b875165256271107";
+ };
+ }
+ {
+ name = "_types_orderedmap___orderedmap_1.0.0.tgz";
+ path = fetchurl {
+ name = "_types_orderedmap___orderedmap_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/@types/orderedmap/-/orderedmap-1.0.0.tgz";
+ sha1 = "807455a192bba52cbbb4517044bc82bdbfa8c596";
+ };
+ }
+ {
+ name = "_types_parse_json___parse_json_4.0.0.tgz";
+ path = fetchurl {
+ name = "_types_parse_json___parse_json_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/@types/parse-json/-/parse-json-4.0.0.tgz";
+ sha1 = "2f8bb441434d163b35fb8ffdccd7138927ffb8c0";
+ };
+ }
+ {
+ name = "_types_prop_types___prop_types_15.7.3.tgz";
+ path = fetchurl {
+ name = "_types_prop_types___prop_types_15.7.3.tgz";
+ url = "https://registry.yarnpkg.com/@types/prop-types/-/prop-types-15.7.3.tgz";
+ sha1 = "2ab0d5da2e5815f94b0b9d4b95d1e5f243ab2ca7";
+ };
+ }
+ {
+ name = "_types_prosemirror_commands___prosemirror_commands_1.0.3.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_commands___prosemirror_commands_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-commands/-/prosemirror-commands-1.0.3.tgz";
+ sha1 = "e9fa5653cffd1c75c260594cf3ec5244c9004dbf";
+ };
+ }
+ {
+ name = "_types_prosemirror_dev_tools___prosemirror_dev_tools_2.1.0.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_dev_tools___prosemirror_dev_tools_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-dev-tools/-/prosemirror-dev-tools-2.1.0.tgz";
+ sha1 = "91e2ef4f36129f5155f924296e306de187e86bdb";
+ };
+ }
+ {
+ name = "_types_prosemirror_dropcursor___prosemirror_dropcursor_1.0.0.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_dropcursor___prosemirror_dropcursor_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-dropcursor/-/prosemirror-dropcursor-1.0.0.tgz";
+ sha1 = "2df872bc6431a9f06bc1a4a0eac7c2dc527e7f12";
+ };
+ }
+ {
+ name = "_types_prosemirror_gapcursor___prosemirror_gapcursor_1.0.1.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_gapcursor___prosemirror_gapcursor_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-gapcursor/-/prosemirror-gapcursor-1.0.1.tgz";
+ sha1 = "56a6274ef39f62c339adcc64305294b800211a5e";
+ };
+ }
+ {
+ name = "_types_prosemirror_history___prosemirror_history_1.0.1.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_history___prosemirror_history_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-history/-/prosemirror-history-1.0.1.tgz";
+ sha1 = "b8d7595f73788b63fc9f2b57a763ba8375abfe87";
+ };
+ }
+ {
+ name = "_types_prosemirror_inputrules___prosemirror_inputrules_1.0.3.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_inputrules___prosemirror_inputrules_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-inputrules/-/prosemirror-inputrules-1.0.3.tgz";
+ sha1 = "3f8f07921f692b6c7e4781fa426aee3e76b9018c";
+ };
+ }
+ {
+ name = "_types_prosemirror_keymap___prosemirror_keymap_1.0.3.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_keymap___prosemirror_keymap_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-keymap/-/prosemirror-keymap-1.0.3.tgz";
+ sha1 = "09cc469a69222a4c8a3d415d02eeb459bb74269c";
+ };
+ }
+ {
+ name = "_types_prosemirror_model___prosemirror_model_1.7.2.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_model___prosemirror_model_1.7.2.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-model/-/prosemirror-model-1.7.2.tgz";
+ sha1 = "9c7aff2fd62f0f56eb76e2e0eb27bf6996e6c28a";
+ };
+ }
+ {
+ name = "_types_prosemirror_schema_list___prosemirror_schema_list_1.0.1.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_schema_list___prosemirror_schema_list_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-schema-list/-/prosemirror-schema-list-1.0.1.tgz";
+ sha1 = "7f53e3c0326b1359755f3971b8c448d98b722f21";
+ };
+ }
+ {
+ name = "_types_prosemirror_state___prosemirror_state_1.2.3.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_state___prosemirror_state_1.2.3.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-state/-/prosemirror-state-1.2.3.tgz";
+ sha1 = "7f5f871acf7b8c22e1862ff0068f9bf7e9682c0e";
+ };
+ }
+ {
+ name = "_types_prosemirror_state___prosemirror_state_1.2.5.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_state___prosemirror_state_1.2.5.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-state/-/prosemirror-state-1.2.5.tgz";
+ sha1 = "a91304e9aab6e71f868e23b3a1ae514a75033f8f";
+ };
+ }
+ {
+ name = "_types_prosemirror_tables___prosemirror_tables_0.9.1.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_tables___prosemirror_tables_0.9.1.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-tables/-/prosemirror-tables-0.9.1.tgz";
+ sha1 = "d2203330f0fa1161c04152bf02c39e152082d408";
+ };
+ }
+ {
+ name = "_types_prosemirror_transform___prosemirror_transform_1.1.1.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_transform___prosemirror_transform_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-transform/-/prosemirror-transform-1.1.1.tgz";
+ sha1 = "5a0de16e8e0123b4c3d9559235e19f39cee85e5c";
+ };
+ }
+ {
+ name = "_types_prosemirror_view___prosemirror_view_1.11.2.tgz";
+ path = fetchurl {
+ name = "_types_prosemirror_view___prosemirror_view_1.11.2.tgz";
+ url = "https://registry.yarnpkg.com/@types/prosemirror-view/-/prosemirror-view-1.11.2.tgz";
+ sha1 = "58af5dcb7de20b7de874de99147552d5627209a1";
+ };
+ }
+ {
+ name = "_types_react_dom___react_dom_16.9.6.tgz";
+ path = fetchurl {
+ name = "_types_react_dom___react_dom_16.9.6.tgz";
+ url = "https://registry.yarnpkg.com/@types/react-dom/-/react-dom-16.9.6.tgz";
+ sha1 = "9e7f83d90566521cc2083be2277c6712dcaf754c";
+ };
+ }
+ {
+ name = "_types_react_window___react_window_1.8.2.tgz";
+ path = fetchurl {
+ name = "_types_react_window___react_window_1.8.2.tgz";
+ url = "https://registry.yarnpkg.com/@types/react-window/-/react-window-1.8.2.tgz";
+ sha1 = "a5a6b2762ce73ffaab7911ee1397cf645f2459fe";
+ };
+ }
+ {
+ name = "_types_react___react_16.9.32.tgz";
+ path = fetchurl {
+ name = "_types_react___react_16.9.32.tgz";
+ url = "https://registry.yarnpkg.com/@types/react/-/react-16.9.32.tgz";
+ sha1 = "f6368625b224604148d1ddf5920e4fefbd98d383";
+ };
+ }
+ {
+ name = "_types_unzip___unzip_0.1.1.tgz";
+ path = fetchurl {
+ name = "_types_unzip___unzip_0.1.1.tgz";
+ url = "https://registry.yarnpkg.com/@types/unzip/-/unzip-0.1.1.tgz";
+ sha1 = "96e80dc5e2917a769c8be01aa49c4fe660e7bab3";
+ };
+ }
+ {
+ name = "_types_zenscroll___zenscroll_4.0.0.tgz";
+ path = fetchurl {
+ name = "_types_zenscroll___zenscroll_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/@types/zenscroll/-/zenscroll-4.0.0.tgz";
+ sha1 = "9acc7df6c87cc9e064f5a6230df499835dee1972";
+ };
+ }
+ {
+ name = "abbrev___abbrev_1.1.1.tgz";
+ path = fetchurl {
+ name = "abbrev___abbrev_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/abbrev/-/abbrev-1.1.1.tgz";
+ sha1 = "f8f2c887ad10bf67f634f005b6987fed3179aac8";
+ };
+ }
+ {
+ name = "accepts___accepts_1.3.7.tgz";
+ path = fetchurl {
+ name = "accepts___accepts_1.3.7.tgz";
+ url = "https://registry.yarnpkg.com/accepts/-/accepts-1.3.7.tgz";
+ sha1 = "531bc726517a3b2b41f850021c6cc15eaab507cd";
+ };
+ }
+ {
+ name = "acorn_jsx___acorn_jsx_4.1.1.tgz";
+ path = fetchurl {
+ name = "acorn_jsx___acorn_jsx_4.1.1.tgz";
+ url = "https://registry.yarnpkg.com/acorn-jsx/-/acorn-jsx-4.1.1.tgz";
+ sha1 = "e8e41e48ea2fe0c896740610ab6a4ffd8add225e";
+ };
+ }
+ {
+ name = "acorn___acorn_5.7.3.tgz";
+ path = fetchurl {
+ name = "acorn___acorn_5.7.3.tgz";
+ url = "https://registry.yarnpkg.com/acorn/-/acorn-5.7.3.tgz";
+ sha1 = "67aa231bf8812974b85235a96771eb6bd07ea279";
+ };
+ }
+ {
+ name = "ajax_request___ajax_request_1.2.3.tgz";
+ path = fetchurl {
+ name = "ajax_request___ajax_request_1.2.3.tgz";
+ url = "https://registry.yarnpkg.com/ajax-request/-/ajax-request-1.2.3.tgz";
+ sha1 = "99fcbec1d6d2792f85fa949535332bd14f5f3790";
+ };
+ }
+ {
+ name = "ajv___ajv_6.12.0.tgz";
+ path = fetchurl {
+ name = "ajv___ajv_6.12.0.tgz";
+ url = "https://registry.yarnpkg.com/ajv/-/ajv-6.12.0.tgz";
+ sha1 = "06d60b96d87b8454a5adaba86e7854da629db4b7";
+ };
+ }
+ {
+ name = "ansi_escapes___ansi_escapes_3.2.0.tgz";
+ path = fetchurl {
+ name = "ansi_escapes___ansi_escapes_3.2.0.tgz";
+ url = "https://registry.yarnpkg.com/ansi-escapes/-/ansi-escapes-3.2.0.tgz";
+ sha1 = "8780b98ff9dbf5638152d1f1fe5c1d7b4442976b";
+ };
+ }
+ {
+ name = "ansi_regex___ansi_regex_3.0.0.tgz";
+ path = fetchurl {
+ name = "ansi_regex___ansi_regex_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-3.0.0.tgz";
+ sha1 = "ed0317c322064f79466c02966bddb605ab37d998";
+ };
+ }
+ {
+ name = "ansi_styles___ansi_styles_3.2.1.tgz";
+ path = fetchurl {
+ name = "ansi_styles___ansi_styles_3.2.1.tgz";
+ url = "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-3.2.1.tgz";
+ sha1 = "41fbb20243e50b12be0f04b8dedbf07520ce841d";
+ };
+ }
+ {
+ name = "ansi___ansi_0.3.1.tgz";
+ path = fetchurl {
+ name = "ansi___ansi_0.3.1.tgz";
+ url = "https://registry.yarnpkg.com/ansi/-/ansi-0.3.1.tgz";
+ sha1 = "0c42d4fb17160d5a9af1e484bace1c66922c1b21";
+ };
+ }
+ {
+ name = "anymatch___anymatch_1.3.2.tgz";
+ path = fetchurl {
+ name = "anymatch___anymatch_1.3.2.tgz";
+ url = "https://registry.yarnpkg.com/anymatch/-/anymatch-1.3.2.tgz";
+ sha1 = "553dcb8f91e3c889845dfdba34c77721b90b9d7a";
+ };
+ }
+ {
+ name = "app_root_path___app_root_path_1.4.0.tgz";
+ path = fetchurl {
+ name = "app_root_path___app_root_path_1.4.0.tgz";
+ url = "https://registry.yarnpkg.com/app-root-path/-/app-root-path-1.4.0.tgz";
+ sha1 = "6335d865c9640d0fad99004e5a79232238e92dfa";
+ };
+ }
+ {
+ name = "app_root_path___app_root_path_2.2.1.tgz";
+ path = fetchurl {
+ name = "app_root_path___app_root_path_2.2.1.tgz";
+ url = "https://registry.yarnpkg.com/app-root-path/-/app-root-path-2.2.1.tgz";
+ sha1 = "d0df4a682ee408273583d43f6f79e9892624bc9a";
+ };
+ }
+ {
+ name = "arg___arg_4.1.3.tgz";
+ path = fetchurl {
+ name = "arg___arg_4.1.3.tgz";
+ url = "https://registry.yarnpkg.com/arg/-/arg-4.1.3.tgz";
+ sha1 = "269fc7ad5b8e42cb63c896d5666017261c144089";
+ };
+ }
+ {
+ name = "argparse___argparse_1.0.10.tgz";
+ path = fetchurl {
+ name = "argparse___argparse_1.0.10.tgz";
+ url = "https://registry.yarnpkg.com/argparse/-/argparse-1.0.10.tgz";
+ sha1 = "bcd6791ea5ae09725e17e5ad988134cd40b3d911";
+ };
+ }
+ {
+ name = "arr_diff___arr_diff_2.0.0.tgz";
+ path = fetchurl {
+ name = "arr_diff___arr_diff_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/arr-diff/-/arr-diff-2.0.0.tgz";
+ sha1 = "8f3b827f955a8bd669697e4a4256ac3ceae356cf";
+ };
+ }
+ {
+ name = "arr_diff___arr_diff_4.0.0.tgz";
+ path = fetchurl {
+ name = "arr_diff___arr_diff_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/arr-diff/-/arr-diff-4.0.0.tgz";
+ sha1 = "d6461074febfec71e7e15235761a329a5dc7c520";
+ };
+ }
+ {
+ name = "arr_flatten___arr_flatten_1.1.0.tgz";
+ path = fetchurl {
+ name = "arr_flatten___arr_flatten_1.1.0.tgz";
+ url = "https://registry.yarnpkg.com/arr-flatten/-/arr-flatten-1.1.0.tgz";
+ sha1 = "36048bbff4e7b47e136644316c99669ea5ae91f1";
+ };
+ }
+ {
+ name = "arr_union___arr_union_3.1.0.tgz";
+ path = fetchurl {
+ name = "arr_union___arr_union_3.1.0.tgz";
+ url = "https://registry.yarnpkg.com/arr-union/-/arr-union-3.1.0.tgz";
+ sha1 = "e39b09aea9def866a8f206e288af63919bae39c4";
+ };
+ }
+ {
+ name = "array_flatten___array_flatten_1.1.1.tgz";
+ path = fetchurl {
+ name = "array_flatten___array_flatten_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/array-flatten/-/array-flatten-1.1.1.tgz";
+ sha1 = "9a5f699051b1e7073328f2a008968b64ea2955d2";
+ };
+ }
+ {
+ name = "array_unique___array_unique_0.2.1.tgz";
+ path = fetchurl {
+ name = "array_unique___array_unique_0.2.1.tgz";
+ url = "https://registry.yarnpkg.com/array-unique/-/array-unique-0.2.1.tgz";
+ sha1 = "a1d97ccafcbc2625cc70fadceb36a50c58b01a53";
+ };
+ }
+ {
+ name = "array_unique___array_unique_0.3.2.tgz";
+ path = fetchurl {
+ name = "array_unique___array_unique_0.3.2.tgz";
+ url = "https://registry.yarnpkg.com/array-unique/-/array-unique-0.3.2.tgz";
+ sha1 = "a894b75d4bc4f6cd679ef3244a9fd8f46ae2d428";
+ };
+ }
+ {
+ name = "asn1___asn1_0.2.4.tgz";
+ path = fetchurl {
+ name = "asn1___asn1_0.2.4.tgz";
+ url = "https://registry.yarnpkg.com/asn1/-/asn1-0.2.4.tgz";
+ sha1 = "8d2475dfab553bb33e77b54e59e880bb8ce23136";
+ };
+ }
+ {
+ name = "assert_plus___assert_plus_1.0.0.tgz";
+ path = fetchurl {
+ name = "assert_plus___assert_plus_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/assert-plus/-/assert-plus-1.0.0.tgz";
+ sha1 = "f12e0f3c5d77b0b1cdd9146942e4e96c1e4dd525";
+ };
+ }
+ {
+ name = "assign_symbols___assign_symbols_1.0.0.tgz";
+ path = fetchurl {
+ name = "assign_symbols___assign_symbols_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/assign-symbols/-/assign-symbols-1.0.0.tgz";
+ sha1 = "59667f41fadd4f20ccbc2bb96b8d4f7f78ec0367";
+ };
+ }
+ {
+ name = "async_each___async_each_1.0.3.tgz";
+ path = fetchurl {
+ name = "async_each___async_each_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/async-each/-/async-each-1.0.3.tgz";
+ sha1 = "b727dbf87d7651602f06f4d4ac387f47d91b0cbf";
+ };
+ }
+ {
+ name = "asynckit___asynckit_0.4.0.tgz";
+ path = fetchurl {
+ name = "asynckit___asynckit_0.4.0.tgz";
+ url = "https://registry.yarnpkg.com/asynckit/-/asynckit-0.4.0.tgz";
+ sha1 = "c79ed97f7f34cb8f2ba1bc9790bcc366474b4b79";
+ };
+ }
+ {
+ name = "atob___atob_2.1.2.tgz";
+ path = fetchurl {
+ name = "atob___atob_2.1.2.tgz";
+ url = "https://registry.yarnpkg.com/atob/-/atob-2.1.2.tgz";
+ sha1 = "6d9517eb9e030d2436666651e86bd9f6f13533c9";
+ };
+ }
+ {
+ name = "aws_sign2___aws_sign2_0.7.0.tgz";
+ path = fetchurl {
+ name = "aws_sign2___aws_sign2_0.7.0.tgz";
+ url = "https://registry.yarnpkg.com/aws-sign2/-/aws-sign2-0.7.0.tgz";
+ sha1 = "b46e890934a9591f2d2f6f86d7e6a9f1b3fe76a8";
+ };
+ }
+ {
+ name = "aws4___aws4_1.9.1.tgz";
+ path = fetchurl {
+ name = "aws4___aws4_1.9.1.tgz";
+ url = "https://registry.yarnpkg.com/aws4/-/aws4-1.9.1.tgz";
+ sha1 = "7e33d8f7d449b3f673cd72deb9abdc552dbe528e";
+ };
+ }
+ {
+ name = "babel_plugin_emotion___babel_plugin_emotion_9.2.11.tgz";
+ path = fetchurl {
+ name = "babel_plugin_emotion___babel_plugin_emotion_9.2.11.tgz";
+ url = "https://registry.yarnpkg.com/babel-plugin-emotion/-/babel-plugin-emotion-9.2.11.tgz";
+ sha1 = "319c005a9ee1d15bb447f59fe504c35fd5807728";
+ };
+ }
+ {
+ name = "babel_plugin_macros___babel_plugin_macros_2.8.0.tgz";
+ path = fetchurl {
+ name = "babel_plugin_macros___babel_plugin_macros_2.8.0.tgz";
+ url = "https://registry.yarnpkg.com/babel-plugin-macros/-/babel-plugin-macros-2.8.0.tgz";
+ sha1 = "0f958a7cc6556b1e65344465d99111a1e5e10138";
+ };
+ }
+ {
+ name = "babel_plugin_syntax_jsx___babel_plugin_syntax_jsx_6.18.0.tgz";
+ path = fetchurl {
+ name = "babel_plugin_syntax_jsx___babel_plugin_syntax_jsx_6.18.0.tgz";
+ url = "https://registry.yarnpkg.com/babel-plugin-syntax-jsx/-/babel-plugin-syntax-jsx-6.18.0.tgz";
+ sha1 = "0af32a9a6e13ca7a3fd5069e62d7b0f58d0d8946";
+ };
+ }
+ {
+ name = "babel_runtime___babel_runtime_6.26.0.tgz";
+ path = fetchurl {
+ name = "babel_runtime___babel_runtime_6.26.0.tgz";
+ url = "https://registry.yarnpkg.com/babel-runtime/-/babel-runtime-6.26.0.tgz";
+ sha1 = "965c7058668e82b55d7bfe04ff2337bc8b5647fe";
+ };
+ }
+ {
+ name = "balanced_match___balanced_match_1.0.0.tgz";
+ path = fetchurl {
+ name = "balanced_match___balanced_match_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/balanced-match/-/balanced-match-1.0.0.tgz";
+ sha1 = "89b4d199ab2bee49de164ea02b89ce462d71b767";
+ };
+ }
+ {
+ name = "base16___base16_1.0.0.tgz";
+ path = fetchurl {
+ name = "base16___base16_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/base16/-/base16-1.0.0.tgz";
+ sha1 = "e297f60d7ec1014a7a971a39ebc8a98c0b681e70";
+ };
+ }
+ {
+ name = "base64_img___base64_img_1.0.4.tgz";
+ path = fetchurl {
+ name = "base64_img___base64_img_1.0.4.tgz";
+ url = "https://registry.yarnpkg.com/base64-img/-/base64-img-1.0.4.tgz";
+ sha1 = "3e22d55d6c74a24553d840d2b1bc12a7db078d35";
+ };
+ }
+ {
+ name = "base64_js___base64_js_1.3.1.tgz";
+ path = fetchurl {
+ name = "base64_js___base64_js_1.3.1.tgz";
+ url = "https://registry.yarnpkg.com/base64-js/-/base64-js-1.3.1.tgz";
+ sha1 = "58ece8cb75dd07e71ed08c736abc5fac4dbf8df1";
+ };
+ }
+ {
+ name = "base___base_0.11.2.tgz";
+ path = fetchurl {
+ name = "base___base_0.11.2.tgz";
+ url = "https://registry.yarnpkg.com/base/-/base-0.11.2.tgz";
+ sha1 = "7bde5ced145b6d551a90db87f83c558b4eb48a8f";
+ };
+ }
+ {
+ name = "bcrypt_pbkdf___bcrypt_pbkdf_1.0.2.tgz";
+ path = fetchurl {
+ name = "bcrypt_pbkdf___bcrypt_pbkdf_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/bcrypt-pbkdf/-/bcrypt-pbkdf-1.0.2.tgz";
+ sha1 = "a4301d389b6a43f9b67ff3ca11a3f6637e360e9e";
+ };
+ }
+ {
+ name = "biblatex_csl_converter___biblatex_csl_converter_1.9.1.tgz";
+ path = fetchurl {
+ name = "biblatex_csl_converter___biblatex_csl_converter_1.9.1.tgz";
+ url = "https://registry.yarnpkg.com/biblatex-csl-converter/-/biblatex-csl-converter-1.9.1.tgz";
+ sha1 = "50aacfef172997f1c98d72837ffdd3b19c62f8c4";
+ };
+ }
+ {
+ name = "binary_extensions___binary_extensions_1.13.1.tgz";
+ path = fetchurl {
+ name = "binary_extensions___binary_extensions_1.13.1.tgz";
+ url = "https://registry.yarnpkg.com/binary-extensions/-/binary-extensions-1.13.1.tgz";
+ sha1 = "598afe54755b2868a5330d2aff9d4ebb53209b65";
+ };
+ }
+ {
+ name = "binary___binary_0.3.0.tgz";
+ path = fetchurl {
+ name = "binary___binary_0.3.0.tgz";
+ url = "https://registry.yarnpkg.com/binary/-/binary-0.3.0.tgz";
+ sha1 = "9f60553bc5ce8c3386f3b553cff47462adecaa79";
+ };
+ }
+ {
+ name = "bindings___bindings_1.5.0.tgz";
+ path = fetchurl {
+ name = "bindings___bindings_1.5.0.tgz";
+ url = "https://registry.yarnpkg.com/bindings/-/bindings-1.5.0.tgz";
+ sha1 = "10353c9e945334bc0511a6d90b38fbc7c9c504df";
+ };
+ }
+ {
+ name = "body_parser___body_parser_1.19.0.tgz";
+ path = fetchurl {
+ name = "body_parser___body_parser_1.19.0.tgz";
+ url = "https://registry.yarnpkg.com/body-parser/-/body-parser-1.19.0.tgz";
+ sha1 = "96b2709e57c9c4e09a6fd66a8fd979844f69f08a";
+ };
+ }
+ {
+ name = "boundary___boundary_1.0.1.tgz";
+ path = fetchurl {
+ name = "boundary___boundary_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/boundary/-/boundary-1.0.1.tgz";
+ sha1 = "4d67dc2602c0cc16dd9bce7ebf87e948290f5812";
+ };
+ }
+ {
+ name = "bowser___bowser_2.9.0.tgz";
+ path = fetchurl {
+ name = "bowser___bowser_2.9.0.tgz";
+ url = "https://registry.yarnpkg.com/bowser/-/bowser-2.9.0.tgz";
+ sha1 = "3bed854233b419b9a7422d9ee3e85504373821c9";
+ };
+ }
+ {
+ name = "brace_expansion___brace_expansion_1.1.11.tgz";
+ path = fetchurl {
+ name = "brace_expansion___brace_expansion_1.1.11.tgz";
+ url = "https://registry.yarnpkg.com/brace-expansion/-/brace-expansion-1.1.11.tgz";
+ sha1 = "3c7fcbf529d87226f3d2f52b966ff5271eb441dd";
+ };
+ }
+ {
+ name = "braces___braces_1.8.5.tgz";
+ path = fetchurl {
+ name = "braces___braces_1.8.5.tgz";
+ url = "https://registry.yarnpkg.com/braces/-/braces-1.8.5.tgz";
+ sha1 = "ba77962e12dff969d6b76711e914b737857bf6a7";
+ };
+ }
+ {
+ name = "braces___braces_2.3.2.tgz";
+ path = fetchurl {
+ name = "braces___braces_2.3.2.tgz";
+ url = "https://registry.yarnpkg.com/braces/-/braces-2.3.2.tgz";
+ sha1 = "5979fd3f14cd531565e5fa2df1abfff1dfaee729";
+ };
+ }
+ {
+ name = "buffer_from___buffer_from_1.1.1.tgz";
+ path = fetchurl {
+ name = "buffer_from___buffer_from_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/buffer-from/-/buffer-from-1.1.1.tgz";
+ sha1 = "32713bc028f75c02fdb710d7c7bcec1f2c6070ef";
+ };
+ }
+ {
+ name = "buffers___buffers_0.1.1.tgz";
+ path = fetchurl {
+ name = "buffers___buffers_0.1.1.tgz";
+ url = "https://registry.yarnpkg.com/buffers/-/buffers-0.1.1.tgz";
+ sha1 = "b24579c3bed4d6d396aeee6d9a8ae7f5482ab7bb";
+ };
+ }
+ {
+ name = "builtin_modules___builtin_modules_1.1.1.tgz";
+ path = fetchurl {
+ name = "builtin_modules___builtin_modules_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/builtin-modules/-/builtin-modules-1.1.1.tgz";
+ sha1 = "270f076c5a72c02f5b65a47df94c5fe3a278892f";
+ };
+ }
+ {
+ name = "bytes___bytes_3.1.0.tgz";
+ path = fetchurl {
+ name = "bytes___bytes_3.1.0.tgz";
+ url = "https://registry.yarnpkg.com/bytes/-/bytes-3.1.0.tgz";
+ sha1 = "f6cf7933a360e0588fa9fde85651cdc7f805d1f6";
+ };
+ }
+ {
+ name = "cache_base___cache_base_1.0.1.tgz";
+ path = fetchurl {
+ name = "cache_base___cache_base_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/cache-base/-/cache-base-1.0.1.tgz";
+ sha1 = "0a7f46416831c8b662ee36fe4e7c59d76f666ab2";
+ };
+ }
+ {
+ name = "callsites___callsites_3.1.0.tgz";
+ path = fetchurl {
+ name = "callsites___callsites_3.1.0.tgz";
+ url = "https://registry.yarnpkg.com/callsites/-/callsites-3.1.0.tgz";
+ sha1 = "b3630abd8943432f54b3f0519238e33cd7df2f73";
+ };
+ }
+ {
+ name = "caseless___caseless_0.12.0.tgz";
+ path = fetchurl {
+ name = "caseless___caseless_0.12.0.tgz";
+ url = "https://registry.yarnpkg.com/caseless/-/caseless-0.12.0.tgz";
+ sha1 = "1b681c21ff84033c826543090689420d187151dc";
+ };
+ }
+ {
+ name = "chain_able___chain_able_1.0.1.tgz";
+ path = fetchurl {
+ name = "chain_able___chain_able_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/chain-able/-/chain-able-1.0.1.tgz";
+ sha1 = "b48ac9bdc18f2192ec730abc66609f90aab5605f";
+ };
+ }
+ {
+ name = "chain_able___chain_able_3.0.0.tgz";
+ path = fetchurl {
+ name = "chain_able___chain_able_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/chain-able/-/chain-able-3.0.0.tgz";
+ sha1 = "dcffe8b04f3da210941a23843bc1332bb288ca9f";
+ };
+ }
+ {
+ name = "chainsaw___chainsaw_0.1.0.tgz";
+ path = fetchurl {
+ name = "chainsaw___chainsaw_0.1.0.tgz";
+ url = "https://registry.yarnpkg.com/chainsaw/-/chainsaw-0.1.0.tgz";
+ sha1 = "5eab50b28afe58074d0d58291388828b5e5fbc98";
+ };
+ }
+ {
+ name = "chalk___chalk_2.4.2.tgz";
+ path = fetchurl {
+ name = "chalk___chalk_2.4.2.tgz";
+ url = "https://registry.yarnpkg.com/chalk/-/chalk-2.4.2.tgz";
+ sha1 = "cd42541677a54333cf541a49108c1432b44c9424";
+ };
+ }
+ {
+ name = "chardet___chardet_0.4.2.tgz";
+ path = fetchurl {
+ name = "chardet___chardet_0.4.2.tgz";
+ url = "https://registry.yarnpkg.com/chardet/-/chardet-0.4.2.tgz";
+ sha1 = "b5473b33dc97c424e5d98dc87d55d4d8a29c8bf2";
+ };
+ }
+ {
+ name = "chokidar___chokidar_1.7.0.tgz";
+ path = fetchurl {
+ name = "chokidar___chokidar_1.7.0.tgz";
+ url = "https://registry.yarnpkg.com/chokidar/-/chokidar-1.7.0.tgz";
+ sha1 = "798e689778151c8076b4b360e5edd28cda2bb468";
+ };
+ }
+ {
+ name = "class_utils___class_utils_0.3.6.tgz";
+ path = fetchurl {
+ name = "class_utils___class_utils_0.3.6.tgz";
+ url = "https://registry.yarnpkg.com/class-utils/-/class-utils-0.3.6.tgz";
+ sha1 = "f93369ae8b9a7ce02fd41faad0ca83033190c463";
+ };
+ }
+ {
+ name = "clean_css___clean_css_4.2.3.tgz";
+ path = fetchurl {
+ name = "clean_css___clean_css_4.2.3.tgz";
+ url = "https://registry.yarnpkg.com/clean-css/-/clean-css-4.2.3.tgz";
+ sha1 = "507b5de7d97b48ee53d84adb0160ff6216380f78";
+ };
+ }
+ {
+ name = "cli_cursor___cli_cursor_2.1.0.tgz";
+ path = fetchurl {
+ name = "cli_cursor___cli_cursor_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/cli-cursor/-/cli-cursor-2.1.0.tgz";
+ sha1 = "b35dac376479facc3e94747d41d0d0f5238ffcb5";
+ };
+ }
+ {
+ name = "cli_width___cli_width_2.2.0.tgz";
+ path = fetchurl {
+ name = "cli_width___cli_width_2.2.0.tgz";
+ url = "https://registry.yarnpkg.com/cli-width/-/cli-width-2.2.0.tgz";
+ sha1 = "ff19ede8a9a5e579324147b0c11f0fbcbabed639";
+ };
+ }
+ {
+ name = "clipboard___clipboard_2.0.6.tgz";
+ path = fetchurl {
+ name = "clipboard___clipboard_2.0.6.tgz";
+ url = "https://registry.yarnpkg.com/clipboard/-/clipboard-2.0.6.tgz";
+ sha1 = "52921296eec0fdf77ead1749421b21c968647376";
+ };
+ }
+ {
+ name = "collection_visit___collection_visit_1.0.0.tgz";
+ path = fetchurl {
+ name = "collection_visit___collection_visit_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/collection-visit/-/collection-visit-1.0.0.tgz";
+ sha1 = "4bc0373c164bc3291b4d368c829cf1a80a59dca0";
+ };
+ }
+ {
+ name = "color_convert___color_convert_1.9.3.tgz";
+ path = fetchurl {
+ name = "color_convert___color_convert_1.9.3.tgz";
+ url = "https://registry.yarnpkg.com/color-convert/-/color-convert-1.9.3.tgz";
+ sha1 = "bb71850690e1f136567de629d2d5471deda4c1e8";
+ };
+ }
+ {
+ name = "color_name___color_name_1.1.3.tgz";
+ path = fetchurl {
+ name = "color_name___color_name_1.1.3.tgz";
+ url = "https://registry.yarnpkg.com/color-name/-/color-name-1.1.3.tgz";
+ sha1 = "a7d0558bd89c42f795dd42328f740831ca53bc25";
+ };
+ }
+ {
+ name = "combined_stream___combined_stream_1.0.8.tgz";
+ path = fetchurl {
+ name = "combined_stream___combined_stream_1.0.8.tgz";
+ url = "https://registry.yarnpkg.com/combined-stream/-/combined-stream-1.0.8.tgz";
+ sha1 = "c3d45a8b34fd730631a110a8a2520682b31d5a7f";
+ };
+ }
+ {
+ name = "commander___commander_2.20.3.tgz";
+ path = fetchurl {
+ name = "commander___commander_2.20.3.tgz";
+ url = "https://registry.yarnpkg.com/commander/-/commander-2.20.3.tgz";
+ sha1 = "fd485e84c03eb4881c20722ba48035e8531aeb33";
+ };
+ }
+ {
+ name = "component_emitter___component_emitter_1.3.0.tgz";
+ path = fetchurl {
+ name = "component_emitter___component_emitter_1.3.0.tgz";
+ url = "https://registry.yarnpkg.com/component-emitter/-/component-emitter-1.3.0.tgz";
+ sha1 = "16e4070fba8ae29b679f2215853ee181ab2eabc0";
+ };
+ }
+ {
+ name = "concat_map___concat_map_0.0.1.tgz";
+ path = fetchurl {
+ name = "concat_map___concat_map_0.0.1.tgz";
+ url = "https://registry.yarnpkg.com/concat-map/-/concat-map-0.0.1.tgz";
+ sha1 = "d8a96bd77fd68df7793a73036a3ba0d5405d477b";
+ };
+ }
+ {
+ name = "concat_stream___concat_stream_1.6.2.tgz";
+ path = fetchurl {
+ name = "concat_stream___concat_stream_1.6.2.tgz";
+ url = "https://registry.yarnpkg.com/concat-stream/-/concat-stream-1.6.2.tgz";
+ sha1 = "904bdf194cd3122fc675c77fc4ac3d4ff0fd1a34";
+ };
+ }
+ {
+ name = "concat_stream___concat_stream_2.0.0.tgz";
+ path = fetchurl {
+ name = "concat_stream___concat_stream_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/concat-stream/-/concat-stream-2.0.0.tgz";
+ sha1 = "414cf5af790a48c60ab9be4527d56d5e41133cb1";
+ };
+ }
+ {
+ name = "content_disposition___content_disposition_0.5.3.tgz";
+ path = fetchurl {
+ name = "content_disposition___content_disposition_0.5.3.tgz";
+ url = "https://registry.yarnpkg.com/content-disposition/-/content-disposition-0.5.3.tgz";
+ sha1 = "e130caf7e7279087c5616c2007d0485698984fbd";
+ };
+ }
+ {
+ name = "content_type___content_type_1.0.4.tgz";
+ path = fetchurl {
+ name = "content_type___content_type_1.0.4.tgz";
+ url = "https://registry.yarnpkg.com/content-type/-/content-type-1.0.4.tgz";
+ sha1 = "e138cc75e040c727b1966fe5e5f8c9aee256fe3b";
+ };
+ }
+ {
+ name = "convert_source_map___convert_source_map_1.7.0.tgz";
+ path = fetchurl {
+ name = "convert_source_map___convert_source_map_1.7.0.tgz";
+ url = "https://registry.yarnpkg.com/convert-source-map/-/convert-source-map-1.7.0.tgz";
+ sha1 = "17a2cb882d7f77d3490585e2ce6c524424a3a442";
+ };
+ }
+ {
+ name = "cookie_signature___cookie_signature_1.0.6.tgz";
+ path = fetchurl {
+ name = "cookie_signature___cookie_signature_1.0.6.tgz";
+ url = "https://registry.yarnpkg.com/cookie-signature/-/cookie-signature-1.0.6.tgz";
+ sha1 = "e303a882b342cc3ee8ca513a79999734dab3ae2c";
+ };
+ }
+ {
+ name = "cookie___cookie_0.4.0.tgz";
+ path = fetchurl {
+ name = "cookie___cookie_0.4.0.tgz";
+ url = "https://registry.yarnpkg.com/cookie/-/cookie-0.4.0.tgz";
+ sha1 = "beb437e7022b3b6d49019d088665303ebe9c14ba";
+ };
+ }
+ {
+ name = "copy_descriptor___copy_descriptor_0.1.1.tgz";
+ path = fetchurl {
+ name = "copy_descriptor___copy_descriptor_0.1.1.tgz";
+ url = "https://registry.yarnpkg.com/copy-descriptor/-/copy-descriptor-0.1.1.tgz";
+ sha1 = "676f6eb3c39997c2ee1ac3a924fd6124748f578d";
+ };
+ }
+ {
+ name = "core_js___core_js_2.6.11.tgz";
+ path = fetchurl {
+ name = "core_js___core_js_2.6.11.tgz";
+ url = "https://registry.yarnpkg.com/core-js/-/core-js-2.6.11.tgz";
+ sha1 = "38831469f9922bded8ee21c9dc46985e0399308c";
+ };
+ }
+ {
+ name = "core_util_is___core_util_is_1.0.2.tgz";
+ path = fetchurl {
+ name = "core_util_is___core_util_is_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/core-util-is/-/core-util-is-1.0.2.tgz";
+ sha1 = "b5fd54220aa2bc5ab57aab7140c940754503c1a7";
+ };
+ }
+ {
+ name = "cosmiconfig___cosmiconfig_6.0.0.tgz";
+ path = fetchurl {
+ name = "cosmiconfig___cosmiconfig_6.0.0.tgz";
+ url = "https://registry.yarnpkg.com/cosmiconfig/-/cosmiconfig-6.0.0.tgz";
+ sha1 = "da4fee853c52f6b1e6935f41c1a2fc50bd4a9982";
+ };
+ }
+ {
+ name = "create_emotion_styled___create_emotion_styled_9.2.8.tgz";
+ path = fetchurl {
+ name = "create_emotion_styled___create_emotion_styled_9.2.8.tgz";
+ url = "https://registry.yarnpkg.com/create-emotion-styled/-/create-emotion-styled-9.2.8.tgz";
+ sha1 = "c0050e768ba439609bec108600467adf2de67cc3";
+ };
+ }
+ {
+ name = "create_emotion___create_emotion_9.2.12.tgz";
+ path = fetchurl {
+ name = "create_emotion___create_emotion_9.2.12.tgz";
+ url = "https://registry.yarnpkg.com/create-emotion/-/create-emotion-9.2.12.tgz";
+ sha1 = "0fc8e7f92c4f8bb924b0fef6781f66b1d07cb26f";
+ };
+ }
+ {
+ name = "create_react_context___create_react_context_0.1.6.tgz";
+ path = fetchurl {
+ name = "create_react_context___create_react_context_0.1.6.tgz";
+ url = "https://registry.yarnpkg.com/create-react-context/-/create-react-context-0.1.6.tgz";
+ sha1 = "0f425931d907741127acc6e31acb4f9015dd9fdc";
+ };
+ }
+ {
+ name = "csstype___csstype_2.6.10.tgz";
+ path = fetchurl {
+ name = "csstype___csstype_2.6.10.tgz";
+ url = "https://registry.yarnpkg.com/csstype/-/csstype-2.6.10.tgz";
+ sha1 = "e63af50e66d7c266edb6b32909cfd0aabe03928b";
+ };
+ }
+ {
+ name = "csstype___csstype_2.6.9.tgz";
+ path = fetchurl {
+ name = "csstype___csstype_2.6.9.tgz";
+ url = "https://registry.yarnpkg.com/csstype/-/csstype-2.6.9.tgz";
+ sha1 = "05141d0cd557a56b8891394c1911c40c8a98d098";
+ };
+ }
+ {
+ name = "dashdash___dashdash_1.14.1.tgz";
+ path = fetchurl {
+ name = "dashdash___dashdash_1.14.1.tgz";
+ url = "https://registry.yarnpkg.com/dashdash/-/dashdash-1.14.1.tgz";
+ sha1 = "853cfa0f7cbe2fed5de20326b8dd581035f6e2f0";
+ };
+ }
+ {
+ name = "debug___debug_2.6.9.tgz";
+ path = fetchurl {
+ name = "debug___debug_2.6.9.tgz";
+ url = "https://registry.yarnpkg.com/debug/-/debug-2.6.9.tgz";
+ sha1 = "5d128515df134ff327e90a4c93f4e077a536341f";
+ };
+ }
+ {
+ name = "decode_uri_component___decode_uri_component_0.2.0.tgz";
+ path = fetchurl {
+ name = "decode_uri_component___decode_uri_component_0.2.0.tgz";
+ url = "https://registry.yarnpkg.com/decode-uri-component/-/decode-uri-component-0.2.0.tgz";
+ sha1 = "eb3913333458775cb84cd1a1fae062106bb87545";
+ };
+ }
+ {
+ name = "deep_is___deep_is_0.1.3.tgz";
+ path = fetchurl {
+ name = "deep_is___deep_is_0.1.3.tgz";
+ url = "https://registry.yarnpkg.com/deep-is/-/deep-is-0.1.3.tgz";
+ sha1 = "b369d6fb5dbc13eecf524f91b070feedc357cf34";
+ };
+ }
+ {
+ name = "define_properties___define_properties_1.1.3.tgz";
+ path = fetchurl {
+ name = "define_properties___define_properties_1.1.3.tgz";
+ url = "https://registry.yarnpkg.com/define-properties/-/define-properties-1.1.3.tgz";
+ sha1 = "cf88da6cbee26fe6db7094f61d870cbd84cee9f1";
+ };
+ }
+ {
+ name = "define_property___define_property_0.2.5.tgz";
+ path = fetchurl {
+ name = "define_property___define_property_0.2.5.tgz";
+ url = "https://registry.yarnpkg.com/define-property/-/define-property-0.2.5.tgz";
+ sha1 = "c35b1ef918ec3c990f9a5bc57be04aacec5c8116";
+ };
+ }
+ {
+ name = "define_property___define_property_1.0.0.tgz";
+ path = fetchurl {
+ name = "define_property___define_property_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/define-property/-/define-property-1.0.0.tgz";
+ sha1 = "769ebaaf3f4a63aad3af9e8d304c9bbe79bfb0e6";
+ };
+ }
+ {
+ name = "define_property___define_property_2.0.2.tgz";
+ path = fetchurl {
+ name = "define_property___define_property_2.0.2.tgz";
+ url = "https://registry.yarnpkg.com/define-property/-/define-property-2.0.2.tgz";
+ sha1 = "d459689e8d654ba77e02a817f8710d702cb16e9d";
+ };
+ }
+ {
+ name = "delayed_stream___delayed_stream_1.0.0.tgz";
+ path = fetchurl {
+ name = "delayed_stream___delayed_stream_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/delayed-stream/-/delayed-stream-1.0.0.tgz";
+ sha1 = "df3ae199acadfb7d440aaae0b29e2272b24ec619";
+ };
+ }
+ {
+ name = "delegate___delegate_3.2.0.tgz";
+ path = fetchurl {
+ name = "delegate___delegate_3.2.0.tgz";
+ url = "https://registry.yarnpkg.com/delegate/-/delegate-3.2.0.tgz";
+ sha1 = "b66b71c3158522e8ab5744f720d8ca0c2af59166";
+ };
+ }
+ {
+ name = "depd___depd_1.1.2.tgz";
+ path = fetchurl {
+ name = "depd___depd_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/depd/-/depd-1.1.2.tgz";
+ sha1 = "9bcd52e14c097763e749b274c4346ed2e560b5a9";
+ };
+ }
+ {
+ name = "destroy___destroy_1.0.4.tgz";
+ path = fetchurl {
+ name = "destroy___destroy_1.0.4.tgz";
+ url = "https://registry.yarnpkg.com/destroy/-/destroy-1.0.4.tgz";
+ sha1 = "978857442c44749e4206613e37946205826abd80";
+ };
+ }
+ {
+ name = "diff_match_patch___diff_match_patch_1.0.4.tgz";
+ path = fetchurl {
+ name = "diff_match_patch___diff_match_patch_1.0.4.tgz";
+ url = "https://registry.yarnpkg.com/diff-match-patch/-/diff-match-patch-1.0.4.tgz";
+ sha1 = "6ac4b55237463761c4daf0dc603eb869124744b1";
+ };
+ }
+ {
+ name = "diff___diff_4.0.2.tgz";
+ path = fetchurl {
+ name = "diff___diff_4.0.2.tgz";
+ url = "https://registry.yarnpkg.com/diff/-/diff-4.0.2.tgz";
+ sha1 = "60f3aecb89d5fae520c11aa19efc2bb982aade7d";
+ };
+ }
+ {
+ name = "ecc_jsbn___ecc_jsbn_0.1.2.tgz";
+ path = fetchurl {
+ name = "ecc_jsbn___ecc_jsbn_0.1.2.tgz";
+ url = "https://registry.yarnpkg.com/ecc-jsbn/-/ecc-jsbn-0.1.2.tgz";
+ sha1 = "3a83a904e54353287874c564b7549386849a98c9";
+ };
+ }
+ {
+ name = "ee_first___ee_first_1.1.1.tgz";
+ path = fetchurl {
+ name = "ee_first___ee_first_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/ee-first/-/ee-first-1.1.1.tgz";
+ sha1 = "590c61156b0ae2f4f0255732a158b266bc56b21d";
+ };
+ }
+ {
+ name = "emotion___emotion_9.2.12.tgz";
+ path = fetchurl {
+ name = "emotion___emotion_9.2.12.tgz";
+ url = "https://registry.yarnpkg.com/emotion/-/emotion-9.2.12.tgz";
+ sha1 = "53925aaa005614e65c6e43db8243c843574d1ea9";
+ };
+ }
+ {
+ name = "encodeurl___encodeurl_1.0.2.tgz";
+ path = fetchurl {
+ name = "encodeurl___encodeurl_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/encodeurl/-/encodeurl-1.0.2.tgz";
+ sha1 = "ad3ff4c86ec2d029322f5a02c3a9a606c95b3f59";
+ };
+ }
+ {
+ name = "error_ex___error_ex_1.3.2.tgz";
+ path = fetchurl {
+ name = "error_ex___error_ex_1.3.2.tgz";
+ url = "https://registry.yarnpkg.com/error-ex/-/error-ex-1.3.2.tgz";
+ sha1 = "b4ac40648107fdcdcfae242f428bea8a14d4f1bf";
+ };
+ }
+ {
+ name = "es_abstract___es_abstract_1.17.6.tgz";
+ path = fetchurl {
+ name = "es_abstract___es_abstract_1.17.6.tgz";
+ url = "https://registry.yarnpkg.com/es-abstract/-/es-abstract-1.17.6.tgz";
+ sha1 = "9142071707857b2cacc7b89ecb670316c3e2d52a";
+ };
+ }
+ {
+ name = "es_to_primitive___es_to_primitive_1.2.1.tgz";
+ path = fetchurl {
+ name = "es_to_primitive___es_to_primitive_1.2.1.tgz";
+ url = "https://registry.yarnpkg.com/es-to-primitive/-/es-to-primitive-1.2.1.tgz";
+ sha1 = "e55cd4c9cdc188bcefb03b366c736323fc5c898a";
+ };
+ }
+ {
+ name = "es6_object_assign___es6_object_assign_1.1.0.tgz";
+ path = fetchurl {
+ name = "es6_object_assign___es6_object_assign_1.1.0.tgz";
+ url = "https://registry.yarnpkg.com/es6-object-assign/-/es6-object-assign-1.1.0.tgz";
+ sha1 = "c2c3582656247c39ea107cb1e6652b6f9f24523c";
+ };
+ }
+ {
+ name = "escape_html___escape_html_1.0.3.tgz";
+ path = fetchurl {
+ name = "escape_html___escape_html_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/escape-html/-/escape-html-1.0.3.tgz";
+ sha1 = "0258eae4d3d0c0974de1c169188ef0051d1d1988";
+ };
+ }
+ {
+ name = "escape_string_regexp___escape_string_regexp_1.0.5.tgz";
+ path = fetchurl {
+ name = "escape_string_regexp___escape_string_regexp_1.0.5.tgz";
+ url = "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-1.0.5.tgz";
+ sha1 = "1b61c0562190a8dff6ae3bb2cf0200ca130b86d4";
+ };
+ }
+ {
+ name = "escodegen___escodegen_1.14.1.tgz";
+ path = fetchurl {
+ name = "escodegen___escodegen_1.14.1.tgz";
+ url = "https://registry.yarnpkg.com/escodegen/-/escodegen-1.14.1.tgz";
+ sha1 = "ba01d0c8278b5e95a9a45350142026659027a457";
+ };
+ }
+ {
+ name = "esprima___esprima_4.0.1.tgz";
+ path = fetchurl {
+ name = "esprima___esprima_4.0.1.tgz";
+ url = "https://registry.yarnpkg.com/esprima/-/esprima-4.0.1.tgz";
+ sha1 = "13b04cdb3e6c5d19df91ab6987a8695619b0aa71";
+ };
+ }
+ {
+ name = "estraverse___estraverse_4.3.0.tgz";
+ path = fetchurl {
+ name = "estraverse___estraverse_4.3.0.tgz";
+ url = "https://registry.yarnpkg.com/estraverse/-/estraverse-4.3.0.tgz";
+ sha1 = "398ad3f3c5a24948be7725e83d11a7de28cdbd1d";
+ };
+ }
+ {
+ name = "esutils___esutils_2.0.3.tgz";
+ path = fetchurl {
+ name = "esutils___esutils_2.0.3.tgz";
+ url = "https://registry.yarnpkg.com/esutils/-/esutils-2.0.3.tgz";
+ sha1 = "74d2eb4de0b8da1293711910d50775b9b710ef64";
+ };
+ }
+ {
+ name = "etag___etag_1.8.1.tgz";
+ path = fetchurl {
+ name = "etag___etag_1.8.1.tgz";
+ url = "https://registry.yarnpkg.com/etag/-/etag-1.8.1.tgz";
+ sha1 = "41ae2eeb65efa62268aebfea83ac7d79299b0887";
+ };
+ }
+ {
+ name = "exec_sh___exec_sh_0.2.2.tgz";
+ path = fetchurl {
+ name = "exec_sh___exec_sh_0.2.2.tgz";
+ url = "https://registry.yarnpkg.com/exec-sh/-/exec-sh-0.2.2.tgz";
+ sha1 = "2a5e7ffcbd7d0ba2755bdecb16e5a427dfbdec36";
+ };
+ }
+ {
+ name = "expand_brackets___expand_brackets_0.1.5.tgz";
+ path = fetchurl {
+ name = "expand_brackets___expand_brackets_0.1.5.tgz";
+ url = "https://registry.yarnpkg.com/expand-brackets/-/expand-brackets-0.1.5.tgz";
+ sha1 = "df07284e342a807cd733ac5af72411e581d1177b";
+ };
+ }
+ {
+ name = "expand_brackets___expand_brackets_2.1.4.tgz";
+ path = fetchurl {
+ name = "expand_brackets___expand_brackets_2.1.4.tgz";
+ url = "https://registry.yarnpkg.com/expand-brackets/-/expand-brackets-2.1.4.tgz";
+ sha1 = "b77735e315ce30f6b6eff0f83b04151a22449622";
+ };
+ }
+ {
+ name = "expand_range___expand_range_1.8.2.tgz";
+ path = fetchurl {
+ name = "expand_range___expand_range_1.8.2.tgz";
+ url = "https://registry.yarnpkg.com/expand-range/-/expand-range-1.8.2.tgz";
+ sha1 = "a299effd335fe2721ebae8e257ec79644fc85337";
+ };
+ }
+ {
+ name = "express___express_4.17.1.tgz";
+ path = fetchurl {
+ name = "express___express_4.17.1.tgz";
+ url = "https://registry.yarnpkg.com/express/-/express-4.17.1.tgz";
+ sha1 = "4491fc38605cf51f8629d39c2b5d026f98a4c134";
+ };
+ }
+ {
+ name = "extend_shallow___extend_shallow_2.0.1.tgz";
+ path = fetchurl {
+ name = "extend_shallow___extend_shallow_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/extend-shallow/-/extend-shallow-2.0.1.tgz";
+ sha1 = "51af7d614ad9a9f610ea1bafbb989d6b1c56890f";
+ };
+ }
+ {
+ name = "extend_shallow___extend_shallow_3.0.2.tgz";
+ path = fetchurl {
+ name = "extend_shallow___extend_shallow_3.0.2.tgz";
+ url = "https://registry.yarnpkg.com/extend-shallow/-/extend-shallow-3.0.2.tgz";
+ sha1 = "26a71aaf073b39fb2127172746131c2704028db8";
+ };
+ }
+ {
+ name = "extend___extend_3.0.2.tgz";
+ path = fetchurl {
+ name = "extend___extend_3.0.2.tgz";
+ url = "https://registry.yarnpkg.com/extend/-/extend-3.0.2.tgz";
+ sha1 = "f8b1136b4071fbd8eb140aff858b1019ec2915fa";
+ };
+ }
+ {
+ name = "external_editor___external_editor_2.2.0.tgz";
+ path = fetchurl {
+ name = "external_editor___external_editor_2.2.0.tgz";
+ url = "https://registry.yarnpkg.com/external-editor/-/external-editor-2.2.0.tgz";
+ sha1 = "045511cfd8d133f3846673d1047c154e214ad3d5";
+ };
+ }
+ {
+ name = "extglob___extglob_0.3.2.tgz";
+ path = fetchurl {
+ name = "extglob___extglob_0.3.2.tgz";
+ url = "https://registry.yarnpkg.com/extglob/-/extglob-0.3.2.tgz";
+ sha1 = "2e18ff3d2f49ab2765cec9023f011daa8d8349a1";
+ };
+ }
+ {
+ name = "extglob___extglob_2.0.4.tgz";
+ path = fetchurl {
+ name = "extglob___extglob_2.0.4.tgz";
+ url = "https://registry.yarnpkg.com/extglob/-/extglob-2.0.4.tgz";
+ sha1 = "ad00fe4dc612a9232e8718711dc5cb5ab0285543";
+ };
+ }
+ {
+ name = "extsprintf___extsprintf_1.3.0.tgz";
+ path = fetchurl {
+ name = "extsprintf___extsprintf_1.3.0.tgz";
+ url = "https://registry.yarnpkg.com/extsprintf/-/extsprintf-1.3.0.tgz";
+ sha1 = "96918440e3041a7a414f8c52e3c574eb3c3e1e05";
+ };
+ }
+ {
+ name = "extsprintf___extsprintf_1.4.0.tgz";
+ path = fetchurl {
+ name = "extsprintf___extsprintf_1.4.0.tgz";
+ url = "https://registry.yarnpkg.com/extsprintf/-/extsprintf-1.4.0.tgz";
+ sha1 = "e2689f8f356fad62cca65a3a91c5df5f9551692f";
+ };
+ }
+ {
+ name = "fast_deep_equal___fast_deep_equal_3.1.1.tgz";
+ path = fetchurl {
+ name = "fast_deep_equal___fast_deep_equal_3.1.1.tgz";
+ url = "https://registry.yarnpkg.com/fast-deep-equal/-/fast-deep-equal-3.1.1.tgz";
+ sha1 = "545145077c501491e33b15ec408c294376e94ae4";
+ };
+ }
+ {
+ name = "fast_json_stable_stringify___fast_json_stable_stringify_2.1.0.tgz";
+ path = fetchurl {
+ name = "fast_json_stable_stringify___fast_json_stable_stringify_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/fast-json-stable-stringify/-/fast-json-stable-stringify-2.1.0.tgz";
+ sha1 = "874bf69c6f404c2b5d99c481341399fd55892633";
+ };
+ }
+ {
+ name = "fast_levenshtein___fast_levenshtein_2.0.6.tgz";
+ path = fetchurl {
+ name = "fast_levenshtein___fast_levenshtein_2.0.6.tgz";
+ url = "https://registry.yarnpkg.com/fast-levenshtein/-/fast-levenshtein-2.0.6.tgz";
+ sha1 = "3d8a5c66883a16a30ca8643e851f19baa7797917";
+ };
+ }
+ {
+ name = "fast_xml_parser___fast_xml_parser_3.17.1.tgz";
+ path = fetchurl {
+ name = "fast_xml_parser___fast_xml_parser_3.17.1.tgz";
+ url = "https://registry.yarnpkg.com/fast-xml-parser/-/fast-xml-parser-3.17.1.tgz";
+ sha1 = "579fa64346cc891ce240d378268c6216e74aab10";
+ };
+ }
+ {
+ name = "figures___figures_2.0.0.tgz";
+ path = fetchurl {
+ name = "figures___figures_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/figures/-/figures-2.0.0.tgz";
+ sha1 = "3ab1a2d2a62c8bfb431a0c94cb797a2fce27c962";
+ };
+ }
+ {
+ name = "file_match___file_match_1.0.2.tgz";
+ path = fetchurl {
+ name = "file_match___file_match_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/file-match/-/file-match-1.0.2.tgz";
+ sha1 = "c9cad265d2c8adf3a81475b0df475859069faef7";
+ };
+ }
+ {
+ name = "file_system___file_system_2.2.2.tgz";
+ path = fetchurl {
+ name = "file_system___file_system_2.2.2.tgz";
+ url = "https://registry.yarnpkg.com/file-system/-/file-system-2.2.2.tgz";
+ sha1 = "7d65833e3a2347dcd956a813c677153ed3edd987";
+ };
+ }
+ {
+ name = "file_uri_to_path___file_uri_to_path_1.0.0.tgz";
+ path = fetchurl {
+ name = "file_uri_to_path___file_uri_to_path_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/file-uri-to-path/-/file-uri-to-path-1.0.0.tgz";
+ sha1 = "553a7b8446ff6f684359c445f1e37a05dacc33dd";
+ };
+ }
+ {
+ name = "filename_regex___filename_regex_2.0.1.tgz";
+ path = fetchurl {
+ name = "filename_regex___filename_regex_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/filename-regex/-/filename-regex-2.0.1.tgz";
+ sha1 = "c1c4b9bee3e09725ddb106b75c1e301fe2f18b26";
+ };
+ }
+ {
+ name = "fill_range___fill_range_2.2.4.tgz";
+ path = fetchurl {
+ name = "fill_range___fill_range_2.2.4.tgz";
+ url = "https://registry.yarnpkg.com/fill-range/-/fill-range-2.2.4.tgz";
+ sha1 = "eb1e773abb056dcd8df2bfdf6af59b8b3a936565";
+ };
+ }
+ {
+ name = "fill_range___fill_range_4.0.0.tgz";
+ path = fetchurl {
+ name = "fill_range___fill_range_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/fill-range/-/fill-range-4.0.0.tgz";
+ sha1 = "d544811d428f98eb06a63dc402d2403c328c38f7";
+ };
+ }
+ {
+ name = "finalhandler___finalhandler_1.1.2.tgz";
+ path = fetchurl {
+ name = "finalhandler___finalhandler_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/finalhandler/-/finalhandler-1.1.2.tgz";
+ sha1 = "b7e7d000ffd11938d0fdb053506f6ebabe9f587d";
+ };
+ }
+ {
+ name = "find_root___find_root_1.1.0.tgz";
+ path = fetchurl {
+ name = "find_root___find_root_1.1.0.tgz";
+ url = "https://registry.yarnpkg.com/find-root/-/find-root-1.1.0.tgz";
+ sha1 = "abcfc8ba76f708c42a97b3d685b7e9450bfb9ce4";
+ };
+ }
+ {
+ name = "fliplog___fliplog_0.3.13.tgz";
+ path = fetchurl {
+ name = "fliplog___fliplog_0.3.13.tgz";
+ url = "https://registry.yarnpkg.com/fliplog/-/fliplog-0.3.13.tgz";
+ sha1 = "dd0d786e821822aae272e0ddc84012596a96154c";
+ };
+ }
+ {
+ name = "for_in___for_in_1.0.2.tgz";
+ path = fetchurl {
+ name = "for_in___for_in_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/for-in/-/for-in-1.0.2.tgz";
+ sha1 = "81068d295a8142ec0ac726c6e2200c30fb6d5e80";
+ };
+ }
+ {
+ name = "for_own___for_own_0.1.5.tgz";
+ path = fetchurl {
+ name = "for_own___for_own_0.1.5.tgz";
+ url = "https://registry.yarnpkg.com/for-own/-/for-own-0.1.5.tgz";
+ sha1 = "5265c681a4f294dabbf17c9509b6763aa84510ce";
+ };
+ }
+ {
+ name = "forever_agent___forever_agent_0.6.1.tgz";
+ path = fetchurl {
+ name = "forever_agent___forever_agent_0.6.1.tgz";
+ url = "https://registry.yarnpkg.com/forever-agent/-/forever-agent-0.6.1.tgz";
+ sha1 = "fbc71f0c41adeb37f96c577ad1ed42d8fdacca91";
+ };
+ }
+ {
+ name = "form_data___form_data_2.3.3.tgz";
+ path = fetchurl {
+ name = "form_data___form_data_2.3.3.tgz";
+ url = "https://registry.yarnpkg.com/form-data/-/form-data-2.3.3.tgz";
+ sha1 = "dcce52c05f644f298c6a7ab936bd724ceffbf3a6";
+ };
+ }
+ {
+ name = "forwarded___forwarded_0.1.2.tgz";
+ path = fetchurl {
+ name = "forwarded___forwarded_0.1.2.tgz";
+ url = "https://registry.yarnpkg.com/forwarded/-/forwarded-0.1.2.tgz";
+ sha1 = "98c23dab1175657b8c0573e8ceccd91b0ff18c84";
+ };
+ }
+ {
+ name = "fragment_cache___fragment_cache_0.2.1.tgz";
+ path = fetchurl {
+ name = "fragment_cache___fragment_cache_0.2.1.tgz";
+ url = "https://registry.yarnpkg.com/fragment-cache/-/fragment-cache-0.2.1.tgz";
+ sha1 = "4290fad27f13e89be7f33799c6bc5a0abfff0d19";
+ };
+ }
+ {
+ name = "fresh___fresh_0.5.2.tgz";
+ path = fetchurl {
+ name = "fresh___fresh_0.5.2.tgz";
+ url = "https://registry.yarnpkg.com/fresh/-/fresh-0.5.2.tgz";
+ sha1 = "3d8cadd90d976569fa835ab1f8e4b23a105605a7";
+ };
+ }
+ {
+ name = "fs_extra___fs_extra_7.0.1.tgz";
+ path = fetchurl {
+ name = "fs_extra___fs_extra_7.0.1.tgz";
+ url = "https://registry.yarnpkg.com/fs-extra/-/fs-extra-7.0.1.tgz";
+ sha1 = "4f189c44aa123b895f722804f55ea23eadc348e9";
+ };
+ }
+ {
+ name = "fs.realpath___fs.realpath_1.0.0.tgz";
+ path = fetchurl {
+ name = "fs.realpath___fs.realpath_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/fs.realpath/-/fs.realpath-1.0.0.tgz";
+ sha1 = "1504ad2523158caa40db4a2787cb01411994ea4f";
+ };
+ }
+ {
+ name = "fsevents___fsevents_1.2.11.tgz";
+ path = fetchurl {
+ name = "fsevents___fsevents_1.2.11.tgz";
+ url = "https://registry.yarnpkg.com/fsevents/-/fsevents-1.2.11.tgz";
+ sha1 = "67bf57f4758f02ede88fb2a1712fef4d15358be3";
+ };
+ }
+ {
+ name = "fstream___fstream_0.1.31.tgz";
+ path = fetchurl {
+ name = "fstream___fstream_0.1.31.tgz";
+ url = "https://registry.yarnpkg.com/fstream/-/fstream-0.1.31.tgz";
+ sha1 = "7337f058fbbbbefa8c9f561a28cab0849202c988";
+ };
+ }
+ {
+ name = "function_bind___function_bind_1.1.1.tgz";
+ path = fetchurl {
+ name = "function_bind___function_bind_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/function-bind/-/function-bind-1.1.1.tgz";
+ sha1 = "a56899d3ea3c9bab874bb9773b7c5ede92f4895d";
+ };
+ }
+ {
+ name = "fuse_box___fuse_box_3.7.1.tgz";
+ path = fetchurl {
+ name = "fuse_box___fuse_box_3.7.1.tgz";
+ url = "https://registry.yarnpkg.com/fuse-box/-/fuse-box-3.7.1.tgz";
+ sha1 = "d32879ceee4c8bcec9bbd8fcfe5b29e7142371cd";
+ };
+ }
+ {
+ name = "fuse_concat_with_sourcemaps___fuse_concat_with_sourcemaps_1.0.5.tgz";
+ path = fetchurl {
+ name = "fuse_concat_with_sourcemaps___fuse_concat_with_sourcemaps_1.0.5.tgz";
+ url = "https://registry.yarnpkg.com/fuse-concat-with-sourcemaps/-/fuse-concat-with-sourcemaps-1.0.5.tgz";
+ sha1 = "9c6a521f675cff5cdbb48db1ca9c181ae49a7b97";
+ };
+ }
+ {
+ name = "fuse.js___fuse.js_6.0.4.tgz";
+ path = fetchurl {
+ name = "fuse.js___fuse.js_6.0.4.tgz";
+ url = "https://registry.yarnpkg.com/fuse.js/-/fuse.js-6.0.4.tgz";
+ sha1 = "9f5af976f836247ad5d2c338090d6ce13cf9a4d2";
+ };
+ }
+ {
+ name = "get_caller_file___get_caller_file_1.0.3.tgz";
+ path = fetchurl {
+ name = "get_caller_file___get_caller_file_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/get-caller-file/-/get-caller-file-1.0.3.tgz";
+ sha1 = "f978fa4c90d1dfe7ff2d6beda2a515e713bdcf4a";
+ };
+ }
+ {
+ name = "get_value___get_value_2.0.6.tgz";
+ path = fetchurl {
+ name = "get_value___get_value_2.0.6.tgz";
+ url = "https://registry.yarnpkg.com/get-value/-/get-value-2.0.6.tgz";
+ sha1 = "dc15ca1c672387ca76bd37ac0a395ba2042a2c28";
+ };
+ }
+ {
+ name = "getopts___getopts_2.2.5.tgz";
+ path = fetchurl {
+ name = "getopts___getopts_2.2.5.tgz";
+ url = "https://registry.yarnpkg.com/getopts/-/getopts-2.2.5.tgz";
+ sha1 = "67a0fe471cacb9c687d817cab6450b96dde8313b";
+ };
+ }
+ {
+ name = "getpass___getpass_0.1.7.tgz";
+ path = fetchurl {
+ name = "getpass___getpass_0.1.7.tgz";
+ url = "https://registry.yarnpkg.com/getpass/-/getpass-0.1.7.tgz";
+ sha1 = "5eff8e3e684d569ae4cb2b1282604e8ba62149fa";
+ };
+ }
+ {
+ name = "glob_base___glob_base_0.3.0.tgz";
+ path = fetchurl {
+ name = "glob_base___glob_base_0.3.0.tgz";
+ url = "https://registry.yarnpkg.com/glob-base/-/glob-base-0.3.0.tgz";
+ sha1 = "dbb164f6221b1c0b1ccf82aea328b497df0ea3c4";
+ };
+ }
+ {
+ name = "glob_parent___glob_parent_2.0.0.tgz";
+ path = fetchurl {
+ name = "glob_parent___glob_parent_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/glob-parent/-/glob-parent-2.0.0.tgz";
+ sha1 = "81383d72db054fcccf5336daa902f182f6edbb28";
+ };
+ }
+ {
+ name = "glob___glob_7.1.6.tgz";
+ path = fetchurl {
+ name = "glob___glob_7.1.6.tgz";
+ url = "https://registry.yarnpkg.com/glob/-/glob-7.1.6.tgz";
+ sha1 = "141f33b81a7c2492e125594307480c46679278a6";
+ };
+ }
+ {
+ name = "good_listener___good_listener_1.2.2.tgz";
+ path = fetchurl {
+ name = "good_listener___good_listener_1.2.2.tgz";
+ url = "https://registry.yarnpkg.com/good-listener/-/good-listener-1.2.2.tgz";
+ sha1 = "d53b30cdf9313dffb7dc9a0d477096aa6d145c50";
+ };
+ }
+ {
+ name = "graceful_fs___graceful_fs_4.2.3.tgz";
+ path = fetchurl {
+ name = "graceful_fs___graceful_fs_4.2.3.tgz";
+ url = "https://registry.yarnpkg.com/graceful-fs/-/graceful-fs-4.2.3.tgz";
+ sha1 = "4a12ff1b60376ef09862c2093edd908328be8423";
+ };
+ }
+ {
+ name = "graceful_fs___graceful_fs_3.0.12.tgz";
+ path = fetchurl {
+ name = "graceful_fs___graceful_fs_3.0.12.tgz";
+ url = "https://registry.yarnpkg.com/graceful-fs/-/graceful-fs-3.0.12.tgz";
+ sha1 = "0034947ce9ed695ec8ab0b854bc919e82b1ffaef";
+ };
+ }
+ {
+ name = "har_schema___har_schema_2.0.0.tgz";
+ path = fetchurl {
+ name = "har_schema___har_schema_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/har-schema/-/har-schema-2.0.0.tgz";
+ sha1 = "a94c2224ebcac04782a0d9035521f24735b7ec92";
+ };
+ }
+ {
+ name = "har_validator___har_validator_5.1.3.tgz";
+ path = fetchurl {
+ name = "har_validator___har_validator_5.1.3.tgz";
+ url = "https://registry.yarnpkg.com/har-validator/-/har-validator-5.1.3.tgz";
+ sha1 = "1ef89ebd3e4996557675eed9893110dc350fa080";
+ };
+ }
+ {
+ name = "has_flag___has_flag_3.0.0.tgz";
+ path = fetchurl {
+ name = "has_flag___has_flag_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/has-flag/-/has-flag-3.0.0.tgz";
+ sha1 = "b5d454dc2199ae225699f3467e5a07f3b955bafd";
+ };
+ }
+ {
+ name = "has_symbols___has_symbols_1.0.1.tgz";
+ path = fetchurl {
+ name = "has_symbols___has_symbols_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/has-symbols/-/has-symbols-1.0.1.tgz";
+ sha1 = "9f5214758a44196c406d9bd76cebf81ec2dd31e8";
+ };
+ }
+ {
+ name = "has_value___has_value_0.3.1.tgz";
+ path = fetchurl {
+ name = "has_value___has_value_0.3.1.tgz";
+ url = "https://registry.yarnpkg.com/has-value/-/has-value-0.3.1.tgz";
+ sha1 = "7b1f58bada62ca827ec0a2078025654845995e1f";
+ };
+ }
+ {
+ name = "has_value___has_value_1.0.0.tgz";
+ path = fetchurl {
+ name = "has_value___has_value_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/has-value/-/has-value-1.0.0.tgz";
+ sha1 = "18b281da585b1c5c51def24c930ed29a0be6b177";
+ };
+ }
+ {
+ name = "has_values___has_values_0.1.4.tgz";
+ path = fetchurl {
+ name = "has_values___has_values_0.1.4.tgz";
+ url = "https://registry.yarnpkg.com/has-values/-/has-values-0.1.4.tgz";
+ sha1 = "6d61de95d91dfca9b9a02089ad384bff8f62b771";
+ };
+ }
+ {
+ name = "has_values___has_values_1.0.0.tgz";
+ path = fetchurl {
+ name = "has_values___has_values_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/has-values/-/has-values-1.0.0.tgz";
+ sha1 = "95b0b63fec2146619a6fe57fe75628d5a39efe4f";
+ };
+ }
+ {
+ name = "has___has_1.0.3.tgz";
+ path = fetchurl {
+ name = "has___has_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/has/-/has-1.0.3.tgz";
+ sha1 = "722d7cbfc1f6aa8241f16dd814e011e1f41e8796";
+ };
+ }
+ {
+ name = "html___html_1.0.0.tgz";
+ path = fetchurl {
+ name = "html___html_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/html/-/html-1.0.0.tgz";
+ sha1 = "a544fa9ea5492bfb3a2cca8210a10be7b5af1f61";
+ };
+ }
+ {
+ name = "http_errors___http_errors_1.7.2.tgz";
+ path = fetchurl {
+ name = "http_errors___http_errors_1.7.2.tgz";
+ url = "https://registry.yarnpkg.com/http-errors/-/http-errors-1.7.2.tgz";
+ sha1 = "4f5029cf13239f31036e5b2e55292bcfbcc85c8f";
+ };
+ }
+ {
+ name = "http_errors___http_errors_1.7.3.tgz";
+ path = fetchurl {
+ name = "http_errors___http_errors_1.7.3.tgz";
+ url = "https://registry.yarnpkg.com/http-errors/-/http-errors-1.7.3.tgz";
+ sha1 = "6c619e4f9c60308c38519498c14fbb10aacebb06";
+ };
+ }
+ {
+ name = "http_signature___http_signature_1.2.0.tgz";
+ path = fetchurl {
+ name = "http_signature___http_signature_1.2.0.tgz";
+ url = "https://registry.yarnpkg.com/http-signature/-/http-signature-1.2.0.tgz";
+ sha1 = "9aecd925114772f3d95b65a60abb8f7c18fbace1";
+ };
+ }
+ {
+ name = "iconv_lite___iconv_lite_0.4.24.tgz";
+ path = fetchurl {
+ name = "iconv_lite___iconv_lite_0.4.24.tgz";
+ url = "https://registry.yarnpkg.com/iconv-lite/-/iconv-lite-0.4.24.tgz";
+ sha1 = "2022b4b25fbddc21d2f524974a474aafe733908b";
+ };
+ }
+ {
+ name = "ie_array_find_polyfill___ie_array_find_polyfill_1.1.0.tgz";
+ path = fetchurl {
+ name = "ie_array_find_polyfill___ie_array_find_polyfill_1.1.0.tgz";
+ url = "https://registry.yarnpkg.com/ie-array-find-polyfill/-/ie-array-find-polyfill-1.1.0.tgz";
+ sha1 = "5078e533f026831da22bd7476513d9460d65a142";
+ };
+ }
+ {
+ name = "ieee754___ieee754_1.1.13.tgz";
+ path = fetchurl {
+ name = "ieee754___ieee754_1.1.13.tgz";
+ url = "https://registry.yarnpkg.com/ieee754/-/ieee754-1.1.13.tgz";
+ sha1 = "ec168558e95aa181fd87d37f55c32bbcb6708b84";
+ };
+ }
+ {
+ name = "import_fresh___import_fresh_3.2.1.tgz";
+ path = fetchurl {
+ name = "import_fresh___import_fresh_3.2.1.tgz";
+ url = "https://registry.yarnpkg.com/import-fresh/-/import-fresh-3.2.1.tgz";
+ sha1 = "633ff618506e793af5ac91bf48b72677e15cbe66";
+ };
+ }
+ {
+ name = "inflight___inflight_1.0.6.tgz";
+ path = fetchurl {
+ name = "inflight___inflight_1.0.6.tgz";
+ url = "https://registry.yarnpkg.com/inflight/-/inflight-1.0.6.tgz";
+ sha1 = "49bd6331d7d02d0c09bc910a1075ba8165b56df9";
+ };
+ }
+ {
+ name = "inherits___inherits_2.0.4.tgz";
+ path = fetchurl {
+ name = "inherits___inherits_2.0.4.tgz";
+ url = "https://registry.yarnpkg.com/inherits/-/inherits-2.0.4.tgz";
+ sha1 = "0fa2c64f932917c3433a0ded55363aae37416b7c";
+ };
+ }
+ {
+ name = "inherits___inherits_2.0.3.tgz";
+ path = fetchurl {
+ name = "inherits___inherits_2.0.3.tgz";
+ url = "https://registry.yarnpkg.com/inherits/-/inherits-2.0.3.tgz";
+ sha1 = "633c2c83e3da42a502f52466022480f4208261de";
+ };
+ }
+ {
+ name = "inquirer___inquirer_3.3.0.tgz";
+ path = fetchurl {
+ name = "inquirer___inquirer_3.3.0.tgz";
+ url = "https://registry.yarnpkg.com/inquirer/-/inquirer-3.3.0.tgz";
+ sha1 = "9dd2f2ad765dcab1ff0443b491442a20ba227dc9";
+ };
+ }
+ {
+ name = "ipaddr.js___ipaddr.js_1.9.1.tgz";
+ path = fetchurl {
+ name = "ipaddr.js___ipaddr.js_1.9.1.tgz";
+ url = "https://registry.yarnpkg.com/ipaddr.js/-/ipaddr.js-1.9.1.tgz";
+ sha1 = "bff38543eeb8984825079ff3a2a8e6cbd46781b3";
+ };
+ }
+ {
+ name = "is_accessor_descriptor___is_accessor_descriptor_0.1.6.tgz";
+ path = fetchurl {
+ name = "is_accessor_descriptor___is_accessor_descriptor_0.1.6.tgz";
+ url = "https://registry.yarnpkg.com/is-accessor-descriptor/-/is-accessor-descriptor-0.1.6.tgz";
+ sha1 = "a9e12cb3ae8d876727eeef3843f8a0897b5c98d6";
+ };
+ }
+ {
+ name = "is_accessor_descriptor___is_accessor_descriptor_1.0.0.tgz";
+ path = fetchurl {
+ name = "is_accessor_descriptor___is_accessor_descriptor_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/is-accessor-descriptor/-/is-accessor-descriptor-1.0.0.tgz";
+ sha1 = "169c2f6d3df1f992618072365c9b0ea1f6878656";
+ };
+ }
+ {
+ name = "is_arrayish___is_arrayish_0.2.1.tgz";
+ path = fetchurl {
+ name = "is_arrayish___is_arrayish_0.2.1.tgz";
+ url = "https://registry.yarnpkg.com/is-arrayish/-/is-arrayish-0.2.1.tgz";
+ sha1 = "77c99840527aa8ecb1a8ba697b80645a7a926a9d";
+ };
+ }
+ {
+ name = "is_binary_path___is_binary_path_1.0.1.tgz";
+ path = fetchurl {
+ name = "is_binary_path___is_binary_path_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/is-binary-path/-/is-binary-path-1.0.1.tgz";
+ sha1 = "75f16642b480f187a711c814161fd3a4a7655898";
+ };
+ }
+ {
+ name = "is_buffer___is_buffer_1.1.6.tgz";
+ path = fetchurl {
+ name = "is_buffer___is_buffer_1.1.6.tgz";
+ url = "https://registry.yarnpkg.com/is-buffer/-/is-buffer-1.1.6.tgz";
+ sha1 = "efaa2ea9daa0d7ab2ea13a97b2b8ad51fefbe8be";
+ };
+ }
+ {
+ name = "is_callable___is_callable_1.2.0.tgz";
+ path = fetchurl {
+ name = "is_callable___is_callable_1.2.0.tgz";
+ url = "https://registry.yarnpkg.com/is-callable/-/is-callable-1.2.0.tgz";
+ sha1 = "83336560b54a38e35e3a2df7afd0454d691468bb";
+ };
+ }
+ {
+ name = "is_data_descriptor___is_data_descriptor_0.1.4.tgz";
+ path = fetchurl {
+ name = "is_data_descriptor___is_data_descriptor_0.1.4.tgz";
+ url = "https://registry.yarnpkg.com/is-data-descriptor/-/is-data-descriptor-0.1.4.tgz";
+ sha1 = "0b5ee648388e2c860282e793f1856fec3f301b56";
+ };
+ }
+ {
+ name = "is_data_descriptor___is_data_descriptor_1.0.0.tgz";
+ path = fetchurl {
+ name = "is_data_descriptor___is_data_descriptor_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/is-data-descriptor/-/is-data-descriptor-1.0.0.tgz";
+ sha1 = "d84876321d0e7add03990406abbbbd36ba9268c7";
+ };
+ }
+ {
+ name = "is_date_object___is_date_object_1.0.2.tgz";
+ path = fetchurl {
+ name = "is_date_object___is_date_object_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/is-date-object/-/is-date-object-1.0.2.tgz";
+ sha1 = "bda736f2cd8fd06d32844e7743bfa7494c3bfd7e";
+ };
+ }
+ {
+ name = "is_descriptor___is_descriptor_0.1.6.tgz";
+ path = fetchurl {
+ name = "is_descriptor___is_descriptor_0.1.6.tgz";
+ url = "https://registry.yarnpkg.com/is-descriptor/-/is-descriptor-0.1.6.tgz";
+ sha1 = "366d8240dde487ca51823b1ab9f07a10a78251ca";
+ };
+ }
+ {
+ name = "is_descriptor___is_descriptor_1.0.2.tgz";
+ path = fetchurl {
+ name = "is_descriptor___is_descriptor_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/is-descriptor/-/is-descriptor-1.0.2.tgz";
+ sha1 = "3b159746a66604b04f8c81524ba365c5f14d86ec";
+ };
+ }
+ {
+ name = "is_dotfile___is_dotfile_1.0.3.tgz";
+ path = fetchurl {
+ name = "is_dotfile___is_dotfile_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/is-dotfile/-/is-dotfile-1.0.3.tgz";
+ sha1 = "a6a2f32ffd2dfb04f5ca25ecd0f6b83cf798a1e1";
+ };
+ }
+ {
+ name = "is_equal_shallow___is_equal_shallow_0.1.3.tgz";
+ path = fetchurl {
+ name = "is_equal_shallow___is_equal_shallow_0.1.3.tgz";
+ url = "https://registry.yarnpkg.com/is-equal-shallow/-/is-equal-shallow-0.1.3.tgz";
+ sha1 = "2238098fc221de0bcfa5d9eac4c45d638aa1c534";
+ };
+ }
+ {
+ name = "is_extendable___is_extendable_0.1.1.tgz";
+ path = fetchurl {
+ name = "is_extendable___is_extendable_0.1.1.tgz";
+ url = "https://registry.yarnpkg.com/is-extendable/-/is-extendable-0.1.1.tgz";
+ sha1 = "62b110e289a471418e3ec36a617d472e301dfc89";
+ };
+ }
+ {
+ name = "is_extendable___is_extendable_1.0.1.tgz";
+ path = fetchurl {
+ name = "is_extendable___is_extendable_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/is-extendable/-/is-extendable-1.0.1.tgz";
+ sha1 = "a7470f9e426733d81bd81e1155264e3a3507cab4";
+ };
+ }
+ {
+ name = "is_extglob___is_extglob_1.0.0.tgz";
+ path = fetchurl {
+ name = "is_extglob___is_extglob_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/is-extglob/-/is-extglob-1.0.0.tgz";
+ sha1 = "ac468177c4943405a092fc8f29760c6ffc6206c0";
+ };
+ }
+ {
+ name = "is_fullwidth_code_point___is_fullwidth_code_point_2.0.0.tgz";
+ path = fetchurl {
+ name = "is_fullwidth_code_point___is_fullwidth_code_point_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-2.0.0.tgz";
+ sha1 = "a3b30a5c4f199183167aaab93beefae3ddfb654f";
+ };
+ }
+ {
+ name = "is_glob___is_glob_2.0.1.tgz";
+ path = fetchurl {
+ name = "is_glob___is_glob_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/is-glob/-/is-glob-2.0.1.tgz";
+ sha1 = "d096f926a3ded5600f3fdfd91198cb0888c2d863";
+ };
+ }
+ {
+ name = "is_number___is_number_2.1.0.tgz";
+ path = fetchurl {
+ name = "is_number___is_number_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/is-number/-/is-number-2.1.0.tgz";
+ sha1 = "01fcbbb393463a548f2f466cce16dece49db908f";
+ };
+ }
+ {
+ name = "is_number___is_number_3.0.0.tgz";
+ path = fetchurl {
+ name = "is_number___is_number_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/is-number/-/is-number-3.0.0.tgz";
+ sha1 = "24fd6201a4782cf50561c810276afc7d12d71195";
+ };
+ }
+ {
+ name = "is_number___is_number_4.0.0.tgz";
+ path = fetchurl {
+ name = "is_number___is_number_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/is-number/-/is-number-4.0.0.tgz";
+ sha1 = "0026e37f5454d73e356dfe6564699867c6a7f0ff";
+ };
+ }
+ {
+ name = "is_plain_object___is_plain_object_2.0.4.tgz";
+ path = fetchurl {
+ name = "is_plain_object___is_plain_object_2.0.4.tgz";
+ url = "https://registry.yarnpkg.com/is-plain-object/-/is-plain-object-2.0.4.tgz";
+ sha1 = "2c163b3fafb1b606d9d17928f05c2a1c38e07677";
+ };
+ }
+ {
+ name = "is_posix_bracket___is_posix_bracket_0.1.1.tgz";
+ path = fetchurl {
+ name = "is_posix_bracket___is_posix_bracket_0.1.1.tgz";
+ url = "https://registry.yarnpkg.com/is-posix-bracket/-/is-posix-bracket-0.1.1.tgz";
+ sha1 = "3334dc79774368e92f016e6fbc0a88f5cd6e6bc4";
+ };
+ }
+ {
+ name = "is_primitive___is_primitive_2.0.0.tgz";
+ path = fetchurl {
+ name = "is_primitive___is_primitive_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/is-primitive/-/is-primitive-2.0.0.tgz";
+ sha1 = "207bab91638499c07b2adf240a41a87210034575";
+ };
+ }
+ {
+ name = "is_promise___is_promise_2.1.0.tgz";
+ path = fetchurl {
+ name = "is_promise___is_promise_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/is-promise/-/is-promise-2.1.0.tgz";
+ sha1 = "79a2a9ece7f096e80f36d2b2f3bc16c1ff4bf3fa";
+ };
+ }
+ {
+ name = "is_regex___is_regex_1.1.0.tgz";
+ path = fetchurl {
+ name = "is_regex___is_regex_1.1.0.tgz";
+ url = "https://registry.yarnpkg.com/is-regex/-/is-regex-1.1.0.tgz";
+ sha1 = "ece38e389e490df0dc21caea2bd596f987f767ff";
+ };
+ }
+ {
+ name = "is_symbol___is_symbol_1.0.3.tgz";
+ path = fetchurl {
+ name = "is_symbol___is_symbol_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/is-symbol/-/is-symbol-1.0.3.tgz";
+ sha1 = "38e1014b9e6329be0de9d24a414fd7441ec61937";
+ };
+ }
+ {
+ name = "is_typedarray___is_typedarray_1.0.0.tgz";
+ path = fetchurl {
+ name = "is_typedarray___is_typedarray_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/is-typedarray/-/is-typedarray-1.0.0.tgz";
+ sha1 = "e479c80858df0c1b11ddda6940f96011fcda4a9a";
+ };
+ }
+ {
+ name = "is_windows___is_windows_1.0.2.tgz";
+ path = fetchurl {
+ name = "is_windows___is_windows_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/is-windows/-/is-windows-1.0.2.tgz";
+ sha1 = "d1850eb9791ecd18e6182ce12a30f396634bb19d";
+ };
+ }
+ {
+ name = "isarray___isarray_0.0.1.tgz";
+ path = fetchurl {
+ name = "isarray___isarray_0.0.1.tgz";
+ url = "https://registry.yarnpkg.com/isarray/-/isarray-0.0.1.tgz";
+ sha1 = "8a18acfca9a8f4177e09abfc6038939b05d1eedf";
+ };
+ }
+ {
+ name = "isarray___isarray_1.0.0.tgz";
+ path = fetchurl {
+ name = "isarray___isarray_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/isarray/-/isarray-1.0.0.tgz";
+ sha1 = "bb935d48582cba168c06834957a54a3e07124f11";
+ };
+ }
+ {
+ name = "isobject___isobject_2.1.0.tgz";
+ path = fetchurl {
+ name = "isobject___isobject_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/isobject/-/isobject-2.1.0.tgz";
+ sha1 = "f065561096a3f1da2ef46272f815c840d87e0c89";
+ };
+ }
+ {
+ name = "isobject___isobject_3.0.1.tgz";
+ path = fetchurl {
+ name = "isobject___isobject_3.0.1.tgz";
+ url = "https://registry.yarnpkg.com/isobject/-/isobject-3.0.1.tgz";
+ sha1 = "4e431e92b11a9731636aa1f9c8d1ccbcfdab78df";
+ };
+ }
+ {
+ name = "isstream___isstream_0.1.2.tgz";
+ path = fetchurl {
+ name = "isstream___isstream_0.1.2.tgz";
+ url = "https://registry.yarnpkg.com/isstream/-/isstream-0.1.2.tgz";
+ sha1 = "47e63f7af55afa6f92e1500e690eb8b8529c099a";
+ };
+ }
+ {
+ name = "js_tokens___js_tokens_4.0.0.tgz";
+ path = fetchurl {
+ name = "js_tokens___js_tokens_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/js-tokens/-/js-tokens-4.0.0.tgz";
+ sha1 = "19203fb59991df98e3a287050d4647cdeaf32499";
+ };
+ }
+ {
+ name = "js_yaml___js_yaml_3.13.1.tgz";
+ path = fetchurl {
+ name = "js_yaml___js_yaml_3.13.1.tgz";
+ url = "https://registry.yarnpkg.com/js-yaml/-/js-yaml-3.13.1.tgz";
+ sha1 = "aff151b30bfdfa8e49e05da22e7415e9dfa37847";
+ };
+ }
+ {
+ name = "jsbn___jsbn_0.1.1.tgz";
+ path = fetchurl {
+ name = "jsbn___jsbn_0.1.1.tgz";
+ url = "https://registry.yarnpkg.com/jsbn/-/jsbn-0.1.1.tgz";
+ sha1 = "a5e654c2e5a2deb5f201d96cefbca80c0ef2f513";
+ };
+ }
+ {
+ name = "jsesc___jsesc_0.5.0.tgz";
+ path = fetchurl {
+ name = "jsesc___jsesc_0.5.0.tgz";
+ url = "https://registry.yarnpkg.com/jsesc/-/jsesc-0.5.0.tgz";
+ sha1 = "e7dee66e35d6fc16f710fe91d5cf69f70f08911d";
+ };
+ }
+ {
+ name = "json_parse_better_errors___json_parse_better_errors_1.0.2.tgz";
+ path = fetchurl {
+ name = "json_parse_better_errors___json_parse_better_errors_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/json-parse-better-errors/-/json-parse-better-errors-1.0.2.tgz";
+ sha1 = "bb867cfb3450e69107c131d1c514bab3dc8bcaa9";
+ };
+ }
+ {
+ name = "json_schema_traverse___json_schema_traverse_0.4.1.tgz";
+ path = fetchurl {
+ name = "json_schema_traverse___json_schema_traverse_0.4.1.tgz";
+ url = "https://registry.yarnpkg.com/json-schema-traverse/-/json-schema-traverse-0.4.1.tgz";
+ sha1 = "69f6a87d9513ab8bb8fe63bdb0979c448e684660";
+ };
+ }
+ {
+ name = "json_schema___json_schema_0.2.3.tgz";
+ path = fetchurl {
+ name = "json_schema___json_schema_0.2.3.tgz";
+ url = "https://registry.yarnpkg.com/json-schema/-/json-schema-0.2.3.tgz";
+ sha1 = "b480c892e59a2f05954ce727bd3f2a4e882f9e13";
+ };
+ }
+ {
+ name = "json_stringify_safe___json_stringify_safe_5.0.1.tgz";
+ path = fetchurl {
+ name = "json_stringify_safe___json_stringify_safe_5.0.1.tgz";
+ url = "https://registry.yarnpkg.com/json-stringify-safe/-/json-stringify-safe-5.0.1.tgz";
+ sha1 = "1296a2d58fd45f19a0f6ce01d65701e2c735b6eb";
+ };
+ }
+ {
+ name = "jsondiffpatch___jsondiffpatch_0.3.11.tgz";
+ path = fetchurl {
+ name = "jsondiffpatch___jsondiffpatch_0.3.11.tgz";
+ url = "https://registry.yarnpkg.com/jsondiffpatch/-/jsondiffpatch-0.3.11.tgz";
+ sha1 = "43f9443a0d081b5f79d413fe20f302079e493201";
+ };
+ }
+ {
+ name = "jsonfile___jsonfile_4.0.0.tgz";
+ path = fetchurl {
+ name = "jsonfile___jsonfile_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/jsonfile/-/jsonfile-4.0.0.tgz";
+ sha1 = "8771aae0799b64076b76640fca058f9c10e33ecb";
+ };
+ }
+ {
+ name = "jsprim___jsprim_1.4.1.tgz";
+ path = fetchurl {
+ name = "jsprim___jsprim_1.4.1.tgz";
+ url = "https://registry.yarnpkg.com/jsprim/-/jsprim-1.4.1.tgz";
+ sha1 = "313e66bc1e5cc06e438bc1b7499c2e5c56acb6a2";
+ };
+ }
+ {
+ name = "kind_of___kind_of_3.2.2.tgz";
+ path = fetchurl {
+ name = "kind_of___kind_of_3.2.2.tgz";
+ url = "https://registry.yarnpkg.com/kind-of/-/kind-of-3.2.2.tgz";
+ sha1 = "31ea21a734bab9bbb0f32466d893aea51e4a3c64";
+ };
+ }
+ {
+ name = "kind_of___kind_of_4.0.0.tgz";
+ path = fetchurl {
+ name = "kind_of___kind_of_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/kind-of/-/kind-of-4.0.0.tgz";
+ sha1 = "20813df3d712928b207378691a45066fae72dd57";
+ };
+ }
+ {
+ name = "kind_of___kind_of_5.1.0.tgz";
+ path = fetchurl {
+ name = "kind_of___kind_of_5.1.0.tgz";
+ url = "https://registry.yarnpkg.com/kind-of/-/kind-of-5.1.0.tgz";
+ sha1 = "729c91e2d857b7a419a1f9aa65685c4c33f5845d";
+ };
+ }
+ {
+ name = "kind_of___kind_of_6.0.3.tgz";
+ path = fetchurl {
+ name = "kind_of___kind_of_6.0.3.tgz";
+ url = "https://registry.yarnpkg.com/kind-of/-/kind-of-6.0.3.tgz";
+ sha1 = "07c05034a6c349fa06e24fa35aa76db4580ce4dd";
+ };
+ }
+ {
+ name = "lego_api___lego_api_1.0.8.tgz";
+ path = fetchurl {
+ name = "lego_api___lego_api_1.0.8.tgz";
+ url = "https://registry.yarnpkg.com/lego-api/-/lego-api-1.0.8.tgz";
+ sha1 = "5e26be726c5e11d540f89e7c6b1abf8c5834bd01";
+ };
+ }
+ {
+ name = "levn___levn_0.3.0.tgz";
+ path = fetchurl {
+ name = "levn___levn_0.3.0.tgz";
+ url = "https://registry.yarnpkg.com/levn/-/levn-0.3.0.tgz";
+ sha1 = "3b09924edf9f083c0490fdd4c0bc4421e04764ee";
+ };
+ }
+ {
+ name = "lines_and_columns___lines_and_columns_1.1.6.tgz";
+ path = fetchurl {
+ name = "lines_and_columns___lines_and_columns_1.1.6.tgz";
+ url = "https://registry.yarnpkg.com/lines-and-columns/-/lines-and-columns-1.1.6.tgz";
+ sha1 = "1c00c743b433cd0a4e80758f7b64a57440d9ff00";
+ };
+ }
+ {
+ name = "lodash._getnative___lodash._getnative_3.9.1.tgz";
+ path = fetchurl {
+ name = "lodash._getnative___lodash._getnative_3.9.1.tgz";
+ url = "https://registry.yarnpkg.com/lodash._getnative/-/lodash._getnative-3.9.1.tgz";
+ sha1 = "570bc7dede46d61cdcde687d65d3eecbaa3aaff5";
+ };
+ }
+ {
+ name = "lodash.curry___lodash.curry_4.1.1.tgz";
+ path = fetchurl {
+ name = "lodash.curry___lodash.curry_4.1.1.tgz";
+ url = "https://registry.yarnpkg.com/lodash.curry/-/lodash.curry-4.1.1.tgz";
+ sha1 = "248e36072ede906501d75966200a86dab8b23170";
+ };
+ }
+ {
+ name = "lodash.debounce___lodash.debounce_3.1.1.tgz";
+ path = fetchurl {
+ name = "lodash.debounce___lodash.debounce_3.1.1.tgz";
+ url = "https://registry.yarnpkg.com/lodash.debounce/-/lodash.debounce-3.1.1.tgz";
+ sha1 = "812211c378a94cc29d5aa4e3346cf0bfce3a7df5";
+ };
+ }
+ {
+ name = "lodash.debounce___lodash.debounce_4.0.8.tgz";
+ path = fetchurl {
+ name = "lodash.debounce___lodash.debounce_4.0.8.tgz";
+ url = "https://registry.yarnpkg.com/lodash.debounce/-/lodash.debounce-4.0.8.tgz";
+ sha1 = "82d79bff30a67c4005ffd5e2515300ad9ca4d7af";
+ };
+ }
+ {
+ name = "lodash.flow___lodash.flow_3.5.0.tgz";
+ path = fetchurl {
+ name = "lodash.flow___lodash.flow_3.5.0.tgz";
+ url = "https://registry.yarnpkg.com/lodash.flow/-/lodash.flow-3.5.0.tgz";
+ sha1 = "87bf40292b8cf83e4e8ce1a3ae4209e20071675a";
+ };
+ }
+ {
+ name = "lodash.uniqby___lodash.uniqby_4.7.0.tgz";
+ path = fetchurl {
+ name = "lodash.uniqby___lodash.uniqby_4.7.0.tgz";
+ url = "https://registry.yarnpkg.com/lodash.uniqby/-/lodash.uniqby-4.7.0.tgz";
+ sha1 = "d99c07a669e9e6d24e1362dfe266c67616af1302";
+ };
+ }
+ {
+ name = "lodash___lodash_4.17.15.tgz";
+ path = fetchurl {
+ name = "lodash___lodash_4.17.15.tgz";
+ url = "https://registry.yarnpkg.com/lodash/-/lodash-4.17.15.tgz";
+ sha1 = "b447f6670a0455bbfeedd11392eff330ea097548";
+ };
+ }
+ {
+ name = "loose_envify___loose_envify_1.4.0.tgz";
+ path = fetchurl {
+ name = "loose_envify___loose_envify_1.4.0.tgz";
+ url = "https://registry.yarnpkg.com/loose-envify/-/loose-envify-1.4.0.tgz";
+ sha1 = "71ee51fa7be4caec1a63839f7e682d8132d30caf";
+ };
+ }
+ {
+ name = "make_error___make_error_1.3.6.tgz";
+ path = fetchurl {
+ name = "make_error___make_error_1.3.6.tgz";
+ url = "https://registry.yarnpkg.com/make-error/-/make-error-1.3.6.tgz";
+ sha1 = "2eb2e37ea9b67c4891f684a1394799af484cf7a2";
+ };
+ }
+ {
+ name = "map_cache___map_cache_0.2.2.tgz";
+ path = fetchurl {
+ name = "map_cache___map_cache_0.2.2.tgz";
+ url = "https://registry.yarnpkg.com/map-cache/-/map-cache-0.2.2.tgz";
+ sha1 = "c32abd0bd6525d9b051645bb4f26ac5dc98a0dbf";
+ };
+ }
+ {
+ name = "map_visit___map_visit_1.0.0.tgz";
+ path = fetchurl {
+ name = "map_visit___map_visit_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/map-visit/-/map-visit-1.0.0.tgz";
+ sha1 = "ecdca8f13144e660f1b5bd41f12f3479d98dfb8f";
+ };
+ }
+ {
+ name = "match_stream___match_stream_0.0.2.tgz";
+ path = fetchurl {
+ name = "match_stream___match_stream_0.0.2.tgz";
+ url = "https://registry.yarnpkg.com/match-stream/-/match-stream-0.0.2.tgz";
+ sha1 = "99eb050093b34dffade421b9ac0b410a9cfa17cf";
+ };
+ }
+ {
+ name = "math_random___math_random_1.0.4.tgz";
+ path = fetchurl {
+ name = "math_random___math_random_1.0.4.tgz";
+ url = "https://registry.yarnpkg.com/math-random/-/math-random-1.0.4.tgz";
+ sha1 = "5dd6943c938548267016d4e34f057583080c514c";
+ };
+ }
+ {
+ name = "media_typer___media_typer_0.3.0.tgz";
+ path = fetchurl {
+ name = "media_typer___media_typer_0.3.0.tgz";
+ url = "https://registry.yarnpkg.com/media-typer/-/media-typer-0.3.0.tgz";
+ sha1 = "8710d7af0aa626f8fffa1ce00168545263255748";
+ };
+ }
+ {
+ name = "memoize_one___memoize_one_5.1.1.tgz";
+ path = fetchurl {
+ name = "memoize_one___memoize_one_5.1.1.tgz";
+ url = "https://registry.yarnpkg.com/memoize-one/-/memoize-one-5.1.1.tgz";
+ sha1 = "047b6e3199b508eaec03504de71229b8eb1d75c0";
+ };
+ }
+ {
+ name = "merge_descriptors___merge_descriptors_1.0.1.tgz";
+ path = fetchurl {
+ name = "merge_descriptors___merge_descriptors_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/merge-descriptors/-/merge-descriptors-1.0.1.tgz";
+ sha1 = "b00aaa556dd8b44568150ec9d1b953f3f90cbb61";
+ };
+ }
+ {
+ name = "merge___merge_1.2.1.tgz";
+ path = fetchurl {
+ name = "merge___merge_1.2.1.tgz";
+ url = "https://registry.yarnpkg.com/merge/-/merge-1.2.1.tgz";
+ sha1 = "38bebf80c3220a8a487b6fcfb3941bb11720c145";
+ };
+ }
+ {
+ name = "methods___methods_1.1.2.tgz";
+ path = fetchurl {
+ name = "methods___methods_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/methods/-/methods-1.1.2.tgz";
+ sha1 = "5529a4d67654134edcc5266656835b0f851afcee";
+ };
+ }
+ {
+ name = "micromatch___micromatch_2.3.11.tgz";
+ path = fetchurl {
+ name = "micromatch___micromatch_2.3.11.tgz";
+ url = "https://registry.yarnpkg.com/micromatch/-/micromatch-2.3.11.tgz";
+ sha1 = "86677c97d1720b363431d04d0d15293bd38c1565";
+ };
+ }
+ {
+ name = "micromatch___micromatch_3.1.10.tgz";
+ path = fetchurl {
+ name = "micromatch___micromatch_3.1.10.tgz";
+ url = "https://registry.yarnpkg.com/micromatch/-/micromatch-3.1.10.tgz";
+ sha1 = "70859bc95c9840952f359a068a3fc49f9ecfac23";
+ };
+ }
+ {
+ name = "mime_db___mime_db_1.43.0.tgz";
+ path = fetchurl {
+ name = "mime_db___mime_db_1.43.0.tgz";
+ url = "https://registry.yarnpkg.com/mime-db/-/mime-db-1.43.0.tgz";
+ sha1 = "0a12e0502650e473d735535050e7c8f4eb4fae58";
+ };
+ }
+ {
+ name = "mime_types___mime_types_2.1.26.tgz";
+ path = fetchurl {
+ name = "mime_types___mime_types_2.1.26.tgz";
+ url = "https://registry.yarnpkg.com/mime-types/-/mime-types-2.1.26.tgz";
+ sha1 = "9c921fc09b7e149a65dfdc0da4d20997200b0a06";
+ };
+ }
+ {
+ name = "mime___mime_1.6.0.tgz";
+ path = fetchurl {
+ name = "mime___mime_1.6.0.tgz";
+ url = "https://registry.yarnpkg.com/mime/-/mime-1.6.0.tgz";
+ sha1 = "32cd9e5c64553bd58d19a568af452acff04981b1";
+ };
+ }
+ {
+ name = "mimic_fn___mimic_fn_1.2.0.tgz";
+ path = fetchurl {
+ name = "mimic_fn___mimic_fn_1.2.0.tgz";
+ url = "https://registry.yarnpkg.com/mimic-fn/-/mimic-fn-1.2.0.tgz";
+ sha1 = "820c86a39334640e99516928bd03fca88057d022";
+ };
+ }
+ {
+ name = "minimatch___minimatch_3.0.4.tgz";
+ path = fetchurl {
+ name = "minimatch___minimatch_3.0.4.tgz";
+ url = "https://registry.yarnpkg.com/minimatch/-/minimatch-3.0.4.tgz";
+ sha1 = "5166e286457f03306064be5497e8dbb0c3d32083";
+ };
+ }
+ {
+ name = "minimist___minimist_0.0.8.tgz";
+ path = fetchurl {
+ name = "minimist___minimist_0.0.8.tgz";
+ url = "https://registry.yarnpkg.com/minimist/-/minimist-0.0.8.tgz";
+ sha1 = "857fcabfc3397d2625b8228262e86aa7a011b05d";
+ };
+ }
+ {
+ name = "minimist___minimist_1.2.0.tgz";
+ path = fetchurl {
+ name = "minimist___minimist_1.2.0.tgz";
+ url = "https://registry.yarnpkg.com/minimist/-/minimist-1.2.0.tgz";
+ sha1 = "a35008b20f41383eec1fb914f4cd5df79a264284";
+ };
+ }
+ {
+ name = "minimist___minimist_1.2.5.tgz";
+ path = fetchurl {
+ name = "minimist___minimist_1.2.5.tgz";
+ url = "https://registry.yarnpkg.com/minimist/-/minimist-1.2.5.tgz";
+ sha1 = "67d66014b66a6a8aaa0c083c5fd58df4e4e97602";
+ };
+ }
+ {
+ name = "mixin_deep___mixin_deep_1.3.2.tgz";
+ path = fetchurl {
+ name = "mixin_deep___mixin_deep_1.3.2.tgz";
+ url = "https://registry.yarnpkg.com/mixin-deep/-/mixin-deep-1.3.2.tgz";
+ sha1 = "1120b43dc359a785dce65b55b82e257ccf479566";
+ };
+ }
+ {
+ name = "mkdirp___mkdirp_0.5.5.tgz";
+ path = fetchurl {
+ name = "mkdirp___mkdirp_0.5.5.tgz";
+ url = "https://registry.yarnpkg.com/mkdirp/-/mkdirp-0.5.5.tgz";
+ sha1 = "d91cefd62d1436ca0f41620e251288d420099def";
+ };
+ }
+ {
+ name = "mkdirp___mkdirp_0.5.1.tgz";
+ path = fetchurl {
+ name = "mkdirp___mkdirp_0.5.1.tgz";
+ url = "https://registry.yarnpkg.com/mkdirp/-/mkdirp-0.5.1.tgz";
+ sha1 = "30057438eac6cf7f8c4767f38648d6697d75c903";
+ };
+ }
+ {
+ name = "mock_require___mock_require_3.0.3.tgz";
+ path = fetchurl {
+ name = "mock_require___mock_require_3.0.3.tgz";
+ url = "https://registry.yarnpkg.com/mock-require/-/mock-require-3.0.3.tgz";
+ sha1 = "ccd544d9eae81dd576b3f219f69ec867318a1946";
+ };
+ }
+ {
+ name = "ms___ms_2.0.0.tgz";
+ path = fetchurl {
+ name = "ms___ms_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/ms/-/ms-2.0.0.tgz";
+ sha1 = "5608aeadfc00be6c2901df5f9861788de0d597c8";
+ };
+ }
+ {
+ name = "ms___ms_2.1.1.tgz";
+ path = fetchurl {
+ name = "ms___ms_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/ms/-/ms-2.1.1.tgz";
+ sha1 = "30a5864eb3ebb0a66f2ebe6d727af06a09d86e0a";
+ };
+ }
+ {
+ name = "mustache___mustache_2.3.2.tgz";
+ path = fetchurl {
+ name = "mustache___mustache_2.3.2.tgz";
+ url = "https://registry.yarnpkg.com/mustache/-/mustache-2.3.2.tgz";
+ sha1 = "a6d4d9c3f91d13359ab889a812954f9230a3d0c5";
+ };
+ }
+ {
+ name = "mute_stream___mute_stream_0.0.7.tgz";
+ path = fetchurl {
+ name = "mute_stream___mute_stream_0.0.7.tgz";
+ url = "https://registry.yarnpkg.com/mute-stream/-/mute-stream-0.0.7.tgz";
+ sha1 = "3075ce93bc21b8fab43e1bc4da7e8115ed1e7bab";
+ };
+ }
+ {
+ name = "nan___nan_2.14.0.tgz";
+ path = fetchurl {
+ name = "nan___nan_2.14.0.tgz";
+ url = "https://registry.yarnpkg.com/nan/-/nan-2.14.0.tgz";
+ sha1 = "7818f722027b2459a86f0295d434d1fc2336c52c";
+ };
+ }
+ {
+ name = "nanomatch___nanomatch_1.2.13.tgz";
+ path = fetchurl {
+ name = "nanomatch___nanomatch_1.2.13.tgz";
+ url = "https://registry.yarnpkg.com/nanomatch/-/nanomatch-1.2.13.tgz";
+ sha1 = "b87a8aa4fc0de8fe6be88895b38983ff265bd119";
+ };
+ }
+ {
+ name = "nanoseconds___nanoseconds_0.1.0.tgz";
+ path = fetchurl {
+ name = "nanoseconds___nanoseconds_0.1.0.tgz";
+ url = "https://registry.yarnpkg.com/nanoseconds/-/nanoseconds-0.1.0.tgz";
+ sha1 = "69ec39fcd00e77ab3a72de0a43342824cd79233a";
+ };
+ }
+ {
+ name = "natives___natives_1.1.6.tgz";
+ path = fetchurl {
+ name = "natives___natives_1.1.6.tgz";
+ url = "https://registry.yarnpkg.com/natives/-/natives-1.1.6.tgz";
+ sha1 = "a603b4a498ab77173612b9ea1acdec4d980f00bb";
+ };
+ }
+ {
+ name = "negotiator___negotiator_0.6.2.tgz";
+ path = fetchurl {
+ name = "negotiator___negotiator_0.6.2.tgz";
+ url = "https://registry.yarnpkg.com/negotiator/-/negotiator-0.6.2.tgz";
+ sha1 = "feacf7ccf525a77ae9634436a64883ffeca346fb";
+ };
+ }
+ {
+ name = "nopt___nopt_1.0.10.tgz";
+ path = fetchurl {
+ name = "nopt___nopt_1.0.10.tgz";
+ url = "https://registry.yarnpkg.com/nopt/-/nopt-1.0.10.tgz";
+ sha1 = "6ddd21bd2a31417b92727dd585f8a6f37608ebee";
+ };
+ }
+ {
+ name = "normalize_path___normalize_path_2.1.1.tgz";
+ path = fetchurl {
+ name = "normalize_path___normalize_path_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/normalize-path/-/normalize-path-2.1.1.tgz";
+ sha1 = "1ab28b556e198363a8c1a6f7e6fa20137fe6aed9";
+ };
+ }
+ {
+ name = "oauth_sign___oauth_sign_0.9.0.tgz";
+ path = fetchurl {
+ name = "oauth_sign___oauth_sign_0.9.0.tgz";
+ url = "https://registry.yarnpkg.com/oauth-sign/-/oauth-sign-0.9.0.tgz";
+ sha1 = "47a7b016baa68b5fa0ecf3dee08a85c679ac6455";
+ };
+ }
+ {
+ name = "object_assign___object_assign_4.1.1.tgz";
+ path = fetchurl {
+ name = "object_assign___object_assign_4.1.1.tgz";
+ url = "https://registry.yarnpkg.com/object-assign/-/object-assign-4.1.1.tgz";
+ sha1 = "2109adc7965887cfc05cbbd442cac8bfbb360863";
+ };
+ }
+ {
+ name = "object_copy___object_copy_0.1.0.tgz";
+ path = fetchurl {
+ name = "object_copy___object_copy_0.1.0.tgz";
+ url = "https://registry.yarnpkg.com/object-copy/-/object-copy-0.1.0.tgz";
+ sha1 = "7e7d858b781bd7c991a41ba975ed3812754e998c";
+ };
+ }
+ {
+ name = "object_inspect___object_inspect_1.8.0.tgz";
+ path = fetchurl {
+ name = "object_inspect___object_inspect_1.8.0.tgz";
+ url = "https://registry.yarnpkg.com/object-inspect/-/object-inspect-1.8.0.tgz";
+ sha1 = "df807e5ecf53a609cc6bfe93eac3cc7be5b3a9d0";
+ };
+ }
+ {
+ name = "object_keys___object_keys_1.1.1.tgz";
+ path = fetchurl {
+ name = "object_keys___object_keys_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/object-keys/-/object-keys-1.1.1.tgz";
+ sha1 = "1c47f272df277f3b1daf061677d9c82e2322c60e";
+ };
+ }
+ {
+ name = "object_visit___object_visit_1.0.1.tgz";
+ path = fetchurl {
+ name = "object_visit___object_visit_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/object-visit/-/object-visit-1.0.1.tgz";
+ sha1 = "f79c4493af0c5377b59fe39d395e41042dd045bb";
+ };
+ }
+ {
+ name = "object.assign___object.assign_4.1.0.tgz";
+ path = fetchurl {
+ name = "object.assign___object.assign_4.1.0.tgz";
+ url = "https://registry.yarnpkg.com/object.assign/-/object.assign-4.1.0.tgz";
+ sha1 = "968bf1100d7956bb3ca086f006f846b3bc4008da";
+ };
+ }
+ {
+ name = "object.omit___object.omit_2.0.1.tgz";
+ path = fetchurl {
+ name = "object.omit___object.omit_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/object.omit/-/object.omit-2.0.1.tgz";
+ sha1 = "1a9c744829f39dbb858c76ca3579ae2a54ebd1fa";
+ };
+ }
+ {
+ name = "object.pick___object.pick_1.3.0.tgz";
+ path = fetchurl {
+ name = "object.pick___object.pick_1.3.0.tgz";
+ url = "https://registry.yarnpkg.com/object.pick/-/object.pick-1.3.0.tgz";
+ sha1 = "87a10ac4c1694bd2e1cbf53591a66141fb5dd747";
+ };
+ }
+ {
+ name = "object.values___object.values_1.1.1.tgz";
+ path = fetchurl {
+ name = "object.values___object.values_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/object.values/-/object.values-1.1.1.tgz";
+ sha1 = "68a99ecde356b7e9295a3c5e0ce31dc8c953de5e";
+ };
+ }
+ {
+ name = "on_finished___on_finished_2.3.0.tgz";
+ path = fetchurl {
+ name = "on_finished___on_finished_2.3.0.tgz";
+ url = "https://registry.yarnpkg.com/on-finished/-/on-finished-2.3.0.tgz";
+ sha1 = "20f1336481b083cd75337992a16971aa2d906947";
+ };
+ }
+ {
+ name = "once___once_1.4.0.tgz";
+ path = fetchurl {
+ name = "once___once_1.4.0.tgz";
+ url = "https://registry.yarnpkg.com/once/-/once-1.4.0.tgz";
+ sha1 = "583b1aa775961d4b113ac17d9c50baef9dd76bd1";
+ };
+ }
+ {
+ name = "onetime___onetime_2.0.1.tgz";
+ path = fetchurl {
+ name = "onetime___onetime_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/onetime/-/onetime-2.0.1.tgz";
+ sha1 = "067428230fd67443b2794b22bba528b6867962d4";
+ };
+ }
+ {
+ name = "optionator___optionator_0.8.3.tgz";
+ path = fetchurl {
+ name = "optionator___optionator_0.8.3.tgz";
+ url = "https://registry.yarnpkg.com/optionator/-/optionator-0.8.3.tgz";
+ sha1 = "84fa1d036fe9d3c7e21d99884b601167ec8fb495";
+ };
+ }
+ {
+ name = "options___options_0.0.6.tgz";
+ path = fetchurl {
+ name = "options___options_0.0.6.tgz";
+ url = "https://registry.yarnpkg.com/options/-/options-0.0.6.tgz";
+ sha1 = "ec22d312806bb53e731773e7cdaefcf1c643128f";
+ };
+ }
+ {
+ name = "orderedmap___orderedmap_1.1.1.tgz";
+ path = fetchurl {
+ name = "orderedmap___orderedmap_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/orderedmap/-/orderedmap-1.1.1.tgz";
+ sha1 = "c618e77611b3b21d0fe3edc92586265e0059c789";
+ };
+ }
+ {
+ name = "os_tmpdir___os_tmpdir_1.0.2.tgz";
+ path = fetchurl {
+ name = "os_tmpdir___os_tmpdir_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/os-tmpdir/-/os-tmpdir-1.0.2.tgz";
+ sha1 = "bbe67406c79aa85c5cfec766fe5734555dfa1274";
+ };
+ }
+ {
+ name = "over___over_0.0.5.tgz";
+ path = fetchurl {
+ name = "over___over_0.0.5.tgz";
+ url = "https://registry.yarnpkg.com/over/-/over-0.0.5.tgz";
+ sha1 = "f29852e70fd7e25f360e013a8ec44c82aedb5708";
+ };
+ }
+ {
+ name = "parent_module___parent_module_1.0.1.tgz";
+ path = fetchurl {
+ name = "parent_module___parent_module_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/parent-module/-/parent-module-1.0.1.tgz";
+ sha1 = "691d2709e78c79fae3a156622452d00762caaaa2";
+ };
+ }
+ {
+ name = "parse_glob___parse_glob_3.0.4.tgz";
+ path = fetchurl {
+ name = "parse_glob___parse_glob_3.0.4.tgz";
+ url = "https://registry.yarnpkg.com/parse-glob/-/parse-glob-3.0.4.tgz";
+ sha1 = "b2c376cfb11f35513badd173ef0bb6e3a388391c";
+ };
+ }
+ {
+ name = "parse_json___parse_json_5.0.0.tgz";
+ path = fetchurl {
+ name = "parse_json___parse_json_5.0.0.tgz";
+ url = "https://registry.yarnpkg.com/parse-json/-/parse-json-5.0.0.tgz";
+ sha1 = "73e5114c986d143efa3712d4ea24db9a4266f60f";
+ };
+ }
+ {
+ name = "parseurl___parseurl_1.3.3.tgz";
+ path = fetchurl {
+ name = "parseurl___parseurl_1.3.3.tgz";
+ url = "https://registry.yarnpkg.com/parseurl/-/parseurl-1.3.3.tgz";
+ sha1 = "9da19e7bee8d12dff0513ed5b76957793bc2e8d4";
+ };
+ }
+ {
+ name = "pascalcase___pascalcase_0.1.1.tgz";
+ path = fetchurl {
+ name = "pascalcase___pascalcase_0.1.1.tgz";
+ url = "https://registry.yarnpkg.com/pascalcase/-/pascalcase-0.1.1.tgz";
+ sha1 = "b363e55e8006ca6fe21784d2db22bd15d7917f14";
+ };
+ }
+ {
+ name = "path_is_absolute___path_is_absolute_1.0.1.tgz";
+ path = fetchurl {
+ name = "path_is_absolute___path_is_absolute_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/path-is-absolute/-/path-is-absolute-1.0.1.tgz";
+ sha1 = "174b9268735534ffbc7ace6bf53a5a9e1b5c5f5f";
+ };
+ }
+ {
+ name = "path_parse___path_parse_1.0.6.tgz";
+ path = fetchurl {
+ name = "path_parse___path_parse_1.0.6.tgz";
+ url = "https://registry.yarnpkg.com/path-parse/-/path-parse-1.0.6.tgz";
+ sha1 = "d62dbb5679405d72c4737ec58600e9ddcf06d24c";
+ };
+ }
+ {
+ name = "path_to_regexp___path_to_regexp_0.1.7.tgz";
+ path = fetchurl {
+ name = "path_to_regexp___path_to_regexp_0.1.7.tgz";
+ url = "https://registry.yarnpkg.com/path-to-regexp/-/path-to-regexp-0.1.7.tgz";
+ sha1 = "df604178005f522f15eb4490e7247a1bfaa67f8c";
+ };
+ }
+ {
+ name = "path_type___path_type_4.0.0.tgz";
+ path = fetchurl {
+ name = "path_type___path_type_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/path-type/-/path-type-4.0.0.tgz";
+ sha1 = "84ed01c0a7ba380afe09d90a8c180dcd9d03043b";
+ };
+ }
+ {
+ name = "performance_now___performance_now_2.1.0.tgz";
+ path = fetchurl {
+ name = "performance_now___performance_now_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/performance-now/-/performance-now-2.1.0.tgz";
+ sha1 = "6309f4e0e5fa913ec1c69307ae364b4b377c9e7b";
+ };
+ }
+ {
+ name = "posix_character_classes___posix_character_classes_0.1.1.tgz";
+ path = fetchurl {
+ name = "posix_character_classes___posix_character_classes_0.1.1.tgz";
+ url = "https://registry.yarnpkg.com/posix-character-classes/-/posix-character-classes-0.1.1.tgz";
+ sha1 = "01eac0fe3b5af71a2a6c02feabb8c1fef7e00eab";
+ };
+ }
+ {
+ name = "postcss___postcss_6.0.23.tgz";
+ path = fetchurl {
+ name = "postcss___postcss_6.0.23.tgz";
+ url = "https://registry.yarnpkg.com/postcss/-/postcss-6.0.23.tgz";
+ sha1 = "61c82cc328ac60e677645f979054eb98bc0e3324";
+ };
+ }
+ {
+ name = "prelude_ls___prelude_ls_1.1.2.tgz";
+ path = fetchurl {
+ name = "prelude_ls___prelude_ls_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/prelude-ls/-/prelude-ls-1.1.2.tgz";
+ sha1 = "21932a549f5e52ffd9a827f570e04be62a97da54";
+ };
+ }
+ {
+ name = "preserve___preserve_0.2.0.tgz";
+ path = fetchurl {
+ name = "preserve___preserve_0.2.0.tgz";
+ url = "https://registry.yarnpkg.com/preserve/-/preserve-0.2.0.tgz";
+ sha1 = "815ed1f6ebc65926f865b310c0713bcb3315ce4b";
+ };
+ }
+ {
+ name = "prettier___prettier_1.19.1.tgz";
+ path = fetchurl {
+ name = "prettier___prettier_1.19.1.tgz";
+ url = "https://registry.yarnpkg.com/prettier/-/prettier-1.19.1.tgz";
+ sha1 = "f7d7f5ff8a9cd872a7be4ca142095956a60797cb";
+ };
+ }
+ {
+ name = "pretty_time___pretty_time_0.2.0.tgz";
+ path = fetchurl {
+ name = "pretty_time___pretty_time_0.2.0.tgz";
+ url = "https://registry.yarnpkg.com/pretty-time/-/pretty-time-0.2.0.tgz";
+ sha1 = "7a3bdec4049c620cd7c42b7f342b74d56e73d74e";
+ };
+ }
+ {
+ name = "prettysize___prettysize_0.0.3.tgz";
+ path = fetchurl {
+ name = "prettysize___prettysize_0.0.3.tgz";
+ url = "https://registry.yarnpkg.com/prettysize/-/prettysize-0.0.3.tgz";
+ sha1 = "14afff6a645e591a4ddf1c72919c23b4146181a1";
+ };
+ }
+ {
+ name = "process_nextick_args___process_nextick_args_2.0.1.tgz";
+ path = fetchurl {
+ name = "process_nextick_args___process_nextick_args_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/process-nextick-args/-/process-nextick-args-2.0.1.tgz";
+ sha1 = "7820d9b16120cc55ca9ae7792680ae7dba6d7fe2";
+ };
+ }
+ {
+ name = "prop_types___prop_types_15.7.2.tgz";
+ path = fetchurl {
+ name = "prop_types___prop_types_15.7.2.tgz";
+ url = "https://registry.yarnpkg.com/prop-types/-/prop-types-15.7.2.tgz";
+ sha1 = "52c41e75b8c87e72b9d9360e0206b99dcbffa6c5";
+ };
+ }
+ {
+ name = "prosemirror_changeset___prosemirror_changeset_2.1.2.tgz";
+ path = fetchurl {
+ name = "prosemirror_changeset___prosemirror_changeset_2.1.2.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-changeset/-/prosemirror-changeset-2.1.2.tgz";
+ sha1 = "91dee900eb4618b21ed0c38c8d41dc7539303864";
+ };
+ }
+ {
+ name = "prosemirror_commands___prosemirror_commands_1.1.4.tgz";
+ path = fetchurl {
+ name = "prosemirror_commands___prosemirror_commands_1.1.4.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-commands/-/prosemirror-commands-1.1.4.tgz";
+ sha1 = "991563e67623acab4f8c510fad1570f8b4693780";
+ };
+ }
+ {
+ name = "prosemirror_dev_tools___prosemirror_dev_tools_2.1.1.tgz";
+ path = fetchurl {
+ name = "prosemirror_dev_tools___prosemirror_dev_tools_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-dev-tools/-/prosemirror-dev-tools-2.1.1.tgz";
+ sha1 = "0c4304b05b437608b3666b72fdb4b21e24fa29fc";
+ };
+ }
+ {
+ name = "prosemirror_dropcursor___prosemirror_dropcursor_1.3.2.tgz";
+ path = fetchurl {
+ name = "prosemirror_dropcursor___prosemirror_dropcursor_1.3.2.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-dropcursor/-/prosemirror-dropcursor-1.3.2.tgz";
+ sha1 = "28738c4ed7102e814d7a8a26d70018523fc7cd6d";
+ };
+ }
+ {
+ name = "prosemirror_gapcursor___prosemirror_gapcursor_1.1.5.tgz";
+ path = fetchurl {
+ name = "prosemirror_gapcursor___prosemirror_gapcursor_1.1.5.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-gapcursor/-/prosemirror-gapcursor-1.1.5.tgz";
+ sha1 = "0c37fd6cbb1d7c46358c2e7397f8da9a8b5c6246";
+ };
+ }
+ {
+ name = "prosemirror_history___prosemirror_history_1.1.3.tgz";
+ path = fetchurl {
+ name = "prosemirror_history___prosemirror_history_1.1.3.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-history/-/prosemirror-history-1.1.3.tgz";
+ sha1 = "4f76a1e71db4ef7cdf0e13dec6d8da2aeaecd489";
+ };
+ }
+ {
+ name = "prosemirror_inputrules___prosemirror_inputrules_1.1.2.tgz";
+ path = fetchurl {
+ name = "prosemirror_inputrules___prosemirror_inputrules_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-inputrules/-/prosemirror-inputrules-1.1.2.tgz";
+ sha1 = "487e46c763e1212a4577397aba7706139084f012";
+ };
+ }
+ {
+ name = "prosemirror_keymap___prosemirror_keymap_1.1.3.tgz";
+ path = fetchurl {
+ name = "prosemirror_keymap___prosemirror_keymap_1.1.3.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-keymap/-/prosemirror-keymap-1.1.3.tgz";
+ sha1 = "be22d6108df2521608e9216a87b1a810f0ed361e";
+ };
+ }
+ {
+ name = "prosemirror_keymap___prosemirror_keymap_1.1.4.tgz";
+ path = fetchurl {
+ name = "prosemirror_keymap___prosemirror_keymap_1.1.4.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-keymap/-/prosemirror-keymap-1.1.4.tgz";
+ sha1 = "8b481bf8389a5ac40d38dbd67ec3da2c7eac6a6d";
+ };
+ }
+ {
+ name = "prosemirror_model___prosemirror_model_1.9.1.tgz";
+ path = fetchurl {
+ name = "prosemirror_model___prosemirror_model_1.9.1.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-model/-/prosemirror-model-1.9.1.tgz";
+ sha1 = "8c08cf556f593c5f015548d2c1a6825661df087f";
+ };
+ }
+ {
+ name = "prosemirror_model___prosemirror_model_1.11.0.tgz";
+ path = fetchurl {
+ name = "prosemirror_model___prosemirror_model_1.11.0.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-model/-/prosemirror-model-1.11.0.tgz";
+ sha1 = "dc36cdb3ad6442b9f6325c7d89170c624f9dc520";
+ };
+ }
+ {
+ name = "prosemirror_schema_list___prosemirror_schema_list_1.1.4.tgz";
+ path = fetchurl {
+ name = "prosemirror_schema_list___prosemirror_schema_list_1.1.4.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-schema-list/-/prosemirror-schema-list-1.1.4.tgz";
+ sha1 = "471f9caf2d2bed93641d2e490434c0d2d4330df1";
+ };
+ }
+ {
+ name = "prosemirror_state___prosemirror_state_1.3.2.tgz";
+ path = fetchurl {
+ name = "prosemirror_state___prosemirror_state_1.3.2.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-state/-/prosemirror-state-1.3.2.tgz";
+ sha1 = "1b910b0dc01c1f00926bb9ba1589f7b7ac0d658b";
+ };
+ }
+ {
+ name = "prosemirror_state___prosemirror_state_1.3.3.tgz";
+ path = fetchurl {
+ name = "prosemirror_state___prosemirror_state_1.3.3.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-state/-/prosemirror-state-1.3.3.tgz";
+ sha1 = "b2862866b14dec2b3ae1ab18229f2bd337651a2c";
+ };
+ }
+ {
+ name = "prosemirror_tables___prosemirror_tables_1.0.0.tgz";
+ path = fetchurl {
+ name = "prosemirror_tables___prosemirror_tables_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-tables/-/prosemirror-tables-1.0.0.tgz";
+ sha1 = "ec3d0b11e638c6a92dd14ae816d0a2efd1719b70";
+ };
+ }
+ {
+ name = "prosemirror_tables___prosemirror_tables_1.1.1.tgz";
+ path = fetchurl {
+ name = "prosemirror_tables___prosemirror_tables_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-tables/-/prosemirror-tables-1.1.1.tgz";
+ sha1 = "ad66300cc49500455cf1243bb129c9e7d883321e";
+ };
+ }
+ {
+ name = "prosemirror_transform___prosemirror_transform_1.2.3.tgz";
+ path = fetchurl {
+ name = "prosemirror_transform___prosemirror_transform_1.2.3.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-transform/-/prosemirror-transform-1.2.3.tgz";
+ sha1 = "239d17591af24d39ef3f1999daa09e1f1c76b06a";
+ };
+ }
+ {
+ name = "prosemirror_transform___prosemirror_transform_1.2.8.tgz";
+ path = fetchurl {
+ name = "prosemirror_transform___prosemirror_transform_1.2.8.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-transform/-/prosemirror-transform-1.2.8.tgz";
+ sha1 = "4b86544fa43637fe381549fb7b019f4fb71fe65c";
+ };
+ }
+ {
+ name = "prosemirror_utils___prosemirror_utils_0.9.6.tgz";
+ path = fetchurl {
+ name = "prosemirror_utils___prosemirror_utils_0.9.6.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-utils/-/prosemirror-utils-0.9.6.tgz";
+ sha1 = "3d97bd85897e3b535555867dc95a51399116a973";
+ };
+ }
+ {
+ name = "prosemirror_view___prosemirror_view_1.14.2.tgz";
+ path = fetchurl {
+ name = "prosemirror_view___prosemirror_view_1.14.2.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-view/-/prosemirror-view-1.14.2.tgz";
+ sha1 = "23eb89f6101e9671b5e0c19d82ee0ad9de5608de";
+ };
+ }
+ {
+ name = "prosemirror_view___prosemirror_view_1.15.6.tgz";
+ path = fetchurl {
+ name = "prosemirror_view___prosemirror_view_1.15.6.tgz";
+ url = "https://registry.yarnpkg.com/prosemirror-view/-/prosemirror-view-1.15.6.tgz";
+ sha1 = "446bf7662235300c5f47362af2db805c6df3ad24";
+ };
+ }
+ {
+ name = "proxy_addr___proxy_addr_2.0.6.tgz";
+ path = fetchurl {
+ name = "proxy_addr___proxy_addr_2.0.6.tgz";
+ url = "https://registry.yarnpkg.com/proxy-addr/-/proxy-addr-2.0.6.tgz";
+ sha1 = "fdc2336505447d3f2f2c638ed272caf614bbb2bf";
+ };
+ }
+ {
+ name = "psl___psl_1.7.0.tgz";
+ path = fetchurl {
+ name = "psl___psl_1.7.0.tgz";
+ url = "https://registry.yarnpkg.com/psl/-/psl-1.7.0.tgz";
+ sha1 = "f1c4c47a8ef97167dea5d6bbf4816d736e884a3c";
+ };
+ }
+ {
+ name = "pullstream___pullstream_0.4.1.tgz";
+ path = fetchurl {
+ name = "pullstream___pullstream_0.4.1.tgz";
+ url = "https://registry.yarnpkg.com/pullstream/-/pullstream-0.4.1.tgz";
+ sha1 = "d6fb3bf5aed697e831150eb1002c25a3f8ae1314";
+ };
+ }
+ {
+ name = "punycode___punycode_2.1.1.tgz";
+ path = fetchurl {
+ name = "punycode___punycode_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/punycode/-/punycode-2.1.1.tgz";
+ sha1 = "b58b010ac40c22c5657616c8d2c2c02c7bf479ec";
+ };
+ }
+ {
+ name = "pure_color___pure_color_1.3.0.tgz";
+ path = fetchurl {
+ name = "pure_color___pure_color_1.3.0.tgz";
+ url = "https://registry.yarnpkg.com/pure-color/-/pure-color-1.3.0.tgz";
+ sha1 = "1fe064fb0ac851f0de61320a8bf796836422f33e";
+ };
+ }
+ {
+ name = "qs___qs_6.7.0.tgz";
+ path = fetchurl {
+ name = "qs___qs_6.7.0.tgz";
+ url = "https://registry.yarnpkg.com/qs/-/qs-6.7.0.tgz";
+ sha1 = "41dc1a015e3d581f1621776be31afb2876a9b1bc";
+ };
+ }
+ {
+ name = "qs___qs_6.5.2.tgz";
+ path = fetchurl {
+ name = "qs___qs_6.5.2.tgz";
+ url = "https://registry.yarnpkg.com/qs/-/qs-6.5.2.tgz";
+ sha1 = "cb3ae806e8740444584ef154ce8ee98d403f3e36";
+ };
+ }
+ {
+ name = "randomatic___randomatic_3.1.1.tgz";
+ path = fetchurl {
+ name = "randomatic___randomatic_3.1.1.tgz";
+ url = "https://registry.yarnpkg.com/randomatic/-/randomatic-3.1.1.tgz";
+ sha1 = "b776efc59375984e36c537b2f51a1f0aff0da1ed";
+ };
+ }
+ {
+ name = "range_parser___range_parser_1.2.1.tgz";
+ path = fetchurl {
+ name = "range_parser___range_parser_1.2.1.tgz";
+ url = "https://registry.yarnpkg.com/range-parser/-/range-parser-1.2.1.tgz";
+ sha1 = "3cf37023d199e1c24d1a55b84800c2f3e6468031";
+ };
+ }
+ {
+ name = "raw_body___raw_body_2.4.0.tgz";
+ path = fetchurl {
+ name = "raw_body___raw_body_2.4.0.tgz";
+ url = "https://registry.yarnpkg.com/raw-body/-/raw-body-2.4.0.tgz";
+ sha1 = "a1ce6fb9c9bc356ca52e89256ab59059e13d0332";
+ };
+ }
+ {
+ name = "react_base16_styling___react_base16_styling_0.5.3.tgz";
+ path = fetchurl {
+ name = "react_base16_styling___react_base16_styling_0.5.3.tgz";
+ url = "https://registry.yarnpkg.com/react-base16-styling/-/react-base16-styling-0.5.3.tgz";
+ sha1 = "3858f24e9c4dd8cbd3f702f3f74d581ca2917269";
+ };
+ }
+ {
+ name = "react_dock___react_dock_0.2.4.tgz";
+ path = fetchurl {
+ name = "react_dock___react_dock_0.2.4.tgz";
+ url = "https://registry.yarnpkg.com/react-dock/-/react-dock-0.2.4.tgz";
+ sha1 = "e727dc7550b3b73116635dcb9c0e04d0b7afe17c";
+ };
+ }
+ {
+ name = "react_dom___react_dom_16.13.1.tgz";
+ path = fetchurl {
+ name = "react_dom___react_dom_16.13.1.tgz";
+ url = "https://registry.yarnpkg.com/react-dom/-/react-dom-16.13.1.tgz";
+ sha1 = "c1bd37331a0486c078ee54c4740720993b2e0e7f";
+ };
+ }
+ {
+ name = "react_emotion___react_emotion_9.2.12.tgz";
+ path = fetchurl {
+ name = "react_emotion___react_emotion_9.2.12.tgz";
+ url = "https://registry.yarnpkg.com/react-emotion/-/react-emotion-9.2.12.tgz";
+ sha1 = "74d1494f89e22d0b9442e92a33ca052461955c83";
+ };
+ }
+ {
+ name = "react_is___react_is_16.13.0.tgz";
+ path = fetchurl {
+ name = "react_is___react_is_16.13.0.tgz";
+ url = "https://registry.yarnpkg.com/react-is/-/react-is-16.13.0.tgz";
+ sha1 = "0f37c3613c34fe6b37cd7f763a0d6293ab15c527";
+ };
+ }
+ {
+ name = "react_json_tree___react_json_tree_0.11.2.tgz";
+ path = fetchurl {
+ name = "react_json_tree___react_json_tree_0.11.2.tgz";
+ url = "https://registry.yarnpkg.com/react-json-tree/-/react-json-tree-0.11.2.tgz";
+ sha1 = "af70199fcbc265699ade2aec492465c51608f95e";
+ };
+ }
+ {
+ name = "react_window___react_window_1.8.5.tgz";
+ path = fetchurl {
+ name = "react_window___react_window_1.8.5.tgz";
+ url = "https://registry.yarnpkg.com/react-window/-/react-window-1.8.5.tgz";
+ sha1 = "a56b39307e79979721021f5d06a67742ecca52d1";
+ };
+ }
+ {
+ name = "react___react_16.13.1.tgz";
+ path = fetchurl {
+ name = "react___react_16.13.1.tgz";
+ url = "https://registry.yarnpkg.com/react/-/react-16.13.1.tgz";
+ sha1 = "2e818822f1a9743122c063d6410d85c1e3afe48e";
+ };
+ }
+ {
+ name = "readable_stream___readable_stream_2.3.7.tgz";
+ path = fetchurl {
+ name = "readable_stream___readable_stream_2.3.7.tgz";
+ url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-2.3.7.tgz";
+ sha1 = "1eca1cf711aef814c04f62252a36a62f6cb23b57";
+ };
+ }
+ {
+ name = "readable_stream___readable_stream_3.6.0.tgz";
+ path = fetchurl {
+ name = "readable_stream___readable_stream_3.6.0.tgz";
+ url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-3.6.0.tgz";
+ sha1 = "337bbda3adc0706bd3e024426a286d4b4b2c9198";
+ };
+ }
+ {
+ name = "readable_stream___readable_stream_1.0.34.tgz";
+ path = fetchurl {
+ name = "readable_stream___readable_stream_1.0.34.tgz";
+ url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-1.0.34.tgz";
+ sha1 = "125820e34bc842d2f2aaafafe4c2916ee32c157c";
+ };
+ }
+ {
+ name = "readdirp___readdirp_2.2.1.tgz";
+ path = fetchurl {
+ name = "readdirp___readdirp_2.2.1.tgz";
+ url = "https://registry.yarnpkg.com/readdirp/-/readdirp-2.2.1.tgz";
+ sha1 = "0e87622a3325aa33e892285caf8b4e846529a525";
+ };
+ }
+ {
+ name = "realm_utils___realm_utils_1.0.9.tgz";
+ path = fetchurl {
+ name = "realm_utils___realm_utils_1.0.9.tgz";
+ url = "https://registry.yarnpkg.com/realm-utils/-/realm-utils-1.0.9.tgz";
+ sha1 = "5c76a5ff39e4816af2c133a161f4221d6628eff4";
+ };
+ }
+ {
+ name = "regenerate_unicode_properties___regenerate_unicode_properties_8.1.0.tgz";
+ path = fetchurl {
+ name = "regenerate_unicode_properties___regenerate_unicode_properties_8.1.0.tgz";
+ url = "https://registry.yarnpkg.com/regenerate-unicode-properties/-/regenerate-unicode-properties-8.1.0.tgz";
+ sha1 = "ef51e0f0ea4ad424b77bf7cb41f3e015c70a3f0e";
+ };
+ }
+ {
+ name = "regenerate___regenerate_1.4.0.tgz";
+ path = fetchurl {
+ name = "regenerate___regenerate_1.4.0.tgz";
+ url = "https://registry.yarnpkg.com/regenerate/-/regenerate-1.4.0.tgz";
+ sha1 = "4a856ec4b56e4077c557589cae85e7a4c8869a11";
+ };
+ }
+ {
+ name = "regenerator_runtime___regenerator_runtime_0.11.1.tgz";
+ path = fetchurl {
+ name = "regenerator_runtime___regenerator_runtime_0.11.1.tgz";
+ url = "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.11.1.tgz";
+ sha1 = "be05ad7f9bf7d22e056f9726cee5017fbf19e2e9";
+ };
+ }
+ {
+ name = "regenerator_runtime___regenerator_runtime_0.13.3.tgz";
+ path = fetchurl {
+ name = "regenerator_runtime___regenerator_runtime_0.13.3.tgz";
+ url = "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.13.3.tgz";
+ sha1 = "7cf6a77d8f5c6f60eb73c5fc1955b2ceb01e6bf5";
+ };
+ }
+ {
+ name = "regenerator_runtime___regenerator_runtime_0.13.5.tgz";
+ path = fetchurl {
+ name = "regenerator_runtime___regenerator_runtime_0.13.5.tgz";
+ url = "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.13.5.tgz";
+ sha1 = "d878a1d094b4306d10b9096484b33ebd55e26697";
+ };
+ }
+ {
+ name = "regex_cache___regex_cache_0.4.4.tgz";
+ path = fetchurl {
+ name = "regex_cache___regex_cache_0.4.4.tgz";
+ url = "https://registry.yarnpkg.com/regex-cache/-/regex-cache-0.4.4.tgz";
+ sha1 = "75bdc58a2a1496cec48a12835bc54c8d562336dd";
+ };
+ }
+ {
+ name = "regex_not___regex_not_1.0.2.tgz";
+ path = fetchurl {
+ name = "regex_not___regex_not_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/regex-not/-/regex-not-1.0.2.tgz";
+ sha1 = "1f4ece27e00b0b65e0247a6810e6a85d83a5752c";
+ };
+ }
+ {
+ name = "regexpu_core___regexpu_core_4.6.0.tgz";
+ path = fetchurl {
+ name = "regexpu_core___regexpu_core_4.6.0.tgz";
+ url = "https://registry.yarnpkg.com/regexpu-core/-/regexpu-core-4.6.0.tgz";
+ sha1 = "2037c18b327cfce8a6fea2a4ec441f2432afb8b6";
+ };
+ }
+ {
+ name = "regjsgen___regjsgen_0.5.1.tgz";
+ path = fetchurl {
+ name = "regjsgen___regjsgen_0.5.1.tgz";
+ url = "https://registry.yarnpkg.com/regjsgen/-/regjsgen-0.5.1.tgz";
+ sha1 = "48f0bf1a5ea205196929c0d9798b42d1ed98443c";
+ };
+ }
+ {
+ name = "regjsparser___regjsparser_0.6.3.tgz";
+ path = fetchurl {
+ name = "regjsparser___regjsparser_0.6.3.tgz";
+ url = "https://registry.yarnpkg.com/regjsparser/-/regjsparser-0.6.3.tgz";
+ sha1 = "74192c5805d35e9f5ebe3c1fb5b40d40a8a38460";
+ };
+ }
+ {
+ name = "remove_trailing_separator___remove_trailing_separator_1.1.0.tgz";
+ path = fetchurl {
+ name = "remove_trailing_separator___remove_trailing_separator_1.1.0.tgz";
+ url = "https://registry.yarnpkg.com/remove-trailing-separator/-/remove-trailing-separator-1.1.0.tgz";
+ sha1 = "c24bce2a283adad5bc3f58e0d48249b92379d8ef";
+ };
+ }
+ {
+ name = "repeat_element___repeat_element_1.1.3.tgz";
+ path = fetchurl {
+ name = "repeat_element___repeat_element_1.1.3.tgz";
+ url = "https://registry.yarnpkg.com/repeat-element/-/repeat-element-1.1.3.tgz";
+ sha1 = "782e0d825c0c5a3bb39731f84efee6b742e6b1ce";
+ };
+ }
+ {
+ name = "repeat_string___repeat_string_1.6.1.tgz";
+ path = fetchurl {
+ name = "repeat_string___repeat_string_1.6.1.tgz";
+ url = "https://registry.yarnpkg.com/repeat-string/-/repeat-string-1.6.1.tgz";
+ sha1 = "8dcae470e1c88abc2d600fff4a776286da75e637";
+ };
+ }
+ {
+ name = "request___request_2.88.2.tgz";
+ path = fetchurl {
+ name = "request___request_2.88.2.tgz";
+ url = "https://registry.yarnpkg.com/request/-/request-2.88.2.tgz";
+ sha1 = "d73c918731cb5a87da047e207234146f664d12b3";
+ };
+ }
+ {
+ name = "resolve_from___resolve_from_4.0.0.tgz";
+ path = fetchurl {
+ name = "resolve_from___resolve_from_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/resolve-from/-/resolve-from-4.0.0.tgz";
+ sha1 = "4abcd852ad32dd7baabfe9b40e00a36db5f392e6";
+ };
+ }
+ {
+ name = "resolve_url___resolve_url_0.2.1.tgz";
+ path = fetchurl {
+ name = "resolve_url___resolve_url_0.2.1.tgz";
+ url = "https://registry.yarnpkg.com/resolve-url/-/resolve-url-0.2.1.tgz";
+ sha1 = "2c637fe77c893afd2a663fe21aa9080068e2052a";
+ };
+ }
+ {
+ name = "resolve___resolve_1.15.1.tgz";
+ path = fetchurl {
+ name = "resolve___resolve_1.15.1.tgz";
+ url = "https://registry.yarnpkg.com/resolve/-/resolve-1.15.1.tgz";
+ sha1 = "27bdcdeffeaf2d6244b95bb0f9f4b4653451f3e8";
+ };
+ }
+ {
+ name = "restore_cursor___restore_cursor_2.0.0.tgz";
+ path = fetchurl {
+ name = "restore_cursor___restore_cursor_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/restore-cursor/-/restore-cursor-2.0.0.tgz";
+ sha1 = "9f7ee287f82fd326d4fd162923d62129eee0dfaf";
+ };
+ }
+ {
+ name = "ret___ret_0.1.15.tgz";
+ path = fetchurl {
+ name = "ret___ret_0.1.15.tgz";
+ url = "https://registry.yarnpkg.com/ret/-/ret-0.1.15.tgz";
+ sha1 = "b8a4825d5bdb1fc3f6f53c2bc33f81388681c7bc";
+ };
+ }
+ {
+ name = "rimraf___rimraf_2.7.1.tgz";
+ path = fetchurl {
+ name = "rimraf___rimraf_2.7.1.tgz";
+ url = "https://registry.yarnpkg.com/rimraf/-/rimraf-2.7.1.tgz";
+ sha1 = "35797f13a7fdadc566142c29d4f07ccad483e3ec";
+ };
+ }
+ {
+ name = "rope_sequence___rope_sequence_1.3.2.tgz";
+ path = fetchurl {
+ name = "rope_sequence___rope_sequence_1.3.2.tgz";
+ url = "https://registry.yarnpkg.com/rope-sequence/-/rope-sequence-1.3.2.tgz";
+ sha1 = "a19e02d72991ca71feb6b5f8a91154e48e3c098b";
+ };
+ }
+ {
+ name = "run_async___run_async_2.4.0.tgz";
+ path = fetchurl {
+ name = "run_async___run_async_2.4.0.tgz";
+ url = "https://registry.yarnpkg.com/run-async/-/run-async-2.4.0.tgz";
+ sha1 = "e59054a5b86876cfae07f431d18cbaddc594f1e8";
+ };
+ }
+ {
+ name = "rx_lite_aggregates___rx_lite_aggregates_4.0.8.tgz";
+ path = fetchurl {
+ name = "rx_lite_aggregates___rx_lite_aggregates_4.0.8.tgz";
+ url = "https://registry.yarnpkg.com/rx-lite-aggregates/-/rx-lite-aggregates-4.0.8.tgz";
+ sha1 = "753b87a89a11c95467c4ac1626c4efc4e05c67be";
+ };
+ }
+ {
+ name = "rx_lite___rx_lite_4.0.8.tgz";
+ path = fetchurl {
+ name = "rx_lite___rx_lite_4.0.8.tgz";
+ url = "https://registry.yarnpkg.com/rx-lite/-/rx-lite-4.0.8.tgz";
+ sha1 = "0b1e11af8bc44836f04a6407e92da42467b79444";
+ };
+ }
+ {
+ name = "safe_buffer___safe_buffer_5.1.2.tgz";
+ path = fetchurl {
+ name = "safe_buffer___safe_buffer_5.1.2.tgz";
+ url = "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.1.2.tgz";
+ sha1 = "991ec69d296e0313747d59bdfd2b745c35f8828d";
+ };
+ }
+ {
+ name = "safe_buffer___safe_buffer_5.2.0.tgz";
+ path = fetchurl {
+ name = "safe_buffer___safe_buffer_5.2.0.tgz";
+ url = "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.2.0.tgz";
+ sha1 = "b74daec49b1148f88c64b68d49b1e815c1f2f519";
+ };
+ }
+ {
+ name = "safe_buffer___safe_buffer_5.2.1.tgz";
+ path = fetchurl {
+ name = "safe_buffer___safe_buffer_5.2.1.tgz";
+ url = "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.2.1.tgz";
+ sha1 = "1eaf9fa9bdb1fdd4ec75f58f9cdb4e6b7827eec6";
+ };
+ }
+ {
+ name = "safe_regex___safe_regex_1.1.0.tgz";
+ path = fetchurl {
+ name = "safe_regex___safe_regex_1.1.0.tgz";
+ url = "https://registry.yarnpkg.com/safe-regex/-/safe-regex-1.1.0.tgz";
+ sha1 = "40a3669f3b077d1e943d44629e157dd48023bf2e";
+ };
+ }
+ {
+ name = "safer_buffer___safer_buffer_2.1.2.tgz";
+ path = fetchurl {
+ name = "safer_buffer___safer_buffer_2.1.2.tgz";
+ url = "https://registry.yarnpkg.com/safer-buffer/-/safer-buffer-2.1.2.tgz";
+ sha1 = "44fa161b0187b9549dd84bb91802f9bd8385cd6a";
+ };
+ }
+ {
+ name = "scheduler___scheduler_0.19.1.tgz";
+ path = fetchurl {
+ name = "scheduler___scheduler_0.19.1.tgz";
+ url = "https://registry.yarnpkg.com/scheduler/-/scheduler-0.19.1.tgz";
+ sha1 = "4f3e2ed2c1a7d65681f4c854fa8c5a1ccb40f196";
+ };
+ }
+ {
+ name = "select___select_1.1.2.tgz";
+ path = fetchurl {
+ name = "select___select_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/select/-/select-1.1.2.tgz";
+ sha1 = "0e7350acdec80b1108528786ec1d4418d11b396d";
+ };
+ }
+ {
+ name = "semver___semver_5.7.1.tgz";
+ path = fetchurl {
+ name = "semver___semver_5.7.1.tgz";
+ url = "https://registry.yarnpkg.com/semver/-/semver-5.7.1.tgz";
+ sha1 = "a954f931aeba508d307bbf069eff0c01c96116f7";
+ };
+ }
+ {
+ name = "send___send_0.17.1.tgz";
+ path = fetchurl {
+ name = "send___send_0.17.1.tgz";
+ url = "https://registry.yarnpkg.com/send/-/send-0.17.1.tgz";
+ sha1 = "c1d8b059f7900f7466dd4938bdc44e11ddb376c8";
+ };
+ }
+ {
+ name = "sentence_splitter___sentence_splitter_3.2.0.tgz";
+ path = fetchurl {
+ name = "sentence_splitter___sentence_splitter_3.2.0.tgz";
+ url = "https://registry.yarnpkg.com/sentence-splitter/-/sentence-splitter-3.2.0.tgz";
+ sha1 = "fb2cd2f61f40006643ba83d9acf4609233c1c68c";
+ };
+ }
+ {
+ name = "serve_static___serve_static_1.14.1.tgz";
+ path = fetchurl {
+ name = "serve_static___serve_static_1.14.1.tgz";
+ url = "https://registry.yarnpkg.com/serve-static/-/serve-static-1.14.1.tgz";
+ sha1 = "666e636dc4f010f7ef29970a88a674320898b2f9";
+ };
+ }
+ {
+ name = "set_value___set_value_2.0.1.tgz";
+ path = fetchurl {
+ name = "set_value___set_value_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/set-value/-/set-value-2.0.1.tgz";
+ sha1 = "a18d40530e6f07de4228c7defe4227af8cad005b";
+ };
+ }
+ {
+ name = "setimmediate___setimmediate_1.0.5.tgz";
+ path = fetchurl {
+ name = "setimmediate___setimmediate_1.0.5.tgz";
+ url = "https://registry.yarnpkg.com/setimmediate/-/setimmediate-1.0.5.tgz";
+ sha1 = "290cbb232e306942d7d7ea9b83732ab7856f8285";
+ };
+ }
+ {
+ name = "setprototypeof___setprototypeof_1.1.1.tgz";
+ path = fetchurl {
+ name = "setprototypeof___setprototypeof_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/setprototypeof/-/setprototypeof-1.1.1.tgz";
+ sha1 = "7e95acb24aa92f5885e0abef5ba131330d4ae683";
+ };
+ }
+ {
+ name = "shorthash___shorthash_0.0.2.tgz";
+ path = fetchurl {
+ name = "shorthash___shorthash_0.0.2.tgz";
+ url = "https://registry.yarnpkg.com/shorthash/-/shorthash-0.0.2.tgz";
+ sha1 = "59b268eecbde59038b30da202bcfbddeb2c4a4eb";
+ };
+ }
+ {
+ name = "signal_exit___signal_exit_3.0.2.tgz";
+ path = fetchurl {
+ name = "signal_exit___signal_exit_3.0.2.tgz";
+ url = "https://registry.yarnpkg.com/signal-exit/-/signal-exit-3.0.2.tgz";
+ sha1 = "b5fdc08f1287ea1178628e415e25132b73646c6d";
+ };
+ }
+ {
+ name = "slice_stream___slice_stream_1.0.0.tgz";
+ path = fetchurl {
+ name = "slice_stream___slice_stream_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/slice-stream/-/slice-stream-1.0.0.tgz";
+ sha1 = "5b33bd66f013b1a7f86460b03d463dec39ad3ea0";
+ };
+ }
+ {
+ name = "snapdragon_node___snapdragon_node_2.1.1.tgz";
+ path = fetchurl {
+ name = "snapdragon_node___snapdragon_node_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/snapdragon-node/-/snapdragon-node-2.1.1.tgz";
+ sha1 = "6c175f86ff14bdb0724563e8f3c1b021a286853b";
+ };
+ }
+ {
+ name = "snapdragon_util___snapdragon_util_3.0.1.tgz";
+ path = fetchurl {
+ name = "snapdragon_util___snapdragon_util_3.0.1.tgz";
+ url = "https://registry.yarnpkg.com/snapdragon-util/-/snapdragon-util-3.0.1.tgz";
+ sha1 = "f956479486f2acd79700693f6f7b805e45ab56e2";
+ };
+ }
+ {
+ name = "snapdragon___snapdragon_0.8.2.tgz";
+ path = fetchurl {
+ name = "snapdragon___snapdragon_0.8.2.tgz";
+ url = "https://registry.yarnpkg.com/snapdragon/-/snapdragon-0.8.2.tgz";
+ sha1 = "64922e7c565b0e14204ba1aa7d6964278d25182d";
+ };
+ }
+ {
+ name = "source_map_resolve___source_map_resolve_0.5.3.tgz";
+ path = fetchurl {
+ name = "source_map_resolve___source_map_resolve_0.5.3.tgz";
+ url = "https://registry.yarnpkg.com/source-map-resolve/-/source-map-resolve-0.5.3.tgz";
+ sha1 = "190866bece7553e1f8f267a2ee82c606b5509a1a";
+ };
+ }
+ {
+ name = "source_map_support___source_map_support_0.5.19.tgz";
+ path = fetchurl {
+ name = "source_map_support___source_map_support_0.5.19.tgz";
+ url = "https://registry.yarnpkg.com/source-map-support/-/source-map-support-0.5.19.tgz";
+ sha1 = "a98b62f86dcaf4f67399648c085291ab9e8fed61";
+ };
+ }
+ {
+ name = "source_map_support___source_map_support_0.5.16.tgz";
+ path = fetchurl {
+ name = "source_map_support___source_map_support_0.5.16.tgz";
+ url = "https://registry.yarnpkg.com/source-map-support/-/source-map-support-0.5.16.tgz";
+ sha1 = "0ae069e7fe3ba7538c64c98515e35339eac5a042";
+ };
+ }
+ {
+ name = "source_map_url___source_map_url_0.4.0.tgz";
+ path = fetchurl {
+ name = "source_map_url___source_map_url_0.4.0.tgz";
+ url = "https://registry.yarnpkg.com/source-map-url/-/source-map-url-0.4.0.tgz";
+ sha1 = "3e935d7ddd73631b97659956d55128e87b5084a3";
+ };
+ }
+ {
+ name = "source_map___source_map_0.5.7.tgz";
+ path = fetchurl {
+ name = "source_map___source_map_0.5.7.tgz";
+ url = "https://registry.yarnpkg.com/source-map/-/source-map-0.5.7.tgz";
+ sha1 = "8a039d2d1021d22d1ea14c80d8ea468ba2ef3fcc";
+ };
+ }
+ {
+ name = "source_map___source_map_0.6.1.tgz";
+ path = fetchurl {
+ name = "source_map___source_map_0.6.1.tgz";
+ url = "https://registry.yarnpkg.com/source-map/-/source-map-0.6.1.tgz";
+ sha1 = "74722af32e9614e9c287a8d0bbde48b5e2f1a263";
+ };
+ }
+ {
+ name = "source_map___source_map_0.7.3.tgz";
+ path = fetchurl {
+ name = "source_map___source_map_0.7.3.tgz";
+ url = "https://registry.yarnpkg.com/source-map/-/source-map-0.7.3.tgz";
+ sha1 = "5302f8169031735226544092e64981f751750383";
+ };
+ }
+ {
+ name = "sourcemap_blender___sourcemap_blender_1.0.5.tgz";
+ path = fetchurl {
+ name = "sourcemap_blender___sourcemap_blender_1.0.5.tgz";
+ url = "https://registry.yarnpkg.com/sourcemap-blender/-/sourcemap-blender-1.0.5.tgz";
+ sha1 = "d361f3d12381c4e477178113878fdf984a91bdbc";
+ };
+ }
+ {
+ name = "split_string___split_string_3.1.0.tgz";
+ path = fetchurl {
+ name = "split_string___split_string_3.1.0.tgz";
+ url = "https://registry.yarnpkg.com/split-string/-/split-string-3.1.0.tgz";
+ sha1 = "7cb09dda3a86585705c64b39a6466038682e8fe2";
+ };
+ }
+ {
+ name = "sprintf_js___sprintf_js_1.0.3.tgz";
+ path = fetchurl {
+ name = "sprintf_js___sprintf_js_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/sprintf-js/-/sprintf-js-1.0.3.tgz";
+ sha1 = "04e6926f662895354f3dd015203633b857297e2c";
+ };
+ }
+ {
+ name = "sshpk___sshpk_1.16.1.tgz";
+ path = fetchurl {
+ name = "sshpk___sshpk_1.16.1.tgz";
+ url = "https://registry.yarnpkg.com/sshpk/-/sshpk-1.16.1.tgz";
+ sha1 = "fb661c0bef29b39db40769ee39fa70093d6f6877";
+ };
+ }
+ {
+ name = "static_extend___static_extend_0.1.2.tgz";
+ path = fetchurl {
+ name = "static_extend___static_extend_0.1.2.tgz";
+ url = "https://registry.yarnpkg.com/static-extend/-/static-extend-0.1.2.tgz";
+ sha1 = "60809c39cbff55337226fd5e0b520f341f1fb5c6";
+ };
+ }
+ {
+ name = "statuses___statuses_1.5.0.tgz";
+ path = fetchurl {
+ name = "statuses___statuses_1.5.0.tgz";
+ url = "https://registry.yarnpkg.com/statuses/-/statuses-1.5.0.tgz";
+ sha1 = "161c7dac177659fd9811f43771fa99381478628c";
+ };
+ }
+ {
+ name = "stream_browserify___stream_browserify_2.0.2.tgz";
+ path = fetchurl {
+ name = "stream_browserify___stream_browserify_2.0.2.tgz";
+ url = "https://registry.yarnpkg.com/stream-browserify/-/stream-browserify-2.0.2.tgz";
+ sha1 = "87521d38a44aa7ee91ce1cd2a47df0cb49dd660b";
+ };
+ }
+ {
+ name = "string_width___string_width_2.1.1.tgz";
+ path = fetchurl {
+ name = "string_width___string_width_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/string-width/-/string-width-2.1.1.tgz";
+ sha1 = "ab93f27a8dc13d28cac815c462143a6d9012ae9e";
+ };
+ }
+ {
+ name = "string.prototype.trimend___string.prototype.trimend_1.0.1.tgz";
+ path = fetchurl {
+ name = "string.prototype.trimend___string.prototype.trimend_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/string.prototype.trimend/-/string.prototype.trimend-1.0.1.tgz";
+ sha1 = "85812a6b847ac002270f5808146064c995fb6913";
+ };
+ }
+ {
+ name = "string.prototype.trimstart___string.prototype.trimstart_1.0.1.tgz";
+ path = fetchurl {
+ name = "string.prototype.trimstart___string.prototype.trimstart_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/string.prototype.trimstart/-/string.prototype.trimstart-1.0.1.tgz";
+ sha1 = "14af6d9f34b053f7cfc89b72f8f2ee14b9039a54";
+ };
+ }
+ {
+ name = "string_decoder___string_decoder_1.3.0.tgz";
+ path = fetchurl {
+ name = "string_decoder___string_decoder_1.3.0.tgz";
+ url = "https://registry.yarnpkg.com/string_decoder/-/string_decoder-1.3.0.tgz";
+ sha1 = "42f114594a46cf1a8e30b0a84f56c78c3edac21e";
+ };
+ }
+ {
+ name = "string_decoder___string_decoder_0.10.31.tgz";
+ path = fetchurl {
+ name = "string_decoder___string_decoder_0.10.31.tgz";
+ url = "https://registry.yarnpkg.com/string_decoder/-/string_decoder-0.10.31.tgz";
+ sha1 = "62e203bc41766c6c28c9fc84301dab1c5310fa94";
+ };
+ }
+ {
+ name = "string_decoder___string_decoder_1.1.1.tgz";
+ path = fetchurl {
+ name = "string_decoder___string_decoder_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/string_decoder/-/string_decoder-1.1.1.tgz";
+ sha1 = "9cf1611ba62685d7030ae9e4ba34149c3af03fc8";
+ };
+ }
+ {
+ name = "strip_ansi___strip_ansi_4.0.0.tgz";
+ path = fetchurl {
+ name = "strip_ansi___strip_ansi_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-4.0.0.tgz";
+ sha1 = "a8479022eb1ac368a871389b635262c505ee368f";
+ };
+ }
+ {
+ name = "structured_source___structured_source_3.0.2.tgz";
+ path = fetchurl {
+ name = "structured_source___structured_source_3.0.2.tgz";
+ url = "https://registry.yarnpkg.com/structured-source/-/structured-source-3.0.2.tgz";
+ sha1 = "dd802425e0f53dc4a6e7aca3752901a1ccda7af5";
+ };
+ }
+ {
+ name = "stylis_rule_sheet___stylis_rule_sheet_0.0.10.tgz";
+ path = fetchurl {
+ name = "stylis_rule_sheet___stylis_rule_sheet_0.0.10.tgz";
+ url = "https://registry.yarnpkg.com/stylis-rule-sheet/-/stylis-rule-sheet-0.0.10.tgz";
+ sha1 = "44e64a2b076643f4b52e5ff71efc04d8c3c4a430";
+ };
+ }
+ {
+ name = "stylis___stylis_3.5.4.tgz";
+ path = fetchurl {
+ name = "stylis___stylis_3.5.4.tgz";
+ url = "https://registry.yarnpkg.com/stylis/-/stylis-3.5.4.tgz";
+ sha1 = "f665f25f5e299cf3d64654ab949a57c768b73fbe";
+ };
+ }
+ {
+ name = "supports_color___supports_color_5.5.0.tgz";
+ path = fetchurl {
+ name = "supports_color___supports_color_5.5.0.tgz";
+ url = "https://registry.yarnpkg.com/supports-color/-/supports-color-5.5.0.tgz";
+ sha1 = "e2e69a44ac8772f78a1ec0b35b689df6530efc8f";
+ };
+ }
+ {
+ name = "terser___terser_4.6.4.tgz";
+ path = fetchurl {
+ name = "terser___terser_4.6.4.tgz";
+ url = "https://registry.yarnpkg.com/terser/-/terser-4.6.4.tgz";
+ sha1 = "40a0b37afbe5b57e494536815efa68326840fc00";
+ };
+ }
+ {
+ name = "thenby___thenby_1.3.3.tgz";
+ path = fetchurl {
+ name = "thenby___thenby_1.3.3.tgz";
+ url = "https://registry.yarnpkg.com/thenby/-/thenby-1.3.3.tgz";
+ sha1 = "016c3427772a284bbfef982d978f7574fd15ee9d";
+ };
+ }
+ {
+ name = "through___through_2.3.8.tgz";
+ path = fetchurl {
+ name = "through___through_2.3.8.tgz";
+ url = "https://registry.yarnpkg.com/through/-/through-2.3.8.tgz";
+ sha1 = "0dd4c9ffaabc357960b1b724115d7e0e86a2e1f5";
+ };
+ }
+ {
+ name = "tiny_emitter___tiny_emitter_2.1.0.tgz";
+ path = fetchurl {
+ name = "tiny_emitter___tiny_emitter_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/tiny-emitter/-/tiny-emitter-2.1.0.tgz";
+ sha1 = "1d1a56edfc51c43e863cbb5382a72330e3555423";
+ };
+ }
+ {
+ name = "tlite___tlite_0.1.9.tgz";
+ path = fetchurl {
+ name = "tlite___tlite_0.1.9.tgz";
+ url = "https://registry.yarnpkg.com/tlite/-/tlite-0.1.9.tgz";
+ sha1 = "e886e4a305b7522242e2453b7ca4fb84f2d9de0f";
+ };
+ }
+ {
+ name = "tmp___tmp_0.0.33.tgz";
+ path = fetchurl {
+ name = "tmp___tmp_0.0.33.tgz";
+ url = "https://registry.yarnpkg.com/tmp/-/tmp-0.0.33.tgz";
+ sha1 = "6d34335889768d21b2bcda0aa277ced3b1bfadf9";
+ };
+ }
+ {
+ name = "to_fast_properties___to_fast_properties_2.0.0.tgz";
+ path = fetchurl {
+ name = "to_fast_properties___to_fast_properties_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/to-fast-properties/-/to-fast-properties-2.0.0.tgz";
+ sha1 = "dc5e698cbd079265bc73e0377681a4e4e83f616e";
+ };
+ }
+ {
+ name = "to_object_path___to_object_path_0.3.0.tgz";
+ path = fetchurl {
+ name = "to_object_path___to_object_path_0.3.0.tgz";
+ url = "https://registry.yarnpkg.com/to-object-path/-/to-object-path-0.3.0.tgz";
+ sha1 = "297588b7b0e7e0ac08e04e672f85c1f4999e17af";
+ };
+ }
+ {
+ name = "to_regex_range___to_regex_range_2.1.1.tgz";
+ path = fetchurl {
+ name = "to_regex_range___to_regex_range_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/to-regex-range/-/to-regex-range-2.1.1.tgz";
+ sha1 = "7c80c17b9dfebe599e27367e0d4dd5590141db38";
+ };
+ }
+ {
+ name = "to_regex___to_regex_3.0.2.tgz";
+ path = fetchurl {
+ name = "to_regex___to_regex_3.0.2.tgz";
+ url = "https://registry.yarnpkg.com/to-regex/-/to-regex-3.0.2.tgz";
+ sha1 = "13cfdd9b336552f30b51f33a8ae1b42a7a7599ce";
+ };
+ }
+ {
+ name = "toidentifier___toidentifier_1.0.0.tgz";
+ path = fetchurl {
+ name = "toidentifier___toidentifier_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/toidentifier/-/toidentifier-1.0.0.tgz";
+ sha1 = "7e1be3470f1e77948bc43d94a3c8f4d7752ba553";
+ };
+ }
+ {
+ name = "touch___touch_2.0.2.tgz";
+ path = fetchurl {
+ name = "touch___touch_2.0.2.tgz";
+ url = "https://registry.yarnpkg.com/touch/-/touch-2.0.2.tgz";
+ sha1 = "ca0b2a3ae3211246a61b16ba9e6cbf1596287164";
+ };
+ }
+ {
+ name = "tough_cookie___tough_cookie_2.5.0.tgz";
+ path = fetchurl {
+ name = "tough_cookie___tough_cookie_2.5.0.tgz";
+ url = "https://registry.yarnpkg.com/tough-cookie/-/tough-cookie-2.5.0.tgz";
+ sha1 = "cd9fb2a0aa1d5a12b473bd9fb96fa3dcff65ade2";
+ };
+ }
+ {
+ name = "traverse___traverse_0.3.9.tgz";
+ path = fetchurl {
+ name = "traverse___traverse_0.3.9.tgz";
+ url = "https://registry.yarnpkg.com/traverse/-/traverse-0.3.9.tgz";
+ sha1 = "717b8f220cc0bb7b44e40514c22b2e8bbc70d8b9";
+ };
+ }
+ {
+ name = "ts_node___ts_node_8.10.2.tgz";
+ path = fetchurl {
+ name = "ts_node___ts_node_8.10.2.tgz";
+ url = "https://registry.yarnpkg.com/ts-node/-/ts-node-8.10.2.tgz";
+ sha1 = "eee03764633b1234ddd37f8db9ec10b75ec7fb8d";
+ };
+ }
+ {
+ name = "tslib___tslib_1.11.1.tgz";
+ path = fetchurl {
+ name = "tslib___tslib_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/tslib/-/tslib-1.11.1.tgz";
+ sha1 = "eb15d128827fbee2841549e171f45ed338ac7e35";
+ };
+ }
+ {
+ name = "tslint_config_prettier___tslint_config_prettier_1.18.0.tgz";
+ path = fetchurl {
+ name = "tslint_config_prettier___tslint_config_prettier_1.18.0.tgz";
+ url = "https://registry.yarnpkg.com/tslint-config-prettier/-/tslint-config-prettier-1.18.0.tgz";
+ sha1 = "75f140bde947d35d8f0d238e0ebf809d64592c37";
+ };
+ }
+ {
+ name = "tslint_react___tslint_react_5.0.0.tgz";
+ path = fetchurl {
+ name = "tslint_react___tslint_react_5.0.0.tgz";
+ url = "https://registry.yarnpkg.com/tslint-react/-/tslint-react-5.0.0.tgz";
+ sha1 = "d0ae644e8163bdd3e134012e9353094904e8dd44";
+ };
+ }
+ {
+ name = "tslint___tslint_5.20.1.tgz";
+ path = fetchurl {
+ name = "tslint___tslint_5.20.1.tgz";
+ url = "https://registry.yarnpkg.com/tslint/-/tslint-5.20.1.tgz";
+ sha1 = "e401e8aeda0152bc44dd07e614034f3f80c67b7d";
+ };
+ }
+ {
+ name = "tsutils___tsutils_2.29.0.tgz";
+ path = fetchurl {
+ name = "tsutils___tsutils_2.29.0.tgz";
+ url = "https://registry.yarnpkg.com/tsutils/-/tsutils-2.29.0.tgz";
+ sha1 = "32b488501467acbedd4b85498673a0812aca0b99";
+ };
+ }
+ {
+ name = "tsutils___tsutils_3.17.1.tgz";
+ path = fetchurl {
+ name = "tsutils___tsutils_3.17.1.tgz";
+ url = "https://registry.yarnpkg.com/tsutils/-/tsutils-3.17.1.tgz";
+ sha1 = "ed719917f11ca0dee586272b2ac49e015a2dd759";
+ };
+ }
+ {
+ name = "tunnel_agent___tunnel_agent_0.6.0.tgz";
+ path = fetchurl {
+ name = "tunnel_agent___tunnel_agent_0.6.0.tgz";
+ url = "https://registry.yarnpkg.com/tunnel-agent/-/tunnel-agent-0.6.0.tgz";
+ sha1 = "27a5dea06b36b04a0a9966774b290868f0fc40fd";
+ };
+ }
+ {
+ name = "tweetnacl___tweetnacl_0.14.5.tgz";
+ path = fetchurl {
+ name = "tweetnacl___tweetnacl_0.14.5.tgz";
+ url = "https://registry.yarnpkg.com/tweetnacl/-/tweetnacl-0.14.5.tgz";
+ sha1 = "5ae68177f192d4456269d108afa93ff8743f4f64";
+ };
+ }
+ {
+ name = "type_check___type_check_0.3.2.tgz";
+ path = fetchurl {
+ name = "type_check___type_check_0.3.2.tgz";
+ url = "https://registry.yarnpkg.com/type-check/-/type-check-0.3.2.tgz";
+ sha1 = "5884cab512cf1d355e3fb784f30804b2b520db72";
+ };
+ }
+ {
+ name = "type_is___type_is_1.6.18.tgz";
+ path = fetchurl {
+ name = "type_is___type_is_1.6.18.tgz";
+ url = "https://registry.yarnpkg.com/type-is/-/type-is-1.6.18.tgz";
+ sha1 = "4e552cd05df09467dcbc4ef739de89f2cf37c131";
+ };
+ }
+ {
+ name = "typedarray___typedarray_0.0.6.tgz";
+ path = fetchurl {
+ name = "typedarray___typedarray_0.0.6.tgz";
+ url = "https://registry.yarnpkg.com/typedarray/-/typedarray-0.0.6.tgz";
+ sha1 = "867ac74e3864187b1d3d47d996a78ec5c8830777";
+ };
+ }
+ {
+ name = "typescript_tslint_plugin___typescript_tslint_plugin_0.5.5.tgz";
+ path = fetchurl {
+ name = "typescript_tslint_plugin___typescript_tslint_plugin_0.5.5.tgz";
+ url = "https://registry.yarnpkg.com/typescript-tslint-plugin/-/typescript-tslint-plugin-0.5.5.tgz";
+ sha1 = "673875c43640251f1ab3d63745d7d49726ff961c";
+ };
+ }
+ {
+ name = "typescript___typescript_3.8.3.tgz";
+ path = fetchurl {
+ name = "typescript___typescript_3.8.3.tgz";
+ url = "https://registry.yarnpkg.com/typescript/-/typescript-3.8.3.tgz";
+ sha1 = "409eb8544ea0335711205869ec458ab109ee1061";
+ };
+ }
+ {
+ name = "uglify_js___uglify_js_3.8.0.tgz";
+ path = fetchurl {
+ name = "uglify_js___uglify_js_3.8.0.tgz";
+ url = "https://registry.yarnpkg.com/uglify-js/-/uglify-js-3.8.0.tgz";
+ sha1 = "f3541ae97b2f048d7e7e3aa4f39fd8a1f5d7a805";
+ };
+ }
+ {
+ name = "ultron___ultron_1.0.2.tgz";
+ path = fetchurl {
+ name = "ultron___ultron_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/ultron/-/ultron-1.0.2.tgz";
+ sha1 = "ace116ab557cd197386a4e88f4685378c8b2e4fa";
+ };
+ }
+ {
+ name = "unicode_canonical_property_names_ecmascript___unicode_canonical_property_names_ecmascript_1.0.4.tgz";
+ path = fetchurl {
+ name = "unicode_canonical_property_names_ecmascript___unicode_canonical_property_names_ecmascript_1.0.4.tgz";
+ url = "https://registry.yarnpkg.com/unicode-canonical-property-names-ecmascript/-/unicode-canonical-property-names-ecmascript-1.0.4.tgz";
+ sha1 = "2619800c4c825800efdd8343af7dd9933cbe2818";
+ };
+ }
+ {
+ name = "unicode_match_property_ecmascript___unicode_match_property_ecmascript_1.0.4.tgz";
+ path = fetchurl {
+ name = "unicode_match_property_ecmascript___unicode_match_property_ecmascript_1.0.4.tgz";
+ url = "https://registry.yarnpkg.com/unicode-match-property-ecmascript/-/unicode-match-property-ecmascript-1.0.4.tgz";
+ sha1 = "8ed2a32569961bce9227d09cd3ffbb8fed5f020c";
+ };
+ }
+ {
+ name = "unicode_match_property_value_ecmascript___unicode_match_property_value_ecmascript_1.1.0.tgz";
+ path = fetchurl {
+ name = "unicode_match_property_value_ecmascript___unicode_match_property_value_ecmascript_1.1.0.tgz";
+ url = "https://registry.yarnpkg.com/unicode-match-property-value-ecmascript/-/unicode-match-property-value-ecmascript-1.1.0.tgz";
+ sha1 = "5b4b426e08d13a80365e0d657ac7a6c1ec46a277";
+ };
+ }
+ {
+ name = "unicode_property_aliases_ecmascript___unicode_property_aliases_ecmascript_1.0.5.tgz";
+ path = fetchurl {
+ name = "unicode_property_aliases_ecmascript___unicode_property_aliases_ecmascript_1.0.5.tgz";
+ url = "https://registry.yarnpkg.com/unicode-property-aliases-ecmascript/-/unicode-property-aliases-ecmascript-1.0.5.tgz";
+ sha1 = "a9cc6cc7ce63a0a3023fc99e341b94431d405a57";
+ };
+ }
+ {
+ name = "union_value___union_value_1.0.1.tgz";
+ path = fetchurl {
+ name = "union_value___union_value_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/union-value/-/union-value-1.0.1.tgz";
+ sha1 = "0b6fe7b835aecda61c6ea4d4f02c14221e109847";
+ };
+ }
+ {
+ name = "universalify___universalify_0.1.2.tgz";
+ path = fetchurl {
+ name = "universalify___universalify_0.1.2.tgz";
+ url = "https://registry.yarnpkg.com/universalify/-/universalify-0.1.2.tgz";
+ sha1 = "b646f69be3942dabcecc9d6639c80dc105efaa66";
+ };
+ }
+ {
+ name = "unpipe___unpipe_1.0.0.tgz";
+ path = fetchurl {
+ name = "unpipe___unpipe_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/unpipe/-/unpipe-1.0.0.tgz";
+ sha1 = "b2bf4ee8514aae6165b4817829d21b2ef49904ec";
+ };
+ }
+ {
+ name = "unset_value___unset_value_1.0.0.tgz";
+ path = fetchurl {
+ name = "unset_value___unset_value_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/unset-value/-/unset-value-1.0.0.tgz";
+ sha1 = "8376873f7d2335179ffb1e6fc3a8ed0dfc8ab559";
+ };
+ }
+ {
+ name = "unstated___unstated_2.1.1.tgz";
+ path = fetchurl {
+ name = "unstated___unstated_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/unstated/-/unstated-2.1.1.tgz";
+ sha1 = "36b124dfb2e7a12d39d0bb9c46dfb6e51276e3a2";
+ };
+ }
+ {
+ name = "unzip___unzip_0.1.11.tgz";
+ path = fetchurl {
+ name = "unzip___unzip_0.1.11.tgz";
+ url = "https://registry.yarnpkg.com/unzip/-/unzip-0.1.11.tgz";
+ sha1 = "89749c63b058d7d90d619f86b98aa1535d3b97f0";
+ };
+ }
+ {
+ name = "uri_js___uri_js_4.2.2.tgz";
+ path = fetchurl {
+ name = "uri_js___uri_js_4.2.2.tgz";
+ url = "https://registry.yarnpkg.com/uri-js/-/uri-js-4.2.2.tgz";
+ sha1 = "94c540e1ff772956e2299507c010aea6c8838eb0";
+ };
+ }
+ {
+ name = "urix___urix_0.1.0.tgz";
+ path = fetchurl {
+ name = "urix___urix_0.1.0.tgz";
+ url = "https://registry.yarnpkg.com/urix/-/urix-0.1.0.tgz";
+ sha1 = "da937f7a62e21fec1fd18d49b35c2935067a6c72";
+ };
+ }
+ {
+ name = "use___use_3.1.1.tgz";
+ path = fetchurl {
+ name = "use___use_3.1.1.tgz";
+ url = "https://registry.yarnpkg.com/use/-/use-3.1.1.tgz";
+ sha1 = "d50c8cac79a19fbc20f2911f56eb973f4e10070f";
+ };
+ }
+ {
+ name = "util_deprecate___util_deprecate_1.0.2.tgz";
+ path = fetchurl {
+ name = "util_deprecate___util_deprecate_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/util-deprecate/-/util-deprecate-1.0.2.tgz";
+ sha1 = "450d4dc9fa70de732762fbd2d4a28981419a0ccf";
+ };
+ }
+ {
+ name = "utils_extend___utils_extend_1.0.8.tgz";
+ path = fetchurl {
+ name = "utils_extend___utils_extend_1.0.8.tgz";
+ url = "https://registry.yarnpkg.com/utils-extend/-/utils-extend-1.0.8.tgz";
+ sha1 = "ccfd7b64540f8e90ee21eec57769d0651cab8a5f";
+ };
+ }
+ {
+ name = "utils_merge___utils_merge_1.0.1.tgz";
+ path = fetchurl {
+ name = "utils_merge___utils_merge_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/utils-merge/-/utils-merge-1.0.1.tgz";
+ sha1 = "9f95710f50a267947b2ccc124741c1028427e713";
+ };
+ }
+ {
+ name = "uuid___uuid_3.4.0.tgz";
+ path = fetchurl {
+ name = "uuid___uuid_3.4.0.tgz";
+ url = "https://registry.yarnpkg.com/uuid/-/uuid-3.4.0.tgz";
+ sha1 = "b23e4358afa8a202fe7a100af1f5f883f02007ee";
+ };
+ }
+ {
+ name = "vary___vary_1.1.2.tgz";
+ path = fetchurl {
+ name = "vary___vary_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/vary/-/vary-1.1.2.tgz";
+ sha1 = "2299f02c6ded30d4a5961b0b9f74524a18f634fc";
+ };
+ }
+ {
+ name = "verror___verror_1.10.0.tgz";
+ path = fetchurl {
+ name = "verror___verror_1.10.0.tgz";
+ url = "https://registry.yarnpkg.com/verror/-/verror-1.10.0.tgz";
+ sha1 = "3a105ca17053af55d6e270c1f8288682e18da400";
+ };
+ }
+ {
+ name = "vscode_jsonrpc___vscode_jsonrpc_4.0.0.tgz";
+ path = fetchurl {
+ name = "vscode_jsonrpc___vscode_jsonrpc_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/vscode-jsonrpc/-/vscode-jsonrpc-4.0.0.tgz";
+ sha1 = "a7bf74ef3254d0a0c272fab15c82128e378b3be9";
+ };
+ }
+ {
+ name = "vscode_languageserver_protocol___vscode_languageserver_protocol_3.14.1.tgz";
+ path = fetchurl {
+ name = "vscode_languageserver_protocol___vscode_languageserver_protocol_3.14.1.tgz";
+ url = "https://registry.yarnpkg.com/vscode-languageserver-protocol/-/vscode-languageserver-protocol-3.14.1.tgz";
+ sha1 = "b8aab6afae2849c84a8983d39a1cf742417afe2f";
+ };
+ }
+ {
+ name = "vscode_languageserver_types___vscode_languageserver_types_3.14.0.tgz";
+ path = fetchurl {
+ name = "vscode_languageserver_types___vscode_languageserver_types_3.14.0.tgz";
+ url = "https://registry.yarnpkg.com/vscode-languageserver-types/-/vscode-languageserver-types-3.14.0.tgz";
+ sha1 = "d3b5952246d30e5241592b6dde8280e03942e743";
+ };
+ }
+ {
+ name = "vscode_languageserver___vscode_languageserver_5.2.1.tgz";
+ path = fetchurl {
+ name = "vscode_languageserver___vscode_languageserver_5.2.1.tgz";
+ url = "https://registry.yarnpkg.com/vscode-languageserver/-/vscode-languageserver-5.2.1.tgz";
+ sha1 = "0d2feddd33f92aadf5da32450df498d52f6f14eb";
+ };
+ }
+ {
+ name = "vscode_uri___vscode_uri_1.0.8.tgz";
+ path = fetchurl {
+ name = "vscode_uri___vscode_uri_1.0.8.tgz";
+ url = "https://registry.yarnpkg.com/vscode-uri/-/vscode-uri-1.0.8.tgz";
+ sha1 = "9769aaececae4026fb6e22359cb38946580ded59";
+ };
+ }
+ {
+ name = "w3c_keyname___w3c_keyname_2.2.2.tgz";
+ path = fetchurl {
+ name = "w3c_keyname___w3c_keyname_2.2.2.tgz";
+ url = "https://registry.yarnpkg.com/w3c-keyname/-/w3c-keyname-2.2.2.tgz";
+ sha1 = "7ea63170454bb19f1a3c6b628fc3dc8889276e91";
+ };
+ }
+ {
+ name = "watch___watch_1.0.2.tgz";
+ path = fetchurl {
+ name = "watch___watch_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/watch/-/watch-1.0.2.tgz";
+ sha1 = "340a717bde765726fa0aa07d721e0147a551df0c";
+ };
+ }
+ {
+ name = "word_wrap___word_wrap_1.2.3.tgz";
+ path = fetchurl {
+ name = "word_wrap___word_wrap_1.2.3.tgz";
+ url = "https://registry.yarnpkg.com/word-wrap/-/word-wrap-1.2.3.tgz";
+ sha1 = "610636f6b1f703891bd34771ccb17fb93b47079c";
+ };
+ }
+ {
+ name = "wrappy___wrappy_1.0.2.tgz";
+ path = fetchurl {
+ name = "wrappy___wrappy_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/wrappy/-/wrappy-1.0.2.tgz";
+ sha1 = "b5243d8f3ec1aa35f1364605bc0d1036e30ab69f";
+ };
+ }
+ {
+ name = "ws___ws_1.1.5.tgz";
+ path = fetchurl {
+ name = "ws___ws_1.1.5.tgz";
+ url = "https://registry.yarnpkg.com/ws/-/ws-1.1.5.tgz";
+ sha1 = "cbd9e6e75e09fc5d2c90015f21f0c40875e0dd51";
+ };
+ }
+ {
+ name = "yaml___yaml_1.7.2.tgz";
+ path = fetchurl {
+ name = "yaml___yaml_1.7.2.tgz";
+ url = "https://registry.yarnpkg.com/yaml/-/yaml-1.7.2.tgz";
+ sha1 = "f26aabf738590ab61efaca502358e48dc9f348b2";
+ };
+ }
+ {
+ name = "yn___yn_3.1.1.tgz";
+ path = fetchurl {
+ name = "yn___yn_3.1.1.tgz";
+ url = "https://registry.yarnpkg.com/yn/-/yn-3.1.1.tgz";
+ sha1 = "1e87401a09d767c1d5eab26a6e4c185182d2eb50";
+ };
+ }
+ {
+ name = "zenscroll___zenscroll_4.0.2.tgz";
+ path = fetchurl {
+ name = "zenscroll___zenscroll_4.0.2.tgz";
+ url = "https://registry.yarnpkg.com/zenscroll/-/zenscroll-4.0.2.tgz";
+ sha1 = "e8d5774d1c0738a47bcfa8729f3712e2deddeb25";
+ };
+ }
+ ];
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/sigil/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/sigil/default.nix
index d3d6e97f3fe..adbbc05ccce 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/sigil/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/sigil/default.nix
@@ -6,13 +6,13 @@
mkDerivation rec {
pname = "sigil";
- version = "1.5.1";
+ version = "1.7.0";
src = fetchFromGitHub {
repo = "Sigil";
owner = "Sigil-Ebook";
rev = version;
- sha256 = "sha256-BqNaIsUJE0KmFcmTjJERbclzaRe1dMjareWxUye2se0=";
+ sha256 = "sha256-a1gstR7qHbzQ3GZ0g/lxUxcHeZ5QgJIvhCV37tqlVfA=";
};
pythonPath = with python3Packages; [ lxml ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/texmaker/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/texmaker/default.nix
index 265783fe878..4c176bab057 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/texmaker/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/texmaker/default.nix
@@ -2,11 +2,11 @@
mkDerivation rec {
pname = "texmaker";
- version = "5.1.1";
+ version = "5.1.2";
src = fetchurl {
url = "http://www.xm1math.net/texmaker/${pname}-${version}.tar.bz2";
- sha256 = "sha256-gANJknSWIMN+B0uAOtPil8EbjyWt4E+xOxOseR87Dd4=";
+ sha256 = "sha256-UmiW8sGuVhEw7seq6BW53Nqejut3K2VB0NyUzpGnEEQ=";
};
buildInputs = [ qtbase qtscript poppler zlib qtwebengine ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/texstudio/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/texstudio/default.nix
index a5105613080..853593557dc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/texstudio/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/texstudio/default.nix
@@ -3,13 +3,13 @@
mkDerivation rec {
pname = "texstudio";
- version = "3.1.2";
+ version = "4.0.0";
src = fetchFromGitHub {
owner = "${pname}-org";
repo = pname;
rev = version;
- sha256 = "0h5g1sirsy1f2xlq85c1ik1s52gycfipy9yx0flgaw8m4wmhz26v";
+ sha256 = "0fapgc6dvzn47gmhxkqymwi3818rdiag33ml57j2mfmsi5pjxi0f";
};
nativeBuildInputs = [ qmake wrapQtAppsHook pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/typora/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/typora/default.nix
deleted file mode 100644
index a9afc774bc0..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/typora/default.nix
+++ /dev/null
@@ -1,72 +0,0 @@
-{ stdenv
-, lib
-, fetchurl
-, makeWrapper
-, electron_9
-, dpkg
-, gtk3
-, glib
-, gsettings-desktop-schemas
-, wrapGAppsHook
-, withPandoc ? false
-, pandoc
-}:
-
-let
- electron = electron_9;
-in
-stdenv.mkDerivation rec {
- pname = "typora";
- version = "0.9.98";
-
- src = fetchurl {
- url = "https://www.typora.io/linux/typora_${version}_amd64.deb";
- sha256 = "sha256-JiqjxT8ZGttrcJrcQmBoGPnRuuYWZ9u2083RxZoLMus=";
- };
-
- nativeBuildInputs = [
- dpkg
- makeWrapper
- wrapGAppsHook
- ];
-
- buildInputs = [
- glib
- gsettings-desktop-schemas
- gtk3
- ];
-
- # The deb contains setuid permission on `chrome-sandbox`, which will actually not get installed.
- unpackPhase = "dpkg-deb --fsys-tarfile $src | tar -x --no-same-permissions --no-same-owner";
-
- dontWrapGApps = true;
-
- installPhase = ''
- runHook preInstall
-
- mkdir -p $out/bin $out/share
- {
- cd usr
- mv share/typora/resources/app $out/share/typora
- mv share/{applications,icons,doc} $out/share/
- }
-
- runHook postInstall
- '';
-
- postFixup = ''
- makeWrapper ${electron}/bin/electron $out/bin/typora \
- --add-flags $out/share/typora \
- "''${gappsWrapperArgs[@]}" \
- ${lib.optionalString withPandoc ''--prefix PATH : "${lib.makeBinPath [ pandoc ]}"''} \
- --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ stdenv.cc.cc ]}"
- '';
-
- meta = with lib; {
- description = "A minimal Markdown reading & writing app";
- homepage = "https://typora.io";
- license = licenses.unfree;
- maintainers = with maintainers; [ jensbin ];
- platforms = [ "x86_64-linux"];
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/common.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/common.nix
index 71e7be66b1e..89d49f5e628 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/common.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/common.nix
@@ -1,12 +1,12 @@
{ lib, fetchFromGitHub }:
rec {
- version = "8.2.2567";
+ version = "8.2.3337";
src = fetchFromGitHub {
owner = "vim";
repo = "vim";
rev = "v${version}";
- sha256 = "sha256-FS3TZX7FKnnNpGYKbng2LIfWA9z2jqg7d2HC6t3xYTU=";
+ sha256 = "sha256-iwSGcLeqXH0bVIXEI5OnotG88Uv8ntycisD9EcHjz/c=";
};
enableParallelBuilding = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/configurable.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/configurable.nix
index 5e1d9dc9cb8..01561f4c272 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/configurable.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/configurable.nix
@@ -93,6 +93,16 @@ in stdenv.mkDerivation rec {
"--disable-nextaf_check"
"--disable-carbon_check"
"--disable-gtktest"
+ ] ++ lib.optionals (stdenv.hostPlatform != stdenv.buildPlatform) [
+ "vim_cv_toupper_broken=no"
+ "--with-tlib=ncurses"
+ "vim_cv_terminfo=yes"
+ "vim_cv_tgetent=zero" # it does on native anyway
+ "vim_cv_tty_group=tty"
+ "vim_cv_tty_mode=0660"
+ "vim_cv_getcwd_broken=no"
+ "vim_cv_stat_ignores_slash=yes"
+ "vim_cv_memmove_handles_overlap=yes"
]
++ lib.optional (guiSupport == "gtk2" || guiSupport == "gtk3") "--enable-gui=${guiSupport}"
++ lib.optional stdenv.isDarwin
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/default.nix
index 2cda81c2588..d8167f60987 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/default.nix
@@ -33,8 +33,6 @@ stdenv.mkDerivation {
"vim_cv_tty_mode=0660"
"vim_cv_getcwd_broken=no"
"vim_cv_stat_ignores_slash=yes"
- "ac_cv_sizeof_int=4"
- "vim_cv_memmove_handles_overlap=yes"
"vim_cv_memmove_handles_overlap=yes"
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/vimacs.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/vimacs.nix
index d98e3b37514..6eb995f86e0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/vimacs.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vim/vimacs.nix
@@ -11,7 +11,7 @@ stdenv.mkDerivation rec {
buildCommand = ''
mkdir -p "$out"/bin
- cp "${vimPlugins.vimacs}"/share/vim-plugins/vimacs/bin/vim $out/bin/vimacs
+ cp "${vimPlugins.vimacs}"/bin/vim $out/bin/vimacs
substituteInPlace "$out"/bin/vimacs \
--replace '-vim}' '-@bin@/bin/vim}' \
--replace '-gvim}' '-@bin@/bin/vim -g}' \
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vis/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vis/default.nix
index 9a020233f3b..a658f95ac01 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vis/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vis/default.nix
@@ -1,8 +1,11 @@
{ lib, stdenv, fetchFromGitHub, pkg-config, makeWrapper, makeDesktopItem
-, ncurses, libtermkey, lpeg, lua
+, ncurses, libtermkey, lua
, acl ? null, libselinux ? null
}:
+let
+ luaEnv = lua.withPackages(ps: [ ps.lpeg ]);
+in
stdenv.mkDerivation rec {
pname = "vis";
version = "0.7";
@@ -19,8 +22,7 @@ stdenv.mkDerivation rec {
buildInputs = [
ncurses
libtermkey
- lua
- lpeg
+ luaEnv
] ++ lib.optionals stdenv.isLinux [
acl
libselinux
@@ -30,16 +32,13 @@ stdenv.mkDerivation rec {
patchShebangs ./configure
'';
- LUA_CPATH="${lpeg}/lib/lua/${lua.luaversion}/?.so;";
- LUA_PATH="${lpeg}/share/lua/${lua.luaversion}/?.lua";
-
postInstall = ''
mkdir -p "$out/share/applications"
cp $desktopItem/share/applications/* $out/share/applications
echo wrapping $out/bin/vis with runtime environment
wrapProgram $out/bin/vis \
- --prefix LUA_CPATH ';' "${lpeg}/lib/lua/${lua.luaversion}/?.so" \
- --prefix LUA_PATH ';' "${lpeg}/share/lua/${lua.luaversion}/?.lua" \
+ --prefix LUA_CPATH ';' "${luaEnv}/lib/lua/${lua.luaversion}/?.so" \
+ --prefix LUA_PATH ';' "${luaEnv}/share/lua/${lua.luaversion}/?.lua" \
--prefix VIS_PATH : "\$HOME/.config:$out/share/vis"
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vscode/generic.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vscode/generic.nix
index 7279d6bf60b..7a3f34828ee 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vscode/generic.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vscode/generic.nix
@@ -1,12 +1,15 @@
{ stdenv, lib, makeDesktopItem
, unzip, libsecret, libXScrnSaver, libxshmfence, wrapGAppsHook
, gtk2, atomEnv, at-spi2-atk, autoPatchelfHook
-, systemd, fontconfig, libdbusmenu, buildFHSUserEnvBubblewrap
+, systemd, fontconfig, libdbusmenu, glib, buildFHSUserEnvBubblewrap
, writeShellScriptBin
# Populate passthru.tests
, tests
+# needed to fix "Save as Root"
+, nodePackages, bash
+
# Attributes inherit from specific versions
, version, src, meta, sourceRoot
, executableName, longName, shortName, pname, updateScript
@@ -101,6 +104,29 @@ let
runHook postInstall
'';
+ preFixup = ''
+ gappsWrapperArgs+=(
+ # Add gio to PATH so that moving files to the trash works when not using a desktop environment
+ --prefix PATH : ${glib.bin}/bin
+ )
+ '';
+
+ # See https://github.com/NixOS/nixpkgs/issues/49643#issuecomment-873853897
+ # linux only because of https://github.com/NixOS/nixpkgs/issues/138729
+ postPatch = lib.optionalString stdenv.isLinux ''
+ # this is a fix for "save as root" functionality
+ packed="resources/app/node_modules.asar"
+ unpacked="resources/app/node_modules"
+ ${nodePackages.asar}/bin/asar extract "$packed" "$unpacked"
+ substituteInPlace $unpacked/sudo-prompt/index.js \
+ --replace "/usr/bin/pkexec" "/run/wrappers/bin/pkexec" \
+ --replace "/bin/bash" "${bash}/bin/bash"
+ rm -rf "$packed"
+
+ # this fixes bundled ripgrep
+ chmod +x resources/app/node_modules/vscode-ripgrep/bin/rg
+ '';
+
inherit meta;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vscode/vscode.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vscode/vscode.nix
index 3131c3a7bf8..d08f80fda6f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vscode/vscode.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vscode/vscode.nix
@@ -14,17 +14,17 @@ let
archive_fmt = if stdenv.isDarwin then "zip" else "tar.gz";
sha256 = {
- x86_64-linux = "0i2pngrp2pcas99wkay7ahrcn3gl47gdjjaq7ladr879ypldh24v";
- x86_64-darwin = "1pni2cd5s6m9jxwpja4ma9xlr1q3xl46w8pim3971dw3xi5r29pg";
- aarch64-linux = "0j71ha2df99583w8r2l1hppn6wx8ll80flwcj5xzj7icv3mq8x7v";
- aarch64-darwin = "0vhp1z890mvs8hnwf43bfv74a7y0pv5crjn53rbiy0il1ihs1498";
- armv7l-linux = "07yb0ia1rnbav3gza2y53yd3bcxqmngddd4jz6p4y0m539znl817";
+ x86_64-linux = "1yfaf9qdaf6njvj8kilmivyl0nnhdvd9hbzpf8hv3kw5rfpdvy89";
+ x86_64-darwin = "10rx5aif61xipf5lcjzkidz9dhbm5gc2wf87c2j456nixaxbx0b4";
+ aarch64-linux = "13h4ffdm9y9p3jnqcjvapykbm73bkjy5jaqwhsi293f9r7jfp9rf";
+ aarch64-darwin = "07nmrxc25rfp5ibarhg3c14ksk2ymqmsnc55hicvvhw93g2qczby";
+ armv7l-linux = "1gz1mmw2vp986l9sm7rd6hypxs70sz63sbmzyxwfqpvj973dl23q";
}.${system};
in
callPackage ./generic.nix rec {
# Please backport all compatible updates to the stable release.
# This is important for the extension ecosystem.
- version = "1.59.1";
+ version = "1.61.2";
pname = "vscode";
executableName = "code" + lib.optionalString isInsiders "-insiders";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vscode/vscodium.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vscode/vscodium.nix
index a4d40a0b4f6..f014bf1fda3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vscode/vscodium.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/editors/vscode/vscodium.nix
@@ -13,10 +13,10 @@ let
archive_fmt = if system == "x86_64-darwin" then "zip" else "tar.gz";
sha256 = {
- x86_64-linux = "1z8sxdzwbjip8csrili5l36v1kl3iq8fw19dhfnkjs3fl0sn360k";
- x86_64-darwin = "0sp5k4pk9yjx16c79hqrwn64f2ab82iizm1cy93y9rr2r3px1yga";
- aarch64-linux = "03qm5008knigsahs6zz5c614g1kid3k0ndg8vb0flfwmdrajrdw3";
- armv7l-linux = "0sls3m5zwz6w01k7jym0vwbz006bkwv23yba7gf1gg84vbqgpb1x";
+ x86_64-linux = "1q260kjhyx8djl82275ii63z1mzypsz7rkz3pj1n2wjkwsnw276x";
+ x86_64-darwin = "1scx155rm8j6dwn0i31b6ajsdxcn1n24p3k6dx248w0zyiwd5wm1";
+ aarch64-linux = "1j788a0p767i65ying9pfg6rss8l7g76n2323dnmj12bhxs6cqd1";
+ armv7l-linux = "1yfwmfxpilfv2h3pp698pg4wr6dnyzwg0r266xiwsw7z38jh54fk";
}.${system};
sourceRoot = {
@@ -31,7 +31,7 @@ in
# Please backport all compatible updates to the stable release.
# This is important for the extension ecosystem.
- version = "1.59.1";
+ version = "1.61.2";
pname = "vscodium";
executableName = "codium";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/gis/qgis/unwrapped.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/gis/qgis/unwrapped.nix
index bdd8ace1e3d..70b5ffcc707 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/gis/qgis/unwrapped.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/gis/qgis/unwrapped.nix
@@ -1,9 +1,42 @@
-{ mkDerivation, lib, fetchFromGitHub, cmake, ninja, flex, bison, proj, geos
-, xlibsWrapper, sqlite, gsl, qwt, fcgi, python3Packages, libspatialindex
-, libspatialite, postgresql, txt2tags, openssl, libzip, hdf5, netcdf, exiv2
-, protobuf, qtbase, qtsensors, qca-qt5, qtkeychain, qscintilla, qtserialport
-, qtxmlpatterns, withGrass ? true, grass, withWebKit ? true, qtwebkit }:
-with lib;
+{ lib
+, mkDerivation
+, fetchFromGitHub
+, fetchpatch
+, cmake
+, ninja
+, flex
+, bison
+, proj
+, geos
+, xlibsWrapper
+, sqlite
+, gsl
+, qwt
+, fcgi
+, python3Packages
+, libspatialindex
+, libspatialite
+, postgresql
+, txt2tags
+, openssl
+, libzip
+, hdf5
+, netcdf
+, exiv2
+, protobuf
+, qtbase
+, qtsensors
+, qca-qt5
+, qtkeychain
+, qscintilla
+, qtserialport
+, qtxmlpatterns
+, withGrass ? true
+, grass
+, withWebKit ? true
+, qtwebkit
+}:
+
let
pythonBuildInputs = with python3Packages; [
qscintilla-qt5
@@ -25,8 +58,7 @@ let
];
in mkDerivation rec {
version = "3.16.10";
- pname = "qgis";
- name = "${pname}-unwrapped-${version}";
+ pname = "qgis-unwrapped";
src = fetchFromGitHub {
owner = "qgis";
@@ -35,6 +67,13 @@ in mkDerivation rec {
sha256 = "sha256-/lsfyTDlkZNIVHg5qgZW7qfOyTC2+1r3ZbsnQmEdy30=";
};
+ patches = [
+ (fetchpatch {
+ url = "https://github.com/qgis/QGIS/commit/fc1ac8bef8dcc3194857ecd32519aca4867b4fa1.patch";
+ sha256 = "106smg3drx8c7yxzfhd1c7xrq757l5cfxx8lklihyvr4a7wc9gpy";
+ })
+ ];
+
passthru = {
inherit pythonBuildInputs;
inherit python3Packages;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/gis/saga/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/gis/saga/default.nix
index 47defd44c64..a702eb54c13 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/gis/saga/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/gis/saga/default.nix
@@ -32,11 +32,11 @@
mkDerivation rec {
pname = "saga";
- version = "7.9.0";
+ version = "7.9.1";
src = fetchurl {
url = "mirror://sourceforge/saga-gis/SAGA%20-%20${lib.versions.major version}/SAGA%20-%20${version}/saga-${version}.tar.gz";
- sha256 = "sha256-ob23JbQnBxNO1QA8zUhLjgo5YBR90pwm8JT62bsPBdg=";
+ sha256 = "sha256-Jq1LhBSeJuq9SlNl/ko5I8+jnjZnLMfGYNNUnzVWo7w=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/ImageMagick/7.0.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/ImageMagick/7.0.nix
index 31611063389..64fdf50a0d1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/ImageMagick/7.0.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/ImageMagick/7.0.nix
@@ -1,6 +1,6 @@
{ lib, stdenv, fetchFromGitHub, pkg-config, libtool
, bzip2, zlib, libX11, libXext, libXt, fontconfig, freetype, ghostscript, libjpeg, djvulibre
-, lcms2, openexr, libpng, liblqr1, librsvg, libtiff, libxml2, openjpeg, libwebp, libheif
+, lcms2, openexr, libjxl, libpng, liblqr1, libraw, librsvg, libtiff, libxml2, openjpeg, libwebp, libheif
, ApplicationServices
, Foundation
, testVersion, imagemagick
@@ -18,13 +18,13 @@ in
stdenv.mkDerivation rec {
pname = "imagemagick";
- version = "7.1.0-4";
+ version = "7.1.0-9";
src = fetchFromGitHub {
owner = "ImageMagick";
repo = "ImageMagick";
rev = version;
- sha256 = "sha256-CvrSeoKaTigR+4egelwLRr2++CQ5OWUePwX9e1/G1GM=";
+ sha256 = "sha256-9eeOY6TvNykWA3yyQH1UR3ahdhOja87I9rsie9fMbso=";
};
outputs = [ "out" "dev" "doc" ]; # bin/ isn't really big
@@ -37,6 +37,9 @@ stdenv.mkDerivation rec {
++ (if arch != null then [ "--with-gcc-arch=${arch}" ] else [ "--without-gcc-arch" ])
++ lib.optional (librsvg != null) "--with-rsvg"
++ lib.optional (liblqr1 != null) "--with-lqr"
+ # libjxl is broken on aarch64 (see meta.broken in libjxl) for now,
+ # let's disable it for now to unbreak the imagemagick build.
+ ++ lib.optional (libjxl != null && !stdenv.isAarch64) "--with-jxl"
++ lib.optionals (ghostscript != null)
[ "--with-gs-font-dir=${ghostscript}/share/ghostscript/fonts"
"--with-gslib"
@@ -49,8 +52,12 @@ stdenv.mkDerivation rec {
buildInputs =
[ zlib fontconfig freetype ghostscript
- liblqr1 libpng libtiff libxml2 libheif djvulibre
+ liblqr1 libpng libraw libtiff libxml2 libheif djvulibre
]
+ # libjxl is broken on aarch64 (see meta.broken in libjxl) for now,
+ # let's disable it for now to unbreak the imagemagick build.
+ ++ lib.optionals (!stdenv.isAarch64)
+ [ libjxl ]
++ lib.optionals (!stdenv.hostPlatform.isMinGW)
[ openexr librsvg openjpeg ]
++ lib.optionals stdenv.isDarwin [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/akira/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/akira/default.nix
index 46e4de27547..430c582dd79 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/akira/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/akira/default.nix
@@ -69,7 +69,7 @@ stdenv.mkDerivation rec {
description = "Native Linux Design application built in Vala and GTK";
homepage = "https://github.com/akiraux/Akira";
license = licenses.gpl3Plus;
- maintainers = with maintainers; [ Br1ght0ne neonfuz ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ Br1ght0ne neonfuz ] ++ teams.pantheon.members;
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/avocode/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/avocode/default.nix
index 8100824b374..a2a9dcd4750 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/avocode/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/avocode/default.nix
@@ -5,11 +5,11 @@
stdenv.mkDerivation rec {
pname = "avocode";
- version = "4.15.0";
+ version = "4.15.1";
src = fetchurl {
url = "https://media.avocode.com/download/avocode-app/${version}/avocode-${version}-linux.zip";
- sha256 = "sha256-Au1m7CfZkeOczcO/JvIzyVCp6Gn/nhSq0yJOdP8i+0w=";
+ sha256 = "sha256-Cli1tbe/eHS0yk1OhrSgFwjjGx2jvQSYStkKYj6gk4I=";
};
libPath = lib.makeLibraryPath (with xorg; [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/blockbench-electron/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/blockbench-electron/default.nix
index 174733a4fdc..a9c258ce925 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/blockbench-electron/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/blockbench-electron/default.nix
@@ -42,7 +42,7 @@ stdenv.mkDerivation rec {
description = "A boxy 3D model editor powered by Electron";
homepage = "https://blockbench.net/";
license = licenses.gpl3Only;
- maintainers = [ maintainers.ronthecookie ];
+ maintainers = [ maintainers.ckie ];
platforms = [ "x86_64-linux" ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/darktable/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/darktable/default.nix
index 540a74e6524..50e26677377 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/darktable/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/darktable/default.nix
@@ -7,12 +7,12 @@
}:
stdenv.mkDerivation rec {
- version = "3.6.0";
+ version = "3.6.1";
pname = "darktable";
src = fetchurl {
url = "https://github.com/darktable-org/darktable/releases/download/release-${version}/darktable-${version}.tar.xz";
- sha256 = "sha256:0f8aqwkgw4gs97b5i4ygiqk5zilwq7ax7zwdd31r72zk98cd1g46";
+ sha256 = "sha256-or/HwQO4JJRUV6m/7Z5S8Af6HQMPnbyz/wMnhRvkLRQ=";
};
nativeBuildInputs = [ cmake ninja llvm pkg-config intltool perl desktop-file-utils wrapGAppsHook ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/drawing/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/drawing/default.nix
index a2b4e949499..9c25b2784b4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/drawing/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/drawing/default.nix
@@ -17,7 +17,7 @@
python3.pkgs.buildPythonApplication rec {
pname = "drawing";
- version = "0.8.0";
+ version = "0.8.3";
format = "other";
@@ -25,7 +25,7 @@ python3.pkgs.buildPythonApplication rec {
owner = "maoschanz";
repo = pname;
rev = version;
- sha256 = "03cx6acb0ph7b3difshjfddi8ld79wp8d12bdp7dp1q1820j5mz0";
+ sha256 = "sha256-qDLJ+Mw4z66ro9/zoEIzDJpA+jJLYw0WgsP7mA+56XM=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/drawpile/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/drawpile/default.nix
index fb5308921d4..09d51db254b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/drawpile/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/drawpile/default.nix
@@ -68,13 +68,13 @@ let
in mkDerivation rec {
pname = "drawpile";
- version = "2.1.19";
+ version = "2.1.20";
src = fetchFromGitHub {
owner = "drawpile";
repo = "drawpile";
rev = version;
- sha256 = "sha256-MNmzcqTHfMms6q3ZilrChE5WoGzGxnAOkB0a75udA1I=";
+ sha256 = "sha256-HjGsaa2BYRNxaQP9e8Z7BkVlIKByC/ta92boGbYHRWQ=";
};
nativeBuildInputs = [ extra-cmake-modules ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/epick/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/epick/default.nix
new file mode 100644
index 00000000000..f70f072aeaf
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/epick/default.nix
@@ -0,0 +1,56 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, stdenv
+, python3
+, libGL
+, libX11
+, libXcursor
+, libXi
+, libXrandr
+, libxcb
+, libxkbcommon
+, AppKit
+, IOKit
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "epick";
+ version = "0.5.1";
+
+ src = fetchFromGitHub {
+ owner = "vv9k";
+ repo = pname;
+ rev = version;
+ sha256 = "0l7m45bqx62nrwi0r4pdwxcq37s7h3nnawk9nq2zpvl9wcgnx3gc";
+ };
+
+ cargoSha256 = "sha256-LERV3+zwt5oVfyueGfxM7HsOha4cuWTkPyvPQwHSZqo=";
+
+ nativeBuildInputs = lib.optional stdenv.isLinux python3;
+
+ buildInputs = lib.optionals stdenv.isLinux [
+ libGL
+ libX11
+ libXcursor
+ libXi
+ libXrandr
+ libxcb
+ libxkbcommon
+ ] ++ lib.optionals stdenv.isDarwin [
+ AppKit
+ IOKit
+ ];
+
+ postFixup = lib.optionalString stdenv.isLinux ''
+ patchelf --set-rpath ${lib.makeLibraryPath buildInputs} $out/bin/epick
+ '';
+
+ meta = with lib; {
+ description = "Simple color picker that lets the user create harmonic palettes with ease";
+ homepage = "https://github.com/vv9k/epick";
+ changelog = "https://github.com/vv9k/epick/blob/${version}/CHANGELOG.md";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ figsoda ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/feh/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/feh/default.nix
index cd7b6e95d03..c279ccf3df6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/feh/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/feh/default.nix
@@ -7,11 +7,11 @@ with lib;
stdenv.mkDerivation rec {
pname = "feh";
- version = "3.7.1";
+ version = "3.7.2";
src = fetchurl {
url = "https://feh.finalrewind.org/${pname}-${version}.tar.bz2";
- sha256 = "sha256-V6scph9XyWWVh4Bp9VDTb1GFMPiPoxt0zDnNc5+SWLY=";
+ sha256 = "sha256-hHGP0nIM9UDSRXaElP4OtOWY9Es54jJrrow2ioKcglg=";
};
outputs = [ "out" "man" "doc" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/fig2dev/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/fig2dev/default.nix
index 31d14185dcd..8fa85803bfd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/fig2dev/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/fig2dev/default.nix
@@ -1,7 +1,6 @@
{ lib
, stdenv
, fetchurl
-, fetchpatch
, ghostscript
, libpng
, makeWrapper
@@ -14,22 +13,13 @@
stdenv.mkDerivation rec {
pname = "fig2dev";
- version = "3.2.8a";
+ version = "3.2.8b";
src = fetchurl {
url = "mirror://sourceforge/mcj/fig2dev-${version}.tar.xz";
- sha256 = "1bm75lf9j54qpbjx8hzp6ixaayp1x9w4v3yxl6vxyw8g5m4sqdk3";
+ sha256 = "1jv8rg71dsy00lpg434r5zqs5qrg8mxqvv2gpcjjvmzsm551d2j1";
};
- patches = [
- (fetchpatch {
- name = "CVE-2021-3561.patch";
- # Using Debian patch since it is not possible to download it directly from Sourceforge
- url = "https://sources.debian.org/data/main/f/fig2dev/1:3.2.8-3/debian/patches/33_sanitize-color.patch";
- sha256 = "1bppr3li03nj4qjibnddr2f38mpk55pcn5z6k98pf00gabq33fgs";
- })
- ];
-
nativeBuildInputs = [ makeWrapper ];
buildInputs = [ libpng ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/fondo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/fondo/default.nix
index 19d93cee79b..abcb77f9f8b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/fondo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/fondo/default.nix
@@ -12,23 +12,23 @@
, gsettings-desktop-schemas
, gtk3
, libgee
+, libhandy
+, libsoup
, json-glib
, glib-networking
-, libsoup
-, libunity
, desktop-file-utils
, wrapGAppsHook
}:
stdenv.mkDerivation rec {
pname = "fondo";
- version = "1.5.2";
+ version = "1.6.1";
src = fetchFromGitHub {
owner = "calo001";
repo = pname;
rev = version;
- sha256 = "sha256-EATZRmYSGUzWYaPqFT4mLTGGvwUp+Mn93yMF2JsPaYo=";
+ sha256 = "sha256-JiDbkVs+EZRWRohSiuh8xFFgEhbnMYZfnZtz5Z4Wdb0=";
};
nativeBuildInputs = [
@@ -48,8 +48,8 @@ stdenv.mkDerivation rec {
gtk3
json-glib
libgee
+ libhandy
libsoup
- libunity
pantheon.granite
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/foxotron/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/foxotron/default.nix
index 2ccc6ed401d..cd4972de455 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/foxotron/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/foxotron/default.nix
@@ -25,14 +25,14 @@
stdenv.mkDerivation rec {
pname = "foxotron";
- version = "2021-04-19";
+ version = "2021-08-13";
src = fetchFromGitHub {
owner = "Gargaj";
repo = "Foxotron";
rev = version;
fetchSubmodules = true;
- sha256 = "sha256-YTCnWHXBNqvJmhRqRQRFCVvBcqbjKzcc3AKVXS0jvno=";
+ sha256 = "sha256-0cnLHTZMeh8ilP0iXaMpFgKQAkizy/FimxXFDbH0b2w=";
};
nativeBuildInputs = [ cmake pkg-config makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/freecad/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/freecad/default.nix
index ac4050fc8c5..8f5404cf3ae 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/freecad/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/freecad/default.nix
@@ -15,6 +15,7 @@
, libGLU
, libXmu
, libf2c
+, libredwg
, libspnav
, matplotlib
, medfile
@@ -61,6 +62,7 @@ mkDerivation rec {
ninja
pkg-config
pyside2-tools
+ gfortran
wrapQtAppsHook
];
@@ -69,7 +71,6 @@ mkDerivation rec {
boost
coin3d
eigen
- gfortran
gts
hdf5
libGLU
@@ -131,6 +132,7 @@ mkDerivation rec {
qtWrapperArgs = [
"--set COIN_GL_NO_CURRENT_CONTEXT_CHECK 1"
+ "--prefix PATH : ${libredwg}/bin"
];
postFixup = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/gscan2pdf/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/gscan2pdf/default.nix
index b279bb0e70c..4f76af0afb4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/gscan2pdf/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/gscan2pdf/default.nix
@@ -10,11 +10,11 @@ with lib;
perlPackages.buildPerlPackage rec {
pname = "gscan2pdf";
- version = "2.12.1";
+ version = "2.12.3";
src = fetchurl {
url = "mirror://sourceforge/gscan2pdf/${version}/${pname}-${version}.tar.xz";
- sha256 = "0x20wpqqw6534rn73660zdfy4c3jpg2n31py566k0x2nd6g0mhg5";
+ sha256 = "tdXTcoI7DnrBsXtXR0r07hz0lDcAjZJad+o4wwxHcOk=";
};
nativeBuildInputs = [ wrapGAppsHook ];
@@ -111,6 +111,8 @@ perlPackages.buildPerlPackage rec {
# # Looks like you failed 1 test of 1.
# t/169_import_scan.t ........................... Dubious, test returned 1 (wstat 256, 0x100)
rm t/169_import_scan.t
+ # t/1604_import_multipage_DjVu.t ................ Dubious, test returned 255 (wstat 65280, 0xff00)
+ rm t/1604_import_multipage_DjVu.t
# Disable a test which passes but reports an incorrect status
# t/0601_Dialog_Scan.t .......................... All 14 subtests passed
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/gthumb/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/gthumb/default.nix
index cd3a6f368e9..d412c6fded8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/gthumb/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/gthumb/default.nix
@@ -5,6 +5,7 @@
, meson
, ninja
, exiv2
+, libheif
, libjpeg
, libtiff
, gst_all_1
@@ -32,11 +33,11 @@
stdenv.mkDerivation rec {
pname = "gthumb";
- version = "3.11.3";
+ version = "3.12.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "11bvcimamdcksgqj1ymh54yzhpwc5j8glda8brqqhwq3h2wj0j9d";
+ sha256 = "sha256-Pe/8AwOE5ktXNhxDfHm0ga4Uie9EyHroVugbsQ2OOD8=";
};
nativeBuildInputs = [
@@ -66,6 +67,7 @@ stdenv.mkDerivation rec {
json-glib
lcms2
libchamplain
+ libheif
libjpeg
libraw
librsvg
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/hydrus/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/hydrus/default.nix
index db14df2c1ee..36dad897668 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/hydrus/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/hydrus/default.nix
@@ -10,14 +10,14 @@
python3Packages.buildPythonPackage rec {
pname = "hydrus";
- version = "452";
+ version = "458";
format = "other";
src = fetchFromGitHub {
owner = "hydrusnetwork";
repo = "hydrus";
rev = "v${version}";
- sha256 = "1zzrw1fbbbayq322346dqb3a8bzb3xnx1qmdciq01dmlbc3bmza1";
+ sha256 = "sha256-oVNgXelFMVT5V41SRlnN+pnYzOWbdDKQQcvRWFZqEro=";
};
nativeBuildInputs = [
@@ -71,6 +71,7 @@ python3Packages.buildPythonPackage rec {
-e TestClientThreading \
-e TestDialogs \
-e TestFunctions \
+ -e TestHydrusNetwork \
-e TestHydrusNATPunch \
-e TestHydrusSerialisable \
-e TestHydrusServer \
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/ideogram/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/ideogram/default.nix
index f78170fde34..f9b123ddb40 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/ideogram/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/ideogram/default.nix
@@ -61,7 +61,7 @@ stdenv.mkDerivation rec {
description = "Insert emoji anywhere, even in non-native apps - designed for elementary OS";
homepage = "https://github.com/cassidyjames/ideogram";
license = licenses.gpl2Plus;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
platforms = platforms.linux;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/image-roll/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/image-roll/default.nix
new file mode 100644
index 00000000000..eb5761fbd55
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/image-roll/default.nix
@@ -0,0 +1,33 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, glib
+, pkg-config
+, wrapGAppsHook
+, gtk3
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "image-roll";
+ version = "1.3.1";
+
+ src = fetchFromGitHub {
+ owner = "weclaw1";
+ repo = pname;
+ rev = version;
+ sha256 = "007jzmrn4cnqbi6fy5lxanbwa4pc72fbcv9irk3pfd0wspp05s8j";
+ };
+
+ cargoSha256 = "sha256-dRRBfdGTXtoNbp7OWqOdNECXHCpj0ipkCOvcdekW+G4=";
+
+ nativeBuildInputs = [ glib pkg-config wrapGAppsHook ];
+
+ buildInputs = [ gtk3 ];
+
+ meta = with lib; {
+ description = "Simple and fast GTK image viewer with basic image manipulation tools";
+ homepage = "https://github.com/weclaw1/image-roll";
+ license = licenses.mit;
+ maintainers = with maintainers; [ figsoda ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/inkscape/extensions/applytransforms/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/inkscape/extensions/applytransforms/default.nix
index 131daffffb9..f1bac3dd76c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/inkscape/extensions/applytransforms/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/inkscape/extensions/applytransforms/default.nix
@@ -6,7 +6,7 @@
stdenv.mkDerivation {
pname = "inkscape-applytransforms";
- version = "0.0.0+unstable=2021-05-11";
+ version = "0.pre+unstable=2021-05-11";
src = fetchFromGitHub {
owner = "Klowner";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/ipe/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/ipe/default.nix
index 72c79d7dc50..c454ed0422d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/ipe/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/ipe/default.nix
@@ -1,7 +1,9 @@
{ lib
, mkDerivation
+, makeDesktopItem
, fetchurl
, pkg-config
+, copyDesktopItems
, cairo
, freetype
, ghostscript
@@ -26,7 +28,7 @@ mkDerivation rec {
sourceRoot = "${pname}-${version}/src";
- nativeBuildInputs = [ pkg-config ];
+ nativeBuildInputs = [ pkg-config copyDesktopItems ];
buildInputs = [
cairo
@@ -42,19 +44,39 @@ mkDerivation rec {
zlib
];
- IPEPREFIX=placeholder "out";
- URWFONTDIR="${texlive}/texmf-dist/fonts/type1/urw/";
+ IPEPREFIX = placeholder "out";
+ URWFONTDIR = "${texlive}/texmf-dist/fonts/type1/urw/";
LUA_PACKAGE = "lua";
- qtWrapperArgs = [ "--prefix PATH : ${texlive}/bin" ];
+ qtWrapperArgs = [ "--prefix PATH : ${lib.makeBinPath [ texlive ]}" ];
enableParallelBuilding = true;
- # TODO: make .desktop entry
+ desktopItems = [
+ (makeDesktopItem {
+ name = pname;
+ desktopName = "Ipe";
+ genericName = "Drawing editor";
+ comment = "A drawing editor for creating figures in PDF format";
+ exec = "ipe";
+ icon = "ipe";
+ mimeType = "text/xml;application/pdf";
+ categories = "Graphics;Qt;";
+ extraDesktopEntries = {
+ StartupWMClass = "ipe";
+ StartupNotify = "true";
+ };
+ })
+ ];
+
+ postInstall = ''
+ mkdir -p $out/share/icons/hicolor/128x128/apps
+ ln -s $out/share/ipe/${version}/icons/icon_128x128.png $out/share/icons/hicolor/128x128/apps/ipe.png
+ '';
meta = with lib; {
description = "An editor for drawing figures";
- homepage = "http://ipe.otfried.org"; # https not available
+ homepage = "http://ipe.otfried.org"; # https not available
license = licenses.gpl3Plus;
longDescription = ''
Ipe is an extensible drawing editor for creating figures in PDF and Postscript format.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/krita/beta.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/krita/beta.nix
new file mode 100644
index 00000000000..167a96050fd
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/krita/beta.nix
@@ -0,0 +1,7 @@
+{ callPackage, ... } @ args:
+
+callPackage ./generic.nix (args // {
+ version = "5.0.0-beta1";
+ kde-channel = "unstable";
+ sha256 = "1p5l2vpsgcp4wajgn5rgjcyb8l5ickm1nkmfx8zzr4rnwjnyxdbm";
+})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/krita/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/krita/default.nix
index 85830f9a045..7e0a8915c40 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/krita/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/krita/default.nix
@@ -1,53 +1,7 @@
-{ mkDerivation, lib, stdenv, makeWrapper, fetchurl, cmake, extra-cmake-modules
-, karchive, kconfig, kwidgetsaddons, kcompletion, kcoreaddons
-, kguiaddons, ki18n, kitemmodels, kitemviews, kwindowsystem
-, kio, kcrash, breeze-icons
-, boost, libraw, fftw, eigen, exiv2, libheif, lcms2, gsl, openexr, giflib
-, openjpeg, opencolorio_1, vc, poppler, curl, ilmbase
-, qtmultimedia, qtx11extras, quazip
-, python3Packages
-}:
+{ callPackage, ... } @ args:
-mkDerivation rec {
- pname = "krita";
- version = "4.4.5";
-
- src = fetchurl {
- url = "https://download.kde.org/stable/${pname}/${version}/${pname}-${version}.tar.gz";
- sha256 = "sha256-S/1ygIcNEGCgDREj2Db8Gltb+KAoZ2Z58CaM1ef7dWg=";
- };
-
- nativeBuildInputs = [ cmake extra-cmake-modules python3Packages.sip_4 makeWrapper ];
-
- buildInputs = [
- karchive kconfig kwidgetsaddons kcompletion kcoreaddons kguiaddons
- ki18n kitemmodels kitemviews kwindowsystem kio kcrash breeze-icons
- boost libraw fftw eigen exiv2 lcms2 gsl openexr libheif giflib
- openjpeg opencolorio_1 poppler curl ilmbase
- qtmultimedia qtx11extras quazip
- python3Packages.pyqt5
- ] ++ lib.optional (stdenv.hostPlatform.isi686 || stdenv.hostPlatform.isx86_64) vc;
-
- NIX_CFLAGS_COMPILE = [ "-I${ilmbase.dev}/include/OpenEXR" ]
- ++ lib.optional stdenv.cc.isGNU "-Wno-deprecated-copy";
-
- cmakeFlags = [
- "-DPYQT5_SIP_DIR=${python3Packages.pyqt5}/${python3Packages.python.sitePackages}/PyQt5/bindings"
- "-DPYQT_SIP_DIR_OVERRIDE=${python3Packages.pyqt5}/${python3Packages.python.sitePackages}/PyQt5/bindings"
- "-DCMAKE_BUILD_TYPE=RelWithDebInfo"
- ];
-
- postInstall = ''
- for i in $out/bin/*; do
- wrapProgram $i --prefix PYTHONPATH : "$PYTHONPATH"
- done
- '';
-
- meta = with lib; {
- description = "A free and open source painting application";
- homepage = "https://krita.org/";
- maintainers = with maintainers; [ abbradar ];
- platforms = platforms.linux;
- license = licenses.gpl3Only;
- };
-}
+callPackage ./generic.nix (args // {
+ version = "4.4.8";
+ kde-channel = "stable";
+ sha256 = "1y0d8gnxfdg5nfwk8dgx8fc2bwskvnys049napb1a9fr25bqmimw";
+})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/krita/generic.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/krita/generic.nix
new file mode 100644
index 00000000000..efaf341b1f6
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/krita/generic.nix
@@ -0,0 +1,59 @@
+{ mkDerivation, lib, stdenv, makeWrapper, fetchurl, cmake, extra-cmake-modules
+, karchive, kconfig, kwidgetsaddons, kcompletion, kcoreaddons
+, kguiaddons, ki18n, kitemmodels, kitemviews, kwindowsystem
+, kio, kcrash, breeze-icons
+, boost, libraw, fftw, eigen, exiv2, libheif, lcms2, gsl, openexr, giflib
+, openjpeg, opencolorio_1, vc, poppler, curl, ilmbase
+, qtmultimedia, qtx11extras, quazip
+, python3Packages
+
+, version
+, kde-channel
+, sha256
+
+, callPackage
+}:
+
+mkDerivation rec {
+ pname = "krita";
+ inherit version;
+
+ src = fetchurl {
+ url = "https://download.kde.org/${kde-channel}/${pname}/${version}/${pname}-${version}.tar.gz";
+ inherit sha256;
+ };
+
+ nativeBuildInputs = [ cmake extra-cmake-modules python3Packages.sip_4 makeWrapper ];
+
+ buildInputs = [
+ karchive kconfig kwidgetsaddons kcompletion kcoreaddons kguiaddons
+ ki18n kitemmodels kitemviews kwindowsystem kio kcrash breeze-icons
+ boost libraw fftw eigen exiv2 lcms2 gsl openexr libheif giflib
+ openjpeg opencolorio_1 poppler curl ilmbase
+ qtmultimedia qtx11extras quazip
+ python3Packages.pyqt5
+ ] ++ lib.optional (stdenv.hostPlatform.isi686 || stdenv.hostPlatform.isx86_64) vc;
+
+ NIX_CFLAGS_COMPILE = [ "-I${ilmbase.dev}/include/OpenEXR" ]
+ ++ lib.optional stdenv.cc.isGNU "-Wno-deprecated-copy";
+
+ cmakeFlags = [
+ "-DPYQT5_SIP_DIR=${python3Packages.pyqt5}/${python3Packages.python.sitePackages}/PyQt5/bindings"
+ "-DPYQT_SIP_DIR_OVERRIDE=${python3Packages.pyqt5}/${python3Packages.python.sitePackages}/PyQt5/bindings"
+ "-DCMAKE_BUILD_TYPE=RelWithDebInfo"
+ ];
+
+ postInstall = ''
+ for i in $out/bin/*; do
+ wrapProgram $i --prefix PYTHONPATH : "$PYTHONPATH"
+ done
+ '';
+
+ meta = with lib; {
+ description = "A free and open source painting application";
+ homepage = "https://krita.org/";
+ maintainers = with maintainers; [ abbradar ];
+ platforms = platforms.linux;
+ license = licenses.gpl3Only;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/lightburn/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/lightburn/default.nix
index 5775ba2a527..cc6c4f42e64 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/lightburn/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/lightburn/default.nix
@@ -6,7 +6,7 @@
stdenv.mkDerivation rec {
pname = "lightburn";
- version = "1.0.00";
+ version = "1.0.02";
nativeBuildInputs = [
p7zip
@@ -16,7 +16,7 @@ stdenv.mkDerivation rec {
src = fetchurl {
url = "https://github.com/LightBurnSoftware/deployment/releases/download/${version}/LightBurn-Linux64-v${version}.7z";
- sha256 = "sha256-jNqLykVQjer2lps1gnw4fd2FH+ZQrzqQILAsl4Z5Hqk=";
+ sha256 = "sha256-JaKThw6ubutpOCsO1pVAPVxhhUTKpfYRHjBSu02nlN4=";
};
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/mandelbulber/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/mandelbulber/default.nix
index 9ac853c896e..a113136c248 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/mandelbulber/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/mandelbulber/default.nix
@@ -19,13 +19,13 @@ assert withOpenCL -> ocl-icd != null;
mkDerivation rec {
pname = "mandelbulber";
- version = "2.24";
+ version = "2.26";
src = fetchFromGitHub {
owner = "buddhi1980";
repo = "mandelbulber2";
rev = version;
- sha256 = "sha256-JgpYGzD2FsqcCWnOKBiVCxUKqLfT4S++uUBZekhGWmA=";
+ sha256 = "sha256-RKpg7LBsrBFOlFozoDcALwGeZ9whPiCpFMZF5ljsp7Q=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/megapixels/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/megapixels/default.nix
index 9b1377e520c..c949b8e6b4d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/megapixels/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/megapixels/default.nix
@@ -4,6 +4,7 @@
, meson
, ninja
, pkg-config
+, glib
, wrapGAppsHook
, epoxy
, gtk4
@@ -26,16 +27,22 @@ let
in
stdenv.mkDerivation rec {
pname = "megapixels";
- version = "1.2.0";
+ version = "1.3.0";
src = fetchFromSourcehut {
owner = "~martijnbraam";
repo = "megapixels";
rev = version;
- sha256 = "0jnfzwvq58p4ksyifma10i158r2fb7fv72ymibgcxbnx596xpjb2";
+ sha256 = "0dagp1sh5whnnllrydk7ijjid0hmvcbdm8kkzq2g168khdfn80jm";
};
- nativeBuildInputs = [ meson ninja pkg-config wrapGAppsHook ];
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ glib
+ wrapGAppsHook
+ ];
buildInputs = [
epoxy
@@ -43,6 +50,10 @@ stdenv.mkDerivation rec {
zbar
];
+ postInstall = ''
+ glib-compile-schemas $out/share/glib-2.0/schemas
+ '';
+
preFixup = optionalString (tiffSupport || jpgSupport) ''
gappsWrapperArgs+=(
--prefix PATH : ${runtimePath}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/menyoki/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/menyoki/default.nix
new file mode 100644
index 00000000000..0b4b80d648a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/menyoki/default.nix
@@ -0,0 +1,44 @@
+{ fetchFromGitHub
+, installShellFiles
+, lib
+, pkg-config
+, rustPlatform
+, stdenv
+, libX11
+, libXrandr
+, withSki ? true
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "menyoki";
+ version = "1.5.3";
+
+ src = fetchFromGitHub {
+ owner = "orhun";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "050c6c60il6cy0a8d3nw4z2cyp043912a7n4n44yjpmx047w7kc7";
+ };
+
+ cargoSha256 = "0wwcda2w8jg3q132cvhdgfmjc0rz93fx6fai93g5w8br98aq9qzx";
+
+ nativeBuildInputs = [ installShellFiles ]
+ ++ lib.optional stdenv.isLinux pkg-config;
+
+ buildInputs = lib.optionals stdenv.isLinux [ libX11 libXrandr ];
+
+ cargoBuildFlags = lib.optional (!withSki) "--no-default-features";
+
+ postInstall = ''
+ installManPage man/*
+ installShellCompletion completions/menyoki.{bash,fish,zsh}
+ '';
+
+ meta = with lib; {
+ description = "Screen{shot,cast} and perform ImageOps on the command line";
+ homepage = "https://menyoki.cli.rs/";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ figsoda ];
+ broken = stdenv.isDarwin;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/photoflow/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/photoflow/default.nix
index 79a171d6fc3..46e5ce420a7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/photoflow/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/photoflow/default.nix
@@ -86,5 +86,9 @@ stdenv.mkDerivation rec {
platforms = platforms.linux;
# sse3 is not supported on aarch64
badPlatforms = [ "aarch64-linux" ];
+ # added 2021-09-30
+ # upstream seems pretty dead
+ #/build/source/src/operations/denoise.cc:30:10: fatal error: vips/cimg_funcs.h: No such file or directory
+ broken = true;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/qimgv/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/qimgv/default.nix
index 886a753ee2c..d3a46482be5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/qimgv/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/qimgv/default.nix
@@ -16,13 +16,13 @@
mkDerivation rec {
pname = "qimgv";
- version = "0.9.1";
+ version = "1.0.1";
src = fetchFromGitHub {
owner = "easymodo";
repo = pname;
rev = "v${version}";
- sha256 = "0b2hddps969gjim2r9a22zaxmnzp600av2zz6icq66ksfrx1rpac";
+ sha256 = "1wybpmqvj7vj7cl6r4gif7mkrcdr6zpb939mmz46xsil5vb4pirh";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/renderdoc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/renderdoc/default.nix
index 771a32d5a73..0faed5e12ea 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/renderdoc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/renderdoc/default.nix
@@ -15,13 +15,13 @@ let
in
mkDerivation rec {
pname = "renderdoc";
- version = "1.15";
+ version = "1.16";
src = fetchFromGitHub {
owner = "baldurk";
repo = "renderdoc";
rev = "v${version}";
- sha256 = "HSWl3FC5YDIADO3h6oHxHdwsrFQKKj2zTtH2e3cc5iI=";
+ sha256 = "150d1qzjs420clqr48gickiw5ymjx4md6iyjbxmxsdml0pyxpwwn";
};
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/sane/backends/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/sane/backends/default.nix
index 27eb174cdf0..93a7d75ce45 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/sane/backends/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/sane/backends/default.nix
@@ -113,7 +113,6 @@ stdenv.mkDerivation {
'';
homepage = "http://www.sane-project.org/";
license = licenses.gpl2Plus;
- maintainers = with maintainers; [ peti ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/sane/frontends.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/sane/frontends.nix
index c0945242096..d34ab9856fc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/sane/frontends.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/sane/frontends.nix
@@ -23,7 +23,6 @@ stdenv.mkDerivation rec {
description = "Scanner Access Now Easy";
homepage = "http://www.sane-project.org/";
license = licenses.gpl2Plus;
- maintainers = with maintainers; [ peti ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/sane/xsane.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/sane/xsane.nix
index 1deaab4dfe5..e394acf2b06 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/sane/xsane.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/sane/xsane.nix
@@ -27,7 +27,6 @@ stdenv.mkDerivation rec {
homepage = "http://www.sane-project.org/";
description = "Graphical scanning frontend for sane";
license = lib.licenses.gpl2Plus;
- maintainers = with lib.maintainers; [peti];
platforms = with lib.platforms; linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/shotwell/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/shotwell/default.nix
index 78b30ad44e0..7b74dd745f7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/shotwell/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/shotwell/default.nix
@@ -41,11 +41,11 @@
stdenv.mkDerivation rec {
pname = "shotwell";
- version = "0.31.3";
+ version = "0.30.14";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "1wkahbnnfxmi1jc5zmm3h761nrnkdks8lk0rj38bfkwg90h6zqwd";
+ sha256 = "sha256-McLkgzkI02GcssNnWgXw2lnCuqduKLkFOF/VbADBKJU=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/shutter/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/shutter/default.nix
index e901ee29bf6..c7e55be9f04 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/shutter/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/shutter/default.nix
@@ -64,13 +64,13 @@ let
in
stdenv.mkDerivation rec {
pname = "shutter";
- version = "0.98";
+ version = "0.99";
src = fetchFromGitHub {
owner = "shutter-project";
repo = "shutter";
rev = "v${version}";
- sha256 = "sha256-btJVY7+palstydWt5VCdtHwOj6FTXEcsregjaiXbZ5I=";
+ sha256 = "sha256-n5M+Ggk8ulJQMWjAW+/fC8fbqiBGzsx6IXlYxvf8utA=";
};
nativeBuildInputs = [ wrapGAppsHook ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/weylus/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/weylus/default.nix
new file mode 100644
index 00000000000..54b86c09341
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/weylus/default.nix
@@ -0,0 +1,58 @@
+{ lib
+, dbus
+, stdenv
+, gst_all_1
+, xorg
+, libdrm
+, libva
+, fetchzip
+, copyDesktopItems
+, fontconfig
+, libpng
+, autoPatchelfHook
+}:
+
+stdenv.mkDerivation rec {
+ pname = "weylus";
+ version = "0.11.2";
+
+ src = fetchzip {
+ url = "https://github.com/H-M-H/Weylus/releases/download/v${version}/linux.zip";
+ sha256 = "sha256-coA8qUpUgRjVBF/0LZgimx61fTTpdck/AO6e+r2uNu0=";
+ stripRoot = false;
+ };
+
+ installPhase = ''
+ runHook preInstall
+
+ install -Dm755 ./weylus $out/bin/weylus
+ copyDesktopItems ./weylus.desktop
+
+ runHook postInstall
+ '';
+
+ buildInputs = [
+ libpng
+ dbus
+ libdrm
+ fontconfig
+ libva
+ gst_all_1.gst-plugins-base
+ # autoPatchelfHook complains if these are missing, even on wayland
+ xorg.libXft
+ xorg.libXinerama
+ xorg.libXcursor
+ xorg.libXrandr
+ xorg.libXcomposite
+ xorg.libXtst
+ ];
+
+ nativeBuildInputs = [ copyDesktopItems autoPatchelfHook ];
+
+ meta = with lib; {
+ description = "Use your tablet as graphic tablet/touch screen on your computer";
+ homepage = "https://github.com/H-M-H/Weylus";
+ license = with licenses; [ agpl3Only ];
+ maintainers = with maintainers; [ legendofmiracles ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/xfig/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/xfig/default.nix
index 3330e3eaefd..dc98d761bf0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/xfig/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/xfig/default.nix
@@ -14,11 +14,11 @@
stdenv.mkDerivation rec {
pname = "xfig";
- version = "3.2.8a";
+ version = "3.2.8b";
src = fetchurl {
url = "mirror://sourceforge/mcj/xfig-${version}.tar.xz";
- sha256 = "0y45i1gqg3r0aq55jk047l1hnv90kqis6ld9lppx6c5jhpmc0hxs";
+ sha256 = "0fndgbm1mkqb1sn2v2kj3nx9mxj70jbp31y2bjvzcmmkry0q3k5j";
};
nativeBuildInputs = [ makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/yacreader/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/yacreader/default.nix
index 8fdd805803b..0a25889c2dc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/yacreader/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/graphics/yacreader/default.nix
@@ -5,13 +5,13 @@
mkDerivation rec {
pname = "yacreader";
- version = "9.7.1";
+ version = "9.8.2";
src = fetchFromGitHub {
owner = "YACReader";
repo = pname;
rev = version;
- sha256 = "17kzh69sxpyk4n7c2gkbsvr9y4j14azdy1qxzghsbwp7ij4iw9kv";
+ sha256 = "sha256-Xvf0xXtMs3x1fPgAvS4GJXrZgDZWhzIgrOF4yECr7/g=";
};
nativeBuildInputs = [ qmake pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/akonadi-contacts.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/akonadi-contacts.nix
index ad94c79e0f3..76c4f3f2c50 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/akonadi-contacts.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/akonadi-contacts.nix
@@ -3,8 +3,8 @@
extra-cmake-modules,
qtwebengine,
grantlee, grantleetheme,
- kdbusaddons, ki18n, kiconthemes, kio, kitemmodels, ktextwidgets, prison,
- akonadi, akonadi-mime, kcontacts, kmime, libkleo,
+ kcmutils, kdbusaddons, ki18n, kiconthemes, kio, kitemmodels, ktextwidgets,
+ prison, akonadi, akonadi-mime, kcontacts, kmime, libkleo,
}:
mkDerivation {
@@ -17,7 +17,7 @@ mkDerivation {
buildInputs = [
qtwebengine
grantlee grantleetheme
- kdbusaddons ki18n kiconthemes kio kitemmodels ktextwidgets prison
+ kcmutils kdbusaddons ki18n kiconthemes kio kitemmodels ktextwidgets prison
akonadi-mime kcontacts kmime libkleo
];
propagatedBuildInputs = [ akonadi ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/akregator.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/akregator.nix
index 5cacfe0c04f..9cb23ad35ac 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/akregator.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/akregator.nix
@@ -12,6 +12,8 @@
mkDerivation {
pname = "akregator";
meta = {
+ homepage = "https://apps.kde.org/akregator/";
+ description = "KDE feed reader";
license = with lib.licenses; [ gpl2 lgpl21 fdl12 ];
maintainers = kdepimTeam;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ark/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ark/default.nix
index ef27380a330..508f7e79f55 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ark/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ark/default.nix
@@ -30,6 +30,7 @@ mkDerivation {
qtWrapperArgs = [ "--prefix" "PATH" ":" (lib.makeBinPath extraTools) ];
meta = with lib; {
+ homepage = "https://apps.kde.org/ark/";
description = "Graphical file compression/decompression utility";
license = with licenses; [ gpl2 lgpl3 ] ++ optional unfreeEnableUnrar unfree;
maintainers = [ maintainers.ttuegel ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/default.nix
index 68600758841..9bfe71e196e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/default.nix
@@ -4,8 +4,8 @@
READ THIS FIRST
-This module is for official packages in the KDE Applications Bundle. All
-available packages are listed in `./srcs.nix`, although some are not yet
+This module is for official packages in the KDE Gear. All available
+packages are listed in `./srcs.nix`, although some are not yet
packaged in Nixpkgs (see below).
IF YOUR PACKAGE IS NOT LISTED IN `./srcs.nix`, IT DOES NOT GO HERE.
@@ -174,6 +174,7 @@ let
ksquares = callPackage ./ksquares.nix {};
kqtquickcharts = callPackage ./kqtquickcharts.nix {};
kpkpass = callPackage ./kpkpass.nix {};
+ kpublictransport = callPackage ./kpublictransport.nix {};
kreversi = callPackage ./kreversi.nix {};
krdc = callPackage ./krdc.nix {};
krfb = callPackage ./krfb.nix {};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/dolphin.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/dolphin.nix
index 83f698b8977..92d256f4770 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/dolphin.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/dolphin.nix
@@ -11,6 +11,8 @@
mkDerivation {
pname = "dolphin";
meta = {
+ homepage = "https://apps.kde.org/dolphin/";
+ description = "KDE file manager";
license = with lib.licenses; [ gpl2 fdl12 ];
maintainers = [ lib.maintainers.ttuegel ];
broken = lib.versionOlder qtbase.version "5.14";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/dragon.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/dragon.nix
index 0483d535c9a..4fb5583a8ff 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/dragon.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/dragon.nix
@@ -10,6 +10,7 @@
mkDerivation {
pname = "dragon";
meta = {
+ homepage = "https://apps.kde.org/dragonplayer/";
license = with lib.licenses; [ gpl2 fdl12 ];
description = "A simple media player for KDE";
maintainers = [ lib.maintainers.jonathanreeve ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/elisa.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/elisa.nix
index 6252e53078f..cdcca2cc9bb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/elisa.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/elisa.nix
@@ -40,6 +40,7 @@ mkDerivation rec {
];
meta = with lib; {
+ homepage = "https://apps.kde.org/elisa/";
description = "A simple media player for KDE";
license = licenses.gpl3;
maintainers = with maintainers; [ peterhoeg ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/fetch.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/fetch.sh
index 3208fce8767..1d10789bcca 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/fetch.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/fetch.sh
@@ -1 +1 @@
-WGET_ARGS=( http://download.kde.org/stable/release-service/21.04.0/src -A '*.tar.xz' )
+WGET_ARGS=( https://download.kde.org/stable/release-service/21.08.1/src -A '*.tar.xz' )
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/filelight.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/filelight.nix
index 95a89b01b8c..64592ab9944 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/filelight.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/filelight.nix
@@ -7,6 +7,8 @@
mkDerivation {
pname = "filelight";
meta = {
+ description = "Disk usage statistics";
+ homepage = "https://apps.kde.org/filelight/";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ fridh vcunat ];
broken = lib.versionOlder qtbase.version "5.13";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/gwenview.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/gwenview.nix
index 5fe126c04e6..27d676303f9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/gwenview.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/gwenview.nix
@@ -9,6 +9,8 @@
mkDerivation {
pname = "gwenview";
meta = {
+ homepage = "https://apps.kde.org/gwenview/";
+ description = "KDE image viewer";
license = with lib.licenses; [ gpl2 fdl12 ];
maintainers = [ lib.maintainers.ttuegel ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/k3b.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/k3b.nix
index eed3a4fac12..728260120a5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/k3b.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/k3b.nix
@@ -10,6 +10,8 @@
mkDerivation {
pname = "k3b";
meta = with lib; {
+ homepage = "https://apps.kde.org/k3b/";
+ description = "Disk burning application";
license = with licenses; [ gpl2Plus ];
maintainers = with maintainers; [ sander phreedom ];
platforms = platforms.linux;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kaddressbook.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kaddressbook.nix
index 2672d815fb0..7a2a319c655 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kaddressbook.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kaddressbook.nix
@@ -10,6 +10,8 @@
mkDerivation {
pname = "kaddressbook";
meta = {
+ homepage = "https://apps.kde.org/kaddressbook/";
+ description = "KDE contact manager";
license = with lib.licenses; [ gpl2 lgpl21 fdl12 ];
maintainers = kdepimTeam;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kalarm.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kalarm.nix
index 8239cdf0864..869a0f6ac67 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kalarm.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kalarm.nix
@@ -19,6 +19,8 @@
mkDerivation {
pname = "kalarm";
meta = {
+ homepage = "https://apps.kde.org/kalarm/";
+ description = "Personal alarm scheduler";
license = with lib.licenses; [ gpl2 ];
maintainers = [ lib.maintainers.rittelle ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kamoso.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kamoso.nix
index 3e5eb53858f..9baa06275a7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kamoso.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kamoso.nix
@@ -37,5 +37,9 @@ mkDerivation {
"--prefix GST_PLUGIN_PATH : ${lib.makeSearchPath "lib/gstreamer-1.0" gst}"
];
- meta.license = with lib.licenses; [ lgpl21Only gpl3Only ];
+ meta = {
+ homepage = "https://apps.kde.org/kamoso/";
+ description = "A simple and friendly program to use your camera";
+ license = with lib.licenses; [ lgpl21Only gpl3Only ];
+ };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kate.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kate.nix
index 1cc16496d12..713d7dbe830 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kate.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kate.nix
@@ -10,6 +10,8 @@
mkDerivation {
pname = "kate";
meta = {
+ homepage = "https://apps.kde.org/kate/";
+ description = "Advanced text editor";
license = with lib.licenses; [ gpl3 lgpl3 lgpl2 ];
maintainers = [ lib.maintainers.ttuegel ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kbreakout.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kbreakout.nix
index cf60ada3c00..b29c83914c6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kbreakout.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kbreakout.nix
@@ -11,7 +11,11 @@
mkDerivation {
pname = "kbreakout";
- meta.license = with lib.licenses; [ lgpl21 gpl3 ];
+ meta = {
+ homepage = "KBreakOut";
+ description = "Breakout-like game";
+ license = with lib.licenses; [ lgpl21 gpl3 ];
+ };
outputs = [ "out" "dev" ];
nativeBuildInputs = [
cmake extra-cmake-modules
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcachegrind.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcachegrind.nix
index 5988885c471..61ff38f3168 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcachegrind.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcachegrind.nix
@@ -8,6 +8,8 @@
mkDerivation {
pname = "kcachegrind";
meta = {
+ homepage = "https://apps.kde.org/kcachegrind/";
+ description = "Profiler frontend";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ orivej ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcalc.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcalc.nix
index b24046df5f5..20ae678dc01 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcalc.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcalc.nix
@@ -8,6 +8,8 @@
mkDerivation {
pname = "kcalc";
meta = {
+ homepage = "https://apps.kde.org/kcalc/";
+ description = "Scientific calculator";
license = with lib.licenses; [ gpl2 ];
maintainers = [ lib.maintainers.fridh ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcharselect.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcharselect.nix
index d35ee5ee670..0bc76b42087 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcharselect.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcharselect.nix
@@ -7,6 +7,7 @@
mkDerivation {
pname = "kcharselect";
meta = {
+ homepage = "https://apps.kde.org/kcharselect/";
license = lib.licenses.gpl2Plus;
maintainers = [ lib.maintainers.schmittlauch ];
description = "A tool to select special characters from all installed fonts and copy them into the clipboard";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcolorchooser.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcolorchooser.nix
index 87ab2271561..26601bb37e3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcolorchooser.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kcolorchooser.nix
@@ -7,6 +7,8 @@
mkDerivation {
pname = "kcolorchooser";
meta = {
+ homepage = "https://apps.kde.org/kcolorchooser/";
+ description = "Color chooser";
license = with lib.licenses; [ mit ];
maintainers = [ lib.maintainers.ttuegel ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdebugsettings.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdebugsettings.nix
index 7f24ec8e2fc..e73f6f13ce9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdebugsettings.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdebugsettings.nix
@@ -9,6 +9,8 @@
mkDerivation {
pname = "kdebugsettings";
meta = {
+ homepage = "https://apps.kde.org/kdebugsettings/";
+ description = "KDE debug settings";
license = with lib.licenses; [ gpl2 ];
maintainers = [ lib.maintainers.rittelle ];
broken = lib.versionOlder qtbase.version "5.13";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdeconnect-kde.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdeconnect-kde.nix
index 30bd0731a87..e21a85df014 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdeconnect-kde.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdeconnect-kde.nix
@@ -19,12 +19,15 @@
, makeWrapper
, pulseaudio-qt
, qca-qt5
+, qqc2-desktop-style
, qtgraphicaleffects
, qtmultimedia
, qtquickcontrols2
, qtx11extras
, breeze-icons
, sshfs
+, wayland
+, wayland-scanner
}:
mkDerivation {
@@ -46,10 +49,13 @@ mkDerivation {
libfakekey
pulseaudio-qt
qca-qt5
+ qqc2-desktop-style
qtgraphicaleffects
qtmultimedia
qtquickcontrols2
qtx11extras
+ wayland
+ wayland-scanner
# otherwise buttons are blank on non-kde
breeze-icons
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdenlive/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdenlive/default.nix
index 8ec2d2a81b0..885b1c1d8cc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdenlive/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdenlive/default.nix
@@ -101,6 +101,8 @@ mkDerivation {
'';
meta = {
+ homepage = "https://apps.kde.org/kdenlive/";
+ description = "Video editor";
license = with lib.licenses; [ gpl2Plus ];
maintainers = with lib.maintainers; [ turion ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdialog.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdialog.nix
index 192bfda4c27..015c86bc7d1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdialog.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kdialog.nix
@@ -8,6 +8,8 @@ mkDerivation {
pname = "kdialog";
meta = {
+ homepage = "https://apps.kde.org/kdialog/";
+ description = "Display dialog boxes from shell scripts";
license = with lib.licenses; [ gpl2 fdl12 ];
maintainers = with lib.maintainers; [ peterhoeg ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kfind.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kfind.nix
index fa0ef1c9220..2c96b17dea1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kfind.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kfind.nix
@@ -7,6 +7,8 @@
mkDerivation {
pname = "kfind";
meta = {
+ homepage = "https://apps.kde.org/kfind/";
+ description = "Find files/folders";
license = with lib.licenses; [ gpl2 ];
maintainers = [ lib.maintainers.iblech ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kgeography.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kgeography.nix
index 7a5d5516b5e..b832ffcfa2c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kgeography.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kgeography.nix
@@ -7,6 +7,8 @@
mkDerivation {
pname = "kgeography";
meta = {
+ homepage = "https://apps.kde.org/kgeography/";
+ description = "Geography trainer";
license = with lib.licenses; [ gpl2 ];
maintainers = [ lib.maintainers.globin ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kget.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kget.nix
index b03246eacd5..2f59e3aaf96 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kget.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kget.nix
@@ -16,6 +16,8 @@ mkDerivation {
];
meta = with lib; {
+ homepage = "https://apps.kde.org/kget/";
+ description = "Download manager";
license = with licenses; [ gpl2 ];
maintainers = with maintainers; [ peterhoeg ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kgpg.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kgpg.nix
index 32ba95231cd..1590887575b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kgpg.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kgpg.nix
@@ -18,6 +18,8 @@ mkDerivation {
wrapProgram "$out/bin/kgpg" --prefix PATH : "${lib.makeBinPath [ gnupg ]}"
'';
meta = {
+ homepage = "https://apps.kde.org/kgpg/";
+ description = "Encryption tool";
license = [ lib.licenses.gpl2 ];
maintainers = [ lib.maintainers.ttuegel ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/khelpcenter.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/khelpcenter.nix
index 0270118fc55..6f331dcf77c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/khelpcenter.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/khelpcenter.nix
@@ -1,8 +1,7 @@
-{
- mkDerivation,
- extra-cmake-modules, kdoctools,
- grantlee, kcmutils, kconfig, kcoreaddons, kdbusaddons, ki18n,
- kinit, khtml, kservice, xapian
+{ lib, mkDerivation
+, extra-cmake-modules, kdoctools
+, grantlee, kcmutils, kconfig, kcoreaddons, kdbusaddons, ki18n
+, kinit, khtml, kservice, xapian
}:
mkDerivation {
@@ -12,4 +11,9 @@ mkDerivation {
grantlee kcmutils kconfig kcoreaddons kdbusaddons khtml
ki18n kinit kservice xapian
];
+ meta = with lib; {
+ homepage = "https://apps.kde.org/help/";
+ description = "Help center";
+ license = licenses.gpl2Plus;
+ };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kig.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kig.nix
index 1ca57d91c19..d04cc76fc22 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kig.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kig.nix
@@ -8,6 +8,8 @@
mkDerivation {
pname = "kig";
meta = {
+ homepage = "https://apps.kde.org/kig/";
+ description = "Interactive geometry";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ raskin ];
};
@@ -16,4 +18,3 @@ mkDerivation {
boost karchive kcrash kiconthemes kparts ktexteditor qtsvg qtxmlpatterns
];
}
-
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kleopatra.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kleopatra.nix
index f1f8ae9b375..a640802fed3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kleopatra.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kleopatra.nix
@@ -8,6 +8,8 @@
mkDerivation {
pname = "kleopatra";
meta = {
+ homepage = "https://apps.kde.org/kleopatra/";
+ description = "Certificate manager and unified crypto GUI";
license = with lib.licenses; [ gpl2 lgpl21 fdl12 ];
maintainers = kdepimTeam;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmahjongg.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmahjongg.nix
index 285cf8adff5..a0c277ec091 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmahjongg.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmahjongg.nix
@@ -13,6 +13,8 @@ mkDerivation {
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
buildInputs = [ kdeclarative libkmahjongg knewstuff libkdegames ];
meta = {
+ description = "Mahjongg solitaire";
+ homepage = "https://apps.kde.org/kmahjongg/";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmail.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmail.nix
index 1a33eb2fe20..341d54a6388 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmail.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmail.nix
@@ -53,6 +53,8 @@
mkDerivation {
pname = "kmail";
meta = {
+ homepage = "https://apps.kde.org/kmail2/";
+ description = "Mail client";
license = with lib.licenses; [ gpl2 lgpl21 fdl12 ];
maintainers = kdepimTeam;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmix.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmix.nix
index a34f5a22d06..2f85454eb67 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmix.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmix.nix
@@ -8,6 +8,8 @@
mkDerivation {
pname = "kmix";
meta = {
+ homepage = "https://apps.kde.org/kmix/";
+ description = "Sound mixer";
license = with lib.licenses; [ gpl2 lgpl21 fdl12 ];
maintainers = [ lib.maintainers.rongcuid ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmplot.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmplot.nix
index 04ccb809c79..a6784982580 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmplot.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kmplot.nix
@@ -5,6 +5,8 @@
mkDerivation {
pname = "kmplot";
meta = {
+ homepage = "https://apps.kde.org/kmplot/";
+ description = "Mathematical function plotter";
license = with lib.licenses; [ gpl2Plus fdl12 ];
maintainers = [ lib.maintainers.orivej ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/knotes.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/knotes.nix
index 1907a8fe910..a465b82041a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/knotes.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/knotes.nix
@@ -1,14 +1,13 @@
-{
- mkDerivation,
- extra-cmake-modules, kdoctools,
- kcompletion, kconfig, kconfigwidgets, kcoreaddons, kcrash,
- kdbusaddons, kdnssd, kglobalaccel, kiconthemes, kitemmodels,
- kitemviews, kcmutils, knewstuff, knotifications, knotifyconfig,
- kparts, ktextwidgets, kwidgetsaddons, kwindowsystem,
- grantlee, grantleetheme, qtx11extras,
- akonadi, akonadi-notes, akonadi-search, kcalutils,
- kontactinterface, libkdepim, kmime, pimcommon, kpimtextedit,
- kcalendarcore
+{ lib, mkDerivation
+, extra-cmake-modules, kdoctools
+, kcompletion, kconfig, kconfigwidgets, kcoreaddons, kcrash
+, kdbusaddons, kdnssd, kglobalaccel, kiconthemes, kitemmodels
+, kitemviews, kcmutils, knewstuff, knotifications, knotifyconfig
+, kparts, ktextwidgets, kwidgetsaddons, kwindowsystem
+, grantlee, grantleetheme, qtx11extras
+, akonadi, akonadi-notes, akonadi-search, kcalutils
+, kontactinterface, libkdepim, kmime, pimcommon, kpimtextedit
+, kcalendarcore
}:
mkDerivation {
@@ -25,4 +24,9 @@ mkDerivation {
akonadi-search
kcalendarcore
];
+ meta = with lib; {
+ homepage = "https://apps.kde.org/knotes/";
+ description = "Popup notes";
+ license = licenses.gpl2Plus;
+ };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kolf.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kolf.nix
index 2f1189855be..5bcb9fb1100 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kolf.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kolf.nix
@@ -10,6 +10,8 @@ mkDerivation {
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
buildInputs = [ libkdegames kio ktextwidgets ];
meta = {
+ homepage = "https://apps.kde.org/kolf/";
+ description = "Miniature golf";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ peterhoeg ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kolourpaint.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kolourpaint.nix
index cd703c49eee..b02c91e8641 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kolourpaint.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kolourpaint.nix
@@ -17,6 +17,8 @@ mkDerivation {
kguiaddons kio ktextwidgets kwidgetsaddons kxmlgui libkexiv2
];
meta = {
+ homepage = "https://apps.kde.org/kolourpaint/";
+ description = "Paint program";
maintainers = [ lib.maintainers.fridh ];
license = with lib.licenses; [ gpl2 ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kompare.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kompare.nix
index d4d49c6a942..eace8660c2e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kompare.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kompare.nix
@@ -7,7 +7,11 @@
mkDerivation {
pname = "kompare";
- meta = { license = with lib.licenses; [ gpl2 ]; };
+ meta = {
+ homepage = "https://apps.kde.org/kompare/";
+ description = "Diff/patch frontend";
+ license = with lib.licenses; [ gpl2 ];
+ };
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
buildInputs = [
kiconthemes kparts ktexteditor kwidgetsaddons libkomparediff2
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/konqueror.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/konqueror.nix
index 781368a108f..cf4002a8f67 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/konqueror.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/konqueror.nix
@@ -22,6 +22,8 @@ mkDerivation {
'';
meta = {
+ homepage = "https://apps.kde.org/konqueror/";
+ description = "Web browser, file manager and viewer";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ ];
broken = lib.versionOlder qtbase.version "5.13";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/konquest.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/konquest.nix
index 5957df47956..7c4ac20f4a5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/konquest.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/konquest.nix
@@ -22,6 +22,8 @@ mkDerivation {
qtquickcontrols
];
meta = {
+ homepage = "https://apps.kde.org/konquest/";
+ description = "Galactic strategy game";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ lheckemann ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/konsole.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/konsole.nix
index 18750d1f160..098001ef4c2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/konsole.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/konsole.nix
@@ -10,6 +10,8 @@
mkDerivation {
pname = "konsole";
meta = {
+ homepage = "https://apps.kde.org/konsole/";
+ description = "KDE terminal emulator";
license = with lib.licenses; [ gpl2 lgpl21 fdl12 ];
maintainers = with lib.maintainers; [ ttuegel turion ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kontact.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kontact.nix
index 801c6845e40..dbdc5ba474b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kontact.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kontact.nix
@@ -10,6 +10,8 @@
mkDerivation {
pname = "kontact";
meta = {
+ homepage = "https://apps.kde.org/kontact/";
+ description = "Personal information manager";
license = with lib.licenses; [ gpl2 lgpl21 fdl12 ];
maintainers = kdepimTeam;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/korganizer.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/korganizer.nix
index 0f6689bb758..3eafd80cacf 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/korganizer.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/korganizer.nix
@@ -13,6 +13,8 @@
mkDerivation {
pname = "korganizer";
meta = {
+ homepage = "https://apps.kde.org/korganizer/";
+ description = "Personal organizer";
license = with lib.licenses; [ gpl2 lgpl21 fdl12 ];
maintainers = kdepimTeam;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kpublictransport.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kpublictransport.nix
new file mode 100644
index 00000000000..fbfd3fcc8a4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kpublictransport.nix
@@ -0,0 +1,13 @@
+{ mkDerivation
+, lib
+, extra-cmake-modules
+}:
+
+mkDerivation {
+ pname = "kpublictransport";
+ meta = with lib; {
+ license = [ licenses.cc0 ];
+ maintainers = [ maintainers.samueldr ];
+ };
+ nativeBuildInputs = [ extra-cmake-modules ];
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/krdc.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/krdc.nix
index b0e79b0ff89..8049c6d11b4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/krdc.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/krdc.nix
@@ -18,6 +18,7 @@ mkDerivation {
'';
meta = with lib; {
homepage = "http://www.kde.org";
+ description = "Remote desktop client";
license = with licenses; [ gpl2 lgpl21 fdl12 bsd3 ];
maintainers = with maintainers; [ peterhoeg ];
platforms = platforms.linux;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/krfb.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/krfb.nix
index 905c72b3675..15835bc6107 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/krfb.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/krfb.nix
@@ -10,6 +10,8 @@
mkDerivation {
pname = "krfb";
meta = {
+ homepage = "https://apps.kde.org/krfb/";
+ description = "Desktop sharing (VNC)";
license = with lib.licenses; [ gpl2 fdl12 ];
maintainers = with lib.maintainers; [ jerith666 ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kruler.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kruler.nix
index 460675e8cb1..918c0c55b62 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kruler.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kruler.nix
@@ -7,6 +7,8 @@
mkDerivation {
pname = "kruler";
meta = {
+ homepage = "https://apps.kde.org/kruler/";
+ description = "Screen ruler";
license = with lib.licenses; [ gpl2 ];
maintainers = [ lib.maintainers.vandenoever ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kspaceduel.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kspaceduel.nix
index 49ef76151ea..bf174546f2f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kspaceduel.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kspaceduel.nix
@@ -11,7 +11,11 @@
mkDerivation {
pname = "kspaceduel";
- meta.license = with lib.licenses; [ lgpl21 gpl3 ];
+ meta = {
+ homepage = "https://apps.kde.org/kspaceduel/";
+ description = "Space arcade game";
+ license = with lib.licenses; [ lgpl21 gpl3 ];
+ };
outputs = [ "out" "dev" ];
nativeBuildInputs = [
cmake extra-cmake-modules
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ksudoku.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ksudoku.nix
index bf59c6e94c3..1cfb3884ff5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ksudoku.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ksudoku.nix
@@ -12,6 +12,8 @@ mkDerivation {
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
buildInputs = [ libGLU kdeclarative libkdegames ];
meta = {
+ homepage = "https://apps.kde.org/ksudoku/";
+ description = "Suduko game";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ksystemlog.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ksystemlog.nix
index 08f7ffb7e05..1b78c16b49d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ksystemlog.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ksystemlog.nix
@@ -11,6 +11,8 @@ mkDerivation {
propagatedBuildInputs = [ karchive kconfig kio ];
meta = with lib; {
+ homepage = "https://apps.kde.org/ksystemlog/";
+ description = "System log viewer";
license = with licenses; [ gpl2 ];
maintainers = with maintainers; [ peterhoeg ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ktouch.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ktouch.nix
index 9d31d4ec62b..df727c43a16 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ktouch.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/ktouch.nix
@@ -7,22 +7,22 @@
, xorg
}:
+mkDerivation {
+ pname = "ktouch";
+ meta = {
+ homepage = "https://apps.kde.org/ktouch/";
+ license = lib.licenses.gpl2;
+ maintainers = [ lib.maintainers.schmittlauch ];
+ description = "A touch typing tutor from the KDE software collection";
+ };
+ nativeBuildInputs = [ extra-cmake-modules kdoctools qtdeclarative ];
+ buildInputs = [
+ kconfig kconfigwidgets kcoreaddons kdeclarative ki18n
+ kitemviews kcmutils kio knewstuff ktexteditor kwidgetsaddons
+ kwindowsystem kxmlgui qtscript qtdeclarative kqtquickcharts
+ qtx11extras qtgraphicaleffects qtxmlpatterns qtquickcontrols2
+ xorg.libxkbfile xorg.libxcb
+ ];
- mkDerivation {
- pname = "ktouch";
- meta = {
- license = lib.licenses.gpl2;
- maintainers = [ lib.maintainers.schmittlauch ];
- description = "A touch typing tutor from the KDE software collection";
- };
- nativeBuildInputs = [ extra-cmake-modules kdoctools qtdeclarative ];
- buildInputs = [
- kconfig kconfigwidgets kcoreaddons kdeclarative ki18n
- kitemviews kcmutils kio knewstuff ktexteditor kwidgetsaddons
- kwindowsystem kxmlgui qtscript qtdeclarative kqtquickcharts
- qtx11extras qtgraphicaleffects qtxmlpatterns qtquickcontrols2
- xorg.libxkbfile xorg.libxcb
- ];
-
- enableParallelBuilding = true;
+ enableParallelBuilding = true;
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kwalletmanager.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kwalletmanager.nix
index 7f227f6d9e6..8d56adc4132 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kwalletmanager.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/kwalletmanager.nix
@@ -14,6 +14,9 @@
mkDerivation {
pname = "kwalletmanager";
meta = {
+ homepage = "https://apps.kde.org/kwalletmanager5/";
+
+ description = "KDE wallet management tool";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ fridh ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/marble.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/marble.nix
index 525289bdc3b..637ae3bc977 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/marble.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/marble.nix
@@ -2,18 +2,31 @@
, extra-cmake-modules, kdoctools
, qtscript, qtsvg, qtquickcontrols, qtwebengine
, krunner, shared-mime-info, kparts, knewstuff
-, gpsd, perl
+, gpsd, perl, fetchpatch
}:
mkDerivation {
pname = "marble";
- meta.license = with lib.licenses; [ lgpl21 gpl3 ];
+ meta = {
+ homepage = "https://apps.kde.org/marble/";
+ description = "Virtual globe";
+ license = with lib.licenses; [ lgpl21 gpl3 ];
+ };
outputs = [ "out" "dev" ];
nativeBuildInputs = [ extra-cmake-modules kdoctools perl ];
propagatedBuildInputs = [
qtscript qtsvg qtquickcontrols qtwebengine shared-mime-info krunner kparts
knewstuff gpsd
];
+ patches = [
+ (fetchpatch {
+ # Backport fix to allow compilation with gpsd 3.23.1
+ # Remove when marble compiles without the patch.
+ # See: https://invent.kde.org/education/marble/-/merge_requests/57
+ url = "https://invent.kde.org/education/marble/-/commit/8aadc3eb8f9484a65d497d442cd8c61fe1462bef.diff";
+ sha256 = "sha256-ZkPXyunVItSRctv6SLGIonvyZwLDhCz+wfJrIXeHcDo=";
+ })
+ ];
preConfigure = ''
cmakeFlags+=" -DINCLUDE_INSTALL_DIR=''${!outputDev}/include"
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/minuet.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/minuet.nix
index cc7be0bf79a..50a6a6f282e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/minuet.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/minuet.nix
@@ -8,6 +8,8 @@
mkDerivation {
pname = "minuet";
meta = with lib; {
+ homepage = "https://apps.kde.org/minuet/";
+ description = "Music Education Software";
license = with licenses; [ lgpl21 gpl3 ];
maintainers = with maintainers; [ peterhoeg HaoZeke ];
broken = lib.versionOlder qtbase.version "5.14";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/okular.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/okular.nix
index 12537eba270..9962500d907 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/okular.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/okular.nix
@@ -29,6 +29,7 @@ mkDerivation {
meta = with lib; {
homepage = "http://www.kde.org";
+ description = "KDE document viewer";
license = with licenses; [ gpl2 lgpl21 fdl12 bsd3 ];
maintainers = with maintainers; [ ttuegel turion ];
platforms = lib.platforms.linux;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/picmi.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/picmi.nix
index 25734e318ab..4358eb5ffac 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/picmi.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/picmi.nix
@@ -6,6 +6,7 @@
mkDerivation {
pname = "picmi";
meta = with lib; {
+ homepage = "https://apps.kde.org/picmi/";
description = "Nonogram game";
longDescription = ''The goal is to reveal the hidden pattern in the board by coloring or
leaving blank the cells in a grid according to numbers given at the side of the grid.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/pim-data-exporter.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/pim-data-exporter.nix
index 746bb2aec2a..f13e1f66795 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/pim-data-exporter.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/pim-data-exporter.nix
@@ -10,6 +10,8 @@
mkDerivation {
pname = "pim-data-exporter";
meta = {
+ homepage = "https://apps.kde.org/pimdataexporter/";
+ description = "Saves and restores all data from PIM apps";
license = with lib.licenses; [ gpl2 lgpl21 fdl12 ];
maintainers = kdepimTeam;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/spectacle.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/spectacle.nix
index 587877ad7a7..39e9f344c3e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/spectacle.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/spectacle.nix
@@ -20,6 +20,8 @@ mkDerivation {
'';
propagatedUserEnvPkgs = [ kipi-plugins libkipi ];
meta = with lib; {
+ homepage = "https://apps.kde.org/spectacle/";
+ description = "Screenshot capture utility";
maintainers = with maintainers; [ ttuegel ];
broken = versionOlder qtbase.version "5.15";
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/srcs.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/srcs.nix
index f6dd35c83a3..4f4ab4464a3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/srcs.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/kde/srcs.nix
@@ -4,1803 +4,1811 @@
{
akonadi = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/akonadi-21.04.0.tar.xz";
- sha256 = "1ka1cxwqvcdyy9i1p7v7vrcrs9d1kx892wzq1dw3jrq9x57l5drz";
- name = "akonadi-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/akonadi-21.08.1.tar.xz";
+ sha256 = "02abs3mxwna30rgidlndj4jq0swy3id236ckw726vp3r8m9qimd6";
+ name = "akonadi-21.08.1.tar.xz";
};
};
akonadi-calendar = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/akonadi-calendar-21.04.0.tar.xz";
- sha256 = "1yf92jx7x19cp95c8nbkgmz5q1cg7096gdwy525df56h3pgbm651";
- name = "akonadi-calendar-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/akonadi-calendar-21.08.1.tar.xz";
+ sha256 = "1p8myayr6kfgp805wdpy39f9bjxw1fafv6kn35h1zsl1fgyc4812";
+ name = "akonadi-calendar-21.08.1.tar.xz";
};
};
akonadi-calendar-tools = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/akonadi-calendar-tools-21.04.0.tar.xz";
- sha256 = "1g0k1c11lysk3mi6k83lw70d64x543pcdgc9af1hyckb6clzh2gm";
- name = "akonadi-calendar-tools-21.04.0.tar.xz";
- };
- };
- akonadiconsole = {
- version = "21.04.0";
- src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/akonadiconsole-21.04.0.tar.xz";
- sha256 = "0l6famxpw64w7gmknx4szsg16hjydp3cr2mp0z0dfzi4m1i64gi7";
- name = "akonadiconsole-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/akonadi-calendar-tools-21.08.1.tar.xz";
+ sha256 = "0j8cc0x2bx64crgvprksnmng4yi7fk71s5pfyjrnw8d9xnj7vvvg";
+ name = "akonadi-calendar-tools-21.08.1.tar.xz";
};
};
akonadi-contacts = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/akonadi-contacts-21.04.0.tar.xz";
- sha256 = "1130dvx8dpfvqsydhx1qy83bqx4drgb762ycf10cqkjvm6sjg3jh";
- name = "akonadi-contacts-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/akonadi-contacts-21.08.1.tar.xz";
+ sha256 = "13sjy2jg4bbg7dm182apppmcpkhmiwhkpnkjhh13dhz8v7488vnc";
+ name = "akonadi-contacts-21.08.1.tar.xz";
};
};
akonadi-import-wizard = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/akonadi-import-wizard-21.04.0.tar.xz";
- sha256 = "1idxpymfags4zrqlagndrkp9yvr24vvd4rzm7gm2zxwilw90smdh";
- name = "akonadi-import-wizard-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/akonadi-import-wizard-21.08.1.tar.xz";
+ sha256 = "1v0nzaijy6nahjx4j1wsvi8s6s3zk79b8h01n3r6gwilbxklqnqs";
+ name = "akonadi-import-wizard-21.08.1.tar.xz";
};
};
akonadi-mime = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/akonadi-mime-21.04.0.tar.xz";
- sha256 = "037v29sq0q46i675n177ny3n5rvndvdpvydbika89gkiyag6hh1v";
- name = "akonadi-mime-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/akonadi-mime-21.08.1.tar.xz";
+ sha256 = "15lm1248diqhnv1qldcyyfi1v7w8h13jvwhp80py93hijq07iwz5";
+ name = "akonadi-mime-21.08.1.tar.xz";
};
};
akonadi-notes = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/akonadi-notes-21.04.0.tar.xz";
- sha256 = "0l0m3qpqj4g6j58kfc2xc48cwhhf0538h5bw5m8z123pcggp3w20";
- name = "akonadi-notes-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/akonadi-notes-21.08.1.tar.xz";
+ sha256 = "1r43pvxpk3f183qaiydxg83xc1y5zss7xgxq5p1vnwgqyifibh3h";
+ name = "akonadi-notes-21.08.1.tar.xz";
};
};
akonadi-search = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/akonadi-search-21.04.0.tar.xz";
- sha256 = "189z9vqn05ph7c6sfr413hywrrqs1yn5sj84563bjf3rdzn4zp67";
- name = "akonadi-search-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/akonadi-search-21.08.1.tar.xz";
+ sha256 = "1w5vps398kadl6p2jhsj18jqfn4lyysx09jzj6q9cvkvzmq4im6i";
+ name = "akonadi-search-21.08.1.tar.xz";
+ };
+ };
+ akonadiconsole = {
+ version = "21.08.1";
+ src = fetchurl {
+ url = "${mirror}/stable/release-service/21.08.1/src/akonadiconsole-21.08.1.tar.xz";
+ sha256 = "1dplpb6z3glps82bzlqhnx29k13m6b7q2wvdlcw9hfqrp2xgzyfk";
+ name = "akonadiconsole-21.08.1.tar.xz";
};
};
akregator = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/akregator-21.04.0.tar.xz";
- sha256 = "1px3sxsbhh98822i3yxs9sq019f78njfai07rsyf9wbawa6xj2sm";
- name = "akregator-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/akregator-21.08.1.tar.xz";
+ sha256 = "03rv9m3f7vrn80jfdmnbzxsl226s82liyfam1cysxl2skkmvpimm";
+ name = "akregator-21.08.1.tar.xz";
};
};
analitza = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/analitza-21.04.0.tar.xz";
- sha256 = "1g4sfcdp13xsbfc1c64pgj7ax75z9cpqgy3sri4cm4qk9d2kkj0i";
- name = "analitza-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/analitza-21.08.1.tar.xz";
+ sha256 = "0aagcj2dvm5aq24m6r5z79qsq2ra8xwzj7b1f64kcq8sabw7dbn4";
+ name = "analitza-21.08.1.tar.xz";
};
};
ark = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ark-21.04.0.tar.xz";
- sha256 = "034ywf6favaj7cbfmcgz00yrmvpb8vxsw4yq8a7y6f40b590aphf";
- name = "ark-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ark-21.08.1.tar.xz";
+ sha256 = "1ss33qizhg325k4hhf8339xg52iv4s32qjm048zhi2jaz54pdnv0";
+ name = "ark-21.08.1.tar.xz";
};
};
artikulate = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/artikulate-21.04.0.tar.xz";
- sha256 = "0pbsbhl1phfzrgb393qf60n8k20f1qkda7a0mk6rxp1zj00pg7zw";
- name = "artikulate-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/artikulate-21.08.1.tar.xz";
+ sha256 = "0x71m715iw1hv6xy36sd2gzd0cnsbn09wipp02nx2dc161lavnxk";
+ name = "artikulate-21.08.1.tar.xz";
};
};
audiocd-kio = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/audiocd-kio-21.04.0.tar.xz";
- sha256 = "1bbdw5gxjzpvvbq28zhazdr2ir1i3diy7rvz77cmw7jlj98x40m0";
- name = "audiocd-kio-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/audiocd-kio-21.08.1.tar.xz";
+ sha256 = "1aqzgmpypzska5lgjwjpnbl6q2cbyiirph6h8ph5wnnb992lx8li";
+ name = "audiocd-kio-21.08.1.tar.xz";
};
};
baloo-widgets = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/baloo-widgets-21.04.0.tar.xz";
- sha256 = "08y590n7rrha28pyjmf3liishmfjyx422ryd5viwb21g3isdb5ir";
- name = "baloo-widgets-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/baloo-widgets-21.08.1.tar.xz";
+ sha256 = "01f0hpgvlwxn3yms6yyi0ykryb78c9plp0q2z0ywk1p4lx4iywhd";
+ name = "baloo-widgets-21.08.1.tar.xz";
};
};
blinken = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/blinken-21.04.0.tar.xz";
- sha256 = "00fb1a98f6qs2pjcb2hc4rh2zgnqzhg21dal0rc4ddglm0mvgq27";
- name = "blinken-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/blinken-21.08.1.tar.xz";
+ sha256 = "1bfkiwg2cwn4dizwcjb0ynzvvdxndf5172a8z0ch9b2wxlaljh87";
+ name = "blinken-21.08.1.tar.xz";
};
};
bomber = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/bomber-21.04.0.tar.xz";
- sha256 = "0fkikbyayd5ickhjwgd57kb89698w9ni89218bc47gkqvgm7zdzy";
- name = "bomber-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/bomber-21.08.1.tar.xz";
+ sha256 = "1ppsnnbwsf1y9pavnpxr64k845gx1yn5p1mqswpcqgp9zd58f338";
+ name = "bomber-21.08.1.tar.xz";
};
};
bovo = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/bovo-21.04.0.tar.xz";
- sha256 = "1k6k9hkzrnz2802k4pq0aabdbkwwdvqi8c669cjhxwqxrpxhlan3";
- name = "bovo-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/bovo-21.08.1.tar.xz";
+ sha256 = "0qs96ds0clbvf7q487h9bq7l4haymdcyxzq8rlfd74qpki9cb9aa";
+ name = "bovo-21.08.1.tar.xz";
};
};
calendarsupport = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/calendarsupport-21.04.0.tar.xz";
- sha256 = "0m3x1pimy5sldgzkggwxyv3r0vn802bysf73nf6azgqachj1rm4g";
- name = "calendarsupport-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/calendarsupport-21.08.1.tar.xz";
+ sha256 = "0n9mbwdgyc4530g1rn9b393qq8pgpcclcpip9p72q8qc630jsvbw";
+ name = "calendarsupport-21.08.1.tar.xz";
};
};
cantor = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/cantor-21.04.0.tar.xz";
- sha256 = "0saz2xapfqmqlh9cmz8vkhsz5ai9fjgzp5y4rg4nc77b6wfwijpp";
- name = "cantor-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/cantor-21.08.1.tar.xz";
+ sha256 = "18gl6bw8mnn9sp4jws5b57k9w9scqg53ynw6yrabx2796k0hwfiw";
+ name = "cantor-21.08.1.tar.xz";
};
};
cervisia = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/cervisia-21.04.0.tar.xz";
- sha256 = "1r76mdrq4v8f850kgx6wamhhpnvj5giclnfp8ck0f86lqx228xhz";
- name = "cervisia-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/cervisia-21.08.1.tar.xz";
+ sha256 = "0dah0lgzpdzxyvccqk2k2qbl5x4dl53qp23d0rxb5cg1ba5h7n2l";
+ name = "cervisia-21.08.1.tar.xz";
};
};
dolphin = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/dolphin-21.04.0.tar.xz";
- sha256 = "1gmxrxs4h9bk1lxs2gn0gv44067wk19p8mq85n6dbpry9sfyb229";
- name = "dolphin-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/dolphin-21.08.1.tar.xz";
+ sha256 = "1vmk9iaylw427x203ccdjhlbah3dr5fz7l3lc113nczq54kcwpbf";
+ name = "dolphin-21.08.1.tar.xz";
};
};
dolphin-plugins = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/dolphin-plugins-21.04.0.tar.xz";
- sha256 = "1ll8yhglncbzdmq6kpzavgd2q9llfbcqjyz8x97nlwibqszrbcwz";
- name = "dolphin-plugins-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/dolphin-plugins-21.08.1.tar.xz";
+ sha256 = "0gnmr73ly0djngp3imdi4zm72icj3gilqcshb4ks3rq3lwk408rr";
+ name = "dolphin-plugins-21.08.1.tar.xz";
};
};
dragon = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/dragon-21.04.0.tar.xz";
- sha256 = "00lnrskgvxclf75h89ycgafajkw1ddqg74lv38dv9yc21lh683k9";
- name = "dragon-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/dragon-21.08.1.tar.xz";
+ sha256 = "050jrizyip362fanrbx3fwp4n69sr7d4y2w6n70aqv8hhi90xqjy";
+ name = "dragon-21.08.1.tar.xz";
};
};
elisa = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/elisa-21.04.0.tar.xz";
- sha256 = "152i6748pkgnbpd192wd161w001l13gyinar1gphg46gb0z898sg";
- name = "elisa-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/elisa-21.08.1.tar.xz";
+ sha256 = "12kr5aixw5gdmy2vj6id0wmznkwg6p1ysxcqayk8bwvv2qz2pygr";
+ name = "elisa-21.08.1.tar.xz";
};
};
eventviews = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/eventviews-21.04.0.tar.xz";
- sha256 = "1zq97jfgl0k7k1nhv6zcnbicl1af86rz6hzski9hm387bh60rn5v";
- name = "eventviews-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/eventviews-21.08.1.tar.xz";
+ sha256 = "04z5cb4vhxcwxp8dwv7w4400zmj090gr7dm4d4h4x39312d25rhh";
+ name = "eventviews-21.08.1.tar.xz";
};
};
ffmpegthumbs = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ffmpegthumbs-21.04.0.tar.xz";
- sha256 = "06ycd5q5b4j6xxvrfvvpfdbmzrk8xysv7k8m64yypxnv9r7h1rsa";
- name = "ffmpegthumbs-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ffmpegthumbs-21.08.1.tar.xz";
+ sha256 = "13v5y99fvj0p9f9hqafw652qzb7z267fjfsqykv7wb3pv274f11w";
+ name = "ffmpegthumbs-21.08.1.tar.xz";
};
};
filelight = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/filelight-21.04.0.tar.xz";
- sha256 = "1bfpqc67mkqz1w7wwv3p28q0n55vc78l94nyg805zs9adk00886v";
- name = "filelight-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/filelight-21.08.1.tar.xz";
+ sha256 = "0smvvh7g9p0vh4s3xd0pk6whszk8vmqv9ww1jp0y3dy6ai2cwixi";
+ name = "filelight-21.08.1.tar.xz";
};
};
granatier = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/granatier-21.04.0.tar.xz";
- sha256 = "0qcnr7n2401ykgwbz4lcsgp19fkb90lfbblbmrnbcslfc5pz8jz8";
- name = "granatier-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/granatier-21.08.1.tar.xz";
+ sha256 = "1n7bvkh118530hi0aka9wwz7kycljwawb5d0wxzjb74kqp5rp0lv";
+ name = "granatier-21.08.1.tar.xz";
};
};
grantlee-editor = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/grantlee-editor-21.04.0.tar.xz";
- sha256 = "0rfcv63flw5izccqxz7mz43hvlim1cilnmrvk2vxc258vl1a226p";
- name = "grantlee-editor-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/grantlee-editor-21.08.1.tar.xz";
+ sha256 = "1nfqylrnkvhjiygah527i9q16987n99ry69nwd1000xvf7r1k2yd";
+ name = "grantlee-editor-21.08.1.tar.xz";
};
};
grantleetheme = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/grantleetheme-21.04.0.tar.xz";
- sha256 = "1jxdi7as6c81sy7zs59y6a0gmsjz6xwh6vbcr3r64wx62hj6vyls";
- name = "grantleetheme-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/grantleetheme-21.08.1.tar.xz";
+ sha256 = "0zscb0wb85g1r0zcqb50ylg1r0640mjk985ifffnjzxlx4ayqglm";
+ name = "grantleetheme-21.08.1.tar.xz";
};
};
gwenview = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/gwenview-21.04.0.tar.xz";
- sha256 = "06yyf7f49xbcfzbm10rr0xcmyxmlafh188wq8bjc8mp7p6fq7yd5";
- name = "gwenview-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/gwenview-21.08.1.tar.xz";
+ sha256 = "187br8271z00v02vllpxqwk8x6y38gg43xixczd8x4j0p6rgv8a0";
+ name = "gwenview-21.08.1.tar.xz";
};
};
incidenceeditor = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/incidenceeditor-21.04.0.tar.xz";
- sha256 = "1fch2d5jgh3raf2zqc4vapgwf3gkdfsd71djvd626q3dsbh82qxz";
- name = "incidenceeditor-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/incidenceeditor-21.08.1.tar.xz";
+ sha256 = "1xyb106ck64qq4z083g0qx9n3rax5ma41jsfwl8am8w5q4szxw31";
+ name = "incidenceeditor-21.08.1.tar.xz";
};
};
itinerary = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/itinerary-21.04.0.tar.xz";
- sha256 = "132y5v5qy89hfvp1j3x6rr6bg4wdzhd177isrs110w0aizdrbjcn";
- name = "itinerary-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/itinerary-21.08.1.tar.xz";
+ sha256 = "018871y48yd2z2qsrnjhymb72nn4by2l8lrz38gcd9fq2dwlh5fs";
+ name = "itinerary-21.08.1.tar.xz";
};
};
juk = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/juk-21.04.0.tar.xz";
- sha256 = "11plw0h56n4fmhi47rmjw8qdki3r5yf3v7zfc0svwkb12lrvcp6z";
- name = "juk-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/juk-21.08.1.tar.xz";
+ sha256 = "0q2q31r72d28hhabrnwglhcz6h3ay70i4fg7gyn658njvx8gl26b";
+ name = "juk-21.08.1.tar.xz";
};
};
k3b = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/k3b-21.04.0.tar.xz";
- sha256 = "1a6gm7bk486fr2haap6212vzx8hhrwkgjplyyq1nb27v61rpir2n";
- name = "k3b-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/k3b-21.08.1.tar.xz";
+ sha256 = "1gs4api78ngyb03sgknhc1cil6rx7rd1y66674lmi3sssyhi6bkz";
+ name = "k3b-21.08.1.tar.xz";
};
};
kaccounts-integration = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kaccounts-integration-21.04.0.tar.xz";
- sha256 = "1znfyslk4w45923xfxflipf0zwxf91k949carnbhzfiplab30gpy";
- name = "kaccounts-integration-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kaccounts-integration-21.08.1.tar.xz";
+ sha256 = "1860njydckpdz34y7g94pa4xz0229j1szj8ihvql05kgysdm11qp";
+ name = "kaccounts-integration-21.08.1.tar.xz";
};
};
kaccounts-providers = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kaccounts-providers-21.04.0.tar.xz";
- sha256 = "17rnqsagg60zd5nf7hag74kc9s7nj01ps3z411j8zwa1vlbqidg0";
- name = "kaccounts-providers-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kaccounts-providers-21.08.1.tar.xz";
+ sha256 = "1w5k7y8xakp1lf4ca3wip7af3f9avd04i6zixv7kq9w3fh1mndp0";
+ name = "kaccounts-providers-21.08.1.tar.xz";
};
};
kaddressbook = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kaddressbook-21.04.0.tar.xz";
- sha256 = "1p9lcs4jd8n52hd0mpckwiv23zivzflkih2lpdbqcw55s75g03bl";
- name = "kaddressbook-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kaddressbook-21.08.1.tar.xz";
+ sha256 = "05lrxban904szlqhri71qqfykyygsxwgsx9w406s213vwkakirxb";
+ name = "kaddressbook-21.08.1.tar.xz";
};
};
kajongg = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kajongg-21.04.0.tar.xz";
- sha256 = "11hxc0scc700zmw5736z3vcign09g5rgnfmg98z3j34bms7iff5n";
- name = "kajongg-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kajongg-21.08.1.tar.xz";
+ sha256 = "1izm0n2nsvxh9zvws53q4hkpzjihjwwccspas7k10ryasgp4nwsb";
+ name = "kajongg-21.08.1.tar.xz";
};
};
kalarm = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kalarm-21.04.0.tar.xz";
- sha256 = "1zcyc6nlsdh9ixl10n6xlnfj78z6j218a9aipj1vws0jx7zahl12";
- name = "kalarm-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kalarm-21.08.1.tar.xz";
+ sha256 = "088461j6piwas0g4chpj579r3bhyncmyajsfh2hz4679ir5lf6yh";
+ name = "kalarm-21.08.1.tar.xz";
};
};
kalarmcal = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kalarmcal-21.04.0.tar.xz";
- sha256 = "0cp5mian3zkyb51l6h2j7dkdhhmhk9vh33yvfa9x5q998sknr1m3";
- name = "kalarmcal-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kalarmcal-21.08.1.tar.xz";
+ sha256 = "1s0yrh0y54rjp4d6y8vcixxrlsdra1xr8j3lxswl2h866p87v7fa";
+ name = "kalarmcal-21.08.1.tar.xz";
};
};
kalgebra = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kalgebra-21.04.0.tar.xz";
- sha256 = "1w9vy3130kxw68fnpvzvq2k40dyain0ncsflf24fmn7dzjl4wpxn";
- name = "kalgebra-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kalgebra-21.08.1.tar.xz";
+ sha256 = "0a8hmzdslr92v9a8pjf7rnmpf040l9cizzlx8xrxqk2bdb7qls5w";
+ name = "kalgebra-21.08.1.tar.xz";
};
};
kalzium = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kalzium-21.04.0.tar.xz";
- sha256 = "0fnqj1xnlgkb5wfx7j2zzbypyyql44srd555bdb1w0q37w1zxxgm";
- name = "kalzium-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kalzium-21.08.1.tar.xz";
+ sha256 = "0ijxyzryry1j9gfdmk56dlzyb4iz0v4vmjzjnqkv6sq2lm47lhyp";
+ name = "kalzium-21.08.1.tar.xz";
};
};
kamera = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kamera-21.04.0.tar.xz";
- sha256 = "068ic1nf15x4h7h877q7by3hkd5dap9a2kdm7x2jwcqhwriiirw2";
- name = "kamera-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kamera-21.08.1.tar.xz";
+ sha256 = "0cmppa8bc9iqbdk0pbnzrj1qqryqmrdgs7hliay3hsl7gjlz9zgy";
+ name = "kamera-21.08.1.tar.xz";
};
};
kamoso = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kamoso-21.04.0.tar.xz";
- sha256 = "0npabci0x04g7v56x3pb5ps560d0xdshaznlci05bn3czxdas93h";
- name = "kamoso-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kamoso-21.08.1.tar.xz";
+ sha256 = "15nqy97m913f0sdjs15bjivs7hvxghlhlw5579fsnfahg5iz5qp6";
+ name = "kamoso-21.08.1.tar.xz";
};
};
kanagram = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kanagram-21.04.0.tar.xz";
- sha256 = "0ccnzrra54hqx7acsaiz8fk5gnax9y4j195hsbix7mghgb5ylz8r";
- name = "kanagram-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kanagram-21.08.1.tar.xz";
+ sha256 = "1jqrmgyd2ijqb1sq17r7mijvcix6syys02kyasyilwgibbnlzjz1";
+ name = "kanagram-21.08.1.tar.xz";
};
};
kapman = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kapman-21.04.0.tar.xz";
- sha256 = "1i7jr8xlh3v4wz9bbc335q79zx96nfp15hhqnhkgxsqc216zn8qm";
- name = "kapman-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kapman-21.08.1.tar.xz";
+ sha256 = "072376xqm4a8fwvslf9grsklvzb50d9f098z4qba1lh3y3ivp7wm";
+ name = "kapman-21.08.1.tar.xz";
};
};
kapptemplate = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kapptemplate-21.04.0.tar.xz";
- sha256 = "0l2y562s7rk99zr5vbp03gbv0fwbd211j4n51g3yry7vbk433aiw";
- name = "kapptemplate-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kapptemplate-21.08.1.tar.xz";
+ sha256 = "1crll3dr299qpigh2w9psvpi0r4jmb8y0b0facfcfcs6j9ldfbw5";
+ name = "kapptemplate-21.08.1.tar.xz";
};
};
kate = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kate-21.04.0.tar.xz";
- sha256 = "1m11fh5c527d6b8a5wmglj9z0d2caak5bqh1g7fql1ygw06wr01p";
- name = "kate-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kate-21.08.1.tar.xz";
+ sha256 = "0k9kf8x5gbj5vbnr6lfhizi8122p76xixw480a3zsqi57i4bxk0z";
+ name = "kate-21.08.1.tar.xz";
};
};
katomic = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/katomic-21.04.0.tar.xz";
- sha256 = "0hrlmzqnw03nv334q680zwk700c8pvnaw57gh1ixphzsbx871yrk";
- name = "katomic-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/katomic-21.08.1.tar.xz";
+ sha256 = "1595swszpw2ia9dh5c6wgrz04qlcvw84l474imkms4gv1cz583pb";
+ name = "katomic-21.08.1.tar.xz";
};
};
kbackup = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kbackup-21.04.0.tar.xz";
- sha256 = "1l3bk7dj2grbki41fhxawrwn4vpncf3m2b5bq5ivj4vj4jc6vlyz";
- name = "kbackup-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kbackup-21.08.1.tar.xz";
+ sha256 = "04795m0r4icfpv6166ingb82mfai0g6q48f9qvcqn029z0f347ya";
+ name = "kbackup-21.08.1.tar.xz";
};
};
kblackbox = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kblackbox-21.04.0.tar.xz";
- sha256 = "17ba03qmyaiqda064dhxl0kwvncll7fznjvnfvby9lgdpzfjj8j9";
- name = "kblackbox-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kblackbox-21.08.1.tar.xz";
+ sha256 = "019nd86wmm1m8yz0lsayx37mqyzhx1pa5kbddajf5032dscncymd";
+ name = "kblackbox-21.08.1.tar.xz";
};
};
kblocks = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kblocks-21.04.0.tar.xz";
- sha256 = "01i24fizs8d6yvyldln905vnww8ajy3aswn55xhxinjwhx9dcy7n";
- name = "kblocks-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kblocks-21.08.1.tar.xz";
+ sha256 = "1grgkikl7zcs58y86kaw6slq7wvqa51g85kvwrysniv6l3yzhvxj";
+ name = "kblocks-21.08.1.tar.xz";
};
};
kbounce = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kbounce-21.04.0.tar.xz";
- sha256 = "05wy4my4hil72cmj3p2hf9bshpknyps8xmp0mrbigyrzg505zjj2";
- name = "kbounce-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kbounce-21.08.1.tar.xz";
+ sha256 = "18sd3yzz0mj9j666pnkm49ngfzh7aw125a5zyf1k947z6ayv5zix";
+ name = "kbounce-21.08.1.tar.xz";
};
};
kbreakout = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kbreakout-21.04.0.tar.xz";
- sha256 = "0084id4wwk31m7wjkl5grcpbyqyzqx6cxixhdy48v7djdnn43jfj";
- name = "kbreakout-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kbreakout-21.08.1.tar.xz";
+ sha256 = "0264w926ldfhdx22z1iqk41w5a9gnycff4vdjf0i6rzyws2qsb0g";
+ name = "kbreakout-21.08.1.tar.xz";
};
};
kbruch = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kbruch-21.04.0.tar.xz";
- sha256 = "0qygd4zx039qckv4zzkgvz70wm8hg156bmb70g9g0nv5bzh4y02g";
- name = "kbruch-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kbruch-21.08.1.tar.xz";
+ sha256 = "1rbkwzca3m93p3z294cwkiyycg0w87r6j37v0zyiq802pwkkpm34";
+ name = "kbruch-21.08.1.tar.xz";
};
};
kcachegrind = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kcachegrind-21.04.0.tar.xz";
- sha256 = "1bdlzp35914nvbzcf4n6qrjmg7c0dc7c13kwq9gr5q6i4lvf275r";
- name = "kcachegrind-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kcachegrind-21.08.1.tar.xz";
+ sha256 = "09zgilcya7asj2si747snli6h0s7wzgjzkns9f53rwvq06xbp2zv";
+ name = "kcachegrind-21.08.1.tar.xz";
};
};
kcalc = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kcalc-21.04.0.tar.xz";
- sha256 = "0x0b19yaif6mjh20lbvl87phna781ya3l9hpwj2941vgvffwwpsh";
- name = "kcalc-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kcalc-21.08.1.tar.xz";
+ sha256 = "0si3mp1xbk6gps5fhc9fv1vskx37pgwx72i2x1kcm7azif26nzsk";
+ name = "kcalc-21.08.1.tar.xz";
};
};
kcalutils = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kcalutils-21.04.0.tar.xz";
- sha256 = "0kvl8ghwcamxayvwbsyjzib5b19v3k5hch17lj2pjsj20dgfl4qv";
- name = "kcalutils-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kcalutils-21.08.1.tar.xz";
+ sha256 = "1xrggb8vv8lrjyhdb9yf2fzs36q766if78hlymgfndj1z37s0m6m";
+ name = "kcalutils-21.08.1.tar.xz";
};
};
kcharselect = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kcharselect-21.04.0.tar.xz";
- sha256 = "1gp75qkwphgxpjkc1fwqkrbkkmc45l55ck8mqvbpz4aq8bscc0nx";
- name = "kcharselect-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kcharselect-21.08.1.tar.xz";
+ sha256 = "0qbhmyczc13kmbls06732i91s8n6w396dfj1z50z28wrkyqls8zr";
+ name = "kcharselect-21.08.1.tar.xz";
};
};
kcolorchooser = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kcolorchooser-21.04.0.tar.xz";
- sha256 = "0cgzclfmcn7l98ycm313sp8fhmx46fbn88l9cykywi27idymmb9v";
- name = "kcolorchooser-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kcolorchooser-21.08.1.tar.xz";
+ sha256 = "13zdyksijxflvjb3zaszl6q7wzwz0pxsq8hyi5pb2gb7gryz65h7";
+ name = "kcolorchooser-21.08.1.tar.xz";
};
};
kcron = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kcron-21.04.0.tar.xz";
- sha256 = "144y4cn8xpkmn1gsab8wpvhqrnfidcjrbp2cy9xhx18as5ckpjn3";
- name = "kcron-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kcron-21.08.1.tar.xz";
+ sha256 = "0c9d3rlml2adk11bp541l1zpbgp3q6mfhb7bpq4alrwlrbd4fxan";
+ name = "kcron-21.08.1.tar.xz";
};
};
- kdebugsettings = {
- version = "21.04.0";
+ kde-dev-scripts = {
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdebugsettings-21.04.0.tar.xz";
- sha256 = "1xpbw9v9ws9i7a6ag5f6z7d15svyyx34p5vibm4p4j70vd7q5rwk";
- name = "kdebugsettings-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kde-dev-scripts-21.08.1.tar.xz";
+ sha256 = "1pv0qj7xpvxqgcrjbr9989cax0aan64cbipia4kmlg7kriz5wz6c";
+ name = "kde-dev-scripts-21.08.1.tar.xz";
};
};
- kdeconnect-kde = {
- version = "21.04.0";
+ kde-dev-utils = {
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdeconnect-kde-21.04.0.tar.xz";
- sha256 = "1zbn2hi245934ljxgrzc3s2rpyapwrrkzx5vcjhnf8ri9v6sxhgp";
- name = "kdeconnect-kde-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kde-dev-utils-21.08.1.tar.xz";
+ sha256 = "02ksa35xh8vw08pqik7q8v3wax1fcvx2inicm1b2z2c4gq5k766l";
+ name = "kde-dev-utils-21.08.1.tar.xz";
};
};
- kde-dev-scripts = {
- version = "21.04.0";
+ kdebugsettings = {
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kde-dev-scripts-21.04.0.tar.xz";
- sha256 = "0plg145hp3bpxb2x3j8hja6fjn7yzmvx8j7zw123xnmqbzi25f6s";
- name = "kde-dev-scripts-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdebugsettings-21.08.1.tar.xz";
+ sha256 = "1fmnmvyzlhczbsxdpnm3bi50pdh2659raizaqbal2yjxcp6rlb90";
+ name = "kdebugsettings-21.08.1.tar.xz";
};
};
- kde-dev-utils = {
- version = "21.04.0";
+ kdeconnect-kde = {
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kde-dev-utils-21.04.0.tar.xz";
- sha256 = "1cgzkhpb81s1zbx4rsfprmjn3cwqykyaaymg4bm7nqwnq97bbmc5";
- name = "kde-dev-utils-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdeconnect-kde-21.08.1.tar.xz";
+ sha256 = "01nwzsryxg7kkxb6g4h0lwn6g5zx4k64vizqk4gsvkif8d5zfc33";
+ name = "kdeconnect-kde-21.08.1.tar.xz";
};
};
kdeedu-data = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdeedu-data-21.04.0.tar.xz";
- sha256 = "0s4x0n8skwn117iiffi8rp4l5ddizfdqlc9lm49ijlvzkvhz3g3p";
- name = "kdeedu-data-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdeedu-data-21.08.1.tar.xz";
+ sha256 = "19hc9mykw8q0krcxmrjq4mhn5dljfrv9pzv38dm80w3yfflj8y65";
+ name = "kdeedu-data-21.08.1.tar.xz";
};
};
kdegraphics-mobipocket = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdegraphics-mobipocket-21.04.0.tar.xz";
- sha256 = "00pxfffc2xb7mszzgq6b3kp1h3m870k81rqarsy2igxxpbr3dr2p";
- name = "kdegraphics-mobipocket-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdegraphics-mobipocket-21.08.1.tar.xz";
+ sha256 = "06zpm5d58q10dalm2lm7v7lcjmainn3lmagra6a1f007yshm3i32";
+ name = "kdegraphics-mobipocket-21.08.1.tar.xz";
};
};
kdegraphics-thumbnailers = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdegraphics-thumbnailers-21.04.0.tar.xz";
- sha256 = "0yga4pa37zpgawq2hhc5w3scw40fwyp7901vbh6zspbdzya9lb50";
- name = "kdegraphics-thumbnailers-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdegraphics-thumbnailers-21.08.1.tar.xz";
+ sha256 = "0hqdxsnv6xgvy2knnhq733hbfgzhr6f4fi63l80saysqvpjyrxly";
+ name = "kdegraphics-thumbnailers-21.08.1.tar.xz";
};
};
kdenetwork-filesharing = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdenetwork-filesharing-21.04.0.tar.xz";
- sha256 = "07a9pflvjf7ffi9jqx43f43wykl7z92z3pr1ca9q36fxw7cdixad";
- name = "kdenetwork-filesharing-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdenetwork-filesharing-21.08.1.tar.xz";
+ sha256 = "1q5wisy6gz94lbf3dnmxp3rq0c5b1laajph8lnlm9dhfxxmrkacf";
+ name = "kdenetwork-filesharing-21.08.1.tar.xz";
};
};
kdenlive = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdenlive-21.04.0.tar.xz";
- sha256 = "1psb7mvffiqnv5n4b0wwa6s2ykcfkc4dxsvbxh2k67gmvq58zgmh";
- name = "kdenlive-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdenlive-21.08.1.tar.xz";
+ sha256 = "0gjv1fm07f1qckpmlvia58myg9si9z46nwxiz1lcca5mx5k7rpcz";
+ name = "kdenlive-21.08.1.tar.xz";
};
};
kdepim-addons = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdepim-addons-21.04.0.tar.xz";
- sha256 = "02xlp9xm15462y02wz05kn5vkg11lkiblz0cx43i8rcyiqnxbldz";
- name = "kdepim-addons-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdepim-addons-21.08.1.tar.xz";
+ sha256 = "08vpjcqnjh99bbmwp3h64anp53zafifblqy2f7bqkvwifmlrqvz8";
+ name = "kdepim-addons-21.08.1.tar.xz";
};
};
kdepim-runtime = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdepim-runtime-21.04.0.tar.xz";
- sha256 = "1m1fshyivm1mz4hj9qaq33wdjkqxpjjbr0rkscb2b56a6jg4glza";
- name = "kdepim-runtime-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdepim-runtime-21.08.1.tar.xz";
+ sha256 = "0ai6v5sysh5pzwpvbhjzi1fvfp608abpndh9nhnm7b87hca73vm9";
+ name = "kdepim-runtime-21.08.1.tar.xz";
};
};
kdesdk-kioslaves = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdesdk-kioslaves-21.04.0.tar.xz";
- sha256 = "068hqm1f2wllq3gcpmsib8cky6fhgpmqvmzvymcfc19ccyzwayhf";
- name = "kdesdk-kioslaves-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdesdk-kioslaves-21.08.1.tar.xz";
+ sha256 = "0cz2cmcgksfkgl2nh0nnyz38q3rp1dfwhnajgcif5q0ka528v33w";
+ name = "kdesdk-kioslaves-21.08.1.tar.xz";
};
};
kdesdk-thumbnailers = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdesdk-thumbnailers-21.04.0.tar.xz";
- sha256 = "0cj6xsazqv94l02bp1pr5kny5id0kr5kqv3xkwv4jvmq317vfi3i";
- name = "kdesdk-thumbnailers-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdesdk-thumbnailers-21.08.1.tar.xz";
+ sha256 = "1cvh2p3vfzbqbr9cfa1bchgflmp9mi12qx1j6j1jdaqqwhz43kj6";
+ name = "kdesdk-thumbnailers-21.08.1.tar.xz";
};
};
kdf = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdf-21.04.0.tar.xz";
- sha256 = "1vbc75z33gx8pvy0kbmrhcg209qxxnvw7ccw83wk9hhzqg7mj5gf";
- name = "kdf-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdf-21.08.1.tar.xz";
+ sha256 = "1xmn7dhbnj9bhaw545ry0qwayxh3jhpgx9xa2fjcc0dhn8yx1spv";
+ name = "kdf-21.08.1.tar.xz";
};
};
kdialog = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdialog-21.04.0.tar.xz";
- sha256 = "0damdppa2hm18nd99nzx23nac3k5ps0f5kc04cgfip4cr34rpg5s";
- name = "kdialog-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdialog-21.08.1.tar.xz";
+ sha256 = "1mxmj5cm5h1dhqkblcqdc7ba9x04sqj6gp0b12gii7jsz3g0pa94";
+ name = "kdialog-21.08.1.tar.xz";
};
};
kdiamond = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kdiamond-21.04.0.tar.xz";
- sha256 = "1lpwghy8v4242rm2vzm3wng43h5ys6r7spzlv53h329kpzd2259v";
- name = "kdiamond-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kdiamond-21.08.1.tar.xz";
+ sha256 = "0l8z71k0a300yp03mkpabi3a9xydky6x19sk7xhq727canrb3nwz";
+ name = "kdiamond-21.08.1.tar.xz";
};
};
keditbookmarks = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/keditbookmarks-21.04.0.tar.xz";
- sha256 = "1adk2g9hg7mls2vrrslmmy0nfvpgri9jlmii4pqfwl9kilcnk7lc";
- name = "keditbookmarks-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/keditbookmarks-21.08.1.tar.xz";
+ sha256 = "0j9m5l3llb969ras4bvsswahqqpwrv1zscjpdscchk72vxi2ky4w";
+ name = "keditbookmarks-21.08.1.tar.xz";
};
};
kfind = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kfind-21.04.0.tar.xz";
- sha256 = "1122h7jmsf49j7388py6pp72gfkqqzv971n7dkzpyqhfirqaigvj";
- name = "kfind-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kfind-21.08.1.tar.xz";
+ sha256 = "0d3milbrznwls197a5bjrdwarpdly4pyask7j97ia7nx0z91k35f";
+ name = "kfind-21.08.1.tar.xz";
};
};
kfloppy = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kfloppy-21.04.0.tar.xz";
- sha256 = "19maj0a469wnyindbrmqby8qikxcz38czagfygpq16y9bnkbvp3s";
- name = "kfloppy-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kfloppy-21.08.1.tar.xz";
+ sha256 = "1nxkjaarvr7fq494hb4pk6nf9731f74zsxhfia3lzb2qf3rnxhvg";
+ name = "kfloppy-21.08.1.tar.xz";
};
};
kfourinline = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kfourinline-21.04.0.tar.xz";
- sha256 = "0yna3lydp0gii1rasij5593gaf4w9pbv7y5l6hz5qddb5y6r82ds";
- name = "kfourinline-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kfourinline-21.08.1.tar.xz";
+ sha256 = "0niwvc1fxvxk5xi90n753y7gjhljrnm3jjzxgjxs9ca5y1c7jcac";
+ name = "kfourinline-21.08.1.tar.xz";
};
};
kgeography = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kgeography-21.04.0.tar.xz";
- sha256 = "1hcjp34jzz9qx8jp065gisnr9gn1v1ifnajfnaa3vc6sq1m1bvvi";
- name = "kgeography-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kgeography-21.08.1.tar.xz";
+ sha256 = "0wqblfs4h8pr0c3m9qv5xpz1sq1zxxbbgv42d0m12fhlbmhx0l64";
+ name = "kgeography-21.08.1.tar.xz";
};
};
kget = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kget-21.04.0.tar.xz";
- sha256 = "0lws94g3780kdnxw2wf8vl41fq8ffxwaafma3r7p15rs05cyl1rv";
- name = "kget-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kget-21.08.1.tar.xz";
+ sha256 = "06lng1sr1l5a7qcbld7xn97vlaxnq4f98sai2llmjkyna3awzi6r";
+ name = "kget-21.08.1.tar.xz";
};
};
kgoldrunner = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kgoldrunner-21.04.0.tar.xz";
- sha256 = "02gldv7l8igzzmmyrkyixgzncsh0ysmfhx0lfc27pdj0mvfpm3m2";
- name = "kgoldrunner-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kgoldrunner-21.08.1.tar.xz";
+ sha256 = "1dggs4fbfqc7q97j8fpi2v6q52165yikaps15mracn319c8mbx85";
+ name = "kgoldrunner-21.08.1.tar.xz";
};
};
kgpg = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kgpg-21.04.0.tar.xz";
- sha256 = "0a5xik5wb0b15p612lxzwqr5b58d4d7v3c7ghxmm8g27k36igqff";
- name = "kgpg-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kgpg-21.08.1.tar.xz";
+ sha256 = "0q4k7ahh1qr4fnkw4na5kyp4kq922a45hjgz9qzh7whn6zqrhsxs";
+ name = "kgpg-21.08.1.tar.xz";
};
};
khangman = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/khangman-21.04.0.tar.xz";
- sha256 = "0pkqhbvw375v3cwn7ilfn7x93nadnxl07swcj5dbxn84gs33aj7c";
- name = "khangman-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/khangman-21.08.1.tar.xz";
+ sha256 = "1jv7vbk4za17l73b10xx1ckv56qhsvlf2irlys917bk39jhxmwpp";
+ name = "khangman-21.08.1.tar.xz";
};
};
khelpcenter = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/khelpcenter-21.04.0.tar.xz";
- sha256 = "04fvipc3dzjl2fsgbla8w7kmv239ch86da8539gwg7l54bdmb5pv";
- name = "khelpcenter-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/khelpcenter-21.08.1.tar.xz";
+ sha256 = "09ayg8kb4b4v30xjm8ca5csw1axipn1336mq2sfqra5qwkj8d93y";
+ name = "khelpcenter-21.08.1.tar.xz";
};
};
kidentitymanagement = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kidentitymanagement-21.04.0.tar.xz";
- sha256 = "1y83k7lzyzc5r6f7pqkbzqm1xnjv0z11vg8yazqwmfcv1whbzxda";
- name = "kidentitymanagement-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kidentitymanagement-21.08.1.tar.xz";
+ sha256 = "0xhkz33w0z9jlxlqb06w5fiyj1qz2mjssrbba2kdm55q67lj1b76";
+ name = "kidentitymanagement-21.08.1.tar.xz";
};
};
kig = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kig-21.04.0.tar.xz";
- sha256 = "0d4p7py3lf05dsfy9x98aq6fwk6fsvf97jwxsdz4z3r49qvcp3hp";
- name = "kig-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kig-21.08.1.tar.xz";
+ sha256 = "0gvp07mnkpfamdq78bv2r1m84l4xp23qh2i6iscdhjdh05dzyl6s";
+ name = "kig-21.08.1.tar.xz";
};
};
kigo = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kigo-21.04.0.tar.xz";
- sha256 = "0ans0mj9ql6vdmnc130sw0wkkm8rc1bpiww36a76nw8n28cfcyzi";
- name = "kigo-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kigo-21.08.1.tar.xz";
+ sha256 = "1y7fh9acng4a135bz7skg7hgmyisaxgwli4ddv7y5h3r1rlhfd47";
+ name = "kigo-21.08.1.tar.xz";
};
};
killbots = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/killbots-21.04.0.tar.xz";
- sha256 = "1qf2lahvi5g9cgvbgp6sj9vw1g8fcvcwaxgaqnc5akl03p51gz2k";
- name = "killbots-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/killbots-21.08.1.tar.xz";
+ sha256 = "02rh60ww43r3zqv3v7zs42j7nl8b5373mykbql80amxnbj5965mn";
+ name = "killbots-21.08.1.tar.xz";
};
};
kimagemapeditor = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kimagemapeditor-21.04.0.tar.xz";
- sha256 = "1f3y10bk5541sgi2qfww56mfq245a9wg38vpw2c8ygf4lc5rh67s";
- name = "kimagemapeditor-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kimagemapeditor-21.08.1.tar.xz";
+ sha256 = "1mrf0k923gwy4cfh7g5yv0nnm4kx0j0yk9sz051sbvvbm4hbxqjg";
+ name = "kimagemapeditor-21.08.1.tar.xz";
};
};
kimap = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kimap-21.04.0.tar.xz";
- sha256 = "0fbcwsiz1q5s9d70zn7y183p477ykyjpw27i3k2mxb9ggk0h8bnx";
- name = "kimap-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kimap-21.08.1.tar.xz";
+ sha256 = "19b8awcbrn61dwqli10v883i8s18sjz8w335c2sxlhzqgdijgxhl";
+ name = "kimap-21.08.1.tar.xz";
};
};
kio-extras = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kio-extras-21.04.0.tar.xz";
- sha256 = "1p5kd5c4p5yc9fmppa6sivgv5kn1l9krzzw5h5y8xmi9g896yjjg";
- name = "kio-extras-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kio-extras-21.08.1.tar.xz";
+ sha256 = "0wlgd0cc56gzicgi16nx4592i6f6594d1mvz7d0266xrwmm8n688";
+ name = "kio-extras-21.08.1.tar.xz";
};
};
kio-gdrive = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kio-gdrive-21.04.0.tar.xz";
- sha256 = "0p1y30syzbj7lg8hpxb5r255ba0v93gc219r1v7gb1ja5p7pjvsh";
- name = "kio-gdrive-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kio-gdrive-21.08.1.tar.xz";
+ sha256 = "1vqm8b819hg1yfjlz1x3yis9qkbclahp7l00cpqbxnra0ph9b1vw";
+ name = "kio-gdrive-21.08.1.tar.xz";
};
};
kipi-plugins = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kipi-plugins-21.04.0.tar.xz";
- sha256 = "1x26yw1f47pylly2211kdld17m0p42a5miygwc7rnvasvh0dngwf";
- name = "kipi-plugins-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kipi-plugins-21.08.1.tar.xz";
+ sha256 = "1w6sw3wn0nj15jv6qp0yg7psg1m87b3izgn303z74vzkhqw04pid";
+ name = "kipi-plugins-21.08.1.tar.xz";
};
};
kirigami-gallery = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kirigami-gallery-21.04.0.tar.xz";
- sha256 = "04hq0hikx692glb83xs8fg97dv53ayzd8lp776zv4p3sd6dpaysf";
- name = "kirigami-gallery-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kirigami-gallery-21.08.1.tar.xz";
+ sha256 = "0db1a5czq0xg0dhhmphds5vrz2lq771zwmps7gq6ahpfj01vyavd";
+ name = "kirigami-gallery-21.08.1.tar.xz";
};
};
kiriki = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kiriki-21.04.0.tar.xz";
- sha256 = "0dlimwhw6ii9x4m7166hbl3n6zi5pcvbsg303jm8pjc2bj83izis";
- name = "kiriki-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kiriki-21.08.1.tar.xz";
+ sha256 = "0x7iq3y2jc4wykgcyrgm8gmrkvlhs8gsxdl0495n1x1invsnmj00";
+ name = "kiriki-21.08.1.tar.xz";
};
};
kiten = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kiten-21.04.0.tar.xz";
- sha256 = "0vvq75q7j4j2hzzwnsr5zafphqvhwggb0mbs6y1ccb6yfm5vy3a4";
- name = "kiten-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kiten-21.08.1.tar.xz";
+ sha256 = "1skyfw5bshy6z8xvhs5q9f3c8nwqbm4mc74jcs6yhzc3i4mp82n3";
+ name = "kiten-21.08.1.tar.xz";
};
};
kitinerary = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kitinerary-21.04.0.tar.xz";
- sha256 = "0sxzc2c0i1qjn5302a3cg7inx020r3n1pzjif6bhw4phynbzxliy";
- name = "kitinerary-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kitinerary-21.08.1.tar.xz";
+ sha256 = "0r7mrcs7gh9ffscksvkh5v78dr2y1nh26p8r8ginafachg32p0mi";
+ name = "kitinerary-21.08.1.tar.xz";
};
};
kjumpingcube = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kjumpingcube-21.04.0.tar.xz";
- sha256 = "12khypxl87725zs5ykwcp1ag27v5q89n9cvn879d6lp7qqs7mjx8";
- name = "kjumpingcube-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kjumpingcube-21.08.1.tar.xz";
+ sha256 = "1kh3jqp3m96lal6salcrqhgzg1pbacx20xn41c1jy272ch57r2jj";
+ name = "kjumpingcube-21.08.1.tar.xz";
};
};
kldap = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kldap-21.04.0.tar.xz";
- sha256 = "1mqqpzqpz0hlldb0nz3dnm33d1hwpxcwj9hdqik5bzbfnr7ww04g";
- name = "kldap-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kldap-21.08.1.tar.xz";
+ sha256 = "1m92kd6mrz3dxap6rzw0r85wij030a60n10hkgkjkxb8npgvl14g";
+ name = "kldap-21.08.1.tar.xz";
};
};
kleopatra = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kleopatra-21.04.0.tar.xz";
- sha256 = "0w58nsklvc63ps0m92knf0n2wkmksq432ckx1959klimgqacffy0";
- name = "kleopatra-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kleopatra-21.08.1.tar.xz";
+ sha256 = "1j1jd8ivcw2r2nlzzhr24kxcvfp5q1206gpkchd5mvsl6q34fmzx";
+ name = "kleopatra-21.08.1.tar.xz";
};
};
klettres = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/klettres-21.04.0.tar.xz";
- sha256 = "1kxyisvmpgf4m5qzi7w6lfmnnpp96f4v72pls5k68q01ygf7mlrg";
- name = "klettres-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/klettres-21.08.1.tar.xz";
+ sha256 = "0scgccwsma1hc1zp52h4rqddwizsqzwsh6gql5lf4qxhr8ihgj4m";
+ name = "klettres-21.08.1.tar.xz";
};
};
klickety = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/klickety-21.04.0.tar.xz";
- sha256 = "0jiaxfzvdbygmfd6d0bsakzsvzkjvlhhidjz1wmvxq0jla4qna6b";
- name = "klickety-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/klickety-21.08.1.tar.xz";
+ sha256 = "0r8dr4blwv1l6b8585qw7q258qr9pgk97pmrfmpssb90yxni2c1c";
+ name = "klickety-21.08.1.tar.xz";
};
};
klines = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/klines-21.04.0.tar.xz";
- sha256 = "1ay26by2hwn7b0i48xgsxdysqpwzkvsz6g974c93103f5ygn8wjl";
- name = "klines-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/klines-21.08.1.tar.xz";
+ sha256 = "1iyk91spsnfrkbjyf6jng6rgximcav2zf2xqkq1q2vvyhwwpchn6";
+ name = "klines-21.08.1.tar.xz";
};
};
kmag = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kmag-21.04.0.tar.xz";
- sha256 = "06yw7397v5wcdx4jxpyc2mxgbxr744wgnqm7w2xb4771izlwq3qy";
- name = "kmag-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kmag-21.08.1.tar.xz";
+ sha256 = "1hdjcya1jv6adz0d9hp1sq7y8f6fvzpw30fchmjkdm9y2kf8il6c";
+ name = "kmag-21.08.1.tar.xz";
};
};
kmahjongg = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kmahjongg-21.04.0.tar.xz";
- sha256 = "0w4fpnafn9vir8c6ha6kl1x8vbmvmjax0p1qzxa7596hf3lvcncq";
- name = "kmahjongg-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kmahjongg-21.08.1.tar.xz";
+ sha256 = "1wrzyiv07cffn5xiachqa8k9spcsi3iwvdj6prgbgdndbffw2gim";
+ name = "kmahjongg-21.08.1.tar.xz";
};
};
kmail = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kmail-21.04.0.tar.xz";
- sha256 = "11ghi1bqc8ldsb04z7fs5ba9b9fvsmcxxjp8j837iv0qz5rwh0fw";
- name = "kmail-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kmail-21.08.1.tar.xz";
+ sha256 = "08q7c8l2nfrvw5xgc2dzcmidcpsjfya3jjnssziy3hpznmf8jpjr";
+ name = "kmail-21.08.1.tar.xz";
};
};
kmail-account-wizard = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kmail-account-wizard-21.04.0.tar.xz";
- sha256 = "0jalwjk5jyih765i7cpa0qidw3di17cz1fygmzgdz1v6kasg3h0c";
- name = "kmail-account-wizard-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kmail-account-wizard-21.08.1.tar.xz";
+ sha256 = "1xqm4737p5l5cwqlnn7xladz4yna7aghl84hlbvzrfcc72v5xkal";
+ name = "kmail-account-wizard-21.08.1.tar.xz";
};
};
kmailtransport = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kmailtransport-21.04.0.tar.xz";
- sha256 = "1jgw93q8jpgkg8ms7pjral1wz1ycs12ikjnsw2fiybd67syd2dns";
- name = "kmailtransport-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kmailtransport-21.08.1.tar.xz";
+ sha256 = "0zd03s4848n3g1w8fm0q3kq5sy91zhjw3hc2w6ncv6cgbb9s50dv";
+ name = "kmailtransport-21.08.1.tar.xz";
};
};
kmbox = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kmbox-21.04.0.tar.xz";
- sha256 = "01p1ihr08dnmzsq22ipy06grnz59nxyc2vfqbh6hc949mhl3kwx4";
- name = "kmbox-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kmbox-21.08.1.tar.xz";
+ sha256 = "027x76lrjssrhqpr651fyqqkdv6jmiad901cssv7w54r2dpzs6w3";
+ name = "kmbox-21.08.1.tar.xz";
};
};
kmime = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kmime-21.04.0.tar.xz";
- sha256 = "096vbbr8qnwcws7c6llxwk0klbfrhh4k83384bkhw5m5xawnqaq4";
- name = "kmime-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kmime-21.08.1.tar.xz";
+ sha256 = "0prsmiv0g6icclhv7mkha66pddmdqz2mi69njz0xwz6m3ax068jw";
+ name = "kmime-21.08.1.tar.xz";
};
};
kmines = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kmines-21.04.0.tar.xz";
- sha256 = "08dynl219n0jd58i01ccmgphc03z2x143l0a8v11x0m5cfazvzpp";
- name = "kmines-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kmines-21.08.1.tar.xz";
+ sha256 = "0nx07cghq20rja8rncrayx87v357s036whdfyzc6qkhdmlmkh6qj";
+ name = "kmines-21.08.1.tar.xz";
};
};
kmix = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kmix-21.04.0.tar.xz";
- sha256 = "1s2cnbmpkchp1wc5217r17ramj7a8xrm4l9hb74lyw4fc78455z2";
- name = "kmix-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kmix-21.08.1.tar.xz";
+ sha256 = "0jc0b1j32gg7az0z7m1cvfdjrwss4q91hm1cfhrk5fq12620vivf";
+ name = "kmix-21.08.1.tar.xz";
};
};
kmousetool = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kmousetool-21.04.0.tar.xz";
- sha256 = "0iaqgflnyl62ynxcip8zbxm25hgr82yc9d3z5v36mv0q3lq4bi92";
- name = "kmousetool-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kmousetool-21.08.1.tar.xz";
+ sha256 = "04a5zrxg48svrvdf8gf3qc7cj7cayzhw0q4l1v8nzs2ykc330xq1";
+ name = "kmousetool-21.08.1.tar.xz";
};
};
kmouth = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kmouth-21.04.0.tar.xz";
- sha256 = "0sza7arw0nfga6g9fv7rbkgkxmn694awzhkjbklafdvcjyn3dw2v";
- name = "kmouth-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kmouth-21.08.1.tar.xz";
+ sha256 = "1rmqppmjjcrc7xp63csdgp440f003nia6hcnixxlya8pwn90bpwr";
+ name = "kmouth-21.08.1.tar.xz";
};
};
kmplot = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kmplot-21.04.0.tar.xz";
- sha256 = "1wpz5kb06ym920ghmrfb0jh6z4nadlb7d9z0l85vkm3y1rz0iisy";
- name = "kmplot-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kmplot-21.08.1.tar.xz";
+ sha256 = "0mkcrdg0v98hdy5lgkyfv4x019w4sm7yiyfpryhx1wiqcpibxwl1";
+ name = "kmplot-21.08.1.tar.xz";
};
};
knavalbattle = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/knavalbattle-21.04.0.tar.xz";
- sha256 = "0xn7mkmcr4p6c8kdcdxk7k9ifv12l0fflg2nkgmr1gbjxkpyy435";
- name = "knavalbattle-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/knavalbattle-21.08.1.tar.xz";
+ sha256 = "1lvq223jspc5y0z6qaf648m85a58yp88b0jm8510p77ymxhyvgm7";
+ name = "knavalbattle-21.08.1.tar.xz";
};
};
knetwalk = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/knetwalk-21.04.0.tar.xz";
- sha256 = "127s5fgjpcndgbg30wd9sv3jrskq7ib4rnrw5qdfsxv8c77kv74m";
- name = "knetwalk-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/knetwalk-21.08.1.tar.xz";
+ sha256 = "1akv78yaym474b57d9qxqp3vivs405m1zm6x0plf2g1adp93myz2";
+ name = "knetwalk-21.08.1.tar.xz";
};
};
knights = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/knights-21.04.0.tar.xz";
- sha256 = "09w3qqvp5k8z3bfwz6zlclagn11j1nar0bp2sgnjmi9cy2rs74n3";
- name = "knights-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/knights-21.08.1.tar.xz";
+ sha256 = "1m00nryw69k4dyb0vvnjz3fwasf67ghkq78l7k8ck9vvzrihmwd1";
+ name = "knights-21.08.1.tar.xz";
};
};
knotes = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/knotes-21.04.0.tar.xz";
- sha256 = "0zy10amznrkbj663h0b5a410ry65kh1sw2k9ra43zx45bpamh62q";
- name = "knotes-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/knotes-21.08.1.tar.xz";
+ sha256 = "1av537f02zsz72mqkzlcrv977kf96nrdwsj4fx7kmdbhf5x9rvgv";
+ name = "knotes-21.08.1.tar.xz";
};
};
kolf = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kolf-21.04.0.tar.xz";
- sha256 = "0220b4mbphb7c7p3szhi976dx8ln0f64ghika7b9x2cmdxcizfcq";
- name = "kolf-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kolf-21.08.1.tar.xz";
+ sha256 = "15wk2c2pzpg39hv6s1b80mf5l7gkbxlprahjq6wh6f6a5hm3wkxb";
+ name = "kolf-21.08.1.tar.xz";
};
};
kollision = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kollision-21.04.0.tar.xz";
- sha256 = "0cfn7l4ccl26rqm9i8rqp07yx6jc12xqhm16pgamrf8qv40vch9f";
- name = "kollision-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kollision-21.08.1.tar.xz";
+ sha256 = "15ddxccj29094lxrihchc17x2a2xnjk790dqhfja9d235vkg3lpb";
+ name = "kollision-21.08.1.tar.xz";
};
};
kolourpaint = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kolourpaint-21.04.0.tar.xz";
- sha256 = "0xp1kas6hk279aqm5g36qlsylpd43p9pv6vdk2dy4cilds4fc3vw";
- name = "kolourpaint-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kolourpaint-21.08.1.tar.xz";
+ sha256 = "0vjssni7c8dx1617gsnkp8dip92agys8n1ydzdly6jpwhvlr382a";
+ name = "kolourpaint-21.08.1.tar.xz";
};
};
kompare = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kompare-21.04.0.tar.xz";
- sha256 = "17p1i4gfgzbps60zq3svicp6yz6w33wvcp145lq1iqkj80pf5qyf";
- name = "kompare-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kompare-21.08.1.tar.xz";
+ sha256 = "0sigh2c91ff7r6yclx18lcwqbwh4gbj55n5fjpd1fw9rb7xf9j3n";
+ name = "kompare-21.08.1.tar.xz";
};
};
konqueror = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/konqueror-21.04.0.tar.xz";
- sha256 = "04mli5dv05v7fin58zrhm7jmddj8qa2qz7w3qdbjd3a4iz7y7z71";
- name = "konqueror-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/konqueror-21.08.1.tar.xz";
+ sha256 = "155dc8nd3kgr25wpjisnp9z2jr1f31vcnm8ywa98p4i59kaaxh7h";
+ name = "konqueror-21.08.1.tar.xz";
};
};
konquest = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/konquest-21.04.0.tar.xz";
- sha256 = "1ryh7d3ndvrw8vjaraxyzyw08sx9w4yny7hdj1ss7319y041a07s";
- name = "konquest-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/konquest-21.08.1.tar.xz";
+ sha256 = "0i0pm5zq2ipm1ipsam19c771v16bxlhilidny336rzwfa1vik0zl";
+ name = "konquest-21.08.1.tar.xz";
};
};
konsole = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/konsole-21.04.0.tar.xz";
- sha256 = "1dlr0w77sccibhp37xi49bi6g4679fymgziznqxjvhk5l141f2i6";
- name = "konsole-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/konsole-21.08.1.tar.xz";
+ sha256 = "0v74yrblwakbmy0p4x5j9lhmqyavgsffahr51bh5r5qcgx0cafjv";
+ name = "konsole-21.08.1.tar.xz";
};
};
kontact = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kontact-21.04.0.tar.xz";
- sha256 = "08d1837kkcqc8gp9hmd351yymjdl31vg6nk1vcrlb7xsndqcsb79";
- name = "kontact-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kontact-21.08.1.tar.xz";
+ sha256 = "1aqyrkmc7hnzja1spm75ybrb9c3yg37rklcgdr7myyyhjxmvnrzg";
+ name = "kontact-21.08.1.tar.xz";
};
};
kontactinterface = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kontactinterface-21.04.0.tar.xz";
- sha256 = "1h4v7jz4d5nl23fyjz946qszmidvdkayhsb1ffzk53bv8wpjh76m";
- name = "kontactinterface-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kontactinterface-21.08.1.tar.xz";
+ sha256 = "1l251rw80c329sgrv25r8cn242v0kl7pvcfv9xkakql7dw707xs9";
+ name = "kontactinterface-21.08.1.tar.xz";
};
};
kontrast = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kontrast-21.04.0.tar.xz";
- sha256 = "1bjkmhal9prizv1dlz8gdlki096a8d09bwksc0xxq3kml1r5gmfm";
- name = "kontrast-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kontrast-21.08.1.tar.xz";
+ sha256 = "05nw7z05maxpcr37andv60fn9s8kprz474bkza980ah05xzvkkvb";
+ name = "kontrast-21.08.1.tar.xz";
};
};
konversation = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/konversation-21.04.0.tar.xz";
- sha256 = "1fq4w0awg2xj6f7ivvpqrcch68ss01vnh0diwagryhrb0g0a37n7";
- name = "konversation-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/konversation-21.08.1.tar.xz";
+ sha256 = "0v3nvyjc13jav8x9krg9sd9p533j7ndan0fqb5p0virwk1dznvfy";
+ name = "konversation-21.08.1.tar.xz";
};
};
kopeninghours = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kopeninghours-21.04.0.tar.xz";
- sha256 = "11gkri2sk1dz4hndpid4c84pxkdzc1fdpzw8h2x0141njl62421c";
- name = "kopeninghours-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kopeninghours-21.08.1.tar.xz";
+ sha256 = "09yskjfkr190vkp8xgj2hicfyg1mx9mqm7pgn4133qfn08xh52vd";
+ name = "kopeninghours-21.08.1.tar.xz";
};
};
kopete = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kopete-21.04.0.tar.xz";
- sha256 = "14ypdl4xy4izg14nbdczif5i8q5kjly5gnyz032iy0cgnkarhi4q";
- name = "kopete-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kopete-21.08.1.tar.xz";
+ sha256 = "131nic6w1bzc0l94b8jkzac2dckaz64y2fgplyiqjidicm0cyrd1";
+ name = "kopete-21.08.1.tar.xz";
};
};
korganizer = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/korganizer-21.04.0.tar.xz";
- sha256 = "0znbwnzn35q4fdlj9n7hdqvq9rz3g8dyan9v1z9rh11cmdn4pc6h";
- name = "korganizer-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/korganizer-21.08.1.tar.xz";
+ sha256 = "0x05i3c0nj46bnnd7msz1rpghbr2p6sywfsa15d6l1j72i2ay0vr";
+ name = "korganizer-21.08.1.tar.xz";
};
};
kosmindoormap = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kosmindoormap-21.04.0.tar.xz";
- sha256 = "1c31f7b79xq9sxmfqxfs3082yrbqwkmw02brja8dg1h2avn0r3cy";
- name = "kosmindoormap-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kosmindoormap-21.08.1.tar.xz";
+ sha256 = "1v31cik859b994xka37z0l86nd0crykbsnafyxpmqdzf942ixixb";
+ name = "kosmindoormap-21.08.1.tar.xz";
};
};
kpat = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kpat-21.04.0.tar.xz";
- sha256 = "043apdv55kc8d2dih65vb4fkwmaqybz167z0g5nfrrg0ilnqhifn";
- name = "kpat-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kpat-21.08.1.tar.xz";
+ sha256 = "1dxihma5mad2kbg7wzfbnaq3gmgwav70rqrj5fpji42pvlqx4vyn";
+ name = "kpat-21.08.1.tar.xz";
};
};
kpimtextedit = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kpimtextedit-21.04.0.tar.xz";
- sha256 = "1acj6w164xg3v1svzlf4qa10kkzbhlnzrl4cp0brak81gal7bnrp";
- name = "kpimtextedit-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kpimtextedit-21.08.1.tar.xz";
+ sha256 = "1zaavf0gpaibk22fz8ij0fqrlp18lj07hgdg6ynhdmhamw59sfr5";
+ name = "kpimtextedit-21.08.1.tar.xz";
};
};
kpkpass = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kpkpass-21.04.0.tar.xz";
- sha256 = "0s1f9j3n3ki71kzi8zw95q4v8y3dcgi5cnpq5rk03qb69yqf45xi";
- name = "kpkpass-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kpkpass-21.08.1.tar.xz";
+ sha256 = "0z8dk548awy37iq8zz41x2wm2i9bhpfa2g0ghlwvhj7sy97ap1vk";
+ name = "kpkpass-21.08.1.tar.xz";
};
};
kpmcore = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kpmcore-21.04.0.tar.xz";
- sha256 = "0cb71d0w2jhbpm0da9rzn484930c022gxn2m4y9bgimaz0cgzcp7";
- name = "kpmcore-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kpmcore-21.08.1.tar.xz";
+ sha256 = "1aw21x70kgm1dmhqr384k6rbsd1fx70zd94i0slq5zyf37zx6b9l";
+ name = "kpmcore-21.08.1.tar.xz";
};
};
kpublictransport = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kpublictransport-21.04.0.tar.xz";
- sha256 = "18zmsq9585d8sx6qvcfw6wb183nzga9l0b6mm06cl89bwpr2bdbb";
- name = "kpublictransport-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kpublictransport-21.08.1.tar.xz";
+ sha256 = "1dbbsdrzhqaiz6d4hlyy1f50m6hi0arafxrxr65gh9h4zs2ym4qs";
+ name = "kpublictransport-21.08.1.tar.xz";
};
};
kqtquickcharts = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kqtquickcharts-21.04.0.tar.xz";
- sha256 = "09lw31sx93gw3s6hmwi0xaxyjnfx2nhij8iayam1sg644vx9a7ws";
- name = "kqtquickcharts-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kqtquickcharts-21.08.1.tar.xz";
+ sha256 = "0ghmxzy3jqzy3zdpp5zhjv3mcq6micnnk1jhnlq03v4z981rrs6h";
+ name = "kqtquickcharts-21.08.1.tar.xz";
};
};
krdc = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/krdc-21.04.0.tar.xz";
- sha256 = "08iqydss6lyc6823762fq1p5c1hs7hv2crwv609gw97cvxvc8ww1";
- name = "krdc-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/krdc-21.08.1.tar.xz";
+ sha256 = "038m7wgpg33sqqqq7iy4rvficsi7x2012rimxb1gn2azg5kcwk6v";
+ name = "krdc-21.08.1.tar.xz";
};
};
kreversi = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kreversi-21.04.0.tar.xz";
- sha256 = "02zk0bwjmhgpk7fbvzwxap0xda2vxfyfjy38zagm5wgpgd4acsj4";
- name = "kreversi-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kreversi-21.08.1.tar.xz";
+ sha256 = "1gh7zhqzyh2m34v3gyb902c6cvw33rbib5g8p3dwsmm5v2bfgggj";
+ name = "kreversi-21.08.1.tar.xz";
};
};
krfb = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/krfb-21.04.0.tar.xz";
- sha256 = "0vjf10fg8nqbc7dr19i1hlqpgi1z2bcm1zrpf2rs85fi4pxrw7lg";
- name = "krfb-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/krfb-21.08.1.tar.xz";
+ sha256 = "0l28l9wmfxf6vihxr86pwxj027fkz0k0pwkif8had0s4swc9jfnx";
+ name = "krfb-21.08.1.tar.xz";
};
};
kross-interpreters = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kross-interpreters-21.04.0.tar.xz";
- sha256 = "1203gmm6pcv37k2m3yah1qgazja8qxkn18dqxmnw7fj3903mqxjw";
- name = "kross-interpreters-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kross-interpreters-21.08.1.tar.xz";
+ sha256 = "1fznrng6mz9s8ynzr48p05n6akkmzn3fifbgpxs98nhzlz2ay574";
+ name = "kross-interpreters-21.08.1.tar.xz";
};
};
kruler = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kruler-21.04.0.tar.xz";
- sha256 = "0yrpkb755g2xy329336dl9yarl6dhcj5cwgv1sy75w1k3gibsz5y";
- name = "kruler-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kruler-21.08.1.tar.xz";
+ sha256 = "0l78hk7zjwjxba094gjvajh32v4avdc80h5r0rv94k2r3gckfjv4";
+ name = "kruler-21.08.1.tar.xz";
};
};
kshisen = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kshisen-21.04.0.tar.xz";
- sha256 = "087vynb6gr3l2291nvxcdk27ib10063fyhhxa7ibvfw68j612fri";
- name = "kshisen-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kshisen-21.08.1.tar.xz";
+ sha256 = "107y828nkdzza5hi68pxxk5gp017dy2yxdmmhmg6ylppk5gfp6dp";
+ name = "kshisen-21.08.1.tar.xz";
};
};
ksirk = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ksirk-21.04.0.tar.xz";
- sha256 = "1qrgkzgm7vnjz6mk7gqkxkx6i7p1dfnlw8fhxa6h1ihvgfmxr6kr";
- name = "ksirk-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ksirk-21.08.1.tar.xz";
+ sha256 = "0l6bfpima9whgfdkbghhfh36p6kjs8j26gz5zc7r8fcswv66ya21";
+ name = "ksirk-21.08.1.tar.xz";
};
};
ksmtp = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ksmtp-21.04.0.tar.xz";
- sha256 = "0mn4ciyg0c8rxbcc3d99slm03jbca7b6gaplh8zz54p2krf86my5";
- name = "ksmtp-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ksmtp-21.08.1.tar.xz";
+ sha256 = "0pl167gjhpmdvhsjm6hcygxwjs8v1z4xfc7x0c69bac8rdrzrlb6";
+ name = "ksmtp-21.08.1.tar.xz";
};
};
ksnakeduel = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ksnakeduel-21.04.0.tar.xz";
- sha256 = "1s3k4k2a27rfp300bgxm1qhsg0dnlz72ip3csdixkidwcig7v017";
- name = "ksnakeduel-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ksnakeduel-21.08.1.tar.xz";
+ sha256 = "1jk4mdxg2b1aa1686rimhjqh91ijaf8n7fahvswwbl473zfjf748";
+ name = "ksnakeduel-21.08.1.tar.xz";
};
};
kspaceduel = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kspaceduel-21.04.0.tar.xz";
- sha256 = "0acgmh4blmp2vmzqnxvphixbjmfv12al99hxwv1iavdfyl88yfqz";
- name = "kspaceduel-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kspaceduel-21.08.1.tar.xz";
+ sha256 = "0q2mpidkhgjz3nm88j3m8wdb06y3m8ixr0540q0s9i9d997jdkch";
+ name = "kspaceduel-21.08.1.tar.xz";
};
};
ksquares = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ksquares-21.04.0.tar.xz";
- sha256 = "1y23c86qz1qcmjzfsrj974c4ccai4rrp7ajmwxi7wgzgzwypflir";
- name = "ksquares-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ksquares-21.08.1.tar.xz";
+ sha256 = "0z7h5vig5zablvdlv7lanmsjjbqq931pqjyynm75mygrrbavgcfq";
+ name = "ksquares-21.08.1.tar.xz";
};
};
ksudoku = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ksudoku-21.04.0.tar.xz";
- sha256 = "0r6m6jpjpz759gq40bxh5n7lg89gn2kfmiik2i06d1slz9v54iv0";
- name = "ksudoku-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ksudoku-21.08.1.tar.xz";
+ sha256 = "0aax14xwg42wr8g563nbpn7m55gs4k50kwk0zn79pf62i78g10lp";
+ name = "ksudoku-21.08.1.tar.xz";
};
};
ksystemlog = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ksystemlog-21.04.0.tar.xz";
- sha256 = "06az8kfsp468hr3xzcfbra81xbfv12w2jzhd4n2cirsi6k8vhx14";
- name = "ksystemlog-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ksystemlog-21.08.1.tar.xz";
+ sha256 = "1vv0wbb3npbq8r0mq8y5lc36qx1hxdjxygfcnw2h9hm4dwl8mc5v";
+ name = "ksystemlog-21.08.1.tar.xz";
};
};
kteatime = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kteatime-21.04.0.tar.xz";
- sha256 = "1zk7gbdsxyw59lfr0r2nnxm08jjls0zcp7wqkm4sq2gyczs73vy5";
- name = "kteatime-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kteatime-21.08.1.tar.xz";
+ sha256 = "0gjnvcvrnb3049ln64chnjgr7xm722ighjscxxhqz61i872dgb72";
+ name = "kteatime-21.08.1.tar.xz";
};
};
ktimer = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktimer-21.04.0.tar.xz";
- sha256 = "0czwbd0id7a9w8wwpfsv2s06xc9my996bcdj0bn37091yik1wqzr";
- name = "ktimer-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktimer-21.08.1.tar.xz";
+ sha256 = "1l79yrg6g78gf8av1h6yr4mxyd1n63g4r38qp4csvpnjpx7y8ijd";
+ name = "ktimer-21.08.1.tar.xz";
};
};
ktnef = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktnef-21.04.0.tar.xz";
- sha256 = "0zs0bfb2g7rxcxc7ngjzszrcnj9qarpnig7b29xcrmnsxppa9z8y";
- name = "ktnef-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktnef-21.08.1.tar.xz";
+ sha256 = "08wk4ssasqqixwnp59smv64c8m4jf89vpcwc3zvz4h92sfk0pk33";
+ name = "ktnef-21.08.1.tar.xz";
};
};
ktorrent = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktorrent-21.04.0.tar.xz";
- sha256 = "0f28iyb2mrin2n5f6msxib9lh38qxid1sz5p5dq1g703gyqgr345";
- name = "ktorrent-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktorrent-21.08.1.tar.xz";
+ sha256 = "1r7w43ns4zy94y82dbghrjgqv1sbdj01rni0iijirzjjikr5av9m";
+ name = "ktorrent-21.08.1.tar.xz";
};
};
ktouch = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktouch-21.04.0.tar.xz";
- sha256 = "0f46dsjcgqqg1f24sl3za624h5kpynqdi480hnc0fc6yaf3nm2mm";
- name = "ktouch-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktouch-21.08.1.tar.xz";
+ sha256 = "00pyrp00dqbanb2w0cxmxh8aahih714q85prjij6iy5sv0917zr7";
+ name = "ktouch-21.08.1.tar.xz";
};
};
ktp-accounts-kcm = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktp-accounts-kcm-21.04.0.tar.xz";
- sha256 = "1vvnk9nfq4z3m73yr59y65v0nvqwn4xjx6lrm754dnqr6vldv01p";
- name = "ktp-accounts-kcm-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktp-accounts-kcm-21.08.1.tar.xz";
+ sha256 = "0pn8g5w4p2synwfskw9m805nj2wk9g7yff423243qc3fxl572sv8";
+ name = "ktp-accounts-kcm-21.08.1.tar.xz";
};
};
ktp-approver = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktp-approver-21.04.0.tar.xz";
- sha256 = "0j407a3bfmi4gn5v3gfazfidyp1kxn4vbs40xm5pkgxr4ykvzqj5";
- name = "ktp-approver-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktp-approver-21.08.1.tar.xz";
+ sha256 = "1q2rsg9520ra7ap3ipvv0sdyc2mzbzap2ygzkwbm80fpspl6b973";
+ name = "ktp-approver-21.08.1.tar.xz";
};
};
ktp-auth-handler = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktp-auth-handler-21.04.0.tar.xz";
- sha256 = "1lqjb3mawdvwrx7b2q2f3kr132shbgs9lvlzdn450pn9cn1c4z7i";
- name = "ktp-auth-handler-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktp-auth-handler-21.08.1.tar.xz";
+ sha256 = "0yzh2sqsyic3d979mj3m8d9m42y37w3h2s7gsyifw08gf5sna48p";
+ name = "ktp-auth-handler-21.08.1.tar.xz";
};
};
ktp-call-ui = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktp-call-ui-21.04.0.tar.xz";
- sha256 = "1f2rzm5jfw12b6v2yfzjs152sq2ak3k7zk3nwipyiy86n0f25yqp";
- name = "ktp-call-ui-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktp-call-ui-21.08.1.tar.xz";
+ sha256 = "0m8x3mfhy5rnv9wp15zrl5fiwdkm66pc8szkncqnjxw2nv721s3m";
+ name = "ktp-call-ui-21.08.1.tar.xz";
};
};
ktp-common-internals = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktp-common-internals-21.04.0.tar.xz";
- sha256 = "0j3fnvyln6w7m3z416blpvrk1bpcbd5403h6pyjyq3dsvswzd21x";
- name = "ktp-common-internals-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktp-common-internals-21.08.1.tar.xz";
+ sha256 = "12jcfr3cvyhzn62jnlnfmp39wyxa06bih4qz8gxzv56nl434qzv0";
+ name = "ktp-common-internals-21.08.1.tar.xz";
};
};
ktp-contact-list = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktp-contact-list-21.04.0.tar.xz";
- sha256 = "1bym3sf3szmgi3nbczlilcazkjd1jfy7v0p0c3844c33fid6ln4q";
- name = "ktp-contact-list-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktp-contact-list-21.08.1.tar.xz";
+ sha256 = "1d4d0bvi9c813c3gyws3gc8zca1az2f3ych2r1cgpdhhbqjrf3wl";
+ name = "ktp-contact-list-21.08.1.tar.xz";
};
};
ktp-contact-runner = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktp-contact-runner-21.04.0.tar.xz";
- sha256 = "1afcsc8bfvyqy9y32a73x01xar50g48q9jbvnsggmjb20qbgk6fz";
- name = "ktp-contact-runner-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktp-contact-runner-21.08.1.tar.xz";
+ sha256 = "0l2qimrpfnpmhvspgv62y8a7hsbw4abz92n1xry040qmkfqzv2l7";
+ name = "ktp-contact-runner-21.08.1.tar.xz";
};
};
ktp-desktop-applets = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktp-desktop-applets-21.04.0.tar.xz";
- sha256 = "1achgl8prdl33hw73nfjcm0djxzf9xy76n365kqzfz757fvy025w";
- name = "ktp-desktop-applets-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktp-desktop-applets-21.08.1.tar.xz";
+ sha256 = "03si91agdjascs6ri0g5zrhicjzx24p6kh2ni1d96k5sc7alwxnl";
+ name = "ktp-desktop-applets-21.08.1.tar.xz";
};
};
ktp-filetransfer-handler = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktp-filetransfer-handler-21.04.0.tar.xz";
- sha256 = "0mhvx3x4mf9b1mmn901995107ixz9qd80ydx468k30w13k8hwjpg";
- name = "ktp-filetransfer-handler-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktp-filetransfer-handler-21.08.1.tar.xz";
+ sha256 = "08dklgklc31fvcdi3917lh77gr58y75f1di0xhjf6jq8vxplqjd8";
+ name = "ktp-filetransfer-handler-21.08.1.tar.xz";
};
};
ktp-kded-module = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktp-kded-module-21.04.0.tar.xz";
- sha256 = "1d3rpbqks6x6bv12mzpd6g5x2h35hf4xfx871i23pq7p2n4nna8f";
- name = "ktp-kded-module-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktp-kded-module-21.08.1.tar.xz";
+ sha256 = "1135hh82ivvbpks9k39baisrq053570yq2x851j4vb2qrxg000yg";
+ name = "ktp-kded-module-21.08.1.tar.xz";
};
};
ktp-send-file = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktp-send-file-21.04.0.tar.xz";
- sha256 = "1lyshgan77cia7cnirjfyg0hw0wgazjw9z21ig0czs3hr6qqf277";
- name = "ktp-send-file-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktp-send-file-21.08.1.tar.xz";
+ sha256 = "1fnn5m9spa0x8nw1rx94x85hy06qwkb1fl5l498rmhyzikhxmhqp";
+ name = "ktp-send-file-21.08.1.tar.xz";
};
};
ktp-text-ui = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktp-text-ui-21.04.0.tar.xz";
- sha256 = "04k2m4f873hz783szmkgpc0y6mjpwld5z3xcbdqippfzcdn4hg0v";
- name = "ktp-text-ui-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktp-text-ui-21.08.1.tar.xz";
+ sha256 = "0cfnf688jz5953x7jxjrdlfs96rxjcfzvasrc881y1aprav1dmjq";
+ name = "ktp-text-ui-21.08.1.tar.xz";
};
};
ktuberling = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/ktuberling-21.04.0.tar.xz";
- sha256 = "14yg3pghm4l3qgpi1i5zicjyak62w2ci4b36914kn5b3yfxh132i";
- name = "ktuberling-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/ktuberling-21.08.1.tar.xz";
+ sha256 = "1f3a74nfh4fhxibcfxgjdj6phy185iz6y9nfg3pag3jvqsn8nx49";
+ name = "ktuberling-21.08.1.tar.xz";
};
};
kturtle = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kturtle-21.04.0.tar.xz";
- sha256 = "1l8drllf7a1d3zra23ysyli8jl6xgl3xciqfkhc1fxhdkncx24cd";
- name = "kturtle-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kturtle-21.08.1.tar.xz";
+ sha256 = "15ng6k6xfaj37dvycm29pj2pk73yfr66pp0wgj719c0kq7c9avdp";
+ name = "kturtle-21.08.1.tar.xz";
};
};
kubrick = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kubrick-21.04.0.tar.xz";
- sha256 = "0m8ps0yxqijshgx09cypzd3l1n0zlrqcrkjcd725zwxarpm0z0hk";
- name = "kubrick-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kubrick-21.08.1.tar.xz";
+ sha256 = "03i0b24mmq3jqf7812a9sjr8lr82mq9mrq75z2a9h62jinvxvigj";
+ name = "kubrick-21.08.1.tar.xz";
};
};
kwalletmanager = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kwalletmanager-21.04.0.tar.xz";
- sha256 = "1rh7xdwn0kdw8j936asxy8llar144144xgvp7sjlpi5y93ayf8vk";
- name = "kwalletmanager-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kwalletmanager-21.08.1.tar.xz";
+ sha256 = "1a4aiajq04rlm566jwqwjq2b6sfamnabfrjfa80pld3qcmq0l1mz";
+ name = "kwalletmanager-21.08.1.tar.xz";
};
};
kwave = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kwave-21.04.0.tar.xz";
- sha256 = "1wy6sxmf9pk2677xdsbpgy01p284rzqwiyzm1hr971xbra5h4k6i";
- name = "kwave-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kwave-21.08.1.tar.xz";
+ sha256 = "1dl7kn67hp9y39xlnq989kg743295a23kbpjpvbjashgdqy3hqwl";
+ name = "kwave-21.08.1.tar.xz";
};
};
kwordquiz = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/kwordquiz-21.04.0.tar.xz";
- sha256 = "0wnihn75yvhz2j310vr806vkbfywhr26wny07fpbzcishyjb89vi";
- name = "kwordquiz-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/kwordquiz-21.08.1.tar.xz";
+ sha256 = "1mzdmgls07bb6k2x6qb1xzp04jpfifdrka2k6kzwy9bq071gs7q5";
+ name = "kwordquiz-21.08.1.tar.xz";
};
};
libgravatar = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libgravatar-21.04.0.tar.xz";
- sha256 = "0li6p9df000bmkqgmwiix7ab4sah05r1n4gm109jjglh0a41bfvr";
- name = "libgravatar-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libgravatar-21.08.1.tar.xz";
+ sha256 = "08xl8nb0bn6zf9sh7sn4v7aa86ffqb16hixci4ymixyxy5c4gwbv";
+ name = "libgravatar-21.08.1.tar.xz";
};
};
libkcddb = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libkcddb-21.04.0.tar.xz";
- sha256 = "1fzbhn0rnlmxdglfb48f4f3ddagkgny2665mgv8gdbcq3vg62zwr";
- name = "libkcddb-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libkcddb-21.08.1.tar.xz";
+ sha256 = "08841rssxcg9vi490qih8jxnalnbjd2wqsgcq22gkm1ahfj5dizq";
+ name = "libkcddb-21.08.1.tar.xz";
};
};
libkcompactdisc = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libkcompactdisc-21.04.0.tar.xz";
- sha256 = "1skaic0vfspdkv0q574ia4jszq1a5smf4s9ls4flfk5qxmkv6862";
- name = "libkcompactdisc-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libkcompactdisc-21.08.1.tar.xz";
+ sha256 = "1q838md6kqvjmc61sy943lqgv5isll2px1s0izyvvxkf747hcpin";
+ name = "libkcompactdisc-21.08.1.tar.xz";
};
};
libkdcraw = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libkdcraw-21.04.0.tar.xz";
- sha256 = "1ir6m61yb8f0ic39jxxnzjd9jjkb0ksln3fkls5v0af6g546bgab";
- name = "libkdcraw-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libkdcraw-21.08.1.tar.xz";
+ sha256 = "17ijpgljhrm851mdnd1znjpa7hidmv1d5d05q68r6lp1aclcgmwm";
+ name = "libkdcraw-21.08.1.tar.xz";
};
};
libkdegames = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libkdegames-21.04.0.tar.xz";
- sha256 = "1q0m4kq32gsllxz8vx0qj9qii5y2lbd6wclwlykhayx1fcncwrj7";
- name = "libkdegames-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libkdegames-21.08.1.tar.xz";
+ sha256 = "0vfx3ksy6z5h4hjx0dl3fr3phfz3q590h86ksbp5q20lyylmdpji";
+ name = "libkdegames-21.08.1.tar.xz";
};
};
libkdepim = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libkdepim-21.04.0.tar.xz";
- sha256 = "1ai5l9qcjnpwndvv744sx85b0yyg4wz01r0v9b0b62zwx8i35clb";
- name = "libkdepim-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libkdepim-21.08.1.tar.xz";
+ sha256 = "10zb97zf1jidh9q6dkn8cjs9f4gqz2xn6yqylsbq0bjlycv991fh";
+ name = "libkdepim-21.08.1.tar.xz";
};
};
libkeduvocdocument = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libkeduvocdocument-21.04.0.tar.xz";
- sha256 = "0264i01w44fjdh14psmw8ynmb8vzkw94lqqff1ia8bw5n0ihr914";
- name = "libkeduvocdocument-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libkeduvocdocument-21.08.1.tar.xz";
+ sha256 = "0wnyx7h284g6wssnfdfz4m0hcb0rc6fnlryav8vnjcyzz7p5ni6l";
+ name = "libkeduvocdocument-21.08.1.tar.xz";
};
};
libkexiv2 = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libkexiv2-21.04.0.tar.xz";
- sha256 = "0grhibpq47yba9mjdhr1p0sbw62vxsrwfydi5ybpa8fjgvbbbprr";
- name = "libkexiv2-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libkexiv2-21.08.1.tar.xz";
+ sha256 = "0wnpkzivb5f5z3d1dn5952cx07q8nw421xrs21r57cmbsss344xa";
+ name = "libkexiv2-21.08.1.tar.xz";
};
};
libkgapi = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libkgapi-21.04.0.tar.xz";
- sha256 = "1x811g0mbgjz8spngdsqdxfhkyic9kqxkhx9nq592zihaqkl3ifz";
- name = "libkgapi-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libkgapi-21.08.1.tar.xz";
+ sha256 = "14wzl40j5baw20628dqcpkg8vi6jsq5f9gw0sc1my8qhw91mj3vy";
+ name = "libkgapi-21.08.1.tar.xz";
};
};
libkipi = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libkipi-21.04.0.tar.xz";
- sha256 = "0gk8bfhq1z9f82mnjm2xjabgxn0qjrabw53bb67lwvrrgaliliqb";
- name = "libkipi-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libkipi-21.08.1.tar.xz";
+ sha256 = "13579gqxyj8dwmrmxylnw6mf56vr73vlbbv07rpi661kfrbjk2ms";
+ name = "libkipi-21.08.1.tar.xz";
};
};
libkleo = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libkleo-21.04.0.tar.xz";
- sha256 = "073ghnw1s09fvai22ag37n20mmhkbl4gp4y58nbgw43gfy5gsv6z";
- name = "libkleo-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libkleo-21.08.1.tar.xz";
+ sha256 = "1n1nacr1q0nw2jq8px6b3cmda6ff9mygggfrl3xh6qz042bg77xz";
+ name = "libkleo-21.08.1.tar.xz";
};
};
libkmahjongg = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libkmahjongg-21.04.0.tar.xz";
- sha256 = "1n2jswdvpvc9jcqsvqf0nniaf893432v123my2q6msk2zvss6pcb";
- name = "libkmahjongg-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libkmahjongg-21.08.1.tar.xz";
+ sha256 = "0ry6wz0i9dccjn5w2qy2nin0rhbg30vlbcr4zrlx8bxsw0la2k94";
+ name = "libkmahjongg-21.08.1.tar.xz";
};
};
libkomparediff2 = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libkomparediff2-21.04.0.tar.xz";
- sha256 = "13kpaasyhrhhrgk8a5qg9qv65wdv6qvnz2gjbjv8qgp4k4jmwv7h";
- name = "libkomparediff2-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libkomparediff2-21.08.1.tar.xz";
+ sha256 = "0n6xxam33k8j6c9wqdf0lhfpk6nyf9brhvdkivdamp0idhi3rcpx";
+ name = "libkomparediff2-21.08.1.tar.xz";
};
};
libksane = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libksane-21.04.0.tar.xz";
- sha256 = "17a5i21h99qvv8sig7xh5n149ji8fqch5m0w6fqirrwf0iz66363";
- name = "libksane-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libksane-21.08.1.tar.xz";
+ sha256 = "1x4wsdfczqnasr6ps8677m0ix1fqqd2316f6k2k3awn9qfgsqy3x";
+ name = "libksane-21.08.1.tar.xz";
};
};
libksieve = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libksieve-21.04.0.tar.xz";
- sha256 = "04zyiqmkr78rnilv5zmmbr09k1nycgpc3qw3a9qy4xzh5amkl8wl";
- name = "libksieve-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libksieve-21.08.1.tar.xz";
+ sha256 = "1569xcjz575f8007z91zs9xn5wjklzkiy6l0cl7yzpzn880wc03p";
+ name = "libksieve-21.08.1.tar.xz";
};
};
libktorrent = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/libktorrent-21.04.0.tar.xz";
- sha256 = "0c8hbsk2vjkmdvnws4kaa9bylzyzmx12fxm354qm65n4j6pdd59v";
- name = "libktorrent-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/libktorrent-21.08.1.tar.xz";
+ sha256 = "0y5881v0g49rr8dspzaq4l1c62rchgfq4mjx64sn0ng2jjpnhv1x";
+ name = "libktorrent-21.08.1.tar.xz";
};
};
lokalize = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/lokalize-21.04.0.tar.xz";
- sha256 = "1wb95y89qi4z7hsldyq75w69rgdca3m0ji85khfvsb4h3cgilana";
- name = "lokalize-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/lokalize-21.08.1.tar.xz";
+ sha256 = "0ih7a1rkwn9jpgk3qld8anavr0g4wlf8figwikhvbc2dw79lxs7k";
+ name = "lokalize-21.08.1.tar.xz";
};
};
lskat = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/lskat-21.04.0.tar.xz";
- sha256 = "1acxbsldcjk3d84ip5z15y8x6nngj2vnb40s5p3mv47r6vgbh0qd";
- name = "lskat-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/lskat-21.08.1.tar.xz";
+ sha256 = "1d89yqfsc703pnvxljcsn33wpsv64s4nr2wlmlbl609m9x8b9g9b";
+ name = "lskat-21.08.1.tar.xz";
};
};
mailcommon = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/mailcommon-21.04.0.tar.xz";
- sha256 = "1ljchkfrnknlzgjrrpwszzyv8m066d29xwns1yp8smqzk723g0gx";
- name = "mailcommon-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/mailcommon-21.08.1.tar.xz";
+ sha256 = "1r0qyqasah4z8vx836fhvv1f4zm20az9qrw8122l3a986lazh1zw";
+ name = "mailcommon-21.08.1.tar.xz";
};
};
mailimporter = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/mailimporter-21.04.0.tar.xz";
- sha256 = "06zvaq3ccrgsd6idnd9m201924bnm72nvcg66v1salcdk93a8sv5";
- name = "mailimporter-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/mailimporter-21.08.1.tar.xz";
+ sha256 = "05kiizbdnsl15ry2zb5sg94lcdwq9w4lnznd6zcq8n09s0zpz8nf";
+ name = "mailimporter-21.08.1.tar.xz";
};
};
marble = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/marble-21.04.0.tar.xz";
- sha256 = "1c63x75fzhkqgvijd1pmpywq4dx7gkyw9x90aj54fzkgjzwzqzhq";
- name = "marble-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/marble-21.08.1.tar.xz";
+ sha256 = "01hf3wwz9zflkpgx1pbkxbnl1vs2yyafrwmldnil66nkxsxx7izw";
+ name = "marble-21.08.1.tar.xz";
};
};
markdownpart = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/markdownpart-21.04.0.tar.xz";
- sha256 = "0xgnj2g0hqwflw550fj6jzq36pc8j9vwb6p9cbvfljd8yv8va9kq";
- name = "markdownpart-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/markdownpart-21.08.1.tar.xz";
+ sha256 = "0xgs2kxnbrn70mrzza2d4f7xpx9ks3dbl3yj1y1kds7bnidsf3f9";
+ name = "markdownpart-21.08.1.tar.xz";
};
};
mbox-importer = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/mbox-importer-21.04.0.tar.xz";
- sha256 = "0rxrf1sxb5pzrkr8m2n1f3xgpwsihn6b6d5nilxmmsl5c60ya8j8";
- name = "mbox-importer-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/mbox-importer-21.08.1.tar.xz";
+ sha256 = "06mgz10ma8r0vi7ln9zxz2kipdp9rd0zw0sgm69h43rq9zyjnjkk";
+ name = "mbox-importer-21.08.1.tar.xz";
};
};
messagelib = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/messagelib-21.04.0.tar.xz";
- sha256 = "1qsvqrkh30vyfrsxkpriqkzizxg9mx6v2xx7j6gyhz7pmrskx8rg";
- name = "messagelib-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/messagelib-21.08.1.tar.xz";
+ sha256 = "1r3lqacixy5vy36jgy6glz08gp8k4559h1bdqyh7svmmflhs927i";
+ name = "messagelib-21.08.1.tar.xz";
};
};
minuet = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/minuet-21.04.0.tar.xz";
- sha256 = "0djqp807g47fy163bp0pkrhb7j37ijqdfyafz74871j2frrmnc97";
- name = "minuet-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/minuet-21.08.1.tar.xz";
+ sha256 = "0law0ram3xdf5ayc7j8as1xwj83k37mf7w6qkkp3hy3kj2r2dahx";
+ name = "minuet-21.08.1.tar.xz";
};
};
okular = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/okular-21.04.0.tar.xz";
- sha256 = "0l0vrglxy9331sqn3lx76hvbh7b0znsafl5ia61srk48kb0w9rqy";
- name = "okular-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/okular-21.08.1.tar.xz";
+ sha256 = "1vk1mn40i80b5vkxq47i1qf2i734n5nfa1wgx3748jwc1fws631p";
+ name = "okular-21.08.1.tar.xz";
};
};
palapeli = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/palapeli-21.04.0.tar.xz";
- sha256 = "0f7ylr46alafp2gz9sf7xgnjm9vgyx5r7nipbqjl4wi8w908rklw";
- name = "palapeli-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/palapeli-21.08.1.tar.xz";
+ sha256 = "09mswv446s6vqlllhz727qpd7mdszdkgivfn9sazgmydmmmzrw53";
+ name = "palapeli-21.08.1.tar.xz";
};
};
parley = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/parley-21.04.0.tar.xz";
- sha256 = "0a186zqpi815apf2grlj11xp64kw80i43779z99r665jpp6189z3";
- name = "parley-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/parley-21.08.1.tar.xz";
+ sha256 = "02v9gfjdryf48m5iinsc9qg2qfmj1s96xga5b3ndd63g66b6gp9b";
+ name = "parley-21.08.1.tar.xz";
};
};
partitionmanager = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/partitionmanager-21.04.0.tar.xz";
- sha256 = "02dgbqx1a6wahds04jcjdjh56pyrm47165hwv98ccarakrvn8yqm";
- name = "partitionmanager-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/partitionmanager-21.08.1.tar.xz";
+ sha256 = "16vc0g08rs6dz87zv4b1ygs198c6mbjwcp2j4994z6cf16bxfgz8";
+ name = "partitionmanager-21.08.1.tar.xz";
};
};
picmi = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/picmi-21.04.0.tar.xz";
- sha256 = "1pwswzc02blvf49v4i2grw9mlm9y1ydmms6mg50iyi6qrnzbv6r3";
- name = "picmi-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/picmi-21.08.1.tar.xz";
+ sha256 = "1yshwfl8baw0cw8hnvzkb3y72r0bycyr19rwwns9sjc3fk9gnk6a";
+ name = "picmi-21.08.1.tar.xz";
};
};
- pimcommon = {
- version = "21.04.0";
+ pim-data-exporter = {
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/pimcommon-21.04.0.tar.xz";
- sha256 = "0bprmk20pmngvxxxpygajnlr4yx9acrz7dw6bfnn6d8kig281qdn";
- name = "pimcommon-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/pim-data-exporter-21.08.1.tar.xz";
+ sha256 = "1vx7h7900wq8icx7q4khkx9g5gm6j5c8dl38q08pwda4vl0pmxmd";
+ name = "pim-data-exporter-21.08.1.tar.xz";
};
};
- pim-data-exporter = {
- version = "21.04.0";
+ pim-sieve-editor = {
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/pim-data-exporter-21.04.0.tar.xz";
- sha256 = "0rbkr1vgl14q4hi4byn20kfhkz3yzmrydhb3f1i2amp08ca4x71n";
- name = "pim-data-exporter-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/pim-sieve-editor-21.08.1.tar.xz";
+ sha256 = "0ph62khl2k2gpfjf05p9sklihib0hbxgl3n1bv59l58awj9brs0r";
+ name = "pim-sieve-editor-21.08.1.tar.xz";
};
};
- pim-sieve-editor = {
- version = "21.04.0";
+ pimcommon = {
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/pim-sieve-editor-21.04.0.tar.xz";
- sha256 = "1yxc4i0bsgrj9wsn44k7w3z1zkcm4y9qd8zd7shsqpca9lb9n13y";
- name = "pim-sieve-editor-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/pimcommon-21.08.1.tar.xz";
+ sha256 = "1379lhvin2vkikd3fzanhwfjszb4cc9f3h9bxf3md3h4gx1i6hb6";
+ name = "pimcommon-21.08.1.tar.xz";
};
};
poxml = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/poxml-21.04.0.tar.xz";
- sha256 = "0yhygizd0i6az1pd34lh4ij2x8867svbh2bic9lgcpmqbza9054g";
- name = "poxml-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/poxml-21.08.1.tar.xz";
+ sha256 = "10wwrbmhwbjk71m4ya1shb7mviil33fciykrzyqvvdnvx668aawm";
+ name = "poxml-21.08.1.tar.xz";
};
};
print-manager = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/print-manager-21.04.0.tar.xz";
- sha256 = "1k5pqh264jy698jdzsk7d6bhadnwvgx1g3rpji06pb0igr1zn820";
- name = "print-manager-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/print-manager-21.08.1.tar.xz";
+ sha256 = "1hzykjnymr0knh67h6s5214bjp5lk1klm6znh8q2asf49c6x2zgw";
+ name = "print-manager-21.08.1.tar.xz";
};
};
rocs = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/rocs-21.04.0.tar.xz";
- sha256 = "11yzgrmb15zv24pfr3096g9zgsvgdlw43vnbjgbr7s8xvnprlh5l";
- name = "rocs-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/rocs-21.08.1.tar.xz";
+ sha256 = "1b527n0csk43sxafynqijiwf0bzj89viznpxnk2ayb9lik4q3djm";
+ name = "rocs-21.08.1.tar.xz";
};
};
signon-kwallet-extension = {
- version = "21.04.0";
+ version = "21.08.1";
+ src = fetchurl {
+ url = "${mirror}/stable/release-service/21.08.1/src/signon-kwallet-extension-21.08.1.tar.xz";
+ sha256 = "1x1q1vmqm9nq7sjhxc495x612jh39scxba0nbr8a4rval144268m";
+ name = "signon-kwallet-extension-21.08.1.tar.xz";
+ };
+ };
+ skanlite = {
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/signon-kwallet-extension-21.04.0.tar.xz";
- sha256 = "05jaa74j6rd89cj8szfgw5izjlkakbjmz7qiwlswyjd4lafjz65n";
- name = "signon-kwallet-extension-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/skanlite-21.08.1.tar.xz";
+ sha256 = "17lnazx1h4lk78037gvzscnm3p2yl6dhc4970bdq982ahwp63gg8";
+ name = "skanlite-21.08.1.tar.xz";
};
};
spectacle = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/spectacle-21.04.0.tar.xz";
- sha256 = "0cqf9p7mc5wyl5qy6866m7xhndwmgd3hmw7amkpzngmmz4h9i2p0";
- name = "spectacle-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/spectacle-21.08.1.tar.xz";
+ sha256 = "0bs93gylw90wj3b9kw71xhqy60smggh38s5g4jcras1n6iqmb06x";
+ name = "spectacle-21.08.1.tar.xz";
};
};
step = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/step-21.04.0.tar.xz";
- sha256 = "169ka33nkzrxdk874180v6yzmrngl98gzyql4p5ssmmdh0vrkg25";
- name = "step-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/step-21.08.1.tar.xz";
+ sha256 = "0rg69j8r479vzyrajbdjgh5l2506w8f2dnlh1di545gzjk2ww448";
+ name = "step-21.08.1.tar.xz";
};
};
svgpart = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/svgpart-21.04.0.tar.xz";
- sha256 = "0chfyxl94kp5pif6lzhlm7q8rg9l4lg74x4y04wslrfqcn1gghdj";
- name = "svgpart-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/svgpart-21.08.1.tar.xz";
+ sha256 = "1silp6k0l9xb363h8whiv4dry6gf1mj4w53mksl1i2slhqn9q96v";
+ name = "svgpart-21.08.1.tar.xz";
};
};
sweeper = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/sweeper-21.04.0.tar.xz";
- sha256 = "1iysxrfdp8bv0vgssv062yllsq4w3jf9vdi66jm9ka8i9w8wqpsv";
- name = "sweeper-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/sweeper-21.08.1.tar.xz";
+ sha256 = "0p25bkczxmx93igicyiasvjd4v9rc3sg7gm7b9ddgzz8rrnr0d9p";
+ name = "sweeper-21.08.1.tar.xz";
};
};
umbrello = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/umbrello-21.04.0.tar.xz";
- sha256 = "17xwsjc1ph2glscv41x4f5bagw1q5ackqpy1wcg8m9jrg9ipqpqx";
- name = "umbrello-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/umbrello-21.08.1.tar.xz";
+ sha256 = "0x4f3hiydyprhzd56i8lijwfhzca041bmbbxp7x1dckv3shdangc";
+ name = "umbrello-21.08.1.tar.xz";
};
};
yakuake = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/yakuake-21.04.0.tar.xz";
- sha256 = "1jkwcc4pdb06v4q7bnqppdkjf8n8qpfp9mk02l77brnxxf8i9r3b";
- name = "yakuake-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/yakuake-21.08.1.tar.xz";
+ sha256 = "1x41jrkvlff8x5qcd12lcrv6zqzw7jqw02ikpmqv1v4gw7lz94w9";
+ name = "yakuake-21.08.1.tar.xz";
};
};
zeroconf-ioslave = {
- version = "21.04.0";
+ version = "21.08.1";
src = fetchurl {
- url = "${mirror}/stable/release-service/21.04.0/src/zeroconf-ioslave-21.04.0.tar.xz";
- sha256 = "03cmz3y8f48y26aybyygwssqicp0kz4ry9xm30rvvc5hiv0n6hj7";
- name = "zeroconf-ioslave-21.04.0.tar.xz";
+ url = "${mirror}/stable/release-service/21.08.1/src/zeroconf-ioslave-21.08.1.tar.xz";
+ sha256 = "113sp3lqzyxx7icww4sznc23kxarmxz0158kzl6nazxj4m6cnm4r";
+ name = "zeroconf-ioslave-21.08.1.tar.xz";
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/1password-gui/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/1password-gui/default.nix
index 0803b495b2f..ac3922e17d4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/1password-gui/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/1password-gui/default.nix
@@ -33,11 +33,11 @@
}:
stdenv.mkDerivation rec {
pname = "1password";
- version = "8.1.1";
+ version = "8.3.0";
src = fetchurl {
url = "https://downloads.1password.com/linux/tar/stable/x86_64/1password-${version}.x64.tar.gz";
- sha256 = "0y39sfhj9xrgprh01i9apzfkqzm6pdhjc8x59x5p5djjjvxbcwmy";
+ sha256 = "1cakv316ipwyw6s3x4a6qhl0nmg17bxhh08c969gma3svamh1grw";
};
nativeBuildInputs = [ makeWrapper ];
@@ -86,6 +86,9 @@ stdenv.mkDerivation rec {
substituteInPlace $out/share/applications/${pname}.desktop \
--replace 'Exec=/opt/1Password/${pname}' 'Exec=${pname}'
+ # Polkit file
+ install -Dm 0644 -t $out/share/polkit-1/actions com.1password.1Password.policy
+
# Icons
cp -a resources/icons $out/share
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/1password/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/1password/default.nix
index 8f4ea3df18d..9e9e5c28e5e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/1password/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/1password/default.nix
@@ -2,24 +2,27 @@
stdenv.mkDerivation rec {
pname = "1password";
- version = "1.11.2";
+ version = "1.12.2";
src =
- if stdenv.isLinux then fetchzip {
- url = {
- "i686-linux" = "https://cache.agilebits.com/dist/1P/op/pkg/v${version}/op_linux_386_v${version}.zip";
- "x86_64-linux" = "https://cache.agilebits.com/dist/1P/op/pkg/v${version}/op_linux_amd64_v${version}.zip";
- "aarch64-linux" = "https://cache.agilebits.com/dist/1P/op/pkg/v${version}/op_linux_arm_v${version}.zip";
- }.${stdenv.hostPlatform.system};
- sha256 = {
- "i686-linux" = "0rh5bakj9qd43cf6wj5v46a3h98kcwqyc0f1yw72wvcacvjycyjz";
- "x86_64-linux" = "00nf0cb8cxk1pvzr1wq778wvikzrlzy38r3rzkq44whdpdj50jzx";
- "aarch64-linux" = "1gv282z49bj3ln5na4wb1z5455a64cyd54fp5i96k8shaxd0apxf";
- }.${stdenv.hostPlatform.system};
- stripRoot = false;
- } else fetchurl {
- url = "https://cache.agilebits.com/dist/1P/op/pkg/v${version}/op_apple_universal_v${version}.pkg";
- sha256 = "1pqdjr6d23j9fpwgahb0s1ni1bpjv9jajs1hapgq5kdrww2w7nhm";
- };
+ if stdenv.isLinux then
+ fetchzip
+ {
+ url = {
+ "i686-linux" = "https://cache.agilebits.com/dist/1P/op/pkg/v${version}/op_linux_386_v${version}.zip";
+ "x86_64-linux" = "https://cache.agilebits.com/dist/1P/op/pkg/v${version}/op_linux_amd64_v${version}.zip";
+ "aarch64-linux" = "https://cache.agilebits.com/dist/1P/op/pkg/v${version}/op_linux_arm_v${version}.zip";
+ }.${stdenv.hostPlatform.system};
+ sha256 = {
+ "i686-linux" = "tCm/vDBASPN9FBSVRJ6BrFc7hdtZWPEAgvokJhjazPg=";
+ "x86_64-linux" = "3VkVMuTAfeEowkguJi2fd1kG7GwO1VN5GBPgNaH3Zv4=";
+ "aarch64-linux" = "vWoA/0ZfdwVniHmxC4nH1QIc6bjdb00+SwlkIWc9BPs=";
+ }.${stdenv.hostPlatform.system};
+ stripRoot = false;
+ } else
+ fetchurl {
+ url = "https://cache.agilebits.com/dist/1P/op/pkg/v${version}/op_apple_universal_v${version}.pkg";
+ sha256 = "xG/6YZdkJxr5Py90rkIyG4mK40yFTmNSfih9jO2uF+4=";
+ };
buildInputs = lib.optionals stdenv.isDarwin [ xar cpio ];
@@ -43,11 +46,11 @@ stdenv.mkDerivation rec {
'';
meta = with lib; {
- description = "1Password command-line tool";
- homepage = "https://support.1password.com/command-line/";
+ description = "1Password command-line tool";
+ homepage = "https://support.1password.com/command-line/";
downloadPage = "https://app-updates.agilebits.com/product_history/CLI";
- maintainers = with maintainers; [ joelburget marsam ];
- license = licenses.unfree;
- platforms = [ "i686-linux" "x86_64-linux" "x86_64-darwin" "aarch64-linux" ];
+ maintainers = with maintainers; [ joelburget marsam ];
+ license = licenses.unfree;
+ platforms = [ "i686-linux" "x86_64-linux" "x86_64-darwin" "aarch64-linux" ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/anytype/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/anytype/default.nix
index c479820ba08..c7428387d2c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/anytype/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/anytype/default.nix
@@ -2,13 +2,13 @@
let
pname = "anytype";
- version = "0.18.59";
+ version = "0.20.9";
name = "Anytype-${version}";
nameExecutable = pname;
src = fetchurl {
url = "https://at9412003.fra1.digitaloceanspaces.com/Anytype-${version}.AppImage";
name = "Anytype-${version}.AppImage";
- sha256 = "sha256-HDhDd23kXhIFXg+QKPNpR2R6QC4oJCnut+gD//qMK1Y=";
+ sha256 = "sha256-dm3bdKbUHI0FFcyYeYd2XSuZuoPsUhk4KcEwzPHiHM8=";
};
appimageContents = appimageTools.extractType2 { inherit name src; };
in
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/appeditor/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/appeditor/default.nix
index a715681ae9f..f8e709e423f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/appeditor/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/appeditor/default.nix
@@ -56,7 +56,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Edit the Pantheon desktop application menu";
homepage = "https://github.com/donadigo/appeditor";
- maintainers = with maintainers; [ xiorcale ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ xiorcale ] ++ teams.pantheon.members;
platforms = platforms.linux;
license = licenses.gpl3Plus;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/authy/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/authy/default.nix
index f242794bff7..70a5075ae6b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/authy/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/authy/default.nix
@@ -11,8 +11,8 @@ in
stdenv.mkDerivation rec {
pname = "authy";
- version = "1.8.4";
- rev = "6";
+ version = "1.9.0";
+ rev = "7";
buildInputs = [
alsa-lib
@@ -50,7 +50,7 @@ stdenv.mkDerivation rec {
src = fetchurl {
url = "https://api.snapcraft.io/api/v1/snaps/download/H8ZpNgIoPyvmkgxOWw5MSzsXK1wRZiHn_${rev}.snap";
- sha256 = "07h4mgp229nlvw9ifiiyzph26aa61w4x4f1xya8vw580blrk1ph9";
+ sha256 = "10az47cc3lgsdi0ixmmna08nqf9xm7gsl1ph00wfwrxzsi05ygx3";
};
nativeBuildInputs = [ autoPatchelfHook makeWrapper squashfsTools ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/avizo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/avizo/default.nix
new file mode 100644
index 00000000000..407dd704063
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/avizo/default.nix
@@ -0,0 +1,37 @@
+{ lib, stdenv, fetchFromGitHub
+, meson, ninja, pkg-config, vala
+, gtk3, glib, gtk-layer-shell
+, dbus, dbus-glib, librsvg
+, gobject-introspection, gdk-pixbuf, wrapGAppsHook
+}:
+
+stdenv.mkDerivation {
+ pname = "avizo";
+ version = "unstable-2021-07-21";
+
+ src = fetchFromGitHub {
+ owner = "misterdanb";
+ repo = "avizo";
+ rev = "7b3874e5ee25c80800b3c61c8ea30612aaa6e8d1";
+ sha256 = "sha256-ixAdiAH22Nh19uK5GoAXtAZJeAfCGSWTcGbrvCczWYc=";
+ };
+
+ nativeBuildInputs = [ meson ninja pkg-config vala gobject-introspection wrapGAppsHook ];
+
+ buildInputs = [ dbus dbus-glib gdk-pixbuf glib gtk-layer-shell gtk3 librsvg ];
+
+ postInstall = ''
+ substituteInPlace "$out"/bin/volumectl \
+ --replace 'avizo-client' "$out/bin/avizo-client"
+ substituteInPlace "$out"/bin/lightctl \
+ --replace 'avizo-client' "$out/bin/avizo-client"
+ '';
+
+ meta = with lib; {
+ description = "A neat notification daemon for Wayland";
+ homepage = "https://github.com/misterdanb/avizo";
+ license = licenses.gpl3;
+ platforms = platforms.linux;
+ maintainers = [ maintainers.berbiche ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/bibletime/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/bibletime/default.nix
index 3a0cc8ce44f..17617223620 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/bibletime/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/bibletime/default.nix
@@ -4,11 +4,11 @@
mkDerivation rec {
pname = "bibletime";
- version = "3.0.1";
+ version = "3.0.2";
src = fetchurl {
url = "https://github.com/bibletime/bibletime/releases/download/v${version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-ay4o8mfgj/m3BBoBMXVgw0NTlaFgJQvLlNYvEZRXSiA=";
+ sha256 = "sha256-/JNjnU/DGD4YRtrKzX7t6MgNCZYihdgTJc+Jbr9IYJ4=";
};
nativeBuildInputs = [ cmake pkg-config docbook_xml_dtd_45 ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/bleachbit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/bleachbit/default.nix
index 4b78cda3564..d40a57323a1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/bleachbit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/bleachbit/default.nix
@@ -12,13 +12,13 @@
python3Packages.buildPythonApplication rec {
pname = "bleachbit";
- version = "4.0.0";
+ version = "4.4.0";
format = "other";
src = fetchurl {
url = "mirror://sourceforge/${pname}/${pname}-${version}.tar.bz2";
- sha256 = "1dn3h6lr9ldbfpvgq9sdlk972sxhwalgj2f377qbqibm3yfxzpil";
+ sha256 = "0kqqfzq6bh03n7kxb9vd483bqi1cklfvj35a7h4iqk96sq1xv8z6";
};
nativeBuildInputs = [
@@ -44,6 +44,7 @@ python3Packages.buildPythonApplication rec {
postPatch = ''
find -type f -exec sed -i -e 's@/usr/share@${placeholder "out"}/share@g' {} \;
find -type f -exec sed -i -e 's@/usr/bin@${placeholder "out"}/bin@g' {} \;
+ find -type f -exec sed -i -e 's@${placeholder "out"}/bin/python3@${python3Packages.python}/bin/python3@' {} \;
'';
dontBuild = true;
@@ -52,7 +53,7 @@ python3Packages.buildPythonApplication rec {
"prefix=${placeholder "out"}"
];
- # prevent double wrapping from wrapGApps and wrapPythonProgram
+ # Prevent double wrapping from wrapGApps and wrapPythonProgram
dontWrapGApps = true;
makeWrapperArgs = [
"\${gappsWrapperArgs[@]}"
@@ -65,6 +66,6 @@ python3Packages.buildPythonApplication rec {
description = "A program to clean your computer";
longDescription = "BleachBit helps you easily clean your computer to free space and maintain privacy.";
license = licenses.gpl3;
- maintainers = with maintainers; [ leonardoce ];
+ maintainers = with maintainers; [ leonardoce mbprtpmnr ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/blender/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/blender/default.nix
index 59de6879783..93185f2dd5c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/blender/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/blender/default.nix
@@ -136,8 +136,11 @@ stdenv.mkDerivation rec {
NIX_LDFLAGS = optionalString cudaSupport "-rpath ${stdenv.cc.cc.lib}/lib";
blenderExecutable =
- placeholder "out" + (if stdenv.isDarwin then "/Blender.app/Contents/MacOS/Blender" else "/bin/blender");
- postInstall = ''
+ placeholder "out" + (if stdenv.isDarwin then "/Applications/Blender.app/Contents/MacOS/Blender" else "/bin/blender");
+ postInstall = lib.optionalString stdenv.isDarwin ''
+ mkdir $out/Applications
+ mv $out/Blender.app $out/Applications
+ '' + ''
buildPythonPath "$pythonPath"
wrapProgram $blenderExecutable \
--prefix PATH : $program_PATH \
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/bottles/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/bottles/default.nix
index 21e63b8b29b..52f4e8def20 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/bottles/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/bottles/default.nix
@@ -3,18 +3,18 @@
, desktop-file-utils, gsettings-desktop-schemas, libnotify, libhandy
, python3Packages, gettext
, appstream-glib, gdk-pixbuf, glib, gobject-introspection, gspell, gtk3
-, steam-run-native
+, steam-run, xdg-utils, pciutils, cabextract, wineWowPackages
}:
python3Packages.buildPythonApplication rec {
pname = "bottles";
- version = "2021.7.14-treviso";
+ version = "2021.7.28-treviso-2";
src = fetchFromGitHub {
owner = "bottlesdevs";
repo = pname;
rev = version;
- sha256 = "0xhfk1ll8vacgrr0kkhynq4bryjhfjs29j824bark5mj9b6lkbix";
+ sha256 = "0kvwcajm9izvkwfg7ir7bks39bpc665idwa8mc8d536ajyjriysn";
};
postPatch = ''
@@ -52,7 +52,14 @@ python3Packages.buildPythonApplication rec {
dbus-python
gst-python
liblarch
- ] ++ [ steam-run-native ];
+ patool
+ ] ++ [
+ steam-run
+ xdg-utils
+ pciutils
+ cabextract
+ wineWowPackages.minimal
+ ];
format = "other";
strictDeps = false; # broken with gobject-introspection setup hook, see https://github.com/NixOS/nixpkgs/issues/56943
@@ -62,8 +69,10 @@ python3Packages.buildPythonApplication rec {
substituteInPlace build-aux/meson/postinstall.py \
--replace "'update-desktop-database'" "'${desktop-file-utils}/bin/update-desktop-database'"
substituteInPlace src/runner.py \
- --replace " {runner}" " ${steam-run-native}/bin/steam-run {runner}" \
- --replace " {dxvk_setup}" " ${steam-run-native}/bin/steam-run {dxvk_setup}"
+ --replace " {runner}" " ${steam-run}/bin/steam-run {runner}" \
+ --replace " {dxvk_setup}" " ${steam-run}/bin/steam-run {dxvk_setup}"
+ substituteInPlace src/runner_utilities.py \
+ --replace " {runner}" " ${steam-run}/bin/steam-run {runner}" \
'';
preFixup = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/calibre/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/calibre/default.nix
index 7ba83e7923e..e11efa8f8dd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/calibre/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/calibre/default.nix
@@ -1,7 +1,7 @@
{ lib
, mkDerivation
, fetchurl
-, fetchFromGitHub
+, fetchpatch
, poppler_utils
, pkg-config
, libpng
@@ -27,18 +27,21 @@
mkDerivation rec {
pname = "calibre";
- version = "5.24.0";
+ version = "5.29.0";
src = fetchurl {
url = "https://download.calibre-ebook.com/${version}/${pname}-${version}.tar.xz";
- hash = "sha256:18dr577nv7ijw3ar6mrk2xrc54mlrqkaj5jrc6s5sirl0710fdfg";
+ sha256 = "sha256-9ymHEpTHDUM3NAGoeSETzKRLKgJLRY4eEli6N5lbZug=";
};
+ # https://sources.debian.org/patches/calibre/5.29.0+dfsg-1
patches = [
- # Plugin installation (very insecure) disabled (from Debian)
- ./disable_plugins.patch
- # Automatic version update disabled by default (from Debian)
- ./no_updates_dialog.patch
+ # allow for plugin update check, but no calibre version check
+ (fetchpatch {
+ name = "0001_only_plugin_update.patch";
+ url = "https://sources.debian.org/data/main/c/calibre/5.29.0%2Bdfsg-1/debian/patches/0001-only-plugin-update.patch";
+ sha256 = "sha256-aGT8rJ/eQKAkmyHBWdY0ouZuWvDwtLVJU5xY6d3hY3k=";
+ })
]
++ lib.optional (!unrarSupport) ./dont_build_unrar_plugin.patch;
@@ -101,6 +104,7 @@ mkDerivation rec {
regex
sip
zeroconf
+ jeepney
# the following are distributed with calibre, but we use upstream instead
odfpy
] ++ lib.optional (unrarSupport) unrardll
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/calibre/disable_plugins.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/calibre/disable_plugins.patch
deleted file mode 100644
index 9ef1dd04251..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/calibre/disable_plugins.patch
+++ /dev/null
@@ -1,17 +0,0 @@
-Description: Disable plugin dialog. It uses a totally non-authenticated and non-trusted way of installing arbitrary code.
-Author: Martin Pitt
-Bug-Debian: http://bugs.debian.org/640026
-
-Index: calibre-0.8.29+dfsg/src/calibre/gui2/actions/preferences.py
-===================================================================
---- calibre-0.8.29+dfsg.orig/src/calibre/gui2/actions/preferences.py 2011-12-16 05:49:14.000000000 +0100
-+++ calibre-0.8.29+dfsg/src/calibre/gui2/actions/preferences.py 2011-12-20 19:29:04.798468930 +0100
-@@ -28,8 +28,6 @@
- pm.addAction(QIcon(I('config.png')), _('Preferences'), self.do_config)
- cm('welcome wizard', _('Run welcome wizard'),
- icon='wizard.png', triggered=self.gui.run_wizard)
-- cm('plugin updater', _('Get plugins to enhance calibre'),
-- icon='plugins/plugin_updater.png', triggered=self.get_plugins)
- if not DEBUG:
- pm.addSeparator()
- cm('restart', _('Restart in debug mode'), icon='debug.png',
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/calibre/no_updates_dialog.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/calibre/no_updates_dialog.patch
deleted file mode 100644
index faaaf2c1994..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/calibre/no_updates_dialog.patch
+++ /dev/null
@@ -1,15 +0,0 @@
-diff -burN calibre-2.9.0.orig/src/calibre/gui2/main.py calibre-2.9.0/src/calibre/gui2/main.py
---- calibre-2.9.0.orig/src/calibre/gui2/main.py 2014-11-09 20:09:54.081231882 +0800
-+++ calibre-2.9.0/src/calibre/gui2/main.py 2014-11-09 20:15:48.193033844 +0800
-@@ -37,8 +37,9 @@
- help=_('Start minimized to system tray.'))
- parser.add_option('-v', '--verbose', default=0, action='count',
- help=_('Ignored, do not use. Present only for legacy reasons'))
-- parser.add_option('--no-update-check', default=False, action='store_true',
-- help=_('Do not check for updates'))
-+ parser.add_option('--update-check', dest='no_update_check', default=True,
-+ action='store_false',
-+ help=_('Check for updates'))
- parser.add_option('--ignore-plugins', default=False, action='store_true',
- help=_('Ignore custom plugins, useful if you installed a plugin'
- ' that is preventing calibre from starting'))
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cherrytree/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cherrytree/default.nix
index 7458377d42d..d4fc82b9139 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cherrytree/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cherrytree/default.nix
@@ -19,13 +19,13 @@
stdenv.mkDerivation rec {
pname = "cherrytree";
- version = "0.99.40";
+ version = "0.99.41";
src = fetchFromGitHub {
owner = "giuspen";
repo = "cherrytree";
rev = version;
- sha256 = "sha256-K1rf8/7kEpfLOPYJGh5U2eTnr5XCDhuc+seoUAKW7aE=";
+ sha256 = "sha256-Bhk5xpJiVDSTxP1wAFTL39MgAIOa6Is9NTF1WEh6S1A=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/chrysalis/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/chrysalis/default.nix
index 55560c50f13..058a0f656fb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/chrysalis/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/chrysalis/default.nix
@@ -2,7 +2,7 @@
let
pname = "chrysalis";
- version = "0.8.4";
+ version = "0.8.6";
in appimageTools.wrapAppImage rec {
name = "${pname}-${version}-binary";
@@ -10,7 +10,7 @@ in appimageTools.wrapAppImage rec {
inherit name;
src = fetchurl {
url = "https://github.com/keyboardio/${pname}/releases/download/v${version}/${pname}-${version}.AppImage";
- sha256 = "b41f3e23dac855b1588cff141e3d317f96baff929a0543c79fccee0c6f095bc7";
+ sha256 = "17wv475w4m4fg6ky9wf7ygxm98nmsmydks14vh3an85xv0fhj8h9";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cipher/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cipher/default.nix
index eab1185edd8..5675676d951 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cipher/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cipher/default.nix
@@ -57,7 +57,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple application for encoding and decoding text, designed for elementary OS";
homepage = "https://github.com/arshubham/cipher";
- maintainers = with maintainers; [ xiorcale ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ xiorcale ] ++ teams.pantheon.members;
platforms = platforms.linux;
license = licenses.gpl3Plus;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/clight/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/clight/default.nix
index 0bed99ab725..b5278e7cc75 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/clight/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/clight/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "clight";
- version = "4.6";
+ version = "4.7";
src = fetchFromGitHub {
owner = "FedeDP";
repo = "Clight";
rev = version;
- sha256 = "sha256-5kFzVHxoiZi8tz42eUprm49JHCeuA4GPwtHvdiS2RJY=";
+ sha256 = "sha256-+u50XorUyeDsn4FaKdD0wEtQHkwtiyVDY0IAi0vehEQ=";
};
# dbus-1.pc has datadir=/etc
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cloak/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cloak/default.nix
new file mode 100644
index 00000000000..cb33aa595a4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cloak/default.nix
@@ -0,0 +1,22 @@
+{ lib, rustPlatform, fetchFromGitHub }:
+
+rustPlatform.buildRustPackage rec {
+ pname = "cloak";
+ version = "0.2.0";
+
+ src = fetchFromGitHub {
+ owner = "evansmurithi";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "139z2ga0q7a0vwfnn5hpzsz5yrrrr7rgyd32yvfj5sxiywkmczs7";
+ };
+
+ cargoSha256 = "0af38wgwmsamnx63dwfm2nrkd8wmky3ai7zwy0knmifgkn4b7yyj";
+
+ meta = with lib; {
+ homepage = "https://github.com/evansmurithi/cloak";
+ description = "Command-line OTP authenticator application";
+ license = licenses.mit;
+ maintainers = with maintainers; [ mvs ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cobalt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cobalt/default.nix
index 6e13cc4728e..9b263e6f0d4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cobalt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cobalt/default.nix
@@ -2,16 +2,16 @@
rustPlatform.buildRustPackage rec {
pname = "cobalt";
- version = "0.16.5";
+ version = "0.17.4";
src = fetchFromGitHub {
owner = "cobalt-org";
repo = "cobalt.rs";
rev = "v${version}";
- sha256 = "wSvjPifnA8oc0vVmHBMdsMKzX7Gg6TdbPzIXl/SHqn8=";
+ sha256 = "sha256-uZcs3VkmpasFwgB7m1spTHi2W86tJt2kWlRTXAotvvo=";
};
- cargoSha256 = "27LcoGBI4elt80uQkTwvToOyEmd2+/3ma5Y32OFrJaw=";
+ cargoSha256 = "sha256-U2TVg2/SIOxaWs4EehTpcu47uDO/EA2dJK56k3I6F+0=";
buildInputs = lib.optionals stdenv.isDarwin [ CoreServices ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cointop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cointop/default.nix
index 4b2f45c47f4..623c6ce4f1b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cointop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/cointop/default.nix
@@ -2,13 +2,13 @@
buildGoPackage rec {
pname = "cointop";
- version = "1.6.6";
+ version = "1.6.8";
src = fetchFromGitHub {
owner = "miguelmota";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-cn2TtXIxBnEZyWAdtf9ING9I/53z6D8UPVxnFVSkGgo=";
+ sha256 = "sha256-uENfTj+pJjX4t+yrd7zrn3LHRbJJSZFCN1N6Ce47wcE=";
};
goPackagePath = "github.com/miguelmota/cointop";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/crow-translate/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/crow-translate/default.nix
index 6095a00f6e0..c7309449731 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/crow-translate/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/crow-translate/default.nix
@@ -34,37 +34,37 @@ let
qonlinetranslator = fetchFromGitHub {
owner = "crow-translate";
repo = "QOnlineTranslator";
- rev = "1.4.4";
- sha256 = "sha256-ogO6ovkQmyvTUPCYAQ4U3AxOju9r3zHB9COnAAfKSKA=";
+ rev = "df89083d2f680a8f856b1df00b8846f995cf1fae";
+ sha256 = "sha256-I64KGInnYd/QdI5kANJERsF95wMvRlr8kgQhUqXXN/0=";
};
circleflags = fetchFromGitHub {
owner = "HatScripts";
repo = "circle-flags";
- rev = "v2.1.0";
- sha256 = "sha256-E0iTDjicfdGqK4r+anUZanEII9SBafeEUcMLf7BGdp0=";
+ rev = "v2.3.0";
+ sha256 = "sha256-KabmewF1Xf/1JQuzolrlRyLJR8O5j+/iT+29/QtOQVE=";
};
- we10x = fetchFromGitHub {
- owner = "yeyushengfan258";
- repo = "We10X-icon-theme";
- rev = "bd2c68482a06d38b2641503af1ca127b9e6540db";
- sha256 = "sha256-T1oPstmjLffnVrIIlmTTpHv38nJHBBGJ070ilRwAjk8=";
+ fluent = fetchFromGitHub {
+ owner = "vinceliuice";
+ repo = "Fluent-icon-theme";
+ rev = "2021-08-15";
+ sha256 = "sha256-uBu0vbKfhhnPKGwrnSBjPwS9ncH1iAlmeefAcpckOm4=";
};
in
mkDerivation rec {
pname = "crow-translate";
- version = "2.8.4";
+ version = "2.8.7";
src = fetchFromGitHub {
owner = "crow-translate";
repo = pname;
rev = version;
- sha256 = "sha256-TPJgKTZqsh18BQGFWgp0wsw1ehtI8ydQ7ZCvYNX6pH8=";
+ sha256 = "sha256-0bq9itbFyzdOhdNuUtdCYLTCIhc91MM+YRhJgXC5PPw=";
};
patches = [
(substituteAll {
src = ./dont-fetch-external-libs.patch;
- inherit singleapplication qtaskbarcontrol qhotkey qonlinetranslator circleflags we10x;
+ inherit singleapplication qtaskbarcontrol qhotkey qonlinetranslator circleflags fluent;
})
(substituteAll {
# See https://github.com/NixOS/nixpkgs/issues/86054
@@ -75,7 +75,7 @@ mkDerivation rec {
postPatch = ''
cp -r ${circleflags}/flags/* data/icons
- cp -r ${we10x}/src/* data/icons
+ cp -r ${fluent}/src/* data/icons
'';
nativeBuildInputs = [ cmake extra-cmake-modules qttools ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/crow-translate/dont-fetch-external-libs.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/crow-translate/dont-fetch-external-libs.patch
index 116a55a9abd..44c859a1ea0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/crow-translate/dont-fetch-external-libs.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/crow-translate/dont-fetch-external-libs.patch
@@ -1,25 +1,25 @@
diff --git i/CMakeLists.txt w/CMakeLists.txt
-index 0cd2140..16e3190 100644
+index faa9417..059b899 100644
--- i/CMakeLists.txt
+++ w/CMakeLists.txt
-@@ -97,13 +97,11 @@ qt5_add_translation(QM_FILES
+@@ -101,13 +101,11 @@ qt5_add_translation(QM_FILES
)
configure_file(src/cmake.h.in cmake.h)
-configure_file(data/icons/flags.qrc ${CircleFlags_SOURCE_DIR}/flags/flags.qrc COPYONLY)
--configure_file(data/icons/we10x.qrc ${We10X_SOURCE_DIR}/src/we10x.qrc COPYONLY)
+-configure_file(data/icons/fluent-icon-theme.qrc ${FluentIconTheme_SOURCE_DIR}/src/fluent-icon-theme.qrc COPYONLY)
add_executable(${PROJECT_NAME}
- ${CircleFlags_SOURCE_DIR}/flags/flags.qrc
+ data/icons/flags.qrc
${QM_FILES}
-- ${We10X_SOURCE_DIR}/src/we10x.qrc
-+ data/icons/we10x.qrc
+- ${FluentIconTheme_SOURCE_DIR}/src/fluent-icon-theme.qrc
++ data/icons/fluent-icon-theme.qrc
data/icons/engines/engines.qrc
src/addlanguagedialog.cpp
src/addlanguagedialog.ui
diff --git i/cmake/ExternalLibraries.cmake w/cmake/ExternalLibraries.cmake
-index d738716..fb01f3d 100644
+index e2501e1..e15ce6c 100644
--- i/cmake/ExternalLibraries.cmake
+++ w/cmake/ExternalLibraries.cmake
@@ -2,34 +2,28 @@ include(FetchContent)
@@ -46,20 +46,20 @@ index d738716..fb01f3d 100644
FetchContent_Declare(QOnlineTranslator
- GIT_REPOSITORY https://github.com/crow-translate/QOnlineTranslator
-- GIT_TAG 1.4.4
+- GIT_TAG df89083d2f680a8f856b1df00b8846f995cf1fae
+ SOURCE_DIR @qonlinetranslator@
)
FetchContent_Declare(CircleFlags
- GIT_REPOSITORY https://github.com/HatScripts/circle-flags
-- GIT_TAG v2.1.0
+- GIT_TAG v2.3.0
+ SOURCE_DIR @circleflags@
)
- FetchContent_Declare(We10X
-- GIT_REPOSITORY https://github.com/yeyushengfan258/We10X-icon-theme
-- GIT_TAG bd2c68482a06d38b2641503af1ca127b9e6540db
-+ SOURCE_DIR @we10x@
+ FetchContent_Declare(FluentIconTheme
+- GIT_REPOSITORY https://github.com/vinceliuice/Fluent-icon-theme
+- GIT_TAG 2021-08-15
++ SOURCE_DIR @fluent@
)
- FetchContent_MakeAvailable(SingleApplication QTaskbarControl QHotkey QOnlineTranslator CircleFlags We10X)
+ FetchContent_MakeAvailable(SingleApplication QTaskbarControl QHotkey QOnlineTranslator CircleFlags FluentIconTheme)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/crow-translate/fix-qttranslations-path.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/crow-translate/fix-qttranslations-path.patch
index 9e0f587ec7a..322fd5e0484 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/crow-translate/fix-qttranslations-path.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/crow-translate/fix-qttranslations-path.patch
@@ -1,8 +1,8 @@
-diff --git c/src/settings/appsettings.cpp i/src/settings/appsettings.cpp
-index ff99f64..fa929ae 100644
---- c/src/settings/appsettings.cpp
-+++ i/src/settings/appsettings.cpp
-@@ -80,7 +80,7 @@ void AppSettings::applyLanguage(QLocale::Language lang)
+diff --git i/src/settings/appsettings.cpp w/src/settings/appsettings.cpp
+index aa8b357..15e4f74 100644
+--- i/src/settings/appsettings.cpp
++++ w/src/settings/appsettings.cpp
+@@ -81,7 +81,7 @@ void AppSettings::applyLanguage(QLocale::Language lang)
QLocale::setDefault(locale);
s_appTranslator.load(locale, QStringLiteral(PROJECT_NAME), QStringLiteral("_"), QStandardPaths::locate(QStandardPaths::AppDataLocation, QStringLiteral("translations"), QStandardPaths::LocateDirectory));
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/dasel/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/dasel/default.nix
index 96042c99b28..1cab19808a1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/dasel/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/dasel/default.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "dasel";
- version = "1.19.0";
+ version = "1.21.1";
src = fetchFromGitHub {
owner = "TomWright";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-hV8+j66Z8cs6K1TElM+3ar2C8tSqJJBvBYoU+OWcqcU=";
+ sha256 = "sha256-M63KFQ+g4b0HiWlv1Kym0ulqZcCMdfU9SoLhpaI4q/o=";
};
- vendorSha256 = "sha256-fRG70f2SZr8BOmF+MNLEdJmK1308h+HY4N0kkTpvuPc=";
+ vendorSha256 = "sha256-yP4iF3403WWgWAmBHiuOpDsIAUx4+KR8uKPfjy3qXt8=";
ldflags = [
"-s" "-w" "-X github.com/tomwright/dasel/internal.Version=${version}"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/dbeaver/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/dbeaver/default.nix
index ed3f07713e9..1fec32d9f70 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/dbeaver/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/dbeaver/default.nix
@@ -18,13 +18,13 @@
stdenv.mkDerivation rec {
pname = "dbeaver";
- version = "21.2.0"; # When updating also update fetchedMavenDeps.sha256
+ version = "21.2.3"; # When updating also update fetchedMavenDeps.sha256
src = fetchFromGitHub {
owner = "dbeaver";
repo = "dbeaver";
rev = version;
- sha256 = "UYLX8oUHHfdsNiby+emunLRPIHo8ht3bfiredXOjkWs=";
+ sha256 = "0xu/uMMloCUuhKs392kn6qJzlobDNuvwlHGdS/gGAB8=";
};
fetchedMavenDeps = stdenv.mkDerivation {
@@ -50,7 +50,7 @@ stdenv.mkDerivation rec {
dontFixup = true;
outputHashAlgo = "sha256";
outputHashMode = "recursive";
- outputHash = "L8kfYkMCbQOZDrSNIfshR/00qYQLTf8WOGQvxmaIwBg=";
+ outputHash = "7Sm1hAoi5xc4MLONOD8ySLLkpao0qmlMRRva/8zR210=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/effitask/cargo-lock.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/effitask/cargo-lock.patch
deleted file mode 100644
index 4f56b82368f..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/effitask/cargo-lock.patch
+++ /dev/null
@@ -1,1091 +0,0 @@
-diff --git a/Cargo.lock b/Cargo.lock
-new file mode 100644
-index 0000000..55e4495
---- /dev/null
-+++ b/Cargo.lock
-@@ -0,0 +1,1085 @@
-+# This file is automatically @generated by Cargo.
-+# It is not intended for manual editing.
-+[[package]]
-+name = "aho-corasick"
-+version = "0.7.10"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "memchr 2.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "arrayvec"
-+version = "0.4.12"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "nodrop 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "atk"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "atk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "atk-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "autocfg"
-+version = "1.0.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "backtrace"
-+version = "0.3.41"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "backtrace-sys 0.1.35 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "backtrace-sys"
-+version = "0.1.35"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cc 1.0.50 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "bitflags"
-+version = "1.2.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "cairo-rs"
-+version = "0.7.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cairo-sys-rs 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "cairo-sys-rs"
-+version = "0.9.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "cc"
-+version = "1.0.50"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "cfg-if"
-+version = "0.1.9"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "chrono"
-+version = "0.4.11"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "num-integer 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "num-traits 0.2.11 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "time 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "effitask"
-+version = "0.1.0"
-+dependencies = [
-+ "cairo-rs 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "chrono 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gtk 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "human-panic 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "notify 4.0.15 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pulldown-cmark 0.6.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "regex 1.3.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "relm 0.18.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "relm-attributes 0.16.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "relm-derive 0.18.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "todo-txt 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "xdg 2.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "filetime"
-+version = "0.2.9"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "fragile"
-+version = "0.3.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "fsevent"
-+version = "0.4.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fsevent-sys 2.0.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "fsevent-sys"
-+version = "2.0.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "fuchsia-zircon"
-+version = "0.3.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fuchsia-zircon-sys 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "fuchsia-zircon-sys"
-+version = "0.3.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "gdk"
-+version = "0.11.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cairo-rs 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cairo-sys-rs 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-pixbuf 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pango 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gdk-pixbuf"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "gdk-pixbuf-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gdk-pixbuf-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gdk-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cairo-sys-rs 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-pixbuf-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pango-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "getopts"
-+version = "0.2.21"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "unicode-width 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "getrandom"
-+version = "0.1.14"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "wasi 0.9.0+wasi-snapshot-preview1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gio"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fragile 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gio-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "glib"
-+version = "0.8.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "glib-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gobject-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gtk"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "atk 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cairo-rs 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cairo-sys-rs 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cc 1.0.50 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-pixbuf 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-pixbuf-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gtk-sys 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pango 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pango-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gtk-sys"
-+version = "0.9.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "atk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cairo-sys-rs 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-pixbuf-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pango-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "human-panic"
-+version = "1.0.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "backtrace 0.3.41 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "os_type 2.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "serde 1.0.106 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "serde_derive 1.0.106 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "termcolor 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "toml 0.5.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "uuid 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "inotify"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "inotify-sys 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "inotify-sys"
-+version = "0.1.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "iovec"
-+version = "0.1.4"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "kernel32-sys"
-+version = "0.2.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi-build 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "lazy_static"
-+version = "1.4.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "lazycell"
-+version = "1.2.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "lexical-core"
-+version = "0.6.7"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "arrayvec 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rustc_version 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "ryu 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "static_assertions 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "libc"
-+version = "0.2.68"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "log"
-+version = "0.4.8"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "memchr"
-+version = "2.3.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "mio"
-+version = "0.6.21"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fuchsia-zircon 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fuchsia-zircon-sys 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "iovec 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "kernel32-sys 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "miow 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "net2 0.2.33 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "slab 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "mio-extras"
-+version = "2.0.6"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "lazycell 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "mio 0.6.21 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "slab 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "miow"
-+version = "0.2.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "kernel32-sys 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "net2 0.2.33 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "ws2_32-sys 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "net2"
-+version = "0.2.33"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "nodrop"
-+version = "0.1.14"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "nom"
-+version = "5.1.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "lexical-core 0.6.7 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "memchr 2.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "version_check 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "notify"
-+version = "4.0.15"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "filetime 0.2.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fsevent 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fsevent-sys 2.0.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "inotify 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "mio 0.6.21 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "mio-extras 2.0.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "walkdir 2.3.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "num-integer"
-+version = "0.1.42"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "num-traits 0.2.11 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "num-traits"
-+version = "0.2.11"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "os_type"
-+version = "2.2.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "regex 1.3.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "pango"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pango-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "pango-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "pkg-config"
-+version = "0.3.17"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "ppv-lite86"
-+version = "0.2.6"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "proc-macro2"
-+version = "0.4.30"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "proc-macro2"
-+version = "1.0.10"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "pulldown-cmark"
-+version = "0.6.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "getopts 0.2.21 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "memchr 2.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "unicase 2.6.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "quote"
-+version = "0.6.13"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "quote"
-+version = "1.0.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "proc-macro2 1.0.10 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "rand"
-+version = "0.7.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "getrandom 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "rand_chacha"
-+version = "0.2.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "ppv-lite86 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "rand_core"
-+version = "0.5.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "getrandom 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "rand_hc"
-+version = "0.2.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "redox_syscall"
-+version = "0.1.56"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "regex"
-+version = "1.3.6"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "aho-corasick 0.7.10 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "memchr 2.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "regex-syntax 0.6.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "thread_local 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "regex-syntax"
-+version = "0.6.17"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "relm"
-+version = "0.18.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cairo-rs 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gtk 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "relm-attributes"
-+version = "0.16.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "relm-gen-widget 0.16.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "relm-derive"
-+version = "0.18.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "relm-gen-widget"
-+version = "0.16.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "rustc-demangle"
-+version = "0.1.16"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "rustc_version"
-+version = "0.2.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "ryu"
-+version = "1.0.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "same-file"
-+version = "1.0.6"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "winapi-util 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "semver"
-+version = "0.9.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "semver-parser"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "serde"
-+version = "1.0.106"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "serde_derive"
-+version = "1.0.106"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "proc-macro2 1.0.10 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "quote 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "syn 1.0.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "slab"
-+version = "0.4.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "static_assertions"
-+version = "0.3.4"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "syn"
-+version = "0.15.44"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "syn"
-+version = "1.0.17"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "proc-macro2 1.0.10 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "quote 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "termcolor"
-+version = "1.1.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "winapi-util 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "thread_local"
-+version = "1.0.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "time"
-+version = "0.1.42"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "todo-txt"
-+version = "1.4.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "chrono 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "nom 5.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "regex 1.3.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "toml"
-+version = "0.5.6"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "serde 1.0.106 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "unicase"
-+version = "2.6.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "version_check 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "unicode-width"
-+version = "0.1.7"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "unicode-xid"
-+version = "0.1.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "unicode-xid"
-+version = "0.2.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "uuid"
-+version = "0.8.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "version_check"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "walkdir"
-+version = "2.3.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "same-file 1.0.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi-util 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "wasi"
-+version = "0.9.0+wasi-snapshot-preview1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "winapi"
-+version = "0.2.8"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "winapi"
-+version = "0.3.8"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "winapi-build"
-+version = "0.1.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "winapi-i686-pc-windows-gnu"
-+version = "0.4.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "winapi-util"
-+version = "0.1.4"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "winapi-x86_64-pc-windows-gnu"
-+version = "0.4.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "ws2_32-sys"
-+version = "0.2.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi-build 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "xdg"
-+version = "2.2.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[metadata]
-+"checksum aho-corasick 0.7.10 (registry+https://github.com/rust-lang/crates.io-index)" = "8716408b8bc624ed7f65d223ddb9ac2d044c0547b6fa4b0d554f3a9540496ada"
-+"checksum arrayvec 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)" = "cd9fd44efafa8690358b7408d253adf110036b88f55672a933f01d616ad9b1b9"
-+"checksum atk 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "86b7499272acf036bb5820c6e346bbfb5acc5dceb104bc2c4fd7e6e33dfcde6a"
-+"checksum atk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "e552c1776737a4c80110d06b36d099f47c727335f9aaa5d942a72b6863a8ec6f"
-+"checksum autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "f8aac770f1885fd7e387acedd76065302551364496e46b3dd00860b2f8359b9d"
-+"checksum backtrace 0.3.41 (registry+https://github.com/rust-lang/crates.io-index)" = "a4ed64ae6d9ebfd9893193c4b2532b1292ec97bd8271c9d7d0fa90cd78a34cba"
-+"checksum backtrace-sys 0.1.35 (registry+https://github.com/rust-lang/crates.io-index)" = "7de8aba10a69c8e8d7622c5710229485ec32e9d55fdad160ea559c086fdcd118"
-+"checksum bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "cf1de2fe8c75bc145a2f577add951f8134889b4795d47466a54a5c846d691693"
-+"checksum cairo-rs 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)" = "e05db47de3b0f09a222fa4bba2eab957d920d4243962a86b2d77ab401e4a359c"
-+"checksum cairo-sys-rs 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)" = "ff65ba02cac715be836f63429ab00a767d48336efc5497c5637afb53b4f14d63"
-+"checksum cc 1.0.50 (registry+https://github.com/rust-lang/crates.io-index)" = "95e28fa049fda1c330bcf9d723be7663a899c4679724b34c81e9f5a326aab8cd"
-+"checksum cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)" = "b486ce3ccf7ffd79fdeb678eac06a9e6c09fc88d33836340becb8fffe87c5e33"
-+"checksum chrono 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)" = "80094f509cf8b5ae86a4966a39b3ff66cd7e2a3e594accec3743ff3fabeab5b2"
-+"checksum filetime 0.2.9 (registry+https://github.com/rust-lang/crates.io-index)" = "f59efc38004c988e4201d11d263b8171f49a2e7ec0bdbb71773433f271504a5e"
-+"checksum fragile 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "05f8140122fa0d5dcb9fc8627cfce2b37cc1500f752636d46ea28bc26785c2f9"
-+"checksum fsevent 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "5ab7d1bd1bd33cc98b0889831b72da23c0aa4df9cec7e0702f46ecea04b35db6"
-+"checksum fsevent-sys 2.0.1 (registry+https://github.com/rust-lang/crates.io-index)" = "f41b048a94555da0f42f1d632e2e19510084fb8e303b0daa2816e733fb3644a0"
-+"checksum fuchsia-zircon 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)" = "2e9763c69ebaae630ba35f74888db465e49e259ba1bc0eda7d06f4a067615d82"
-+"checksum fuchsia-zircon-sys 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)" = "3dcaa9ae7725d12cdb85b3ad99a434db70b468c09ded17e012d86b5c1010f7a7"
-+"checksum gdk 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)" = "6243e995f41f3a61a31847e54cc719edce93dd9140c89dca3b9919be1cfe22d5"
-+"checksum gdk-pixbuf 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "9726408ee1bbada83094326a99b9c68fea275f9dbb515de242a69e72051f4fcc"
-+"checksum gdk-pixbuf-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "d8991b060a9e9161bafd09bf4a202e6fd404f5b4dd1a08d53a1e84256fb34ab0"
-+"checksum gdk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "6adf679e91d1bff0c06860287f80403e7db54c2d2424dce0a470023b56c88fbb"
-+"checksum getopts 0.2.21 (registry+https://github.com/rust-lang/crates.io-index)" = "14dbbfd5c71d70241ecf9e6f13737f7b5ce823821063188d7e46c41d371eebd5"
-+"checksum getrandom 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)" = "7abc8dd8451921606d809ba32e95b6111925cd2906060d2dcc29c070220503eb"
-+"checksum gio 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "6261b5d34c30c2d59f879e643704cf54cb44731f3a2038000b68790c03e360e3"
-+"checksum gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "4fad225242b9eae7ec8a063bb86974aca56885014672375e5775dc0ea3533911"
-+"checksum glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)" = "be27232841baa43e0fd5ae003f7941925735b2f733a336dc75f07b9eff415e7b"
-+"checksum glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "95856f3802f446c05feffa5e24859fe6a183a7cb849c8449afc35c86b1e316e2"
-+"checksum gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "31d1a804f62034eccf370006ccaef3708a71c31d561fee88564abe71177553d9"
-+"checksum gtk 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "709f1074259d4685b96133f92b75c7f35b504715b0fcdc96ec95de2607296a60"
-+"checksum gtk-sys 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)" = "53def660c7b48b00b510c81ef2d2fbd3c570f1527081d8d7947f471513e1a4c1"
-+"checksum human-panic 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)" = "39f357a500abcbd7c5f967c1d45c8838585b36743823b9d43488f24850534e36"
-+"checksum inotify 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "24e40d6fd5d64e2082e0c796495c8ef5ad667a96d03e5aaa0becfd9d47bcbfb8"
-+"checksum inotify-sys 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)" = "e74a1aa87c59aeff6ef2cc2fa62d41bc43f54952f55652656b18a02fd5e356c0"
-+"checksum iovec 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "b2b3ea6ff95e175473f8ffe6a7eb7c00d054240321b84c57051175fe3c1e075e"
-+"checksum kernel32-sys 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7507624b29483431c0ba2d82aece8ca6cdba9382bff4ddd0f7490560c056098d"
-+"checksum lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
-+"checksum lazycell 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "b294d6fa9ee409a054354afc4352b0b9ef7ca222c69b8812cbea9e7d2bf3783f"
-+"checksum lexical-core 0.6.7 (registry+https://github.com/rust-lang/crates.io-index)" = "f86d66d380c9c5a685aaac7a11818bdfa1f733198dfd9ec09c70b762cd12ad6f"
-+"checksum libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)" = "dea0c0405123bba743ee3f91f49b1c7cfb684eef0da0a50110f758ccf24cdff0"
-+"checksum log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)" = "14b6052be84e6b71ab17edffc2eeabf5c2c3ae1fdb464aae35ac50c67a44e1f7"
-+"checksum memchr 2.3.3 (registry+https://github.com/rust-lang/crates.io-index)" = "3728d817d99e5ac407411fa471ff9800a778d88a24685968b36824eaf4bee400"
-+"checksum mio 0.6.21 (registry+https://github.com/rust-lang/crates.io-index)" = "302dec22bcf6bae6dfb69c647187f4b4d0fb6f535521f7bc022430ce8e12008f"
-+"checksum mio-extras 2.0.6 (registry+https://github.com/rust-lang/crates.io-index)" = "52403fe290012ce777c4626790c8951324a2b9e3316b3143779c72b029742f19"
-+"checksum miow 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "8c1f2f3b1cf331de6896aabf6e9d55dca90356cc9960cca7eaaf408a355ae919"
-+"checksum net2 0.2.33 (registry+https://github.com/rust-lang/crates.io-index)" = "42550d9fb7b6684a6d404d9fa7250c2eb2646df731d1c06afc06dcee9e1bcf88"
-+"checksum nodrop 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)" = "72ef4a56884ca558e5ddb05a1d1e7e1bfd9a68d9ed024c21704cc98872dae1bb"
-+"checksum nom 5.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "0b471253da97532da4b61552249c521e01e736071f71c1a4f7ebbfbf0a06aad6"
-+"checksum notify 4.0.15 (registry+https://github.com/rust-lang/crates.io-index)" = "80ae4a7688d1fab81c5bf19c64fc8db920be8d519ce6336ed4e7efe024724dbd"
-+"checksum num-integer 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)" = "3f6ea62e9d81a77cd3ee9a2a5b9b609447857f3d358704331e4ef39eb247fcba"
-+"checksum num-traits 0.2.11 (registry+https://github.com/rust-lang/crates.io-index)" = "c62be47e61d1842b9170f0fdeec8eba98e60e90e5446449a0545e5152acd7096"
-+"checksum os_type 2.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7edc011af0ae98b7f88cf7e4a83b70a54a75d2b8cb013d6efd02e5956207e9eb"
-+"checksum pango 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "393fa071b144f8ffb83ede273758983cf414ca3c0b1d2a5a9ce325b3ba3dd786"
-+"checksum pango-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "86b93d84907b3cf0819bff8f13598ba72843bee579d5ebc2502e4b0367b4be7d"
-+"checksum pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)" = "05da548ad6865900e60eaba7f589cc0783590a92e940c26953ff81ddbab2d677"
-+"checksum ppv-lite86 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)" = "74490b50b9fbe561ac330df47c08f3f33073d2d00c150f719147d7c54522fa1b"
-+"checksum proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)" = "cf3d2011ab5c909338f7887f4fc896d35932e29146c12c8d01da6b22a80ba759"
-+"checksum proc-macro2 1.0.10 (registry+https://github.com/rust-lang/crates.io-index)" = "df246d292ff63439fea9bc8c0a270bed0e390d5ebd4db4ba15aba81111b5abe3"
-+"checksum pulldown-cmark 0.6.1 (registry+https://github.com/rust-lang/crates.io-index)" = "1c205cc82214f3594e2d50686730314f817c67ffa80fe800cf0db78c3c2b9d9e"
-+"checksum quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)" = "6ce23b6b870e8f94f81fb0a363d65d86675884b34a09043c81e5562f11c1f8e1"
-+"checksum quote 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)" = "2bdc6c187c65bca4260c9011c9e3132efe4909da44726bad24cf7572ae338d7f"
-+"checksum rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)" = "6a6b1679d49b24bbfe0c803429aa1874472f50d9b363131f0e89fc356b544d03"
-+"checksum rand_chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "f4c8ed856279c9737206bf725bf36935d8666ead7aa69b52be55af369d193402"
-+"checksum rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19"
-+"checksum rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c"
-+"checksum redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)" = "2439c63f3f6139d1b57529d16bc3b8bb855230c8efcc5d3a896c8bea7c3b1e84"
-+"checksum regex 1.3.6 (registry+https://github.com/rust-lang/crates.io-index)" = "7f6946991529684867e47d86474e3a6d0c0ab9b82d5821e314b1ede31fa3a4b3"
-+"checksum regex-syntax 0.6.17 (registry+https://github.com/rust-lang/crates.io-index)" = "7fe5bd57d1d7414c6b5ed48563a2c855d995ff777729dcd91c369ec7fea395ae"
-+"checksum relm 0.18.0 (registry+https://github.com/rust-lang/crates.io-index)" = "d4127341a75eb96dc99ba39b87a2783bb011b20fbdbafc25ed2f58216d2ff714"
-+"checksum relm-attributes 0.16.0 (registry+https://github.com/rust-lang/crates.io-index)" = "4a8db24611fee7bb021f3aad7c4eaaba6d360947860b78b933a4d3ef86079b7f"
-+"checksum relm-derive 0.18.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ec66397054dc1ea6c658159866b9978add6a90655aba5dd4a90c34d2e63f9d69"
-+"checksum relm-gen-widget 0.16.0 (registry+https://github.com/rust-lang/crates.io-index)" = "49ad47b054bdc12c90fb6b37c81ef785ee2a4a8a92c4e150b18325052766fbb0"
-+"checksum rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)" = "4c691c0e608126e00913e33f0ccf3727d5fc84573623b8d65b2df340b5201783"
-+"checksum rustc_version 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)" = "138e3e0acb6c9fb258b19b67cb8abd63c00679d2851805ea151465464fe9030a"
-+"checksum ryu 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)" = "535622e6be132bccd223f4bb2b8ac8d53cda3c7a6394944d3b2b33fb974f9d76"
-+"checksum same-file 1.0.6 (registry+https://github.com/rust-lang/crates.io-index)" = "93fc1dc3aaa9bfed95e02e6eadabb4baf7e3078b0bd1b4d7b6b0b68378900502"
-+"checksum semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)" = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403"
-+"checksum semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3"
-+"checksum serde 1.0.106 (registry+https://github.com/rust-lang/crates.io-index)" = "36df6ac6412072f67cf767ebbde4133a5b2e88e76dc6187fa7104cd16f783399"
-+"checksum serde_derive 1.0.106 (registry+https://github.com/rust-lang/crates.io-index)" = "9e549e3abf4fb8621bd1609f11dfc9f5e50320802273b12f3811a67e6716ea6c"
-+"checksum slab 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)" = "c111b5bd5695e56cffe5129854aa230b39c93a305372fdbb2668ca2394eea9f8"
-+"checksum static_assertions 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)" = "7f3eb36b47e512f8f1c9e3d10c2c1965bc992bd9cdb024fa581e2194501c83d3"
-+"checksum syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)" = "9ca4b3b69a77cbe1ffc9e198781b7acb0c7365a883670e8f1c1bc66fba79a5c5"
-+"checksum syn 1.0.17 (registry+https://github.com/rust-lang/crates.io-index)" = "0df0eb663f387145cab623dea85b09c2c5b4b0aef44e945d928e682fce71bb03"
-+"checksum termcolor 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "bb6bfa289a4d7c5766392812c0a1f4c1ba45afa1ad47803c11e1f407d846d75f"
-+"checksum thread_local 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)" = "d40c6d1b69745a6ec6fb1ca717914848da4b44ae29d9b3080cbee91d72a69b14"
-+"checksum time 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)" = "db8dcfca086c1143c9270ac42a2bbd8a7ee477b78ac8e45b19abfb0cbede4b6f"
-+"checksum todo-txt 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "0d77aa2f90bd72b990bb2b8de52289b7a34f51cf035627df5e3ce361b321b417"
-+"checksum toml 0.5.6 (registry+https://github.com/rust-lang/crates.io-index)" = "ffc92d160b1eef40665be3a05630d003936a3bc7da7421277846c2613e92c71a"
-+"checksum unicase 2.6.0 (registry+https://github.com/rust-lang/crates.io-index)" = "50f37be617794602aabbeee0be4f259dc1778fabe05e2d67ee8f79326d5cb4f6"
-+"checksum unicode-width 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)" = "caaa9d531767d1ff2150b9332433f32a24622147e5ebb1f26409d5da67afd479"
-+"checksum unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "fc72304796d0818e357ead4e000d19c9c174ab23dc11093ac919054d20a6a7fc"
-+"checksum unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "826e7639553986605ec5979c7dd957c7895e93eabed50ab2ffa7f6128a75097c"
-+"checksum uuid 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)" = "9fde2f6a4bea1d6e007c4ad38c6839fa71cbb63b6dbf5b595aa38dc9b1093c11"
-+"checksum version_check 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "078775d0255232fb988e6fccf26ddc9d1ac274299aaedcedce21c6f72cc533ce"
-+"checksum walkdir 2.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "777182bc735b6424e1a57516d35ed72cb8019d85c8c9bf536dccb3445c1a2f7d"
-+"checksum wasi 0.9.0+wasi-snapshot-preview1 (registry+https://github.com/rust-lang/crates.io-index)" = "cccddf32554fecc6acb585f82a32a72e28b48f8c4c1883ddfeeeaa96f7d8e519"
-+"checksum winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)" = "167dc9d6949a9b857f3451275e911c3f44255842c1f7a76f33c55103a909087a"
-+"checksum winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)" = "8093091eeb260906a183e6ae1abdba2ef5ef2257a21801128899c3fc699229c6"
-+"checksum winapi-build 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "2d315eee3b34aca4797b2da6b13ed88266e6d612562a0c46390af8299fc699bc"
-+"checksum winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
-+"checksum winapi-util 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "fa515c5163a99cc82bab70fd3bfdd36d827be85de63737b40fcef2ce084a436e"
-+"checksum winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
-+"checksum ws2_32-sys 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "d59cefebd0c892fa2dd6de581e937301d8552cb44489cdff035c6187cb63fa5e"
-+"checksum xdg 2.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "d089681aa106a86fade1b0128fb5daf07d5867a509ab036d99988dec80429a57"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/effitask/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/effitask/default.nix
index a01d55ae20e..f237998f53a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/effitask/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/effitask/default.nix
@@ -1,32 +1,30 @@
-{ lib, stdenv
+{ lib
, rustPlatform
, fetchFromGitHub
, pkg-config
, openssl
, gtk3
+, stdenv
, rust
}:
rustPlatform.buildRustPackage rec {
pname = "effitask";
- version = "1.4.0";
+ version = "1.4.1";
src = fetchFromGitHub {
owner = "sanpii";
repo = pname;
rev = version;
- sha256 = "09bffxdp43s8b1rpmsgqr2kyz3i4jbd2yrwbxw21fj3sf3mwb9ig";
+ sha256 = "sha256-nZn+mINIqAnaCKZCiywG8/BOPx6TlSe0rKV/8gcW/B4=";
};
- # workaround for missing Cargo.lock file https://github.com/sanpii/effitask/issues/48
- cargoPatches = [ ./cargo-lock.patch ];
+ cargoSha256 = "sha256-aCjZRJNsxx75ghK0N95Q9w0h5H5mW9/77j/fumDrvyM=";
- cargoSha256 = "1a80kf95kr94l6jzxdj4i09x1342x358fqjy6119qjg3q3bj0y3p";
+ nativeBuildInputs = [ pkg-config ];
buildInputs = [ openssl gtk3 ];
- nativeBuildInputs = [ pkg-config ];
-
# default installPhase don't install assets
installPhase = ''
runHook preInstall
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/electron-cash/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/electron-cash/default.nix
index 4f8c5f8bb8b..16f5673bed1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/electron-cash/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/electron-cash/default.nix
@@ -3,13 +3,13 @@
python3Packages.buildPythonApplication rec {
pname = "electron-cash";
- version = "4.2.4";
+ version = "4.2.5";
src = fetchFromGitHub {
owner = "Electron-Cash";
repo = "Electron-Cash";
rev = version;
- sha256 = "sha256-hiOS0cTaPqllb31p+6nU4GYvw/E1Hdn8yd3sppzGkqg=";
+ sha256 = "sha256-ALIrNnhpX46xdQdfJdx/9e/QtdyBEgi5xLrbuOBJR7o=";
};
propagatedBuildInputs = with python3Packages; [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/elfx86exts/cargo-lock.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/elfx86exts/cargo-lock.patch
deleted file mode 100644
index 4f56b82368f..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/elfx86exts/cargo-lock.patch
+++ /dev/null
@@ -1,1091 +0,0 @@
-diff --git a/Cargo.lock b/Cargo.lock
-new file mode 100644
-index 0000000..55e4495
---- /dev/null
-+++ b/Cargo.lock
-@@ -0,0 +1,1085 @@
-+# This file is automatically @generated by Cargo.
-+# It is not intended for manual editing.
-+[[package]]
-+name = "aho-corasick"
-+version = "0.7.10"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "memchr 2.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "arrayvec"
-+version = "0.4.12"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "nodrop 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "atk"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "atk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "atk-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "autocfg"
-+version = "1.0.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "backtrace"
-+version = "0.3.41"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "backtrace-sys 0.1.35 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "backtrace-sys"
-+version = "0.1.35"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cc 1.0.50 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "bitflags"
-+version = "1.2.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "cairo-rs"
-+version = "0.7.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cairo-sys-rs 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "cairo-sys-rs"
-+version = "0.9.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "cc"
-+version = "1.0.50"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "cfg-if"
-+version = "0.1.9"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "chrono"
-+version = "0.4.11"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "num-integer 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "num-traits 0.2.11 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "time 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "effitask"
-+version = "0.1.0"
-+dependencies = [
-+ "cairo-rs 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "chrono 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gtk 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "human-panic 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "notify 4.0.15 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pulldown-cmark 0.6.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "regex 1.3.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "relm 0.18.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "relm-attributes 0.16.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "relm-derive 0.18.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "todo-txt 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "xdg 2.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "filetime"
-+version = "0.2.9"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "fragile"
-+version = "0.3.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "fsevent"
-+version = "0.4.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fsevent-sys 2.0.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "fsevent-sys"
-+version = "2.0.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "fuchsia-zircon"
-+version = "0.3.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fuchsia-zircon-sys 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "fuchsia-zircon-sys"
-+version = "0.3.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "gdk"
-+version = "0.11.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cairo-rs 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cairo-sys-rs 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-pixbuf 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pango 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gdk-pixbuf"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "gdk-pixbuf-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gdk-pixbuf-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gdk-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cairo-sys-rs 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-pixbuf-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pango-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "getopts"
-+version = "0.2.21"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "unicode-width 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "getrandom"
-+version = "0.1.14"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "wasi 0.9.0+wasi-snapshot-preview1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gio"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fragile 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gio-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "glib"
-+version = "0.8.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "glib-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gobject-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gtk"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "atk 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cairo-rs 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cairo-sys-rs 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cc 1.0.50 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-pixbuf 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-pixbuf-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gtk-sys 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pango 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pango-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "gtk-sys"
-+version = "0.9.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "atk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cairo-sys-rs 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-pixbuf-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gdk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pango-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "human-panic"
-+version = "1.0.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "backtrace 0.3.41 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "os_type 2.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "serde 1.0.106 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "serde_derive 1.0.106 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "termcolor 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "toml 0.5.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "uuid 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "inotify"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "inotify-sys 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "inotify-sys"
-+version = "0.1.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "iovec"
-+version = "0.1.4"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "kernel32-sys"
-+version = "0.2.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi-build 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "lazy_static"
-+version = "1.4.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "lazycell"
-+version = "1.2.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "lexical-core"
-+version = "0.6.7"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "arrayvec 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rustc_version 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "ryu 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "static_assertions 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "libc"
-+version = "0.2.68"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "log"
-+version = "0.4.8"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "memchr"
-+version = "2.3.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "mio"
-+version = "0.6.21"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fuchsia-zircon 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fuchsia-zircon-sys 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "iovec 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "kernel32-sys 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "miow 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "net2 0.2.33 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "slab 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "mio-extras"
-+version = "2.0.6"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "lazycell 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "mio 0.6.21 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "slab 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "miow"
-+version = "0.2.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "kernel32-sys 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "net2 0.2.33 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "ws2_32-sys 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "net2"
-+version = "0.2.33"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "nodrop"
-+version = "0.1.14"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "nom"
-+version = "5.1.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "lexical-core 0.6.7 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "memchr 2.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "version_check 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "notify"
-+version = "4.0.15"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "filetime 0.2.9 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fsevent 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "fsevent-sys 2.0.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "inotify 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "mio 0.6.21 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "mio-extras 2.0.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "walkdir 2.3.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "num-integer"
-+version = "0.1.42"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "num-traits 0.2.11 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "num-traits"
-+version = "0.2.11"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "os_type"
-+version = "2.2.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "regex 1.3.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "pango"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pango-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "pango-sys"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "pkg-config"
-+version = "0.3.17"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "ppv-lite86"
-+version = "0.2.6"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "proc-macro2"
-+version = "0.4.30"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "proc-macro2"
-+version = "1.0.10"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "pulldown-cmark"
-+version = "0.6.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "getopts 0.2.21 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "memchr 2.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "unicase 2.6.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "quote"
-+version = "0.6.13"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "quote"
-+version = "1.0.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "proc-macro2 1.0.10 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "rand"
-+version = "0.7.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "getrandom 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "rand_chacha"
-+version = "0.2.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "ppv-lite86 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "rand_core"
-+version = "0.5.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "getrandom 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "rand_hc"
-+version = "0.2.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "redox_syscall"
-+version = "0.1.56"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "regex"
-+version = "1.3.6"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "aho-corasick 0.7.10 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "memchr 2.3.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "regex-syntax 0.6.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "thread_local 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "regex-syntax"
-+version = "0.6.17"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "relm"
-+version = "0.18.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "cairo-rs 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "gtk 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "relm-attributes"
-+version = "0.16.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "relm-gen-widget 0.16.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "relm-derive"
-+version = "0.18.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "relm-gen-widget"
-+version = "0.16.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "rustc-demangle"
-+version = "0.1.16"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "rustc_version"
-+version = "0.2.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "ryu"
-+version = "1.0.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "same-file"
-+version = "1.0.6"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "winapi-util 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "semver"
-+version = "0.9.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "semver-parser"
-+version = "0.7.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "serde"
-+version = "1.0.106"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "serde_derive"
-+version = "1.0.106"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "proc-macro2 1.0.10 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "quote 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "syn 1.0.17 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "slab"
-+version = "0.4.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "static_assertions"
-+version = "0.3.4"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "syn"
-+version = "0.15.44"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "syn"
-+version = "1.0.17"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "proc-macro2 1.0.10 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "quote 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "termcolor"
-+version = "1.1.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "winapi-util 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "thread_local"
-+version = "1.0.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "time"
-+version = "0.1.42"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "todo-txt"
-+version = "1.4.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "chrono 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "nom 5.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "regex 1.3.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "toml"
-+version = "0.5.6"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "serde 1.0.106 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "unicase"
-+version = "2.6.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "version_check 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "unicode-width"
-+version = "0.1.7"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "unicode-xid"
-+version = "0.1.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "unicode-xid"
-+version = "0.2.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "uuid"
-+version = "0.8.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "version_check"
-+version = "0.9.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "walkdir"
-+version = "2.3.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "same-file 1.0.6 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi-util 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "wasi"
-+version = "0.9.0+wasi-snapshot-preview1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "winapi"
-+version = "0.2.8"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "winapi"
-+version = "0.3.8"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "winapi-build"
-+version = "0.1.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "winapi-i686-pc-windows-gnu"
-+version = "0.4.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "winapi-util"
-+version = "0.1.4"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "winapi-x86_64-pc-windows-gnu"
-+version = "0.4.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[[package]]
-+name = "ws2_32-sys"
-+version = "0.2.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+dependencies = [
-+ "winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)",
-+ "winapi-build 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)",
-+]
-+
-+[[package]]
-+name = "xdg"
-+version = "2.2.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+
-+[metadata]
-+"checksum aho-corasick 0.7.10 (registry+https://github.com/rust-lang/crates.io-index)" = "8716408b8bc624ed7f65d223ddb9ac2d044c0547b6fa4b0d554f3a9540496ada"
-+"checksum arrayvec 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)" = "cd9fd44efafa8690358b7408d253adf110036b88f55672a933f01d616ad9b1b9"
-+"checksum atk 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "86b7499272acf036bb5820c6e346bbfb5acc5dceb104bc2c4fd7e6e33dfcde6a"
-+"checksum atk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "e552c1776737a4c80110d06b36d099f47c727335f9aaa5d942a72b6863a8ec6f"
-+"checksum autocfg 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "f8aac770f1885fd7e387acedd76065302551364496e46b3dd00860b2f8359b9d"
-+"checksum backtrace 0.3.41 (registry+https://github.com/rust-lang/crates.io-index)" = "a4ed64ae6d9ebfd9893193c4b2532b1292ec97bd8271c9d7d0fa90cd78a34cba"
-+"checksum backtrace-sys 0.1.35 (registry+https://github.com/rust-lang/crates.io-index)" = "7de8aba10a69c8e8d7622c5710229485ec32e9d55fdad160ea559c086fdcd118"
-+"checksum bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "cf1de2fe8c75bc145a2f577add951f8134889b4795d47466a54a5c846d691693"
-+"checksum cairo-rs 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)" = "e05db47de3b0f09a222fa4bba2eab957d920d4243962a86b2d77ab401e4a359c"
-+"checksum cairo-sys-rs 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)" = "ff65ba02cac715be836f63429ab00a767d48336efc5497c5637afb53b4f14d63"
-+"checksum cc 1.0.50 (registry+https://github.com/rust-lang/crates.io-index)" = "95e28fa049fda1c330bcf9d723be7663a899c4679724b34c81e9f5a326aab8cd"
-+"checksum cfg-if 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)" = "b486ce3ccf7ffd79fdeb678eac06a9e6c09fc88d33836340becb8fffe87c5e33"
-+"checksum chrono 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)" = "80094f509cf8b5ae86a4966a39b3ff66cd7e2a3e594accec3743ff3fabeab5b2"
-+"checksum filetime 0.2.9 (registry+https://github.com/rust-lang/crates.io-index)" = "f59efc38004c988e4201d11d263b8171f49a2e7ec0bdbb71773433f271504a5e"
-+"checksum fragile 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "05f8140122fa0d5dcb9fc8627cfce2b37cc1500f752636d46ea28bc26785c2f9"
-+"checksum fsevent 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "5ab7d1bd1bd33cc98b0889831b72da23c0aa4df9cec7e0702f46ecea04b35db6"
-+"checksum fsevent-sys 2.0.1 (registry+https://github.com/rust-lang/crates.io-index)" = "f41b048a94555da0f42f1d632e2e19510084fb8e303b0daa2816e733fb3644a0"
-+"checksum fuchsia-zircon 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)" = "2e9763c69ebaae630ba35f74888db465e49e259ba1bc0eda7d06f4a067615d82"
-+"checksum fuchsia-zircon-sys 0.3.3 (registry+https://github.com/rust-lang/crates.io-index)" = "3dcaa9ae7725d12cdb85b3ad99a434db70b468c09ded17e012d86b5c1010f7a7"
-+"checksum gdk 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)" = "6243e995f41f3a61a31847e54cc719edce93dd9140c89dca3b9919be1cfe22d5"
-+"checksum gdk-pixbuf 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "9726408ee1bbada83094326a99b9c68fea275f9dbb515de242a69e72051f4fcc"
-+"checksum gdk-pixbuf-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "d8991b060a9e9161bafd09bf4a202e6fd404f5b4dd1a08d53a1e84256fb34ab0"
-+"checksum gdk-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "6adf679e91d1bff0c06860287f80403e7db54c2d2424dce0a470023b56c88fbb"
-+"checksum getopts 0.2.21 (registry+https://github.com/rust-lang/crates.io-index)" = "14dbbfd5c71d70241ecf9e6f13737f7b5ce823821063188d7e46c41d371eebd5"
-+"checksum getrandom 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)" = "7abc8dd8451921606d809ba32e95b6111925cd2906060d2dcc29c070220503eb"
-+"checksum gio 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "6261b5d34c30c2d59f879e643704cf54cb44731f3a2038000b68790c03e360e3"
-+"checksum gio-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "4fad225242b9eae7ec8a063bb86974aca56885014672375e5775dc0ea3533911"
-+"checksum glib 0.8.2 (registry+https://github.com/rust-lang/crates.io-index)" = "be27232841baa43e0fd5ae003f7941925735b2f733a336dc75f07b9eff415e7b"
-+"checksum glib-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "95856f3802f446c05feffa5e24859fe6a183a7cb849c8449afc35c86b1e316e2"
-+"checksum gobject-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "31d1a804f62034eccf370006ccaef3708a71c31d561fee88564abe71177553d9"
-+"checksum gtk 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "709f1074259d4685b96133f92b75c7f35b504715b0fcdc96ec95de2607296a60"
-+"checksum gtk-sys 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)" = "53def660c7b48b00b510c81ef2d2fbd3c570f1527081d8d7947f471513e1a4c1"
-+"checksum human-panic 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)" = "39f357a500abcbd7c5f967c1d45c8838585b36743823b9d43488f24850534e36"
-+"checksum inotify 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "24e40d6fd5d64e2082e0c796495c8ef5ad667a96d03e5aaa0becfd9d47bcbfb8"
-+"checksum inotify-sys 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)" = "e74a1aa87c59aeff6ef2cc2fa62d41bc43f54952f55652656b18a02fd5e356c0"
-+"checksum iovec 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "b2b3ea6ff95e175473f8ffe6a7eb7c00d054240321b84c57051175fe3c1e075e"
-+"checksum kernel32-sys 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7507624b29483431c0ba2d82aece8ca6cdba9382bff4ddd0f7490560c056098d"
-+"checksum lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
-+"checksum lazycell 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "b294d6fa9ee409a054354afc4352b0b9ef7ca222c69b8812cbea9e7d2bf3783f"
-+"checksum lexical-core 0.6.7 (registry+https://github.com/rust-lang/crates.io-index)" = "f86d66d380c9c5a685aaac7a11818bdfa1f733198dfd9ec09c70b762cd12ad6f"
-+"checksum libc 0.2.68 (registry+https://github.com/rust-lang/crates.io-index)" = "dea0c0405123bba743ee3f91f49b1c7cfb684eef0da0a50110f758ccf24cdff0"
-+"checksum log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)" = "14b6052be84e6b71ab17edffc2eeabf5c2c3ae1fdb464aae35ac50c67a44e1f7"
-+"checksum memchr 2.3.3 (registry+https://github.com/rust-lang/crates.io-index)" = "3728d817d99e5ac407411fa471ff9800a778d88a24685968b36824eaf4bee400"
-+"checksum mio 0.6.21 (registry+https://github.com/rust-lang/crates.io-index)" = "302dec22bcf6bae6dfb69c647187f4b4d0fb6f535521f7bc022430ce8e12008f"
-+"checksum mio-extras 2.0.6 (registry+https://github.com/rust-lang/crates.io-index)" = "52403fe290012ce777c4626790c8951324a2b9e3316b3143779c72b029742f19"
-+"checksum miow 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "8c1f2f3b1cf331de6896aabf6e9d55dca90356cc9960cca7eaaf408a355ae919"
-+"checksum net2 0.2.33 (registry+https://github.com/rust-lang/crates.io-index)" = "42550d9fb7b6684a6d404d9fa7250c2eb2646df731d1c06afc06dcee9e1bcf88"
-+"checksum nodrop 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)" = "72ef4a56884ca558e5ddb05a1d1e7e1bfd9a68d9ed024c21704cc98872dae1bb"
-+"checksum nom 5.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "0b471253da97532da4b61552249c521e01e736071f71c1a4f7ebbfbf0a06aad6"
-+"checksum notify 4.0.15 (registry+https://github.com/rust-lang/crates.io-index)" = "80ae4a7688d1fab81c5bf19c64fc8db920be8d519ce6336ed4e7efe024724dbd"
-+"checksum num-integer 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)" = "3f6ea62e9d81a77cd3ee9a2a5b9b609447857f3d358704331e4ef39eb247fcba"
-+"checksum num-traits 0.2.11 (registry+https://github.com/rust-lang/crates.io-index)" = "c62be47e61d1842b9170f0fdeec8eba98e60e90e5446449a0545e5152acd7096"
-+"checksum os_type 2.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7edc011af0ae98b7f88cf7e4a83b70a54a75d2b8cb013d6efd02e5956207e9eb"
-+"checksum pango 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "393fa071b144f8ffb83ede273758983cf414ca3c0b1d2a5a9ce325b3ba3dd786"
-+"checksum pango-sys 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "86b93d84907b3cf0819bff8f13598ba72843bee579d5ebc2502e4b0367b4be7d"
-+"checksum pkg-config 0.3.17 (registry+https://github.com/rust-lang/crates.io-index)" = "05da548ad6865900e60eaba7f589cc0783590a92e940c26953ff81ddbab2d677"
-+"checksum ppv-lite86 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)" = "74490b50b9fbe561ac330df47c08f3f33073d2d00c150f719147d7c54522fa1b"
-+"checksum proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)" = "cf3d2011ab5c909338f7887f4fc896d35932e29146c12c8d01da6b22a80ba759"
-+"checksum proc-macro2 1.0.10 (registry+https://github.com/rust-lang/crates.io-index)" = "df246d292ff63439fea9bc8c0a270bed0e390d5ebd4db4ba15aba81111b5abe3"
-+"checksum pulldown-cmark 0.6.1 (registry+https://github.com/rust-lang/crates.io-index)" = "1c205cc82214f3594e2d50686730314f817c67ffa80fe800cf0db78c3c2b9d9e"
-+"checksum quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)" = "6ce23b6b870e8f94f81fb0a363d65d86675884b34a09043c81e5562f11c1f8e1"
-+"checksum quote 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)" = "2bdc6c187c65bca4260c9011c9e3132efe4909da44726bad24cf7572ae338d7f"
-+"checksum rand 0.7.3 (registry+https://github.com/rust-lang/crates.io-index)" = "6a6b1679d49b24bbfe0c803429aa1874472f50d9b363131f0e89fc356b544d03"
-+"checksum rand_chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "f4c8ed856279c9737206bf725bf36935d8666ead7aa69b52be55af369d193402"
-+"checksum rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19"
-+"checksum rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c"
-+"checksum redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)" = "2439c63f3f6139d1b57529d16bc3b8bb855230c8efcc5d3a896c8bea7c3b1e84"
-+"checksum regex 1.3.6 (registry+https://github.com/rust-lang/crates.io-index)" = "7f6946991529684867e47d86474e3a6d0c0ab9b82d5821e314b1ede31fa3a4b3"
-+"checksum regex-syntax 0.6.17 (registry+https://github.com/rust-lang/crates.io-index)" = "7fe5bd57d1d7414c6b5ed48563a2c855d995ff777729dcd91c369ec7fea395ae"
-+"checksum relm 0.18.0 (registry+https://github.com/rust-lang/crates.io-index)" = "d4127341a75eb96dc99ba39b87a2783bb011b20fbdbafc25ed2f58216d2ff714"
-+"checksum relm-attributes 0.16.0 (registry+https://github.com/rust-lang/crates.io-index)" = "4a8db24611fee7bb021f3aad7c4eaaba6d360947860b78b933a4d3ef86079b7f"
-+"checksum relm-derive 0.18.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ec66397054dc1ea6c658159866b9978add6a90655aba5dd4a90c34d2e63f9d69"
-+"checksum relm-gen-widget 0.16.0 (registry+https://github.com/rust-lang/crates.io-index)" = "49ad47b054bdc12c90fb6b37c81ef785ee2a4a8a92c4e150b18325052766fbb0"
-+"checksum rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)" = "4c691c0e608126e00913e33f0ccf3727d5fc84573623b8d65b2df340b5201783"
-+"checksum rustc_version 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)" = "138e3e0acb6c9fb258b19b67cb8abd63c00679d2851805ea151465464fe9030a"
-+"checksum ryu 1.0.3 (registry+https://github.com/rust-lang/crates.io-index)" = "535622e6be132bccd223f4bb2b8ac8d53cda3c7a6394944d3b2b33fb974f9d76"
-+"checksum same-file 1.0.6 (registry+https://github.com/rust-lang/crates.io-index)" = "93fc1dc3aaa9bfed95e02e6eadabb4baf7e3078b0bd1b4d7b6b0b68378900502"
-+"checksum semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)" = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403"
-+"checksum semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3"
-+"checksum serde 1.0.106 (registry+https://github.com/rust-lang/crates.io-index)" = "36df6ac6412072f67cf767ebbde4133a5b2e88e76dc6187fa7104cd16f783399"
-+"checksum serde_derive 1.0.106 (registry+https://github.com/rust-lang/crates.io-index)" = "9e549e3abf4fb8621bd1609f11dfc9f5e50320802273b12f3811a67e6716ea6c"
-+"checksum slab 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)" = "c111b5bd5695e56cffe5129854aa230b39c93a305372fdbb2668ca2394eea9f8"
-+"checksum static_assertions 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)" = "7f3eb36b47e512f8f1c9e3d10c2c1965bc992bd9cdb024fa581e2194501c83d3"
-+"checksum syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)" = "9ca4b3b69a77cbe1ffc9e198781b7acb0c7365a883670e8f1c1bc66fba79a5c5"
-+"checksum syn 1.0.17 (registry+https://github.com/rust-lang/crates.io-index)" = "0df0eb663f387145cab623dea85b09c2c5b4b0aef44e945d928e682fce71bb03"
-+"checksum termcolor 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "bb6bfa289a4d7c5766392812c0a1f4c1ba45afa1ad47803c11e1f407d846d75f"
-+"checksum thread_local 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)" = "d40c6d1b69745a6ec6fb1ca717914848da4b44ae29d9b3080cbee91d72a69b14"
-+"checksum time 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)" = "db8dcfca086c1143c9270ac42a2bbd8a7ee477b78ac8e45b19abfb0cbede4b6f"
-+"checksum todo-txt 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "0d77aa2f90bd72b990bb2b8de52289b7a34f51cf035627df5e3ce361b321b417"
-+"checksum toml 0.5.6 (registry+https://github.com/rust-lang/crates.io-index)" = "ffc92d160b1eef40665be3a05630d003936a3bc7da7421277846c2613e92c71a"
-+"checksum unicase 2.6.0 (registry+https://github.com/rust-lang/crates.io-index)" = "50f37be617794602aabbeee0be4f259dc1778fabe05e2d67ee8f79326d5cb4f6"
-+"checksum unicode-width 0.1.7 (registry+https://github.com/rust-lang/crates.io-index)" = "caaa9d531767d1ff2150b9332433f32a24622147e5ebb1f26409d5da67afd479"
-+"checksum unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "fc72304796d0818e357ead4e000d19c9c174ab23dc11093ac919054d20a6a7fc"
-+"checksum unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "826e7639553986605ec5979c7dd957c7895e93eabed50ab2ffa7f6128a75097c"
-+"checksum uuid 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)" = "9fde2f6a4bea1d6e007c4ad38c6839fa71cbb63b6dbf5b595aa38dc9b1093c11"
-+"checksum version_check 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "078775d0255232fb988e6fccf26ddc9d1ac274299aaedcedce21c6f72cc533ce"
-+"checksum walkdir 2.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "777182bc735b6424e1a57516d35ed72cb8019d85c8c9bf536dccb3445c1a2f7d"
-+"checksum wasi 0.9.0+wasi-snapshot-preview1 (registry+https://github.com/rust-lang/crates.io-index)" = "cccddf32554fecc6acb585f82a32a72e28b48f8c4c1883ddfeeeaa96f7d8e519"
-+"checksum winapi 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)" = "167dc9d6949a9b857f3451275e911c3f44255842c1f7a76f33c55103a909087a"
-+"checksum winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)" = "8093091eeb260906a183e6ae1abdba2ef5ef2257a21801128899c3fc699229c6"
-+"checksum winapi-build 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "2d315eee3b34aca4797b2da6b13ed88266e6d612562a0c46390af8299fc699bc"
-+"checksum winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
-+"checksum winapi-util 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "fa515c5163a99cc82bab70fd3bfdd36d827be85de63737b40fcef2ce084a436e"
-+"checksum winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
-+"checksum ws2_32-sys 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "d59cefebd0c892fa2dd6de581e937301d8552cb44489cdff035c6187cb63fa5e"
-+"checksum xdg 2.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "d089681aa106a86fade1b0128fb5daf07d5867a509ab036d99988dec80429a57"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ericw-tools/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ericw-tools/default.nix
new file mode 100644
index 00000000000..dadd235319b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ericw-tools/default.nix
@@ -0,0 +1,48 @@
+{ lib, stdenv, fetchFromGitHub
+, gtest, fmt
+, cmake, ninja, installShellFiles
+}:
+
+stdenv.mkDerivation rec {
+ pname = "ericw-tools";
+ version = "0.18.1";
+
+ src = fetchFromGitHub {
+ owner = "ericwa";
+ repo = "ericw-tools";
+ rev = "v${version}";
+ sha256 = "11sap7qv0rlhw8q25azvhgjcwiql3zam09q0gim3i04cg6fkh0vp";
+ };
+ postUnpack = ''
+ pushd source/3rdparty
+ ln -s ${fmt.src} fmt
+ ln -s ${gtest.src} googletest
+ popd
+ '';
+
+ nativeBuildInputs = [ cmake ninja installShellFiles ];
+
+ outputs = [ "out" "doc" "man" ];
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/bin
+ for TOOL in bspinfo bsputil light qbsp vis ; do
+ cp -a $TOOL/$TOOL $out/bin/
+ done
+
+ installManPage ../man/*.?
+
+ mkdir -p $doc/share/doc/ericw-tools
+ cp -a ../README.md ../changelog.txt $doc/share/doc/ericw-tools/
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ homepage = "https://ericwa.github.io/ericw-tools/";
+ description = "Map compile tools for Quake and Hexen 2";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ astro ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/eureka-ideas/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/eureka-ideas/default.nix
new file mode 100644
index 00000000000..5f5db97b332
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/eureka-ideas/default.nix
@@ -0,0 +1,35 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, pkg-config
+, openssl
+, stdenv
+, Security
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "eureka-ideas";
+ version = "1.8.1";
+
+ src = fetchFromGitHub {
+ owner = "simeg";
+ repo = "eureka";
+ rev = "v${version}";
+ sha256 = "1qjf8nr7m9igy6h228gm9gnav6pi2rfarbd9bc5fchx4rqy59sp7";
+ };
+
+ cargoSha256 = "sha256-QujrFgliH8Mx1ES9KVl+O9UJP+7GDanQ7+z4QJuSOd0=";
+
+ nativeBuildInputs = [ pkg-config ];
+
+ buildInputs = [ openssl ] ++ lib.optionals stdenv.isDarwin [ Security ];
+
+ meta = with lib; {
+ description = "CLI tool to input and store your ideas without leaving the terminal";
+ homepage = "https://github.com/simeg/eureka";
+ changelog = "https://github.com/simeg/eureka/blob/v${version}/CHANGELOG.md";
+ license = licenses.mit;
+ maintainers = with maintainers; [ figsoda ];
+ mainProgram = "eureka";
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/fetchmail/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/fetchmail/default.nix
index 4baa3a09426..f16e2a87a68 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/fetchmail/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/fetchmail/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "fetchmail";
- version = "6.4.21";
+ version = "6.4.22";
src = fetchurl {
url = "mirror://sourceforge/fetchmail/fetchmail-${version}.tar.xz";
- sha256 = "sha256-akWcHK/XodqlzRNxQNpgwYyEtWmc2OckmnnDM0LJnR0=";
+ sha256 = "sha256-zGgYvVlDVgIWn6KS1tFj1Wshx/UxEoKUcKOs6r5hLIQ=";
};
buildInputs = [ openssl ];
@@ -25,7 +25,6 @@ stdenv.mkDerivation rec {
IPSEC.
'';
platforms = platforms.unix;
- maintainers = [ maintainers.peti ];
license = licenses.gpl2Plus;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/firestarter/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/firestarter/default.nix
index b2ca9a0cab6..92c517835d8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/firestarter/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/firestarter/default.nix
@@ -2,6 +2,7 @@
, lib
, fetchFromGitHub
, fetchzip
+, addOpenGLRunpath
, cmake
, glibc_multi
, glibc
@@ -9,7 +10,6 @@
, pkg-config
, cudatoolkit
, withCuda ? false
-, linuxPackages
}:
let
@@ -60,13 +60,23 @@ stdenv.mkDerivation rec {
fetchSubmodules = true;
};
- nativeBuildInputs = [ cmake git pkg-config ];
+ nativeBuildInputs = [
+ cmake
+ git
+ pkg-config
+ ] ++ lib.optionals withCuda [
+ addOpenGLRunpath
+ ];
buildInputs = [ hwloc ] ++ (if withCuda then
- [ glibc_multi cudatoolkit linuxPackages.nvidia_x11 ]
+ [ glibc_multi cudatoolkit ]
else
[ glibc.static ]);
+ NIX_LDFLAGS = lib.optionals withCuda [
+ "-L${cudatoolkit}/lib/stubs"
+ ];
+
cmakeFlags = [
"-DFIRESTARTER_BUILD_HWLOC=OFF"
"-DCMAKE_C_COMPILER_WORKS=1"
@@ -76,8 +86,14 @@ stdenv.mkDerivation rec {
];
installPhase = ''
+ runHook preInstall
mkdir -p $out/bin
cp src/FIRESTARTER${lib.optionalString withCuda "_CUDA"} $out/bin/
+ runHook postInstall
+ '';
+
+ postFixup = lib.optionalString withCuda ''
+ addOpenGLRunpath $out/bin/FIRESTARTER_CUDA
'';
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/fnott/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/fnott/default.nix
index 4c2e262c9ae..93886b3a2c2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/fnott/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/fnott/default.nix
@@ -19,14 +19,14 @@
stdenv.mkDerivation rec {
pname = "fnott";
- version = "1.1.0";
+ version = "1.1.2";
src = fetchFromGitea {
domain = "codeberg.org";
owner = "dnkl";
repo = "fnott";
rev = version;
- sha256 = "sha256-gzU5AqjCIZlhLbnj/xuSGJ69ZhLv9zQxlM0Nn+MIX/U=";
+ sha256 = "sha256-+x3uN7Uj0fqO0kpHlOVnsshgEJA1z/6ZElKSTyLzfG4=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/font-manager/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/font-manager/default.nix
index 29399ab2f5b..bd6ae3d7478 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/font-manager/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/font-manager/default.nix
@@ -26,33 +26,21 @@
stdenv.mkDerivation rec {
pname = "font-manager";
- version = "0.8.6";
+ version = "0.8.7";
src = fetchFromGitHub {
owner = "FontManager";
repo = "master";
rev = version;
- sha256 = "0a18rbdy9d0fj0vnsc2rm7xlh17vjqn4kdyrq0ldzlzkb6zbdk2k";
+ sha256 = "lqXjGSsiWaMJGyr1c2Wt/bs4F8q51mQ1+f6vbZRQzVs=";
};
patches = [
- # Fix some Desktop Settings with GNOME 40.
- # https://github.com/FontManager/font-manager/issues/215
+ # Fix compilation with latest Vala.
+ # https://github.com/FontManager/font-manager/issues/240
(fetchpatch {
- url = "https://github.com/FontManager/font-manager/commit/b28f325d7951a66ebf1a2a432ee09fd22048a033.patch";
- sha256 = "dKbrXGb9a4JuG/4x9vprMlh5J17HKJFifRWq9BWp1ow=";
- })
- (fetchpatch {
- url = "https://github.com/FontManager/font-manager/commit/2147204d4c4c6b58161230500186c3a5d4eeb1c1.patch";
- sha256 = "2/PFLwf7h76fIIN4+lyjg/L0KVU1hhRQCfwCAGDpb00=";
- })
- (fetchpatch {
- url = "https://github.com/FontManager/font-manager/commit/3abc541ef8606727c72af7631c021809600336ac.patch";
- sha256 = "rJPnW+7uuFLxTf5tk+Rzo+xkw2+uzU6BkzPXLeR/RGc=";
- })
- (fetchpatch {
- url = "https://github.com/FontManager/font-manager/commit/03a822f0d7b72442cd2ffcc8668da265d3535e0d.patch";
- sha256 = "3Z2UqK5VV2bIwpGd1tA7fivd7ooIuV6CxTJhzgOAkIM=";
+ url = "https://github.com/FontManager/font-manager/commit/f9c4621389dae5999ca9d2f3c8402c2512a9ea60.patch";
+ sha256 = "ZEJZSUYFLKmiHpVusO3ZUXMLUzJbbbCSqMjCtwlzPRY=";
})
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/formatter/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/formatter/default.nix
index e8f329ecb0d..4532b766f47 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/formatter/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/formatter/default.nix
@@ -71,7 +71,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple formatter designed for elementary OS";
homepage = "https://github.com/Djaler/Formatter";
- maintainers = with maintainers; [ xiorcale ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ xiorcale ] ++ teams.pantheon.members;
platforms = platforms.linux;
license = licenses.lgpl2Plus;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/foxtrotgps/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/foxtrotgps/default.nix
index 8692ac98933..745a2357e23 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/foxtrotgps/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/foxtrotgps/default.nix
@@ -6,8 +6,8 @@ let
srcs = {
foxtrot = fetchbzr {
url = "lp:foxtrotgps";
- rev = "329";
- sha256 = "0fwgnsrah63h1xdgm5xdi5ancrz89shdp5sdzw1qc1m7i9a03rid";
+ rev = "331";
+ sha256 = "sha256-/kJv6a3MzAzzwIl98Mqi7jrUJC1kDvouigf9kGtv868=";
};
screenshots = fetchbzr {
url = "lp:foxtrotgps/screenshots";
@@ -17,7 +17,7 @@ let
};
in stdenv.mkDerivation rec {
pname = "foxtrotgps";
- version = "1.2.2+329";
+ version = "1.2.2+331";
# Pull directly from bzr because gpsd API version 9 is not supported on latest release
src = srcs.foxtrot;
@@ -39,12 +39,20 @@ in stdenv.mkDerivation rec {
];
postUnpack = ''
- cp -R ${srcs.screenshots} $sourceRoot/doc/screenshots
- chmod -R u+w $sourceRoot/doc/screenshots
+ cp -R ${srcs.screenshots} $sourceRoot/doc/screenshots
+ chmod -R u+w $sourceRoot/doc/screenshots
+ '';
+
+ # Remove when foxtrotgps supports gpsd 3.23.1
+ # Patch for compatibility with gpsd 3.23.1. This was added for foxtrotgps
+ # 1.2.2+331. The command can be removed if the build of a newer version
+ # succeeds without it.
+ postPatch = ''
+ substituteInPlace src/gps_functions.c --replace "STATUS_NO_FIX" "STATUS_UNK"
'';
preConfigure = ''
- intltoolize --automake --copy --force
+ intltoolize --automake --copy --force
'';
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/fuzzel/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/fuzzel/default.nix
index e43304c5bc1..e099414c0c2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/fuzzel/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/fuzzel/default.nix
@@ -13,29 +13,24 @@
, tllist
, fcft
, enableCairo ? true
-, enablePNG ? true
-, enableSVG ? true
+, withPNGBackend ? "libpng"
+, withSVGBackend ? "librsvg"
# Optional dependencies
, cairo
, librsvg
, libpng
}:
-let
- # Courtesy of sternenseemann and FRidh, commit c9a7fdfcfb420be8e0179214d0d91a34f5974c54
- mesonFeatureFlag = opt: b: "-D${opt}=${if b then "enabled" else "disabled"}";
-in
-
stdenv.mkDerivation rec {
pname = "fuzzel";
- version = "1.6.1";
+ version = "1.6.4";
src = fetchFromGitea {
domain = "codeberg.org";
owner = "dnkl";
repo = "fuzzel";
rev = version;
- sha256 = "sha256-JW5sAlTprSRIdFbmSaUreGtNccERgQMGEW+WCSscYQk=";
+ sha256 = "sha256-wl3dO6EwLXWf0XtAIml1NlNRIvpIQJuq1pxLmo/pAUE=";
};
nativeBuildInputs = [
@@ -54,15 +49,15 @@ stdenv.mkDerivation rec {
tllist
fcft
] ++ lib.optional enableCairo cairo
- ++ lib.optional enablePNG libpng
- ++ lib.optional enableSVG librsvg;
+ ++ lib.optional (withPNGBackend == "libpng") libpng
+ ++ lib.optional (withSVGBackend == "librsvg") librsvg;
mesonBuildType = "release";
mesonFlags = [
- (mesonFeatureFlag "enable-cairo" enableCairo)
- (mesonFeatureFlag "enable-png" enablePNG)
- (mesonFeatureFlag "enable-svg" enableSVG)
+ "-Denable-cairo=${if enableCairo then "enabled" else "disabled"}"
+ "-Dpng-backend=${withPNGBackend}"
+ "-Dsvg-backend=${withSVGBackend}"
];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gallery-dl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gallery-dl/default.nix
index 94852aa1385..461d27a32c3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gallery-dl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gallery-dl/default.nix
@@ -2,11 +2,11 @@
buildPythonApplication rec {
pname = "gallery_dl";
- version = "1.18.3";
+ version = "1.19.0";
src = fetchPypi {
inherit pname version;
- sha256 = "6e058dd25a8a54ead41479579fd73de71472abb980a6254765c5e538b591d162";
+ sha256 = "ceffaa5022d76132165ca9004c1e57d7400b56c9ab3866e3bd139e2ffe38cb72";
};
propagatedBuildInputs = [ requests ];
@@ -21,8 +21,8 @@ buildPythonApplication rec {
meta = with lib; {
description = "Command-line program to download image-galleries and -collections from several image hosting sites";
homepage = "https://github.com/mikf/gallery-dl";
- license = licenses.gpl2;
- maintainers = with maintainers; [ dawidsowa ];
- platforms = platforms.unix;
+ changelog = "https://github.com/mikf/gallery-dl/raw/v${version}/CHANGELOG.md";
+ license = licenses.gpl2Only;
+ maintainers = with maintainers; [ dawidsowa marsam ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gofu/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gofu/default.nix
new file mode 100644
index 00000000000..13cb7129a00
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gofu/default.nix
@@ -0,0 +1,29 @@
+{ lib, buildGoModule, fetchFromGitHub }:
+
+buildGoModule rec {
+ pname = "gofu";
+ version = "unstable-2021-09-11";
+
+ src = fetchFromGitHub {
+ owner = "majewsky";
+ repo = pname;
+ rev = "cb398f58a5cb4f3e858fe60e84debde6ab58f7c8";
+ sha256 = "sha256-R8Pr8SyLeoTaYKKV+PzHDPi1/RY4j7pkUbW8kE4ydBU=";
+ };
+
+ vendorSha256 = null;
+
+ subPackages = [ "." ];
+
+ postInstall = ''
+ ln -s $out/bin/gofu $out/bin/rtree
+ ln -s $out/bin/gofu $out/bin/prettyprompt
+ '';
+
+ meta = with lib; {
+ description = "Multibinary containing several utilities";
+ homepage = "https://github.com/majewsky/gofu";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ SuperSandro2000 ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gpa/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gpa/default.nix
index 63bba909b6d..af204b23506 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gpa/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gpa/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchurl, intltool, pkg-config, gtk2, gpgme, libgpgerror, libassuan }:
+{ lib, stdenv, fetchurl, intltool, pkg-config, gtk2, gpgme, libgpg-error, libassuan }:
stdenv.mkDerivation rec {
name = "gpa-0.10.0";
@@ -9,7 +9,7 @@ stdenv.mkDerivation rec {
};
nativeBuildInputs = [ intltool pkg-config ];
- buildInputs = [ gtk2 gpgme libgpgerror libassuan ];
+ buildInputs = [ gtk2 gpgme libgpg-error libassuan ];
meta = with lib; {
description = "Graphical user interface for the GnuPG";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gpscorrelate/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gpscorrelate/default.nix
index 00a3914e173..137682c3977 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gpscorrelate/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gpscorrelate/default.nix
@@ -59,7 +59,7 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
homepage = "https://dfandrich.github.io/gpscorrelate/";
- platforms = platforms.linux;
+ platforms = platforms.unix;
maintainers = with maintainers; [ sikmir ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gpxsee/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gpxsee/default.nix
index 4dcad13a10c..f008ea439ed 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gpxsee/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/gpxsee/default.nix
@@ -2,13 +2,13 @@
mkDerivation rec {
pname = "gpxsee";
- version = "9.5";
+ version = "9.6";
src = fetchFromGitHub {
owner = "tumic0";
repo = "GPXSee";
rev = version;
- sha256 = "sha256-KYw3RXdL/iiE2zFbrDzRWe8jdLYbF6gvOFAGyWgd3GM=";
+ sha256 = "sha256-Yj8lR8zgIV+gshea7rzLbMF84n1nyN3DytiIkr3B274=";
};
patches = (substituteAll {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/grip/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/grip/default.nix
index c182db8fdd3..557cd45c42c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/grip/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/grip/default.nix
@@ -41,7 +41,7 @@ stdenv.mkDerivation rec {
homepage = "http://nostatic.org/grip";
license = lib.licenses.gpl2Plus;
- maintainers = with lib.maintainers; [ marcweber peti ];
+ maintainers = with lib.maintainers; [ marcweber ];
platforms = lib.platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/grsync/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/grsync/default.nix
index f4d1b085259..c8068d68223 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/grsync/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/grsync/default.nix
@@ -1,12 +1,12 @@
-{ lib, stdenv, fetchurl, dee, gtk2, intltool, libdbusmenu-gtk2, libunity, pkg-config, rsync }:
+{ lib, stdenv, fetchurl, dee, gtk3, intltool, libdbusmenu-gtk3, libunity, pkg-config, rsync }:
stdenv.mkDerivation rec {
- version = "1.2.8";
+ version = "1.3.0";
pname = "grsync";
src = fetchurl {
url = "mirror://sourceforge/grsync/grsync-${version}.tar.gz";
- sha256 = "1c86jch73cy7ig9k4shvcd3jnaxk7jppfcr8nmkz8gbylsn5zsll";
+ sha256 = "sha256-t8fGpi4FMC2DF8OHQefXHvmrRjnuW/8mIqODsgQ6Nfw=";
};
nativeBuildInputs = [
@@ -16,8 +16,8 @@ stdenv.mkDerivation rec {
buildInputs = [
dee
- gtk2
- libdbusmenu-gtk2
+ gtk3
+ libdbusmenu-gtk3
libunity
rsync
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/houdini/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/houdini/default.nix
index db06efd6a2a..f55752a2292 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/houdini/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/houdini/default.nix
@@ -1,18 +1,37 @@
-{ callPackage, buildFHSUserEnv, undaemonize, unwrapped ? callPackage ./runtime.nix {} }:
+{ lib, stdenv, writeScript, callPackage, buildFHSUserEnv, undaemonize, unwrapped ? callPackage ./runtime.nix {} }:
-let
- houdini-runtime = callPackage ./runtime.nix { };
-in buildFHSUserEnv {
- name = "houdini-${houdini-runtime.version}";
+buildFHSUserEnv rec {
+ name = "houdini-${unwrapped.version}";
- extraBuildCommands = ''
- mkdir -p $out/usr/lib/sesi
- '';
+ targetPkgs = pkgs: with pkgs; [
+ libGLU libGL alsa-lib fontconfig zlib libpng dbus nss nspr expat pciutils
+ libxkbcommon libudev0-shim tbb
+ ] ++ (with xorg; [
+ libICE libSM libXmu libXi libXext libX11 libXrender libXcursor libXfixes
+ libXrender libXcomposite libXdamage libXtst libxcb libXScrnSaver
+ ]);
passthru = {
- unwrapped = houdini-runtime;
+ inherit unwrapped;
};
- runScript = "${undaemonize}/bin/undaemonize ${houdini-runtime}/bin/houdini";
-}
+ extraInstallCommands = let
+ executables = [ "bin/houdini" "bin/hkey" "houdini/sbin/sesinetd" ];
+ in ''
+ WRAPPER=$out/bin/${name}
+ EXECUTABLES="${lib.concatStringsSep " " executables}"
+ for executable in $EXECUTABLES; do
+ mkdir -p $out/$(dirname $executable)
+ echo "#!${stdenv.shell}" >> $out/$executable
+ echo "$WRAPPER ${unwrapped}/$executable \$@" >> $out/$executable
+ done
+
+ cd $out
+ chmod +x $EXECUTABLES
+ '';
+
+ runScript = writeScript "${name}-wrapper" ''
+ exec $@
+ '';
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/houdini/runtime.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/houdini/runtime.nix
index 8436b66719f..4fb2d91b99f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/houdini/runtime.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/houdini/runtime.nix
@@ -1,50 +1,14 @@
-{ lib, stdenv, requireFile, zlib, libpng, libSM, libICE, fontconfig, xorg, libGLU, libGL, alsa-lib
-, dbus, xkeyboardconfig, nss, nspr, expat, pciutils, libxkbcommon, bc, addOpenGLRunpath
-}:
+{ lib, stdenv, requireFile, bc }:
let
- # NOTE: Some dependencies only show in errors when run with QT_DEBUG_PLUGINS=1
- ld_library_path = builtins.concatStringsSep ":" [
- "${stdenv.cc.cc.lib}/lib64"
- (lib.makeLibraryPath [
- libGLU
- libGL
- xorg.libXmu
- xorg.libXi
- xorg.libXext
- xorg.libX11
- xorg.libXrender
- xorg.libXcursor
- xorg.libXfixes
- xorg.libXrender
- xorg.libXcomposite
- xorg.libXdamage
- xorg.libXtst
- xorg.libxcb
- xorg.libXScrnSaver
- alsa-lib
- fontconfig
- libSM
- libICE
- zlib
- libpng
- dbus
- addOpenGLRunpath.driverLink
- nss
- nspr
- expat
- pciutils
- libxkbcommon
- ])
- ];
license_dir = "~/.config/houdini";
in
stdenv.mkDerivation rec {
- version = "18.0.460";
+ version = "18.5.596";
pname = "houdini-runtime";
src = requireFile rec {
- name = "houdini-${version}-linux_x86_64_gcc6.3.tar.gz";
- sha256 = "18rbwszcks2zfn9zbax62rxmq50z9mc3h39b13jpd39qjqdd3jsd";
+ name = "houdini-py3-${version}-linux_x86_64_gcc6.3.tar.gz";
+ sha256 = "1b1k7rkn7svmciijqdwvi9p00srsf81vkb55grjg6xa7fgyidjx1";
url = meta.homepage;
};
@@ -52,37 +16,25 @@ stdenv.mkDerivation rec {
installPhase = ''
patchShebangs houdini.install
mkdir -p $out
- sed -i "s|/usr/lib/sesi|${license_dir}|g" houdini.install
./houdini.install --install-houdini \
+ --install-license \
--no-install-menus \
--no-install-bin-symlink \
--auto-install \
--no-root-check \
- --accept-EULA \
+ --accept-EULA 2020-05-05 \
$out
- echo -e "localValidatorDir = ${license_dir}\nlicensingMode = localValidator" > $out/houdini/Licensing.opt
- sed -i "s|/usr/lib/sesi|${license_dir}|g" $out/houdini/sbin/sesinetd_safe
- sed -i "s|/usr/lib/sesi|${license_dir}|g" $out/houdini/sbin/sesinetd.startup
- echo "export LD_LIBRARY_PATH=${ld_library_path}" >> $out/bin/app_init.sh
- echo "export QT_XKB_CONFIG_ROOT="${xkeyboardconfig}/share/X11/xkb"" >> $out/bin/app_init.sh
- echo "export LD_LIBRARY_PATH=${ld_library_path}" >> $out/houdini/sbin/app_init.sh
- echo "export QT_XKB_CONFIG_ROOT="${xkeyboardconfig}/share/X11/xkb"" >> $out/houdini/sbin/app_init.sh
+ echo "licensingMode = localValidator" >> $out/houdini/Licensing.opt
'';
- postFixup = ''
- INTERPRETER="$(cat "$NIX_CC"/nix-support/dynamic-linker)"
- for BIN in $(find $out/bin -type f -executable); do
- if patchelf $BIN 2>/dev/null ; then
- echo "Patching ELF $BIN"
- patchelf --set-interpreter "$INTERPRETER" "$BIN"
- fi
- done
- '';
- meta = {
+
+ dontFixup = true;
+
+ meta = with lib; {
description = "3D animation application software";
homepage = "https://www.sidefx.com";
- license = lib.licenses.unfree;
- platforms = lib.platforms.linux;
+ license = licenses.unfree;
+ platforms = platforms.linux;
hydraPlatforms = [ ]; # requireFile src's should be excluded
- maintainers = with lib.maintainers; [ canndrew kwohlfahrt ];
+ maintainers = with maintainers; [ canndrew kwohlfahrt ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/hubstaff/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/hubstaff/default.nix
index b49993ddb9c..797dfe504ca 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/hubstaff/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/hubstaff/default.nix
@@ -4,9 +4,9 @@
, curl, writeShellScript, common-updater-scripts }:
let
- url = "https://hubstaff-production.s3.amazonaws.com/downloads/HubstaffClient/Builds/Release/1.6.0-02e625d8/Hubstaff-1.6.0-02e625d8.sh";
- version = "1.6.0-02e625d8";
- sha256 = "1rd4icgy25j9l1xs6djmpv2nc2ilvjpblddv95xvvz39z82sfr29";
+ url = "https://hubstaff-production.s3.amazonaws.com/downloads/HubstaffClient/Builds/Release/1.6.2-328c666b/Hubstaff-1.6.2-328c666b.sh";
+ version = "1.6.2-328c666b";
+ sha256 = "0fmlblw19qk9s9xsl0dl705cnns825wrlc7navii4bvbsn6ycl5v";
rpath = lib.makeLibraryPath
[ libX11 zlib libSM libICE libXext freetype libXrender fontconfig libXft
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/hugo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/hugo/default.nix
index 7aa844098e3..2153553c54a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/hugo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/hugo/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "hugo";
- version = "0.87.0";
+ version = "0.88.1";
src = fetchFromGitHub {
owner = "gohugoio";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-2I1PDxbqtaOOlVbr7zhuawaFrFWM/PYt5QJm3N74Noc=";
+ sha256 = "sha256-yuFFp/tgyziR4SXul2PlMhKmRl7C7OSrW8/kCCUpzI0=";
};
- vendorSha256 = "sha256-0pkQ+VcmK2XLaQ2XJHh5/QftSdud6Eo1nlBK+L92xKU=";
+ vendorSha256 = "sha256-VX+oIz5wAyEQ4nky3kXmJZbMF0MvfAKdEAMLnS0hXc8=";
doCheck = false;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ikiwiki/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ikiwiki/default.nix
index 135132bd431..8b72647574e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ikiwiki/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ikiwiki/default.nix
@@ -74,6 +74,5 @@ stdenv.mkDerivation rec {
homepage = "http://ikiwiki.info/";
license = licenses.gpl2Plus;
platforms = platforms.linux;
- maintainers = [ maintainers.peti ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/index-fm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/index-fm/default.nix
index 149e571fea2..b4f6b0ca4be 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/index-fm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/index-fm/default.nix
@@ -17,14 +17,14 @@
mkDerivation rec {
pname = "index";
- version = "1.2.2";
+ version = "2.0.0";
src = fetchFromGitLab {
domain = "invent.kde.org";
owner = "maui";
repo = "index-fm";
rev = "v${version}";
- sha256 = "sha256-N9/Jt18QRqDMWtEfxWn22e5Ud3YMwJ9B7OQRwTvwX8g=";
+ sha256 = "sha256-aY8JBCIh6VyCDOGQIMWhO6asGMo6I6ZTgzpDnnDy9eo=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/joplin-desktop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/joplin-desktop/default.nix
index 02e3596e05e..4701fe0b54f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/joplin-desktop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/joplin-desktop/default.nix
@@ -2,7 +2,7 @@
let
pname = "joplin-desktop";
- version = "2.3.5";
+ version = "2.4.6";
name = "${pname}-${version}";
inherit (stdenv.hostPlatform) system;
@@ -16,8 +16,8 @@ let
src = fetchurl {
url = "https://github.com/laurent22/joplin/releases/download/v${version}/Joplin-${version}.${suffix}";
sha256 = {
- x86_64-linux = "sha256-Qy/CpIEfAZ9735mwcNaJIw+qVmYXVwQ7gJuUj2lpQc4=";
- x86_64-darwin = "sha256-7I+fhcFFW/WihuUkSE5Pc8RhKszSgByP58H3sKSJbrc=";
+ x86_64-linux = "sha256-BMpRWtfx5fXEJy3hp/+q86sd+Yd/QPJbSqi2nWE2dcQ=";
+ x86_64-darwin = "sha256-4UNKdoGtQSN5/m+xQZrY77ZE5A7jvpDOUCRvwrS5e6g=";
}.${system} or throwSystem;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/joshuto/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/joshuto/default.nix
new file mode 100644
index 00000000000..5497d37ef0e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/joshuto/default.nix
@@ -0,0 +1,27 @@
+{ fetchFromGitHub, lib, rustPlatform, stdenv, SystemConfiguration }:
+
+rustPlatform.buildRustPackage rec {
+ pname = "joshuto";
+ version = "0.9.1";
+
+ src = fetchFromGitHub {
+ owner = "kamiyaa";
+ repo = pname;
+ rev = version;
+ sha256 = "sha256-+qKOvFoEF/gZL4ijL8lIRWE9ZWJM2eBlk29Lk46jAfQ=";
+ };
+
+ # upstream includes an outdated Cargo.lock that stops cargo from compiling
+ cargoPatches = [ ./fix-cargo-lock.patch ];
+
+ cargoSha256 = "sha256-JlekxU9pMkHNsIcH3+7b2I6MYUlxRqNX+0wwyVrQMAE=";
+
+ buildInputs = lib.optional stdenv.isDarwin SystemConfiguration;
+
+ meta = with lib; {
+ description = "Ranger-like terminal file manager written in Rust";
+ homepage = "https://github.com/kamiyaa/joshuto";
+ license = licenses.lgpl3Only;
+ maintainers = with maintainers; [ figsoda ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/joshuto/fix-cargo-lock.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/joshuto/fix-cargo-lock.patch
new file mode 100644
index 00000000000..023c824add1
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/joshuto/fix-cargo-lock.patch
@@ -0,0 +1,11 @@
+--- a/Cargo.lock
++++ b/Cargo.lock
+@@ -512,7 +512,7 @@ checksum = "b71991ff56294aa922b450139ee08b3bfc70982c6b2c7562771375cf73542dd4"
+
+ [[package]]
+ name = "joshuto"
+-version = "0.9.0"
++version = "0.9.1"
+ dependencies = [
+ "alphanumeric-sort",
+ "chrono",
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/josm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/josm/default.nix
index 71d70774b11..93e08b66078 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/josm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/josm/default.nix
@@ -3,20 +3,20 @@
}:
let
pname = "josm";
- version = "18118";
+ version = "18193";
srcs = {
jar = fetchurl {
url = "https://josm.openstreetmap.de/download/josm-snapshot-${version}.jar";
- sha256 = "01wcbf1mh1gqxnqkc3j6h64h9sz0yd5wiwpyx4ic4d5fwkh65qym";
+ sha256 = "sha256-55lrPOlQQx1rmmIzBJ522zSia7RmVNTeHuE20vE1d6A=";
};
macosx = fetchurl {
url = "https://josm.openstreetmap.de/download/macosx/josm-macos-${version}-java16.zip";
- sha256 = "0i1vglqg49fd3w2bny01l92wj4hvr3y35rrmd1mdff0lc1zhi397";
+ sha256 = "sha256-OoDX5tPTLrUgGfBa11dFVyeuXSai8QJNeQLWwot2ksk=";
};
pkg = fetchsvn {
url = "https://josm.openstreetmap.de/svn/trunk/native/linux/tested";
rev = version;
- sha256 = "0gyj9kdzl920mjdmqjgiscqxyqhnvh22l6sjicf059ga0fsr3ki1";
+ sha256 = "sha256-uXXS+urNCrGnalIAj49Bp1S+pXya/XhdfEWvPmcKKII=";
};
};
in
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/k4dirstat/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/k4dirstat/default.nix
index 467945af6ac..e2e43ae0997 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/k4dirstat/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/k4dirstat/default.nix
@@ -7,22 +7,30 @@
, kjobwidgets
, kxmlgui
, lib
+, testVersion
+, k4dirstat
}:
mkDerivation rec {
pname = "k4dirstat";
- version = "3.2.2";
+ version = "3.3.0";
src = fetchFromGitHub {
owner = "jeromerobert";
repo = pname;
rev = version;
- sha256 = "sha256-U5p/gW5GPxRoM9XknP8G7iVhLDoqmvgspeRsmCRdxDg=";
+ hash = "sha256-KLvWSDv4x0tMhAPqp8yNQed2i7R0MPbvadHddSJ1Nx4=";
};
nativeBuildInputs = [ extra-cmake-modules ];
buildInputs = [ kiconthemes kio kjobwidgets kxmlgui ];
+ passthru.tests.version =
+ testVersion {
+ package = k4dirstat;
+ command = "k4dirstat -platform offscreen --version &>/dev/stdout";
+ };
+
meta = with lib; {
homepage = "https://github.com/jeromerobert/k4dirstat";
description = "A small utility program that sums up disk usage for directory trees";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/keepassx/community.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/keepassx/community.nix
index b4beab05acd..fdd606c892d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/keepassx/community.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/keepassx/community.nix
@@ -11,7 +11,7 @@
, libXtst
, libargon2
, libgcrypt
-, libgpgerror
+, libgpg-error
, libsodium
, libyubikey
, pkg-config
@@ -99,7 +99,7 @@ stdenv.mkDerivation rec {
libXtst
libargon2
libgcrypt
- libgpgerror
+ libgpg-error
libsodium
libyubikey
qrencode
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/keystore-explorer/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/keystore-explorer/default.nix
index f98e21edf5b..2863dd103d8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/keystore-explorer/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/keystore-explorer/default.nix
@@ -1,4 +1,4 @@
-{ fetchzip, lib, stdenv, jdk8, runtimeShell }:
+{ fetchzip, lib, stdenv, jdk, runtimeShell }:
stdenv.mkDerivation rec {
version = "5.4.4";
@@ -19,8 +19,8 @@ stdenv.mkDerivation rec {
# Python on Darwin; just write our own start script to avoid unnecessary dependencies
cat > $out/bin/keystore-explorer <
+Date: Mon, 4 Oct 2021 16:58:37 +0800
+Subject: [PATCH] close user config autostart
+
+---
+ app/settings/universalsettings.cpp | 3 ---
+ 1 file changed, 3 deletions(-)
+
+diff --git a/app/settings/universalsettings.cpp b/app/settings/universalsettings.cpp
+index e0010542..82b9e785 100644
+--- a/app/settings/universalsettings.cpp
++++ b/app/settings/universalsettings.cpp
+@@ -77,9 +77,6 @@ void UniversalSettings::load()
+ //! check if user has set the autostart option
+ bool autostartUserSet = m_universalGroup.readEntry("userConfiguredAutostart", false);
+
+- if (!autostartUserSet && !autostart()) {
+- setAutostart(true);
+- }
+
+ //! init screen scales
+ m_screenScalesGroup = m_universalGroup.group("ScreenScales");
+--
+2.33.0
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/latte-dock/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/latte-dock/default.nix
index 43e3014db3a..b1ba7356699 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/latte-dock/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/latte-dock/default.nix
@@ -16,7 +16,13 @@ mkDerivation rec {
nativeBuildInputs = [ extra-cmake-modules cmake karchive kwindowsystem
qtx11extras kcrash knewstuff ];
-
+ patches = [
+ ./0001-close-user-autostart.patch
+ ];
+ fixupPhase = ''
+ mkdir -p $out/etc/xdg/autostart
+ cp $out/share/applications/org.kde.latte-dock.desktop $out/etc/xdg/autostart
+ '';
meta = with lib; {
description = "Dock-style app launcher based on Plasma frameworks";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/liberasurecode/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/liberasurecode/default.nix
new file mode 100644
index 00000000000..135ecf5ceb1
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/liberasurecode/default.nix
@@ -0,0 +1,26 @@
+{ lib, stdenv, fetchFromGitHub, autoreconfHook, zlib }:
+
+stdenv.mkDerivation rec {
+ pname = "liberasurecode";
+ version = "1.6.2";
+
+ outputs = [ "out" "dev" ];
+
+ src = fetchFromGitHub {
+ owner = "openstack";
+ repo = pname;
+ rev = version;
+ sha256 = "sha256-qV7DL/7zrwrYOaPj6iHnChGA6KHFwYKjeaMnrGrTPrQ=";
+ };
+
+ nativeBuildInputs = [ autoreconfHook ];
+
+ buildInputs = [ zlib ];
+
+ meta = with lib; {
+ description = "Erasure Code API library written in C with pluggable Erasure Code backends";
+ homepage = "https://github.com/openstack/liberasurecode";
+ license = licenses.bsd2;
+ maintainers = teams.openstack.members;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/limesctl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/limesctl/default.nix
new file mode 100644
index 00000000000..24a16eeb8b1
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/limesctl/default.nix
@@ -0,0 +1,24 @@
+{ lib, buildGoModule, fetchFromGitHub }:
+
+buildGoModule rec {
+ pname = "limesctl";
+ version = "2.0.0";
+
+ src = fetchFromGitHub {
+ owner = "sapcc";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "sha256-fhmGVgJ/4xnf6pe8aXxx1KEmLInxm54my+qgSU4Vc/k=";
+ };
+
+ vendorSha256 = "sha256-9MlymY5gM9/K2+7/yTa3WaSIfDJ4gRf33vSCwdIpNqw=";
+
+ subPackages = [ "." ];
+
+ meta = with lib; {
+ description = "CLI for Limes";
+ homepage = "https://github.com/sapcc/limesctl";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ SuperSandro2000 ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/logseq/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/logseq/default.nix
index 46e170f4db1..7e34ec0a36d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/logseq/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/logseq/default.nix
@@ -1,12 +1,12 @@
-{ lib, stdenv, fetchurl, appimageTools, makeWrapper, electron }:
+{ lib, stdenv, fetchurl, appimageTools, makeWrapper, electron_13 }:
stdenv.mkDerivation rec {
pname = "logseq";
- version = "0.3.3";
+ version = "0.4.2";
src = fetchurl {
url = "https://github.com/logseq/logseq/releases/download/${version}/logseq-linux-x64-${version}.AppImage";
- sha256 = "OweKV+vF8H1QMNhIs0Z9/uUAuu1cCTitH2P7barS0ao=";
+ sha256 = "BEDScQtGfkp74Gx3RKK8ItNQ9JD8AJkl1zdS/gZqyXk=";
name = "${pname}-${version}.AppImage";
};
@@ -36,7 +36,7 @@ stdenv.mkDerivation rec {
'';
postFixup = ''
- makeWrapper ${electron}/bin/electron $out/bin/${pname} \
+ makeWrapper ${electron_13}/bin/electron $out/bin/${pname} \
--add-flags $out/share/${pname}/resources/app
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lscolors/cargo.lock.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lscolors/cargo.lock.patch
deleted file mode 100644
index 2f233a0667b..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lscolors/cargo.lock.patch
+++ /dev/null
@@ -1,159 +0,0 @@
-diff --git a/Cargo.lock b/Cargo.lock
-new file mode 100644
-index 0000000..3528c6c
---- /dev/null
-+++ b/Cargo.lock
-@@ -0,0 +1,153 @@
-+# This file is automatically @generated by Cargo.
-+# It is not intended for manual editing.
-+[[package]]
-+name = "ansi_term"
-+version = "0.12.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "d52a9bb7ec0cf484c551830a7ce27bd20d67eac647e1befb56b0be4ee39a55d2"
-+dependencies = [
-+ "winapi",
-+]
-+
-+[[package]]
-+name = "bitflags"
-+version = "1.2.1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "cf1de2fe8c75bc145a2f577add951f8134889b4795d47466a54a5c846d691693"
-+
-+[[package]]
-+name = "cfg-if"
-+version = "1.0.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
-+
-+[[package]]
-+name = "getrandom"
-+version = "0.2.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "c9495705279e7140bf035dde1f6e750c162df8b625267cd52cc44e0b156732c8"
-+dependencies = [
-+ "cfg-if",
-+ "libc",
-+ "wasi",
-+]
-+
-+[[package]]
-+name = "libc"
-+version = "0.2.86"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "b7282d924be3275cec7f6756ff4121987bc6481325397dde6ba3e7802b1a8b1c"
-+
-+[[package]]
-+name = "lscolors"
-+version = "0.7.1"
-+dependencies = [
-+ "ansi_term",
-+ "tempfile",
-+]
-+
-+[[package]]
-+name = "ppv-lite86"
-+version = "0.2.10"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ac74c624d6b2d21f425f752262f42188365d7b8ff1aff74c82e45136510a4857"
-+
-+[[package]]
-+name = "rand"
-+version = "0.8.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "0ef9e7e66b4468674bfcb0c81af8b7fa0bb154fa9f28eb840da5c447baeb8d7e"
-+dependencies = [
-+ "libc",
-+ "rand_chacha",
-+ "rand_core",
-+ "rand_hc",
-+]
-+
-+[[package]]
-+name = "rand_chacha"
-+version = "0.3.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "e12735cf05c9e10bf21534da50a147b924d555dc7a547c42e6bb2d5b6017ae0d"
-+dependencies = [
-+ "ppv-lite86",
-+ "rand_core",
-+]
-+
-+[[package]]
-+name = "rand_core"
-+version = "0.6.2"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "34cf66eb183df1c5876e2dcf6b13d57340741e8dc255b48e40a26de954d06ae7"
-+dependencies = [
-+ "getrandom",
-+]
-+
-+[[package]]
-+name = "rand_hc"
-+version = "0.3.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "3190ef7066a446f2e7f42e239d161e905420ccab01eb967c9eb27d21b2322a73"
-+dependencies = [
-+ "rand_core",
-+]
-+
-+[[package]]
-+name = "redox_syscall"
-+version = "0.2.5"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "94341e4e44e24f6b591b59e47a8a027df12e008d73fd5672dbea9cc22f4507d9"
-+dependencies = [
-+ "bitflags",
-+]
-+
-+[[package]]
-+name = "remove_dir_all"
-+version = "0.5.3"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "3acd125665422973a33ac9d3dd2df85edad0f4ae9b00dafb1a05e43a9f5ef8e7"
-+dependencies = [
-+ "winapi",
-+]
-+
-+[[package]]
-+name = "tempfile"
-+version = "3.2.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "dac1c663cfc93810f88aed9b8941d48cabf856a1b111c29a40439018d870eb22"
-+dependencies = [
-+ "cfg-if",
-+ "libc",
-+ "rand",
-+ "redox_syscall",
-+ "remove_dir_all",
-+ "winapi",
-+]
-+
-+[[package]]
-+name = "wasi"
-+version = "0.10.2+wasi-snapshot-preview1"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "fd6fbd9a79829dd1ad0cc20627bf1ed606756a7f77edff7b66b7064f9cb327c6"
-+
-+[[package]]
-+name = "winapi"
-+version = "0.3.9"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
-+dependencies = [
-+ "winapi-i686-pc-windows-gnu",
-+ "winapi-x86_64-pc-windows-gnu",
-+]
-+
-+[[package]]
-+name = "winapi-i686-pc-windows-gnu"
-+version = "0.4.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
-+
-+[[package]]
-+name = "winapi-x86_64-pc-windows-gnu"
-+version = "0.4.0"
-+source = "registry+https://github.com/rust-lang/crates.io-index"
-+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lscolors/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lscolors/default.nix
index 85ed493da5a..f29fdfda6c1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lscolors/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lscolors/default.nix
@@ -1,21 +1,15 @@
-{ lib, rustPlatform, fetchFromGitHub }:
+{ lib, rustPlatform, fetchCrate }:
rustPlatform.buildRustPackage rec {
pname = "lscolors";
- version = "0.7.1";
+ version = "0.8.0";
- src = fetchFromGitHub {
- owner = "sharkdp";
- repo = pname;
- rev = "v${version}";
- sha256 = "0av3v31fvanvn59bdm9d0v9zh5lzrq0f4vqhg6xlvabkgsa8jk04";
+ src = fetchCrate {
+ inherit version pname;
+ sha256 = "sha256-dwtrs9NlhJ+km2/146HMnDirWRB5Ur5LTmWdKAK03v0=";
};
- cargoPatches = [
- ./cargo.lock.patch
- ];
-
- cargoSha256 = "0kfm1pq22dhiw138bf7jvf7amlkal90n1hc9fq44wr4chr9b2fmx";
+ cargoSha256 = "sha256-vQnrLt+VSDPr61VMkYFtjSDnEt+NmWBZUd4qLzPzQBU=";
meta = with lib; {
description = "Rust library and tool to colorize paths using LS_COLORS";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lutris/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lutris/default.nix
index 2d70daa94ec..fed9d245c19 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lutris/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lutris/default.nix
@@ -15,6 +15,11 @@
, webkitgtk
, wrapGAppsHook
+ # check inputs
+, xvfb-run
+, nose
+, flake8
+
# python dependencies
, dbus-python
, distro
@@ -46,7 +51,7 @@
let
# See lutris/util/linux.py
- binPath = lib.makeBinPath [
+ requiredTools = [
xrandr
pciutils
psmisc
@@ -64,6 +69,8 @@ let
xorg.xkbcomp
];
+ binPath = lib.makeBinPath requiredTools;
+
gstDeps = with gst_all_1; [
gst-libav
gst-plugins-bad
@@ -76,13 +83,13 @@ let
in
buildPythonApplication rec {
pname = "lutris-original";
- version = "0.5.8.4";
+ version = "0.5.9.1";
src = fetchFromGitHub {
owner = "lutris";
repo = "lutris";
rev = "v${version}";
- sha256 = "sha256-5ivXIgDyM9PRvuUhPFPgziXDvggcL+p65kI2yOaiS1M=";
+ sha256 = "sha256-ykPJneCKbFKv0x/EDo9PkRb1LkMeFeYzTDmvE3ShNe0=";
};
nativeBuildInputs = [ wrapGAppsHook ];
@@ -111,6 +118,20 @@ buildPythonApplication rec {
python_magic
];
+ checkInputs = [ xvfb-run nose flake8 ] ++ requiredTools;
+ preCheck = "export HOME=$PWD";
+ checkPhase = ''
+ runHook preCheck
+ xvfb-run -s '-screen 0 800x600x24' make test
+ runHook postCheck
+ '';
+
+ # unhardcodes xrandr and fixes nosetests
+ # upstream in progress: https://github.com/lutris/lutris/pull/3754
+ patches = [
+ ./fixes.patch
+ ];
+
# avoid double wrapping
dontWrapGApps = true;
makeWrapperArgs = [
@@ -121,8 +142,6 @@ buildPythonApplication rec {
# see https://github.com/NixOS/nixpkgs/issues/56943
strictDeps = false;
- preCheck = "export HOME=$PWD";
-
meta = with lib; {
homepage = "https://lutris.net";
description = "Open Source gaming platform for GNU/Linux";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lutris/fhsenv.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lutris/fhsenv.nix
index 38513937d01..bd35d44b7cc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lutris/fhsenv.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lutris/fhsenv.nix
@@ -121,4 +121,16 @@ in buildFHSUserEnv {
ln -sf ${lutris-unwrapped}/share/applications $out/share
ln -sf ${lutris-unwrapped}/share/icons $out/share
'';
+
+ meta = {
+ inherit (lutris-unwrapped.meta)
+ homepage
+ description
+ platforms
+ license
+ maintainers
+ broken;
+
+ mainProgram = "lutris";
+ };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lutris/fixes.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lutris/fixes.patch
new file mode 100644
index 00000000000..42482453f6a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/lutris/fixes.patch
@@ -0,0 +1,67 @@
+diff --git a/Makefile b/Makefile
+index 821a9500..75affa77 100644
+--- a/Makefile
++++ b/Makefile
+@@ -25,12 +25,12 @@ release: build-source upload upload-ppa
+
+ test:
+ rm tests/fixtures/pga.db -f
+- nosetests3
++ nosetests
+
+ cover:
+ rm tests/fixtures/pga.db -f
+ rm tests/coverage/ -rf
+- nosetests3 --with-coverage --cover-package=lutris --cover-html --cover-html-dir=tests/coverage
++ nosetests --with-coverage --cover-package=lutris --cover-html --cover-html-dir=tests/coverage
+
+ pgp-renew:
+ osc signkey --extend home:strycore
+diff --git a/lutris/util/graphics/xrandr.py b/lutris/util/graphics/xrandr.py
+index f788c94c..5544dbe9 100644
+--- a/lutris/util/graphics/xrandr.py
++++ b/lutris/util/graphics/xrandr.py
+@@ -5,6 +5,7 @@ from collections import namedtuple
+
+ from lutris.util.log import logger
+ from lutris.util.system import read_process_output
++from lutris.util.linux import LINUX_SYSTEM
+
+ Output = namedtuple("Output", ("name", "mode", "position", "rotation", "primary", "rate"))
+
+@@ -12,7 +13,7 @@ Output = namedtuple("Output", ("name", "mode", "position", "rotation", "primary"
+ def _get_vidmodes():
+ """Return video modes from XrandR"""
+ logger.debug("Retrieving video modes from XrandR")
+- return read_process_output(["xrandr"]).split("\n")
++ return read_process_output([LINUX_SYSTEM.get("xrandr")]).split("\n")
+
+
+ def get_outputs(): # pylint: disable=too-many-locals
+@@ -76,7 +77,7 @@ def turn_off_except(display):
+ for output in get_outputs():
+ if output.name != display:
+ logger.info("Turning off %s", output[0])
+- subprocess.Popen(["xrandr", "--output", output.name, "--off"])
++ subprocess.Popen([LINUX_SYSTEM.get("xrandr"), "--output", output.name, "--off"])
+
+
+ def get_resolutions():
+@@ -111,7 +112,7 @@ def change_resolution(resolution):
+ logger.warning("Resolution %s doesn't exist.", resolution)
+ else:
+ logger.info("Changing resolution to %s", resolution)
+- subprocess.Popen(["xrandr", "-s", resolution])
++ subprocess.Popen([LINUX_SYSTEM.get("xrandr"), "-s", resolution])
+ else:
+ for display in resolution:
+ logger.debug("Switching to %s on %s", display.mode, display.name)
+@@ -128,7 +129,7 @@ def change_resolution(resolution):
+ logger.info("Switching resolution of %s to %s", display.name, display.mode)
+ subprocess.Popen(
+ [
+- "xrandr",
++ LINUX_SYSTEM.get("xrandr"),
+ "--output",
+ display.name,
+ "--mode",
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/markets/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/markets/default.nix
index bd9dd7ac950..d1d16a7c8cb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/markets/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/markets/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "markets";
- version = "0.5.2";
+ version = "0.5.3";
src = fetchFromGitHub {
owner = "bitstower";
repo = "markets";
rev = version;
- sha256 = "0nk1bs7i6b7r90g5qwd3s2m462vk3kvza0drq7rzb5sdaiz9ccnz";
+ sha256 = "0sfdmz7cp8i2bymippp8jyxsidxjn69v9cqm40q77j81kfm84bfv";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/masterpdfeditor/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/masterpdfeditor/default.nix
index 72028478e6e..4f2f800ebd2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/masterpdfeditor/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/masterpdfeditor/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "masterpdfeditor";
- version = "5.7.20";
+ version = "5.7.90";
src = fetchurl {
url = "https://code-industry.net/public/master-pdf-editor-${version}-qt5.x86_64.tar.gz";
- sha256 = "0lyfss0r0dc6skhdlkslcdagdp9k1mi0w8n5pbrskwcd09c9mxym";
+ sha256 = "sha256-wUHLesJ/YV3QyTJfGUr1lFD55JBnfSmjO2VvriaqlII=";
};
nativeBuildInputs = [ autoPatchelfHook wrapQtAppsHook ];
@@ -41,7 +41,6 @@ stdenv.mkDerivation rec {
homepage = "https://code-industry.net/free-pdf-editor/";
license = licenses.unfreeRedistributable;
platforms = with platforms; [ "x86_64-linux" ];
- broken = true;
maintainers = with maintainers; [ cmcdragonkai ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mediainfo-gui/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mediainfo-gui/default.nix
index 89d7c2df741..0ce8d8c373d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mediainfo-gui/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mediainfo-gui/default.nix
@@ -2,11 +2,11 @@
, desktop-file-utils, libSM, imagemagick }:
stdenv.mkDerivation rec {
- version = "21.03";
+ version = "21.09";
pname = "mediainfo-gui";
src = fetchurl {
url = "https://mediaarea.net/download/source/mediainfo/${version}/mediainfo_${version}.tar.xz";
- sha256 = "07h2a1lbw5ak6c9bcn8qydchl0wpgk945rf9sfcqjyv05h5wll6y";
+ sha256 = "0mqcqm8y2whnbdi2ry7jd755gfl5ccdqhwjh67hsyr7c0ajxk3vv";
};
nativeBuildInputs = [ autoreconfHook pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mediainfo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mediainfo/default.nix
index 70f463c0236..146df27726b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mediainfo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mediainfo/default.nix
@@ -1,11 +1,11 @@
{ lib, stdenv, fetchurl, autoreconfHook, pkg-config, libzen, libmediainfo, zlib }:
stdenv.mkDerivation rec {
- version = "21.03";
+ version = "21.09";
pname = "mediainfo";
src = fetchurl {
url = "https://mediaarea.net/download/source/mediainfo/${version}/mediainfo_${version}.tar.xz";
- sha256 = "07h2a1lbw5ak6c9bcn8qydchl0wpgk945rf9sfcqjyv05h5wll6y";
+ sha256 = "0mqcqm8y2whnbdi2ry7jd755gfl5ccdqhwjh67hsyr7c0ajxk3vv";
};
nativeBuildInputs = [ autoreconfHook pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/menumaker/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/menumaker/default.nix
index 8d018a67d37..0fa9ad5e7f0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/menumaker/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/menumaker/default.nix
@@ -1,12 +1,12 @@
-{ lib, fetchurl, python2Packages }:
+{ lib, fetchurl, python3Packages }:
-python2Packages.buildPythonApplication rec {
+python3Packages.buildPythonApplication rec {
pname = "menumaker";
- version = "0.99.12";
+ version = "0.99.13";
src = fetchurl {
url = "mirror://sourceforge/menumaker/${pname}-${version}.tar.gz";
- sha256 = "034v5204bsgkzzk6zfa5ia63q95gln47f7hwf96yvad5hrhmd8z3";
+ sha256 = "sha256-JBXs5hnt1snbnB1hi7q7HBI7rNp0OoalLeIM0uJCdkE=";
};
format = "other";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/merkaartor/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/merkaartor/default.nix
index bb28be72deb..90d91583a26 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/merkaartor/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/merkaartor/default.nix
@@ -23,7 +23,7 @@ mkDerivation rec {
owner = "openstreetmap";
repo = "merkaartor";
rev = version;
- sha256 = "sha256-Gx+gnVbSY8JnG03kO5vVQNlSZRl/hrKTdDbh7lyIMbA=";
+ sha256 = "sha256-I3QNCXzwhEFa8aOdwl3UJV8MLZ9caN9wuaaVrGFRvbQ=";
};
nativeBuildInputs = [ qmake qttools ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mkgmap/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mkgmap/default.nix
index d6fa24c19ba..cc5ff18a2f3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mkgmap/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mkgmap/default.nix
@@ -14,11 +14,11 @@ let
in
stdenv.mkDerivation rec {
pname = "mkgmap";
- version = "4608";
+ version = "4808";
src = fetchurl {
url = "https://www.mkgmap.org.uk/download/mkgmap-r${version}-src.tar.gz";
- sha256 = "uj/iZZHML4nqEKdFBQSDdegkalZFJdzEE4xQrOruEp0=";
+ sha256 = "ooiXotpxdy99ViUQ0kFp0NoTowGEZjEoD31x+3XrW28=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mkgmap/splitter/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mkgmap/splitter/default.nix
index 9e034b58110..1bd8b589924 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mkgmap/splitter/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mkgmap/splitter/default.nix
@@ -13,11 +13,11 @@ let
in
stdenv.mkDerivation rec {
pname = "splitter";
- version = "598";
+ version = "642";
src = fetchurl {
url = "https://www.mkgmap.org.uk/download/splitter-r${version}-src.tar.gz";
- sha256 = "gpbJpDBXA9tmSmx9oKLa7xWtIOHBTYd1iPPgNTC2C2M=";
+ sha256 = "zMuMutkk0RsbEH+5undcMmZRCGYJ7LRvdK1pxAgQRYk=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mnamer/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mnamer/default.nix
index dd634ae7f13..cad389bf0b9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mnamer/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mnamer/default.nix
@@ -2,13 +2,13 @@
python3Packages.buildPythonApplication rec {
pname = "mnamer";
- version = "2.5.3";
+ version = "2.5.4";
src = fetchFromGitHub {
owner = "jkwill87";
repo = "mnamer";
rev = version;
- sha256 = "1frrvfhp85fh82yw9yb6n61by8qp1v7f3c0f623njxk1afawhccd";
+ sha256 = "sha256-fONQq/RboWHFuEFU7HP1ThUpSjOIlkg54c2WlMUKwuk=";
};
propagatedBuildInputs = with python3Packages; [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mob/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mob/default.nix
index 72aa41d03c2..e9e0559b0d0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mob/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mob/default.nix
@@ -2,14 +2,14 @@
buildGoPackage rec {
pname = "mob";
- version = "1.10.0";
+ version = "1.12.0";
goPackagePath = "github.com/remotemobprogramming/mob";
src = fetchFromGitHub {
rev = "v${version}";
owner = "remotemobprogramming";
repo = pname;
- sha256 = "sha256-O732PNmE+RDmETHAX/vlaSF4ZUShmO7P1B41kCw+/cQ=";
+ sha256 = "sha256-5hvuaKlaWrB8nEeHytnn4ywciLbOSoXdBdc3K/PqMG8=";
};
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/moolticute/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/moolticute/default.nix
index eabc9349237..653e8e9a1cc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/moolticute/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/moolticute/default.nix
@@ -9,13 +9,13 @@
mkDerivation rec {
pname = "moolticute";
- version = "0.45.0";
+ version = "0.52.0";
src = fetchFromGitHub {
owner = "mooltipass";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-azJ63NI0wzzv3acgoPaeF+lTM1WKpXg595FrK908k1U=";
+ sha256 = "sha256-6o0Tf6qBxCEOvfSuEP2Qz72T9Oexp95knRCtwImlpsA=";
};
outputs = [ "out" "udev" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mop/default.nix
index 007965f868b..f31b79c9ddf 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/mop/default.nix
@@ -9,7 +9,7 @@ buildGoPackage rec {
goDeps = ./deps.nix;
preConfigure = ''
- for i in $(find . -type f);do
+ for i in *.go **/*.go; do
substituteInPlace $i --replace michaeldv/termbox-go nsf/termbox-go
done
substituteInPlace Makefile --replace mop/cmd mop/mop
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/navi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/navi/default.nix
index 9b96fea718b..04fe08e1f38 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/navi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/navi/default.nix
@@ -2,16 +2,16 @@
rustPlatform.buildRustPackage rec {
pname = "navi";
- version = "2.16.0";
+ version = "2.17.0";
src = fetchFromGitHub {
owner = "denisidoro";
repo = "navi";
rev = "v${version}";
- sha256 = "sha256-ngSZFYGE+Varul/qwavMO3xcMIp8w2WETWXc573wYhQ=";
+ sha256 = "sha256-WH8FfQ7cD4aFUi9iE0tR/B+5oWy8tMVmMLxusDwXF7w=";
};
- cargoSha256 = "sha256-qtxFTk0iCxPa4Z7H9+QWSii+iYrLUV2LfiAEbePdhOQ=";
+ cargoSha256 = "sha256-TH9DNCoUVqH5g05Z4Vdv7F8CCLnaYezupI5FeJhYTaQ=";
nativeBuildInputs = [ makeWrapper ];
@@ -23,6 +23,11 @@ rustPlatform.buildRustPackage rec {
--prefix PATH : ${lib.makeBinPath [ fzf wget ]}
'';
+ checkFlags = [
+ # error: Found argument '--test-threads' which wasn't expected, or isn't valid in this context
+ "--skip=test_parse_variable_line"
+ ];
+
meta = with lib; {
description = "An interactive cheatsheet tool for the command-line and application launchers";
homepage = "https://github.com/denisidoro/navi";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/nhentai/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/nhentai/default.nix
new file mode 100644
index 00000000000..6357389776d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/nhentai/default.nix
@@ -0,0 +1,26 @@
+{ lib, python3Packages }:
+
+python3Packages.buildPythonApplication rec {
+ pname = "nhentai";
+ version = "0.4.16";
+ src = python3Packages.fetchPypi {
+ inherit pname version;
+ sha256 = "sha256-2lzrQqUx3lPM+OAUO/SwT+fAuG7kWmUnTACNUiP7d1M=";
+ };
+
+ propagatedBuildInputs = with python3Packages; [
+ requests
+ iso8601
+ beautifulsoup4
+ soupsieve
+ tabulate
+ future
+ ];
+
+ meta = with lib; {
+ homepage = "https://github.com/RicterZ/nhentai";
+ description = "nHentai is a CLI tool for downloading doujinshi from ";
+ license = licenses.mit;
+ maintainers = with maintainers; [ travisdavis-ops ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/nnn/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/nnn/default.nix
index 159ecb9f552..8999c3b8f95 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/nnn/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/nnn/default.nix
@@ -20,13 +20,13 @@ assert withNerdIcons -> withIcons == false;
stdenv.mkDerivation rec {
pname = "nnn";
- version = "4.2";
+ version = "4.3";
src = fetchFromGitHub {
owner = "jarun";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-ICUF/LJhsbzDz9xZig1VE6TdG3u0C6Jf/61RoAjx3KI=";
+ sha256 = "sha256-kiLmdEyOnD1wPS2GuFF5nTK9tgUOI6PVCzCRZXdObEo=";
};
configFile = lib.optionalString (conf != null) (builtins.toFile "nnn.h" conf);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/notejot/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/notejot/default.nix
index 213bb9a76b3..435bbda24d0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/notejot/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/notejot/default.nix
@@ -2,47 +2,51 @@
, stdenv
, fetchFromGitHub
, gtk4
-, gtksourceview
+, hicolor-icon-theme
, json-glib
, libadwaita
, libgee
, meson
, ninja
, nix-update-script
-, pantheon
, pkg-config
, python3
, vala
-, wrapGAppsHook
+, wrapGAppsHook4
}:
stdenv.mkDerivation rec {
pname = "notejot";
- version = "3.1.1";
+ version = "3.2.0";
src = fetchFromGitHub {
owner = "lainsce";
repo = pname;
rev = version;
- hash = "sha256-OmzEwShIpzIbonqwQmpdutd3tztm7Gmmo3qdt+DApWo=";
+ hash = "sha256-WyW1tGhO3+OykNa8BRavi93cBMOSBJw0M+0bwQHJOjU=";
};
+ patches = [
+ # build: use gtk4-update-icon-cache
+ # https://github.com/lainsce/notejot/pull/307
+ ./use-gtk4-update-icon-cache.patch
+ ];
+
nativeBuildInputs = [
meson
ninja
- vala
pkg-config
python3
- wrapGAppsHook
+ vala
+ wrapGAppsHook4
];
+
buildInputs = [
gtk4
- gtksourceview
+ hicolor-icon-theme
json-glib
libadwaita
libgee
- pantheon.elementary-icon-theme
- pantheon.granite
];
postPatch = ''
@@ -50,6 +54,10 @@ stdenv.mkDerivation rec {
patchShebangs build-aux/post_install.py
'';
+ passthru.updateScript = nix-update-script {
+ attrPath = pname;
+ };
+
meta = with lib; {
homepage = "https://github.com/lainsce/notejot";
description = "Stupidly-simple sticky notes applet";
@@ -57,8 +65,4 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [ AndersonTorres ] ++ teams.pantheon.members;
platforms = platforms.linux;
};
-
- passthru.updateScript = nix-update-script {
- attrPath = pname;
- };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/notejot/use-gtk4-update-icon-cache.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/notejot/use-gtk4-update-icon-cache.patch
new file mode 100644
index 00000000000..9431c43cef6
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/notejot/use-gtk4-update-icon-cache.patch
@@ -0,0 +1,20 @@
+diff --git a/build-aux/post_install.py b/build-aux/post_install.py
+index 1278304..fface6d 100644
+--- a/build-aux/post_install.py
++++ b/build-aux/post_install.py
+@@ -2,11 +2,13 @@
+ import os
+ import subprocess
+
+-schemadir = os.path.join(os.environ['MESON_INSTALL_PREFIX'], 'share', 'glib-2.0', 'schemas')
++install_prefix = os.environ['MESON_INSTALL_PREFIX']
++icondir = os.path.join(install_prefix, 'share', 'icons', 'hicolor')
++schemadir = os.path.join(install_prefix, 'share', 'glib-2.0', 'schemas')
+
+ if not os.environ.get('DESTDIR'):
+ print('Compiling gsettings schemas…')
+ subprocess.call(['glib-compile-schemas', schemadir], shell=False)
+
+ print('Rebuilding desktop icons cache...')
+- subprocess.call(['gtk-update-icon-cache', '/usr/share/icons/hicolor/'], shell=False)
++ subprocess.call(['gtk4-update-icon-cache', '-qtf', icondir], shell=False)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/numberstation/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/numberstation/default.nix
index c51306bb93f..9809a2a6205 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/numberstation/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/numberstation/default.nix
@@ -15,7 +15,7 @@
python3.pkgs.buildPythonApplication rec {
pname = "numberstation";
- version = "0.4.0";
+ version = "0.5.0";
format = "other";
@@ -23,7 +23,7 @@ python3.pkgs.buildPythonApplication rec {
owner = "~martijnbraam";
repo = "numberstation";
rev = version;
- sha256 = "038yyffqknr274f7jh5z12y68pjxr37f8y2cn2pwhf605jmbmpwv";
+ sha256 = "1hh66i0rfm85a97iajxlh965wk68hn0kkfgi9cljjkqf98xiy0bb";
};
postPatch = ''
@@ -63,6 +63,6 @@ python3.pkgs.buildPythonApplication rec {
description = "TOTP Authentication application for mobile";
homepage = "https://sr.ht/~martijnbraam/numberstation/";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ dotlambda ];
+ maintainers = with maintainers; [ dotlambda tomfitzhenry ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/nwg-drawer/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/nwg-drawer/default.nix
index efe4740d773..b822a7ed117 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/nwg-drawer/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/nwg-drawer/default.nix
@@ -10,13 +10,13 @@
buildGoModule rec {
pname = "nwg-drawer";
- version = "0.1.7";
+ version = "0.1.11";
src = fetchFromGitHub {
owner = "nwg-piotr";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-WUYWS0pkYJwXadhlZDHIl9BuirLTu5TNITZ+cBMArVw=";
+ sha256 = "sha256-aUn9zvlNUuvm7Uo0wyzbkSLXfUDcKn1uxAu3pVwq0FA=";
};
vendorSha256 = "sha256-HyrjquJ91ddkyS8JijHd9HjtfwSQykXCufa2wzl8RNk=";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/obinskit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/obinskit/default.nix
index 5e37e74902e..c7725fbcb2f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/obinskit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/obinskit/default.nix
@@ -4,7 +4,7 @@
, libxkbcommon
, systemd
, xorg
-, electron_3
+, electron_11
, makeWrapper
, makeDesktopItem
}:
@@ -17,15 +17,15 @@ let
genericName = "Obinskit keyboard configurator";
categories = "Utility";
};
- electron = electron_3;
+ electron = electron_11;
in
stdenv.mkDerivation rec {
pname = "obinskit";
- version = "1.1.4";
+ version = "1.1.8";
src = fetchurl {
url = "http://releases.obins.net/occ/linux/tar/ObinsKit_${version}_x64.tar.gz";
- sha256 = "0q422rmfn4k4ww1qlgrwdmxz4l10dxkd6piynbcw5cr4i5icnh2l";
+ sha256 = "MgasbgexOdscQrUte/6OzCSrc74RvaBq44oHplQA/Gc=";
};
unpackPhase = "tar -xzf $src";
@@ -51,12 +51,12 @@ stdenv.mkDerivation rec {
postFixup = ''
makeWrapper ${electron}/bin/electron $out/bin/${pname} \
--add-flags $out/opt/obinskit/resources/app.asar \
- --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ stdenv.cc.cc.lib libxkbcommon (lib.getLib systemd) xorg.libXt ]}"
+ --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ stdenv.cc.cc.lib libxkbcommon (lib.getLib systemd) xorg.libXt xorg.libXtst ]}"
'';
meta = with lib; {
description = "Graphical configurator for Anne Pro and Anne Pro II keyboards";
- homepage = "http://en.obins.net/obinskit/"; # https is broken
+ homepage = "https://www.hexcore.xyz/obinskit";
license = licenses.unfree;
maintainers = with maintainers; [ shou ];
platforms = [ "x86_64-linux" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/obsidian/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/obsidian/default.nix
index 51062343317..5b4444b1985 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/obsidian/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/obsidian/default.nix
@@ -1,7 +1,8 @@
-{ stdenv, fetchurl, lib, makeWrapper, electron, makeDesktopItem, graphicsmagick
+{ stdenv, fetchurl, lib, makeWrapper, electron_13, makeDesktopItem, graphicsmagick
, writeScript }:
let
+ electron = electron_13;
icon = fetchurl {
url =
"https://forum.obsidian.md/uploads/default/original/1X/bf119bd48f748f4fd2d65f2d1bb05d3c806883b5.png";
@@ -30,11 +31,11 @@ let
in stdenv.mkDerivation rec {
pname = "obsidian";
- version = "0.12.12";
+ version = "0.12.15";
src = fetchurl {
url = "https://github.com/obsidianmd/obsidian-releases/releases/download/v${version}/obsidian-${version}.tar.gz";
- sha256 = "sha256-zvWJvMmb0TlFYXrT2QUgMG6uleT42+y+F4bSZQ2ftnE=";
+ sha256 = "sha256-0pAwTkx89B6S0GPes2XXSRNdByIc+DwiI5HXoUqs/QE=";
};
nativeBuildInputs = [ makeWrapper graphicsmagick ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/octoprint/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/octoprint/default.nix
index bc994bf6823..85ddbdccef7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/octoprint/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/octoprint/default.nix
@@ -35,7 +35,6 @@ let
(mkOverride "markupsafe" "1.1.1" "29872e92839765e546828bb7754a68c418d927cd064fd4708fab9fe9c8bb116b")
(mkOverride "sarge" "0.1.5.post0" "1c1ll7pys9vra5cfi8jxlgrgaql6c27l6inpy15aprgqhc4ck36s")
(mkOverride "tornado" "5.1.1" "4e5158d97583502a7e2739951553cbd88a72076f152b4b11b64b9a10c4c49409")
- (mkOverride "unidecode" "0.04.21" "280a6ab88e1f2eb5af79edff450021a0d3f0448952847cd79677e55e58bad051")
# Requires flask<2, cannot mkOverride because tests need to be disabled
(
@@ -65,7 +64,20 @@ let
}
)
-
+ # Requires unidecode>=0.04.14,<0.05. Upstream changed the source naming between releases
+ (
+ self: super: {
+ unidecode = super.unidecode.overridePythonAttrs (oldAttrs: rec {
+ version = "0.04.21";
+ src = fetchFromGitHub {
+ owner = "avian2";
+ repo = "unidecode";
+ rev = "release-${version}";
+ sha256 = "0p5bkibv0xm1265dlfrz3zq3k9bbx07gl8zyq8mvvb8hi7p5lifg";
+ };
+ });
+ }
+ )
# Requires websocket-client <1.0, >=0.57. Cannot do mkOverride b/c differing underscore/hyphen in pypi source name
(
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/octoprint/plugins.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/octoprint/plugins.nix
index 616596ed9b1..bb727b2adbb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/octoprint/plugins.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/octoprint/plugins.nix
@@ -2,15 +2,17 @@
with pkgs;
-self: super: let
+self: super:
+let
buildPlugin = args: self.buildPythonPackage (args // {
pname = "OctoPrintPlugin-${args.pname}";
inherit (args) version;
- propagatedBuildInputs = (args.propagatedBuildInputs or []) ++ [ super.octoprint ];
+ propagatedBuildInputs = (args.propagatedBuildInputs or [ ]) ++ [ super.octoprint ];
# none of the following have tests
doCheck = false;
});
-in {
+in
+{
inherit buildPlugin;
m86motorsoff = buildPlugin rec {
@@ -84,7 +86,7 @@ in {
meta = with lib; {
description = "Plugin to display the estimated print cost for the loaded model.";
- homepage = "https://github.com/malnvenshorn/OctoPrint-CostEstimation";
+ homepage = "https://github.com/OllisGit/OctoPrint-CostEstimation";
license = licenses.agpl3Only;
maintainers = with maintainers; [ stunkymonkey ];
};
@@ -147,6 +149,25 @@ in {
};
};
+ ender3v2tempfix = buildPlugin rec {
+ pname = "OctoPrintPlugin-ender3v2tempfix";
+ version = "unstable-2021-04-27";
+
+ src = fetchFromGitHub {
+ owner = "SimplyPrint";
+ repo = "OctoPrint-Creality2xTemperatureReportingFix";
+ rev = "2c4183b6a0242a24ebf646d7ac717cd7a2db2bcf";
+ sha256 = "03bc2zbffw4ksk8if90kxhs3179nbhb4xikp4f0adm3lrnvxkd3s";
+ };
+
+ meta = with lib; {
+ description = "Fixes the double temperature reporting from the Creality Ender-3 v2 printer";
+ homepage = "https://github.com/SimplyPrint/OctoPrint-Creality2xTemperatureReportingFix";
+ license = licenses.mit;
+ maintainers = with maintainers; [ illustris ];
+ };
+ };
+
gcodeeditor = buildPlugin rec {
pname = "GcodeEditor";
version = "0.2.12";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/onboard/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/onboard/default.nix
index 745d03bb189..fba1c0b0f5f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/onboard/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/onboard/default.nix
@@ -15,6 +15,7 @@
, hunspellWithDicts
, intltool
, isocodes
+, libappindicator-gtk3
, libcanberra-gtk3
, mousetweaks
, udev
@@ -70,6 +71,7 @@ python3.pkgs.buildPythonApplication rec {
gtk3
hunspell
isocodes
+ libappindicator-gtk3
libcanberra-gtk3
libxkbcommon
mousetweaks
@@ -78,7 +80,7 @@ python3.pkgs.buildPythonApplication rec {
xorg.libxkbfile
] ++ lib.optional atspiSupport at-spi2-core;
- propagatedBuildInputs = with python3.pkgs; [
+ pythonPath = with python3.pkgs; [
dbus-python
distutils_extra
pyatspi
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/orca/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/orca/default.nix
index f8facbe01fa..688d5acdd12 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/orca/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/orca/default.nix
@@ -8,7 +8,6 @@
, gettext
, yelp-tools
, itstool
-, libxmlxx3
, python
, pygobject3
, gtk3
@@ -35,13 +34,13 @@
buildPythonApplication rec {
pname = "orca";
- version = "40.0";
+ version = "41.0";
format = "other";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "0hq0zdcn80ficpcffbk667907v6m7dih3dhyc7ss01mrj3iyw000";
+ sha256 = "dpflFEXhn9d05osWCtr2aHuAgXLeBBdgLhaXZra21L0=";
};
patches = [
@@ -58,14 +57,13 @@ buildPythonApplication rec {
autoreconfHook
wrapGAppsHook
pkg-config
- libxmlxx3
gettext
yelp-tools
itstool
gobject-introspection
];
- propagatedBuildInputs = [
+ pythonPath = [
pygobject3
pyatspi
dbus-python
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/orpie/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/orpie/default.nix
index 45e043b6dcf..a1f119f6834 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/orpie/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/orpie/default.nix
@@ -13,12 +13,10 @@ ocamlPackages.buildDunePackage rec {
sha256 = "1rx2nl6cdv609pfymnbq53pi3ql5fr4kda8x10ycd9xq2gc4f21g";
};
+ patches = [ ./prefix.patch ];
+
preConfigure = ''
- patchShebangs scripts
- substituteInPlace scripts/compute_prefix \
- --replace '"topfind"' \
- '"${ocamlPackages.findlib}/lib/ocaml/${ocamlPackages.ocaml.version}/site-lib/topfind"'
- export PREFIX=$out
+ substituteInPlace src/orpie/install.ml.in --replace '@prefix@' $out
'';
buildInputs = with ocamlPackages; [ curses camlp5 num gsl ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/orpie/prefix.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/orpie/prefix.patch
new file mode 100644
index 00000000000..41e72ca6d61
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/orpie/prefix.patch
@@ -0,0 +1,11 @@
+--- a/src/orpie/dune 2021-10-05 06:09:09.040120000 +0200
++++ b/src/orpie/dune 2021-10-05 06:10:06.568418512 +0200
+@@ -18,7 +18,7 @@
+ ; Support $PREFIX for overriding installation location
+ (rule
+ (targets install.ml)
+- (action (run %{project_root}/scripts/compute_prefix subst %{deps} %{targets}))
++ (action (copy# %{deps} %{targets}))
+ (deps (file install.ml.in)))
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/osm2xmap/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/osm2xmap/default.nix
index 4f2af99ab14..f9f880050ed 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/osm2xmap/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/osm2xmap/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, libroxml, proj, libyamlcpp, boost } :
+{ lib, stdenv, fetchFromGitHub, libroxml, proj_7, libyamlcpp, boost } :
stdenv.mkDerivation rec {
pname = "osm2xmap";
@@ -14,14 +14,14 @@ stdenv.mkDerivation rec {
makeFlags = [
"GIT_VERSION=${version}"
"GIT_TIMESTAMP="
- "SHAREDIR=${placeholder "out"}/share/osm2xmap"
+ "SHAREDIR=${placeholder "out"}/share/osm2xmap/"
"INSTALL_BINDIR=${placeholder "out"}/bin"
"INSTALL_MANDIR=${placeholder "out"}/share/man/man1"
];
NIX_CFLAGS_COMPILE = "-DACCEPT_USE_OF_DEPRECATED_PROJ_API_H";
- buildInputs = [ libroxml proj libyamlcpp boost ];
+ buildInputs = [ libroxml proj_7 libyamlcpp boost ];
meta = with lib; {
homepage = "https://github.com/sembruk/osm2xmap";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/osmium-tool/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/osmium-tool/default.nix
index 009f40e1a21..7e63bf04eef 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/osmium-tool/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/osmium-tool/default.nix
@@ -14,13 +14,13 @@
stdenv.mkDerivation rec {
pname = "osmium-tool";
- version = "1.13.1";
+ version = "1.13.2";
src = fetchFromGitHub {
owner = "osmcode";
repo = "osmium-tool";
rev = "v${version}";
- sha256 = "sha256-IeFbcgwayBl3xxv3onCJr0f1oeveyyNlLxXQlzOoVq0=";
+ sha256 = "sha256-dLYmY2bS+DycYBLZdLw8CsRIIE8EfDJEx6RZ/r9yMS8=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/pdfsam-basic/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/pdfsam-basic/default.nix
index 404c95f52a8..fac17c978db 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/pdfsam-basic/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/pdfsam-basic/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "pdfsam-basic";
- version = "4.2.3";
+ version = "4.2.6";
src = fetchurl {
url = "https://github.com/torakiki/pdfsam/releases/download/v${version}/pdfsam_${version}-1_amd64.deb";
- sha256 = "sha256-WmJ+atndIXm5Z6RvRVSvf2de1Gda+cs5kSw4iotPVfU=";
+ sha256 = "sha256-H8vFbQHFTO7blTJyfaEuyVUIljhfFautIrXV73zmBeI=";
};
unpackPhase = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/phoc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/phoc/default.nix
index e599ffa39d7..97613b21957 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/phoc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/phoc/default.nix
@@ -39,8 +39,9 @@ in stdenv.mkDerivation rec {
version = "0.8.0";
src = fetchFromGitLab {
- domain = "source.puri.sm";
- owner = "Librem5";
+ domain = "gitlab.gnome.org";
+ group = "World";
+ owner = "Phosh";
repo = pname;
rev = "v${version}";
sha256 = "sha256-QAnJlpFjWJvwxGyenmN4IaI9VFn2jwdXpa8VqAmH7Xw=";
@@ -76,7 +77,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "Wayland compositor for mobile phones like the Librem 5";
- homepage = "https://source.puri.sm/Librem5/phoc";
+ homepage = "https://gitlab.gnome.org/World/Phosh/phoc";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ archseer masipcat zhaofengli ];
platforms = platforms.linux;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/plank/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/plank/default.nix
index 85e3bb48119..1a8f0df5e41 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/plank/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/plank/default.nix
@@ -23,7 +23,6 @@
, libgee
, wrapGAppsHook
, autoreconfHook
-, pantheon
}:
stdenv.mkDerivation rec {
@@ -84,6 +83,6 @@ stdenv.mkDerivation rec {
homepage = "https://launchpad.net/plank";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = with maintainers; [ davidak ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ davidak ] ++ teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/plover/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/plover/default.nix
index ac4811b30c4..68721c846f7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/plover/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/plover/default.nix
@@ -1,4 +1,4 @@
-{ lib, fetchurl, python27Packages, python36Packages, wmctrl,
+{ lib, fetchurl, python27Packages, python3Packages, wmctrl,
qtbase, mkDerivationWith }:
{
@@ -24,9 +24,9 @@
];
};
- dev = with python36Packages; mkDerivationWith buildPythonPackage rec {
+ dev = with python3Packages; mkDerivationWith buildPythonPackage rec {
pname = "plover";
- version = "4.0.0.dev8";
+ version = "4.0.0.dev10";
meta = with lib; {
description = "OpenSteno Plover stenography software";
@@ -36,7 +36,7 @@
src = fetchurl {
url = "https://github.com/openstenoproject/plover/archive/v${version}.tar.gz";
- sha256 = "1wxkmik1zyw5gqig5r0cas5v6f5408fbnximzw610rdisqy09rxp";
+ sha256 = "sha256-Eun+ZgmOIjYw6FS/2OGoBvYh52U/Ue0+NtIqrvV2Tqc=";
};
# I'm not sure why we don't find PyQt5 here but there's a similar
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/polybar/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/polybar/default.nix
index b3b71d87608..3660b0d7e0b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/polybar/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/polybar/default.nix
@@ -43,13 +43,13 @@
stdenv.mkDerivation rec {
pname = "polybar";
- version = "3.5.6";
+ version = "3.5.7";
src = fetchFromGitHub {
owner = pname;
repo = pname;
rev = version;
- sha256 = "sha256-Uvj9V2M/uQxyziTx1hecrcaQZECijlpVmWcUeT+PqrI=";
+ sha256 = "sha256-h12VW3IY4do4cKz2Fd/QgVTBk+zJO+qXuRUCQUyO/x0=";
fetchSubmodules = true;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/portfolio-filemanager/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/portfolio-filemanager/default.nix
index 6b4946976bf..b7cd7c0e117 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/portfolio-filemanager/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/portfolio-filemanager/default.nix
@@ -17,7 +17,7 @@
python3.pkgs.buildPythonApplication rec {
pname = "portfolio";
- version = "0.9.10";
+ version = "0.9.12";
format = "other";
@@ -25,7 +25,7 @@ python3.pkgs.buildPythonApplication rec {
owner = "tchx84";
repo = "Portfolio";
rev = "v${version}";
- sha256 = "06hk0kx6h8w263qa71bik68rg4r8qs94b6s60pyhzicfc822k0j4";
+ sha256 = "sha256-P+XQRIL2DepoOSVElxVxMihqxdxwLVnvXuraZN8L7z8=";
};
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/privacyidea/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/privacyidea/default.nix
index 217ea6f5e6c..b8e4ebf841c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/privacyidea/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/privacyidea/default.nix
@@ -14,7 +14,8 @@ let
});
flask_migrate = super.flask_migrate.overridePythonAttrs (oldAttrs: rec {
version = "2.7.0";
- src = oldAttrs.src.override {
+ src = python3.pkgs.fetchPypi {
+ pname = "Flask-Migrate";
inherit version;
sha256 = "ae2f05671588762dd83a21d8b18c51fe355e86783e24594995ff8d7380dffe38";
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/prusa-slicer/super-slicer.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/prusa-slicer/super-slicer.nix
index 2bf28aa892f..479e497e341 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/prusa-slicer/super-slicer.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/prusa-slicer/super-slicer.nix
@@ -1,22 +1,28 @@
{ lib, fetchFromGitHub, makeDesktopItem, prusa-slicer }:
let
appname = "SuperSlicer";
- version = "2.3.56.5";
pname = "super-slicer";
description = "PrusaSlicer fork with more features and faster development cycle";
- override = super: {
+
+ versions = {
+ stable = { version = "2.3.56.9"; sha256 = "sha256-vv01wGQkrasKKjpGSDeDqZbd1X5/iTfGXYN5Jwz+FKE="; };
+ staging = { version = "2.3.57.0"; sha256 = "sha256-7o0AqgQcKYc6c+Hi3x5pC/pKJZPlEsYOYk9sC21+mvM="; };
+ };
+
+ override = { version, sha256 }: super: {
inherit version pname;
src = fetchFromGitHub {
owner = "supermerill";
repo = "SuperSlicer";
- sha256 = "sha256-Gg+LT1YKyUGNJE9XvWE1LSlIQ6Vq5GfVBTUw/A7Qx7E=";
+ inherit sha256;
rev = version;
fetchSubmodules = true;
};
# We don't need PS overrides anymore, and gcode-viewer is embedded in the binary.
postInstall = null;
+ separateDebugInfo = true;
# See https://github.com/supermerill/SuperSlicer/issues/432
cmakeFlags = super.cmakeFlags ++ [
@@ -42,5 +48,10 @@ let
maintainers = with maintainers; [ cab404 moredread ];
};
+ passthru = allVersions;
+
};
-in prusa-slicer.overrideAttrs override
+
+ allVersions = builtins.mapAttrs (_name: version: (prusa-slicer.overrideAttrs (override version))) versions;
+in
+allVersions.stable
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/psi-notify/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/psi-notify/default.nix
new file mode 100644
index 00000000000..9e4dcd783b7
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/psi-notify/default.nix
@@ -0,0 +1,39 @@
+{ lib, stdenv, fetchFromGitHub, systemd, libnotify, pkg-config }:
+
+stdenv.mkDerivation rec {
+ pname = "psi-notify";
+ version = "1.2.1";
+
+ src = fetchFromGitHub {
+ owner = "cdown";
+ repo = pname;
+ rev = version;
+ sha256 = "0hn37plim1smmlrjjmz8kybyms8pz3wxcgf8vmqjrsqi6bfcym7g";
+ };
+
+ buildInputs = [ systemd libnotify ];
+ nativeBuildInputs = [ pkg-config ];
+
+ installPhase = ''
+ runHook preInstall
+
+ install -D psi-notify $out/bin/psi-notify
+ substituteInPlace psi-notify.service --replace psi-notify $out/bin/psi-notify
+ install -D psi-notify.service $out/lib/systemd/user/psi-notify.service
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Alert on system resource saturation";
+ longDescription = ''
+ psi-notify can alert you when resources on your machine are becoming
+ oversaturated, and allow you to take action before your system slows to a
+ crawl.
+ '';
+ license = licenses.mit;
+ homepage = "https://github.com/cdown/psi-notify";
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ eduarrrd ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/pueue/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/pueue/default.nix
index 298307b58f9..ac79f5be846 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/pueue/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/pueue/default.nix
@@ -2,16 +2,16 @@
rustPlatform.buildRustPackage rec {
pname = "pueue";
- version = "0.12.2";
+ version = "1.0.3";
src = fetchFromGitHub {
owner = "Nukesor";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-umVIMboKG6cZ1JOcfhOEZTQwPLxC2LdlGUa4U6LXh/g=";
+ sha256 = "sha256-1iAXLs3O7EV7LfbXnajlDm75tQtanFInfNWZmnittlk=";
};
- cargoSha256 = "sha256-nppwwO0dBXYG/ZJMNWGnl7J77GDI7+NV8QAmfcbpJD4=";
+ cargoSha256 = "sha256-x/qRNxZS++DBq5B9+/9eXN95QZN/FSLi+3XyJ06Y1hg=";
nativeBuildInputs = [ installShellFiles ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/qcad/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/qcad/default.nix
index 19e5d18fe93..bddcfa497d9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/qcad/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/qcad/default.nix
@@ -17,13 +17,13 @@
mkDerivationWith stdenv.mkDerivation rec {
pname = "qcad";
- version = "3.26.1.0";
+ version = "3.26.4.7";
src = fetchFromGitHub {
owner = "qcad";
repo = "qcad";
rev = "v${version}";
- sha256 = "sha256-OWAc7g8DiJR3z6dUF5D0Yo3wnRKd1Xe7D1eq15NRW5c=";
+ sha256 = "sha256-of0wsuHWM2mzGQmu9P4AHqXCHew45ywnnv/Al2o47ZM=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/qdirstat/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/qdirstat/default.nix
index d5450f0e5c4..60db29b83ba 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/qdirstat/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/qdirstat/default.nix
@@ -4,13 +4,13 @@
let
pname = "qdirstat";
- version = "1.7.1";
+ version = "1.8";
src = fetchFromGitHub {
owner = "shundhammer";
repo = pname;
rev = version;
- sha256 = "sha256-i1xHMwSnBULJbOA/ykQK9WBd+6TBNBRI9hnU1FDGQlY=";
+ sha256 = "sha256-R/eUqv5AxO5TcLkqOvlAXEkjAzeKGihf8YIQIIevOR0=";
};
in
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/reddsaver/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/reddsaver/default.nix
index 23fddf3b7ed..7bcf774b011 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/reddsaver/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/reddsaver/default.nix
@@ -8,16 +8,16 @@
rustPlatform.buildRustPackage rec {
pname = "reddsaver";
- version = "0.3.3";
+ version = "0.4.0";
src = fetchFromGitHub {
owner = "manojkarthick";
repo = "reddsaver";
rev = "v${version}";
- sha256 = "1czsy1bb0ja650sndwzq9rcbbhcci7s7ablw0agaynhi403shavv";
+ sha256 = "07xsrc0w0z7w2w0q44aqnn1ybf9vqry01v3xr96l1xzzc5mkqdzf";
};
- cargoSha256 = "0wr6y7mfffaqdh6i5nqhx692dih159121sm6k0i37wcdvxhvd51z";
+ cargoSha256 = "0y94dywligcsqs01d228w454ssrzg31p4j8mni9flcr4v29z3rwp";
nativeBuildInputs = [ pkg-config ];
buildInputs = [ openssl ]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/rofi-emoji/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/rofi-emoji/default.nix
index 73d8999be5b..1d232d8a3fa 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/rofi-emoji/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/rofi-emoji/default.nix
@@ -19,13 +19,13 @@
stdenv.mkDerivation rec {
pname = "rofi-emoji";
- version = "2.1.2";
+ version = "2.2.0";
src = fetchFromGitHub {
owner = "Mange";
repo = pname;
rev = "v${version}";
- sha256 = "0knsvsdff2c7ww94120bq92735qrfriyd28mi0n72ccb2iikyi8b";
+ sha256 = "01f9nw54mbwlj00mclf7qc2y95riaihzznbbmp0wc4c52pvxki4q";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/rofi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/rofi/default.nix
index d47d1fe26a6..12daea00cad 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/rofi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/rofi/default.nix
@@ -1,16 +1,35 @@
-{ stdenv, lib, fetchurl
-, autoreconfHook, pkg-config, libxkbcommon, pango, which, git
-, cairo, libxcb, xcbutil, xcbutilwm, xcbutilxrm, libstartup_notification
-, bison, flex, librsvg, check
+{ stdenv
+, lib
+, fetchFromGitHub
+, autoreconfHook
+, pkg-config
+, libxkbcommon
+, pango
+, which
+, git
+, cairo
+, libxcb
+, xcbutil
+, xcbutilwm
+, xcbutilxrm
+, xcb-util-cursor
+, libstartup_notification
+, bison
+, flex
+, librsvg
+, check
}:
stdenv.mkDerivation rec {
pname = "rofi-unwrapped";
- version = "1.6.1";
+ version = "1.7.0";
- src = fetchurl {
- url = "https://github.com/davatorium/rofi/releases/download/${version}/rofi-${version}.tar.gz";
- sha256 = "04glljqbf9ckkc6x6fv4x1gqmy468n1agya0kd8rxdvz24wzf7cd";
+ src = fetchFromGitHub {
+ owner = "davatorium";
+ repo = "rofi";
+ rev = version;
+ fetchSubmodules = true;
+ sha256 = "03wdy56b3g8p2czb0qydrddyyhj3x037pirnhyqr5qbfczb9a63v";
};
preConfigure = ''
@@ -20,8 +39,22 @@ stdenv.mkDerivation rec {
'';
nativeBuildInputs = [ autoreconfHook pkg-config ];
- buildInputs = [ libxkbcommon pango cairo git bison flex librsvg check
- libstartup_notification libxcb xcbutil xcbutilwm xcbutilxrm which
+ buildInputs = [
+ libxkbcommon
+ pango
+ cairo
+ git
+ bison
+ flex
+ librsvg
+ check
+ libstartup_notification
+ libxcb
+ xcbutil
+ xcbutilwm
+ xcbutilxrm
+ xcb-util-cursor
+ which
];
doCheck = false;
@@ -30,7 +63,7 @@ stdenv.mkDerivation rec {
description = "Window switcher, run dialog and dmenu replacement";
homepage = "https://github.com/davatorium/rofi";
license = licenses.mit;
- maintainers = with maintainers; [ ];
+ maintainers = with maintainers; [ bew ];
platforms = with platforms; linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/rootbar/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/rootbar/default.nix
index d50cefb2a71..eab821c6dc6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/rootbar/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/rootbar/default.nix
@@ -32,7 +32,7 @@ stdenv.mkDerivation rec {
];
meta = with lib; {
- homepage = "https://github.com/alexays/waybar";
+ homepage = "https://hg.sr.ht/~scoopta/rootbar";
description = "A bar for Wayland WMs";
longDescription = ''
Root Bar is a bar for wlroots based wayland compositors such as sway and
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/safeeyes/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/safeeyes/default.nix
index 179ebd33d85..c188e5f7853 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/safeeyes/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/safeeyes/default.nix
@@ -57,6 +57,9 @@ in buildPythonApplication rec {
# safeeyes images
--prefix XDG_DATA_DIRS : "$out/lib/${python.libPrefix}/site-packages/usr/share"
)
+ mkdir -p $out/share/applications
+ cp -r safeeyes/platform/icons $out/share/
+ cp safeeyes/platform/safeeyes.desktop $out/share/applications/
'';
doCheck = false; # no tests
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/seatd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/seatd/default.nix
index 98f278e0c3a..0565c8add3b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/seatd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/seatd/default.nix
@@ -10,13 +10,13 @@
stdenv.mkDerivation rec {
pname = "seatd";
- version = "0.5.0";
+ version = "0.6.2";
src = fetchFromSourcehut {
owner = "~kennylevinsen";
repo = "seatd";
rev = version;
- sha256 = "sha256-JwlJLHkRgSRqfQEhXbzuFTmhxfbwKVdLICPbTDbC9M0=";
+ sha256 = "0c07i1nq0k60xmcsnr37ryqs7nzbg4qfq6fzbnzwbh1yhr8kypcm";
};
outputs = [ "bin" "out" "dev" "man" ];
@@ -27,7 +27,7 @@ stdenv.mkDerivation rec {
buildInputs = [ systemd ];
- mesonFlags = [ "-Dlogind=enabled" "-Dbuiltin=enabled" ];
+ mesonFlags = [ "-Dlibseat-logind=systemd" "-Dlibseat-builtin=enabled" ];
meta = with lib; {
description = "A universal seat management library";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/senv/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/senv/default.nix
index 6df8dc781e7..86c896b1277 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/senv/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/senv/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "senv";
- version = "0.5.0";
+ version = "0.7.0";
src = fetchFromGitHub {
owner = "SpectralOps";
repo = pname;
rev = "v${version}";
- sha256 = "014422sdks2xlpsgvynwibz25jg1fj5s8dcf8b1j6djgq5glhfaf";
+ sha256 = "sha256-TjlIX8FPNiPDQo41pIt04cki/orc+v30pV3o2bQQhAQ=";
};
- vendorSha256 = "05n55yf75r7i9kl56kw9x6hgmyf5bva5dzp9ni2ws0lb1389grfc";
+ vendorSha256 = "sha256-zOWX0AiLAs1FtOn+VtRexfn6oOmJT1PoTPHkcpwvxRY=";
subPackages = [ "." ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/sequeler/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/sequeler/default.nix
index 5fd5176c95f..123e01fe798 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/sequeler/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/sequeler/default.nix
@@ -45,7 +45,7 @@ in stdenv.mkDerivation rec {
'';
homepage = "https://github.com/Alecaddd/sequeler";
license = licenses.gpl3;
- maintainers = [ maintainers.etu ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ etu ] ++ teams.pantheon.members;
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/sidequest/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/sidequest/default.nix
index c83cd772659..a1be4a6b52e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/sidequest/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/sidequest/default.nix
@@ -1,7 +1,7 @@
{ stdenv, lib, fetchurl, buildFHSUserEnv, makeDesktopItem, makeWrapper, atomEnv, libuuid, at-spi2-atk, icu, openssl, zlib }:
let
pname = "sidequest";
- version = "0.10.19";
+ version = "0.10.24";
desktopItem = makeDesktopItem rec {
name = "SideQuest";
@@ -16,7 +16,7 @@
src = fetchurl {
url = "https://github.com/SideQuestVR/SideQuest/releases/download/v${version}/SideQuest-${version}.tar.xz";
- sha256 = "14zqp12nigc4kv6hppyx2s59mmriimnzczay4xi3vh7zcw207px2";
+ sha256 = "0bnd16f22sgy67z3d6rf4z20n56ljxczsql455p2j6kck5f75lh4";
};
nativeBuildInputs = [ makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/skytemple/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/skytemple/default.nix
index d341c982199..e8c6445d5f8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/skytemple/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/skytemple/default.nix
@@ -2,13 +2,13 @@
python3Packages.buildPythonApplication rec {
pname = "skytemple";
- version = "1.2.5";
+ version = "1.3.2";
src = fetchFromGitHub {
owner = "SkyTemple";
repo = pname;
rev = version;
- sha256 = "0780517gjc97wb2g67pwdv3fz3sqxm2ica1hdbrhqm4rfbnb28xr";
+ sha256 = "1sx2rib0la3mifvh84ia3jnnq4qw9jxc13vxyidsdkp6x82nbvcg";
};
buildInputs = [
@@ -41,6 +41,6 @@ python3Packages.buildPythonApplication rec {
homepage = "https://github.com/SkyTemple/skytemple";
description = "ROM hacking tool for Pokémon Mystery Dungeon Explorers of Sky";
license = licenses.gpl3Plus;
- maintainers = with maintainers; [ xfix ];
+ maintainers = with maintainers; [ xfix marius851000 ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/solaar/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/solaar/default.nix
index b6059ac1a71..641353f53da 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/solaar/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/solaar/default.nix
@@ -1,4 +1,13 @@
-{ fetchFromGitHub, lib, gobject-introspection, gtk3, python3Packages }:
+{ fetchFromGitHub
+, lib
+, gobject-introspection
+, gtk3
+, python3Packages
+, wrapGAppsHook
+, gdk-pixbuf
+, libappindicator
+, librsvg
+}:
# Although we copy in the udev rules here, you probably just want to use
# logitech-udev-rules instead of adding this to services.udev.packages on NixOS
@@ -13,6 +22,9 @@ python3Packages.buildPythonApplication rec {
sha256 = "sha256-Ys0005hIQ+fT4oMeU5iFtbLNqn1WM6iLdIKGwdyn7BM=";
};
+ nativeBuildInputs = [ wrapGAppsHook gdk-pixbuf ];
+ buildInputs = [ libappindicator librsvg ];
+
propagatedBuildInputs = with python3Packages; [
gobject-introspection
gtk3
@@ -23,11 +35,6 @@ python3Packages.buildPythonApplication rec {
xlib
];
- makeWrapperArgs = [
- "--prefix PYTHONPATH : $PYTHONPATH"
- "--prefix GI_TYPELIB_PATH : $GI_TYPELIB_PATH"
- ];
-
# the -cli symlink is just to maintain compabilility with older versions where
# there was a difference between the GUI and CLI versions.
postInstall = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/sqls/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/sqls/default.nix
new file mode 100644
index 00000000000..d3ecfcbde2b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/sqls/default.nix
@@ -0,0 +1,24 @@
+{ lib, buildGoModule, fetchFromGitHub }:
+
+buildGoModule rec {
+ pname = "sqls";
+ version = "0.2.19";
+
+ src = fetchFromGitHub {
+ owner = "lighttiger2505";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "1myypq9kdfbhl5h9h8d30a3pi89mix48wm1c38648ky9vhx0s4az";
+ };
+
+ vendorSha256 = "13c7nv0anj260z34bd7w1hz0rkmsj9r1zz55qiwcr1vdgmvy84cz";
+
+ ldflags = [ "-s" "-w" "-X main.version=${version}" "-X main.revision=${src.rev}" ];
+
+ meta = with lib; {
+ homepage = "https://github.com/lighttiger2505/sqls";
+ description = "SQL language server written in Go";
+ license = licenses.mit;
+ maintainers = [ maintainers.marsam ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/survex/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/survex/default.nix
index f6865f877d7..27723096588 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/survex/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/survex/default.nix
@@ -6,7 +6,7 @@
, wxGTK30-gtk3
, wxmac
, ffmpeg
-, proj
+, proj_7
, perl532
, unscii
, python
@@ -29,7 +29,7 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [ docbook5 docbook2x autoreconfHook pkg-config perlenv python ];
buildInputs = [
- libGL libGLU ffmpeg proj
+ libGL libGLU ffmpeg proj_7
] ++ lib.optionals stdenv.hostPlatform.isDarwin [
wxmac Carbon Cocoa
] ++ lib.optionals stdenv.hostPlatform.isLinux [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/swappy/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/swappy/default.nix
index 69d7836e762..d83cf6c8484 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/swappy/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/swappy/default.nix
@@ -17,13 +17,13 @@
stdenv.mkDerivation rec {
pname = "swappy";
- version = "1.3.1";
+ version = "1.4.0";
src = fetchFromGitHub {
owner = "jtheoof";
repo = pname;
rev = "v${version}";
- sha256 = "12z643c7vzffhjsxaz1lak99i4nwm688pha0hh4pg69jf5wz5xx3";
+ sha256 = "sha256-sINX7pJ0msis7diGFTCgD4Mamd3yGGJew1uD8de4VOg=";
};
nativeBuildInputs = [ glib meson ninja pkg-config scdoc wrapGAppsHook ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/taskopen/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/taskopen/default.nix
index 8933faf5fb0..88050d2f449 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/taskopen/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/taskopen/default.nix
@@ -1,20 +1,27 @@
-{ fetchurl, lib, stdenv, makeWrapper, which, perl, perlPackages }:
+{ lib, stdenv, fetchFromGitHub, makeWrapper, which, perl, perlPackages }:
-stdenv.mkDerivation {
- name = "taskopen-1.1.4";
- src = fetchurl {
- url = "https://github.com/ValiValpas/taskopen/archive/v1.1.4.tar.gz";
- sha256 = "774dd89f5c92462098dd6227e181268e5ec9930bbc569f25784000df185c71ba";
- };
+stdenv.mkDerivation rec {
+ pname = "taskopen";
+ version = "1.1.5";
- nativeBuildInputs = [ makeWrapper ];
- buildInputs = [ which perl ] ++ (with perlPackages; [ JSON ]);
+ src = fetchFromGitHub {
+ owner = "ValiValpas";
+ repo = "taskopen";
+ rev = "v${version}";
+ sha256 = "sha256-/xf7Ph2KKiZ5lgLKk95nCgw/z9wIBmuWf3QGaNebgHg=";
+ };
- installPhase = ''
+ postPatch = ''
# We don't need a DESTDIR and an empty string results in an absolute path
# (due to the trailing slash) which breaks the build.
sed 's|$(DESTDIR)/||' -i Makefile
+ '';
+
+ nativeBuildInputs = [ makeWrapper ];
+ buildInputs = [ which ]
+ ++ (with perlPackages; [ JSON perl ]);
+ installPhase = ''
make PREFIX=$out
make PREFIX=$out install
'';
@@ -28,7 +35,7 @@ stdenv.mkDerivation {
description = "Script for taking notes and open urls with taskwarrior";
homepage = "https://github.com/ValiValpas/taskopen";
platforms = platforms.linux;
- license = lib.licenses.free ;
+ license = licenses.free;
maintainers = [ maintainers.winpat ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/taskwarrior-tui/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/taskwarrior-tui/default.nix
index a591a766e1e..93a8d6e088c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/taskwarrior-tui/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/taskwarrior-tui/default.nix
@@ -5,19 +5,19 @@
rustPlatform.buildRustPackage rec {
pname = "taskwarrior-tui";
- version = "0.13.29";
+ version = "0.13.34";
src = fetchFromGitHub {
owner = "kdheepak";
repo = "taskwarrior-tui";
rev = "v${version}";
- sha256 = "sha256-56+/WQESbf31UkJU4xONLY2T+WQVM0bI/x1yLZr3elI=";
+ sha256 = "0p0nkqvkir6lriq75ingpfywn2yvyn3l35yxzk4aiq6vr2n7h3mw";
};
# Because there's a test that requires terminal access
doCheck = false;
- cargoSha256 = "sha256-8am66wP2751AAMbWDBKZ89mAgr2poq3CU+aJF+I8/fs=";
+ cargoSha256 = "1mzc6rnqcv97dlkl4j4p180f46wlyq45lc6nq7gqw396wc6m04km";
meta = with lib; {
description = "A terminal user interface for taskwarrior ";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/taskwarrior/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/taskwarrior/default.nix
index 812c9b72f99..b229a56a8ca 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/taskwarrior/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/taskwarrior/default.nix
@@ -1,34 +1,27 @@
-{ lib, stdenv, fetchurl, cmake, libuuid, gnutls, python3, bash }:
+{ lib, stdenv, fetchFromGitHub, cmake, libuuid, gnutls, python3, xdg-utils }:
stdenv.mkDerivation rec {
pname = "taskwarrior";
- version = "2.5.3";
-
- srcs = [
- (fetchurl {
- url = "https://github.com/GothenburgBitFactory/taskwarrior/releases/download/v${version}/${sourceRoot}.tar.gz";
- sha256 = "0fwnxshhlha21hlgg5z1ad01w13zm1hlmncs274y5n8i15gdfhvj";
- })
- (fetchurl {
- url = "https://github.com/GothenburgBitFactory/taskwarrior/releases/download/v${version}/tests-${version}.tar.gz";
- sha256 = "165xmf9h6rb7l6l9nlyygj0mx9bi1zyd78z0lrl3nadhmgzggv0b";
- })
- ];
-
- sourceRoot = "task-${version}";
+ version = "2.6.0";
+
+ src = fetchFromGitHub {
+ owner = "GothenburgBitFactory";
+ repo = "taskwarrior";
+ rev = "v${version}";
+ sha256 = "sha256-2wVjRecfIlNFAxXFaiKfxy9zArDIYDTfDdrnSM7H8fM=";
+ fetchSubmodules = true;
+ };
- postUnpack = ''
- mv test ${sourceRoot}
+ postPatch = ''
+ substituteInPlace src/commands/CmdNews.cpp \
+ --replace "xdg-open" "${lib.getBin xdg-utils}/bin/xdg-open"
'';
- nativeBuildInputs = [ cmake libuuid gnutls ];
+ nativeBuildInputs = [ cmake libuuid gnutls python3 ];
doCheck = true;
preCheck = ''
- find test -type f -exec sed -i \
- -e "s|/usr/bin/env python3|${python3.interpreter}|" \
- -e "s|/usr/bin/env bash|${bash}/bin/bash|" \
- {} +
+ patchShebangs --build test
'';
checkTarget = "test";
@@ -37,15 +30,13 @@ stdenv.mkDerivation rec {
ln -s "../../doc/task/scripts/bash/task.sh" "$out/share/bash-completion/completions/task.bash"
mkdir -p "$out/share/fish/vendor_completions.d"
ln -s "../../../share/doc/task/scripts/fish/task.fish" "$out/share/fish/vendor_completions.d/"
- mkdir -p "$out/share/zsh/site-functions"
- ln -s "../../../share/doc/task/scripts/zsh/_task" "$out/share/zsh/site-functions/"
'';
meta = with lib; {
description = "Highly flexible command-line tool to manage TODO lists";
homepage = "https://taskwarrior.org";
license = licenses.mit;
- maintainers = with maintainers; [ marcweber ];
+ maintainers = with maintainers; [ marcweber oxalica ];
platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/themechanger/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/themechanger/default.nix
new file mode 100644
index 00000000000..d2b92fa250b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/themechanger/default.nix
@@ -0,0 +1,65 @@
+{ lib
+, gobject-introspection
+, meson
+, ninja
+, pkg-config
+, wrapGAppsHook
+, desktop-file-utils
+, glib
+, gtk3
+, python3
+, gsettings-desktop-schemas
+, python3Packages
+, fetchFromGitHub
+}:
+
+python3Packages.buildPythonApplication rec {
+ pname = "themechanger";
+ version = "0.10.2";
+ format = "other";
+
+ src = fetchFromGitHub {
+ owner = "ALEX11BR";
+ repo = "ThemeChanger";
+ rev = "v${version}";
+ sha256 = "00z1npm3lpvf0wc9z2v58pc4nxxh8x9m158kxf1k0qlz536jrzqr";
+ };
+
+ nativeBuildInputs = [
+ gobject-introspection
+ meson
+ ninja
+ pkg-config
+ wrapGAppsHook
+ desktop-file-utils
+ gtk3
+ ];
+
+ buildInputs = [
+ glib
+ gtk3
+ python3
+ gsettings-desktop-schemas
+ ];
+
+ propagatedBuildInputs = with python3Packages; [
+ pygobject3
+ ];
+
+ postPatch = ''
+ patchShebangs postinstall.py
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/ALEX11BR/ThemeChanger";
+ description = "A theme changing utility for Linux";
+ longDescription = ''
+ This app is a theme changing utility for Linux, BSDs, and whatnots.
+ It lets the user change GTK 2/3/4, Kvantum, icon and cursor themes, edit GTK CSS with live preview, and set some related options.
+ It also lets the user install icon and widget theme archives.
+ '';
+ maintainers = with maintainers; [ ALEX11BR ];
+ license = licenses.gpl2Plus;
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ticker/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ticker/default.nix
index 4de28e6a861..f268a9f4ece 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ticker/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ticker/default.nix
@@ -5,13 +5,13 @@
buildGoModule rec {
pname = "ticker";
- version = "4.2.1";
+ version = "4.3.0";
src = fetchFromGitHub {
owner = "achannarasappa";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-T9pApuzATohiOUmWa+GBlLrlTNgKNMwtW6fSPO/NS6Y=";
+ sha256 = "sha256-DdUXT8xrKd114U+CSwIgl7XczxQZGWVZA3tMU7461xY=";
};
vendorSha256 = "sha256-vTB1RPg1LN44bkWrdGEXR6WRlM/Q2EITUO0yt5ar/zg=";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/todoist-electron/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/todoist-electron/default.nix
index 945b0b32491..bcd277cad4a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/todoist-electron/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/todoist-electron/default.nix
@@ -1,12 +1,12 @@
-{ lib, stdenv, fetchurl, appimageTools, makeWrapper, electron_11, libsecret }:
+{ lib, stdenv, fetchurl, appimageTools, makeWrapper, electron, libsecret }:
stdenv.mkDerivation rec {
pname = "todoist-electron";
- version = "0.2.4";
+ version = "1.0.1";
src = fetchurl {
url = "https://electron-dl.todoist.com/linux/Todoist-${version}.AppImage";
- sha256 = "1xrf2qjhq116z18qx7n1zd7mhvkb2dccaq7az4w6fs216l8q5zf2";
+ sha256 = "1c4qmfyfi4hm3fs5bkxjbq1hxs5sgyp531xi5z5vpnzzi5z7dw0k";
};
appimageContents = appimageTools.extractType2 {
@@ -27,7 +27,7 @@ stdenv.mkDerivation rec {
cp -a ${appimageContents}/{locales,resources} $out/share/${pname}
cp -a ${appimageContents}/todoist.desktop $out/share/applications/${pname}.desktop
- cp -a ${appimageContents}/usr/share/icons/hicolor/0x0/apps $out/share/icons/hicolor/512x512
+ cp -a ${appimageContents}/usr/share/icons/hicolor/512x512/apps $out/share/icons/hicolor/512x512
substituteInPlace $out/share/applications/${pname}.desktop \
--replace 'Exec=AppRun' 'Exec=${pname}'
@@ -36,7 +36,7 @@ stdenv.mkDerivation rec {
'';
postFixup = ''
- makeWrapper ${electron_11}/bin/electron $out/bin/${pname} \
+ makeWrapper ${electron}/bin/electron $out/bin/${pname} \
--add-flags $out/share/${pname}/resources/app.asar \
--prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ stdenv.cc.cc libsecret ]}"
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/toot/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/toot/default.nix
index 2a322b20881..933464b6263 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/toot/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/toot/default.nix
@@ -1,14 +1,14 @@
{ lib, fetchFromGitHub, python3Packages }:
python3Packages.buildPythonApplication rec {
- version = "0.27.0";
- name = "toot-${version}";
+ pname = "toot";
+ version = "0.28.0";
src = fetchFromGitHub {
owner = "ihabunek";
repo = "toot";
rev = version;
- sha256 = "197g9lvwg8qnsf18kifcqdj3cpfdnxz9vay766rn9bi4nfz0s6j2";
+ sha256 = "076r6l89gxjwxjpiklidcs8yajn5c2bnqjvbj4wc559iqdqj88lz";
};
checkInputs = with python3Packages; [ pytest ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/trenchbroom/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/trenchbroom/default.nix
new file mode 100644
index 00000000000..b6ff0211691
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/trenchbroom/default.nix
@@ -0,0 +1,65 @@
+{ lib, stdenv, fetchFromGitHub
+, cmake, ninja, git, pandoc
+, libGL, libGLU, libXxf86vm, freeimage
+, qtbase, wrapQtAppsHook
+, copyDesktopItems, makeDesktopItem
+}:
+
+stdenv.mkDerivation rec {
+ pname = "TrenchBroom";
+ version = "2021.1";
+
+ src = fetchFromGitHub {
+ owner = "TrenchBroom";
+ repo = "TrenchBroom";
+ rev = "v${version}";
+ sha256 = "06j68kp7g57hclyp8ilh2wd4vr5w8r718cicdp1cap48fcxlqfxv";
+ fetchSubmodules = true;
+ };
+ postPatch = ''
+ substituteInPlace common/src/Version.h.in \
+ --subst-var-by APP_VERSION_YEAR ${lib.versions.major version} \
+ --subst-var-by APP_VERSION_NUMBER ${lib.versions.minor version} \
+ --subst-var-by GIT_DESCRIBE v${version}
+ '';
+
+ nativeBuildInputs = [ cmake git pandoc wrapQtAppsHook copyDesktopItems ];
+ buildInputs = [ libGL libGLU libXxf86vm freeimage qtbase ];
+ QT_PLUGIN_PATH = "${qtbase}/${qtbase.qtPluginPrefix}";
+ QT_QPA_PLATFORM = "offscreen";
+ ninjaFlags = [
+ "TrenchBroom"
+ ];
+ preBuild = "export HOME=$(mktemp -d)";
+
+ postInstall = ''
+ pushd $out/share/TrenchBroom/icons
+
+ for F in icon_*.png; do
+ SIZE=$(echo $F|sed -e s/icon_// -e s/.png//)
+ DIR=$out/share/icons/hicolor/$SIZE"x"$SIZE/apps
+ mkdir -p $DIR
+ ln -s ../../../../TrenchBroom/icons/$F $DIR/trenchbroom.png
+ done
+
+ popd
+ '';
+
+ desktopItems = [
+ (makeDesktopItem {
+ name = "TrenchBroom";
+ desktopName = "TrenchBroom level editor";
+ icon = "trenchbroom";
+ comment = meta.description;
+ categories = "Development";
+ exec = "trenchbroom";
+ })
+ ];
+
+ meta = with lib; {
+ homepage = "https://trenchbroom.github.io/";
+ description = "Level editor for Quake-engine based games";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ astro ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/tty-solitaire/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/tty-solitaire/default.nix
index 9ea26b52bc3..708596d4074 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/tty-solitaire/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/tty-solitaire/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "tty-solitaire";
- version = "1.3.0";
+ version = "1.3.1";
src = fetchFromGitHub {
owner = "mpereira";
repo = pname;
rev = "v${version}";
- sha256 = "0kix7wfy2bda8cw5kfm7bm5acd5fqmdl9g52ms9bza4kf2jnb754";
+ sha256 = "sha256-zMLNWJieHxHALFQoSkdAxGbUBGuZnznLX86lI3P21F0=";
};
buildInputs = [ ncurses ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ttyper/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ttyper/default.nix
index 664bf9ba725..c3001c3337c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ttyper/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ttyper/default.nix
@@ -2,16 +2,16 @@
rustPlatform.buildRustPackage rec {
pname = "ttyper";
- version = "0.2.5";
+ version = "0.3.0";
src = fetchFromGitHub {
owner = "max-niederman";
repo = pname;
rev = "v${version}";
- sha256 = "1fsb77ky92fyv3ll6zrbxbd69gm85xnc6bivj7sc3sv5cxhgr7a5";
+ sha256 = "sha256-9vcoK2mFEivTSZE3KoQRHUr3AfQ/aN5eWP//Jagw3gU=";
};
- cargoSha256 = "1sqdql0kfr1vsww6hkrp7yjlzx0mnhfma51z699hkx9c492sf1wk";
+ cargoSha256 = "sha256-VzO32b5oAoXR/Ei9up00XRM63I5kuG68TeX4KBCXIdo=";
meta = with lib; {
description = "Terminal-based typing test";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/tut/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/tut/default.nix
index f3e74fdbe94..8e84f56c841 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/tut/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/tut/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "tut";
- version = "0.0.27";
+ version = "0.0.33";
src = fetchFromGitHub {
owner = "RasmusLindroth";
repo = pname;
rev = version;
- sha256 = "sha256-P5tIu6cmh37haWJodBGmzgE8f0QUTwIQes9AuiaVSxU=";
+ sha256 = "sha256-8aa3LYLHjodyYradF2NBuZReHTYBf9TvfVCoDs0gAUw=";
};
- vendorSha256 = "1zmwfgl1mayqcqk93368l94d6yah1qb0x11vf9b2x7zbzxzfshg9";
+ vendorSha256 = "sha256-DcMsxqUO9H1q5+njoOuxQ6l8ifSFuS1jdWSvY/5MDm8=";
meta = with lib; {
description = "A TUI for Mastodon with vim inspired keys";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ulauncher/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ulauncher/default.nix
index f9bf70b661c..d2df076dc23 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ulauncher/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/ulauncher/default.nix
@@ -20,13 +20,13 @@
python3Packages.buildPythonApplication rec {
pname = "ulauncher";
- version = "5.11.0";
+ version = "5.12.1";
disabled = python3Packages.isPy27;
src = fetchurl {
url = "https://github.com/Ulauncher/Ulauncher/releases/download/${version}/ulauncher_${version}.tar.gz";
- sha256 = "sha256-xEM7sG0NRWouDu6NxNA94WTycykEhPI4ByjDk2yjHjo=";
+ sha256 = "sha256-Fd3IOCEeXGV8zGd/8SzrWRsSsZRVePnsDaX8WrBrCOQ=";
};
nativeBuildInputs = with python3Packages; [
@@ -77,6 +77,9 @@ python3Packages.buildPythonApplication rec {
postPatch = ''
substituteInPlace setup.py --subst-var out
+ patchShebangs bin/ulauncher-toggle
+ substituteInPlace bin/ulauncher-toggle \
+ --replace wmctrl ${wmctrl}/bin/wmctrl
'';
# https://github.com/Ulauncher/Ulauncher/issues/390
@@ -99,8 +102,10 @@ python3Packages.buildPythonApplication rec {
runHook postCheck
'';
+ # do not double wrap
+ dontWrapGApps = true;
preFixup = ''
- gappsWrapperArgs+=(--prefix PATH : "${lib.makeBinPath [ wmctrl ]}")
+ makeWrapperArgs+=("''${gappsWrapperArgs[@]}" --prefix PATH : "${lib.makeBinPath [ wmctrl ]}")
'';
passthru = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/upwork/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/upwork/default.nix
index e70b875e6f2..687dc7a49ac 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/upwork/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/upwork/default.nix
@@ -6,15 +6,13 @@
stdenv.mkDerivation rec {
pname = "upwork";
- version = "5.6.7.13";
+ version = "5.6.9.3";
src = fetchurl {
- url = "https://upwork-usw2-desktopapp.upwork.com/binaries/v5_6_7_13_9f0e0a44a59e4331/${pname}_${version}_amd64.deb";
- sha256 = "f1d3168cda47f77100192ee97aa629e2452fe62fb364dd59ad361adbc0d1da87";
+ url = "https://upwork-usw2-desktopapp.upwork.com/binaries/v5_6_9_3_10c2eb9781db4d7f/${pname}_${version}_amd64.deb";
+ sha256 = "0b884aa6992d438cee09f58673780218a00a823e03c114b0c753947020c0a327";
};
- dontWrapGApps = true;
-
nativeBuildInputs = [
dpkg
wrapGAppsHook
@@ -31,6 +29,10 @@ stdenv.mkDerivation rec {
libPath = lib.makeLibraryPath buildInputs;
+ dontWrapGApps = true;
+ dontBuild = true;
+ dontConfigure = true;
+
unpackPhase = ''
dpkg-deb -x ${src} ./
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/urlscan/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/urlscan/default.nix
index 576d66659a3..c125c2f7516 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/urlscan/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/urlscan/default.nix
@@ -1,24 +1,31 @@
-{ lib, python3Packages, fetchFromGitHub }:
+{ lib
+, python3Packages
+, fetchFromGitHub
+}:
python3Packages.buildPythonApplication rec {
pname = "urlscan";
- version = "0.9.6";
+ version = "0.9.7";
src = fetchFromGitHub {
owner = "firecat53";
repo = pname;
rev = version;
- sha256 = "D+WJ1HG1gXIFtIpaqazFqC9Y4GBCUsz88U8q8W9tHFA=";
+ sha256 = "sha256-Wg1QecSMyifID9uIvVWrmkHax4FbbwEcoXIZ8V8P3FU=";
};
- propagatedBuildInputs = [ python3Packages.urwid ];
+ propagatedBuildInputs = [
+ python3Packages.urwid
+ ];
doCheck = false; # No tests available
+ pythonImportsCheck = [ "urlscan" ];
+
meta = with lib; {
description = "Mutt and terminal url selector (similar to urlview)";
homepage = "https://github.com/firecat53/urlscan";
- license = licenses.gpl2;
+ license = licenses.gpl2Plus;
maintainers = with maintainers; [ dpaetzel jfrankenau ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/variety/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/variety/default.nix
index 9b40c4c44f1..948719aae76 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/variety/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/variety/default.nix
@@ -1,5 +1,5 @@
{ stdenv, lib, fetchFromGitHub
-, python37Packages
+, python3Packages
, fehSupport ? false, feh
, imagemagickSupport ? true, imagemagick
, intltool
@@ -13,7 +13,7 @@
, makeWrapper
}:
-with python37Packages;
+with python3Packages;
buildPythonApplication rec {
pname = "variety";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/vifm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/vifm/default.nix
index 531c108cdbf..2765cd5ad7a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/vifm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/vifm/default.nix
@@ -10,11 +10,11 @@
let isFullPackage = mediaSupport;
in stdenv.mkDerivation rec {
pname = if isFullPackage then "vifm-full" else "vifm";
- version = "0.11";
+ version = "0.12";
src = fetchurl {
url = "https://github.com/vifm/vifm/releases/download/v${version}/vifm-${version}.tar.bz2";
- sha256 = "0rqyd424y0g5b5basw2ybb60r9gar4f40d1xgzr3c2dsy4jpwvyh";
+ sha256 = "1h5j4y704nciyzg3aaav8sl3r5h9mpwq8f28cj65nnxk6a7n3a9k";
};
nativeBuildInputs = [ pkg-config makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/visidata/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/visidata/default.nix
index 11216b1d887..b1b92ebe2d3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/visidata/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/visidata/default.nix
@@ -24,13 +24,13 @@
}:
buildPythonApplication rec {
pname = "visidata";
- version = "2.5";
+ version = "2.6.1";
src = fetchFromGitHub {
owner = "saulpw";
repo = "visidata";
rev = "v${version}";
- sha256 = "1iijggdgj36v7d2zm45c00nrbzxaaah2azflpca0f6fjaaxh3lr2";
+ sha256 = "1dmiy87x0yc0d594v3d3km13dl851mx7ym1vgh3bg91llg8ykg33";
};
propagatedBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/wike/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/wike/default.nix
new file mode 100644
index 00000000000..41722edc4c2
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/wike/default.nix
@@ -0,0 +1,60 @@
+{ lib, stdenv, fetchFromGitHub
+, meson, pkg-config, ninja
+, python3
+, glib, appstream-glib , desktop-file-utils
+, gobject-introspection, gtk3
+, wrapGAppsHook
+, libhandy, webkitgtk, glib-networking
+, gnome, dconf
+}:
+let
+ pythonEnv = python3.withPackages (p: with p; [
+ pygobject3
+ requests
+ ]);
+in stdenv.mkDerivation rec {
+ pname = "wike";
+ version = "1.5.7";
+
+ src = fetchFromGitHub {
+ owner = "hugolabe";
+ repo = "Wike";
+ rev = version;
+ sha256 = "sha256-SB+ApuSovqQCaZYPhH+duf+c07JDSSCRz8hTVhEa4gY=";
+ };
+
+ nativeBuildInputs = [
+ meson
+ pkg-config
+ ninja
+ appstream-glib
+ desktop-file-utils
+ gobject-introspection
+ wrapGAppsHook
+ ];
+
+ buildInputs = [
+ glib
+ pythonEnv
+ gtk3
+ libhandy
+ webkitgtk
+ glib-networking
+ gnome.adwaita-icon-theme
+ dconf
+ ];
+
+ postPatch = ''
+ patchShebangs build-aux/meson/postinstall.py
+ substituteInPlace src/wike.in --replace "@PYTHON@" "${pythonEnv}/bin/python"
+ substituteInPlace src/wike-sp.in --replace "@PYTHON@" "${pythonEnv}/bin/python"
+ '';
+
+ meta = with lib; {
+ description = "Wikipedia Reader for the GNOME Desktop";
+ homepage = "https://github.com/hugolabe/Wike";
+ license = licenses.gpl3Plus;
+ platforms = webkitgtk.meta.platforms;
+ maintainers = with maintainers; [ samalws ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/worker/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/worker/default.nix
index aaadc0cd4b7..21dc4a57695 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/worker/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/worker/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "worker";
- version = "4.8.1";
+ version = "4.9.0";
src = fetchurl {
url = "http://www.boomerangsworld.de/cms/worker/downloads/${pname}-${version}.tar.gz";
- sha256 = "sha256-Cf4vx1f4GgjlhNtGUuXf8174v8PGJapm5L30XUdqbro=";
+ sha256 = "sha256-l9kWYswQ27erxmZIb+otPzeKFZNwP+d8QIqGuvMMM/k=";
};
buildInputs = [ libX11 ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/wtf/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/wtf/default.nix
index 30ae2d9be0f..ea7986595f4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/wtf/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/wtf/default.nix
@@ -8,16 +8,16 @@
buildGoModule rec {
pname = "wtf";
- version = "0.38.0";
+ version = "0.39.2";
src = fetchFromGitHub {
owner = "wtfutil";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-ZKv207pkjgXtCZ6kXGn94i8QtOBHpSkPKo1Sy2Nw9qQ=";
+ sha256 = "sha256-nP56HzjtIg9EIOBda9TQl8soUqlGfRmixidWrmQ7+vs=";
};
- vendorSha256 = "sha256-E5sfT7uGnruVUfhhjkZM2mgauXzbmcLWS6s1J85nssE=";
+ vendorSha256 = "sha256-yD4BUauYvyGk/D0Gr5Z15xWPtI/ZR9xTbmeS6RAxw1o=";
doCheck = false;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xcruiser/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xcruiser/default.nix
index 2e4771563a0..db7fe260b92 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xcruiser/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xcruiser/default.nix
@@ -12,8 +12,10 @@ stdenv.mkDerivation {
buildInputs = [ libXt libXaw libXpm libXext ];
makeFlags = [
- "BINDIR=$(out)/bin"
- "XAPPLOADDIR=$(out)/etc/X11/app-defaults"
+ "BINDIR=${placeholder "out"}/bin"
+ "CONFDIR=${placeholder "out"}/etc/X11"
+ "LIBDIR=${placeholder "out"}/lib/X11"
+ "XAPPLOADDIR=${placeholder "out"}/etc/X11/app-defaults"
];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xmrig/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xmrig/default.nix
index affce3a71aa..56c078fd9fc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xmrig/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xmrig/default.nix
@@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "xmrig";
- version = "6.14.0";
+ version = "6.15.0";
src = fetchFromGitHub {
owner = "xmrig";
repo = "xmrig";
rev = "v${version}";
- sha256 = "sha256-h+Y7hXkenoLT83eG0w6YEfOuEocejXgvqRMq1DwWwT0=";
+ sha256 = "sha256-AsYfByiI5W50T/kOhLtD/kUSwDOWMCo33OZ6WGmNcFk=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xmrig/moneroocean.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xmrig/moneroocean.nix
index fa9f1644b50..431aac070f2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xmrig/moneroocean.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xmrig/moneroocean.nix
@@ -2,13 +2,13 @@
xmrig.overrideAttrs (oldAttrs: rec {
pname = "xmrig-mo";
- version = "6.14.1-mo2";
+ version = "6.15.0-mo1";
src = fetchFromGitHub {
owner = "MoneroOcean";
repo = "xmrig";
rev = "v${version}";
- sha256 = "sha256-bfD/zxUo4ZDLRDpFbD/FCAvBISHvhRaYXwwiYFd10No=";
+ sha256 = "sha256-2JT315JbjiU8gAwROZL820MYC/v3MPtJVsN+vsf4KDQ=";
};
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xmrig/proxy.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xmrig/proxy.nix
index 22ec54b67b5..6d1105506f5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xmrig/proxy.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xmrig/proxy.nix
@@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "xmrig-proxy";
- version = "6.14.0";
+ version = "6.15.0";
src = fetchFromGitHub {
owner = "xmrig";
repo = "xmrig-proxy";
rev = "v${version}";
- sha256 = "sha256-QCjXtn7O4jcPybzMsu2j7jQqWoGzeqjwessZC/dG86s=";
+ sha256 = "sha256-ZNyD0P1vuX/FBPW6tg4WRcNCJpz9/gY+Bhipqngv3jY=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xplr/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xplr/default.nix
index b84da33df40..9ac39d722b5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xplr/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xplr/default.nix
@@ -2,16 +2,16 @@
rustPlatform.buildRustPackage rec {
pname = "xplr";
- version = "0.14.5";
+ version = "0.14.7";
src = fetchCrate {
inherit pname version;
- sha256 = "00kgxc4pn07p335dl3d53shiyw4f4anw64qc8axz9nspdq734nj5";
+ sha256 = "sha256-rGU9Jf+MHDs3pnuddqxLaWc8YqL+Ka7Rex+fTuU62sM=";
};
buildInputs = lib.optional stdenv.isDarwin libiconv;
- cargoSha256 = "1wmc4frjllj8dgcg4yw4cigm4mhq807pmp3l3ysi70q490g24gwh";
+ cargoSha256 = "sha256-GwepsY7PcWjKZpJ7H4D9vtXwd2XGFgG1c+QvinMAG4Q=";
meta = with lib; {
description = "A hackable, minimal, fast TUI file explorer";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xxkb/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xxkb/default.nix
index 7a0111785c1..b579579195f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xxkb/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xxkb/default.nix
@@ -24,7 +24,9 @@ stdenv.mkDerivation rec {
makeFlags = [
"BINDIR=${placeholder "out"}/bin"
+ "CONFDIR=${placeholder "out"}/etc/X11"
"PIXMAPDIR=${placeholder "out"}/share/xxkb"
+ "LIBDIR=${placeholder "out"}/lib/X11"
"XAPPLOADDIR=${placeholder "out"}/etc/X11/app-defaults"
"MANDIR=${placeholder "man"}/share/man"
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xygrib/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xygrib/default.nix
index 864ea27ede7..580faa36026 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xygrib/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/xygrib/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, wrapQtAppsHook, cmake, bzip2, qtbase, qttools, libnova, proj, libpng, openjpeg }:
+{ lib, stdenv, fetchFromGitHub, wrapQtAppsHook, cmake, bzip2, qtbase, qttools, libnova, proj_7, libpng, openjpeg }:
stdenv.mkDerivation rec {
version = "1.2.6.1";
@@ -12,7 +12,7 @@ stdenv.mkDerivation rec {
};
nativeBuildInputs = [ cmake qttools wrapQtAppsHook ];
- buildInputs = [ bzip2 qtbase libnova proj openjpeg libpng ];
+ buildInputs = [ bzip2 qtbase libnova proj_7 openjpeg libpng ];
cmakeFlags = [ "-DOPENJPEG_INCLUDE_DIR=${openjpeg.dev}/include/openjpeg-${lib.versions.majorMinor openjpeg.version}" ]
++ lib.optionals stdenv.isDarwin [ "-DLIBNOVA_LIBRARY=${libnova}/lib/libnova.dylib" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/yambar/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/yambar/default.nix
index db2f0579d1e..96b44dc8961 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/yambar/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/yambar/default.nix
@@ -32,14 +32,14 @@ in
stdenv.mkDerivation rec {
pname = "yambar";
- version = "1.6.2";
+ version = "1.7.0";
src = fetchFromGitea {
domain = "codeberg.org";
owner = "dnkl";
repo = "yambar";
rev = version;
- sha256 = "sha256-GPKR2BYl3ebxxXbVfH/oZLs7639EYwWU4ZsilJn0Ss8=";
+ sha256 = "sha256-NzJrlPOkzstMbw37yBTah/uFYezlPB/1hrxCiXduSmc=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/zettlr/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/zettlr/default.nix
index 77ff11b9862..f8dbc9c65e4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/zettlr/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/zettlr/default.nix
@@ -10,11 +10,11 @@
# Based on https://gist.github.com/msteen/96cb7df66a359b827497c5269ccbbf94 and joplin-desktop nixpkgs.
let
pname = "zettlr";
- version = "1.8.9";
+ version = "2.0.0";
name = "${pname}-${version}";
src = fetchurl {
url = "https://github.com/Zettlr/Zettlr/releases/download/v${version}/Zettlr-${version}-x86_64.appimage";
- sha256 = "sha256-1cU9HdPXrJ4ibSjOitO8iJfMIaGub/jjlb2lssYFfcU=";
+ sha256 = "sha256-MIFgNUuuneIIkPRVRarbx6UMoB/3sdJtKvbacUnwHX8=";
};
appimageContents = appimageTools.extractType2 {
inherit name src;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/zk-shell/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/zk-shell/default.nix
index 1dbaa842ba4..9f6816f00dd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/zk-shell/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/zk-shell/default.nix
@@ -1,8 +1,8 @@
-{ lib, fetchFromGitHub, pythonPackages }:
+{ lib, fetchFromGitHub, python3Packages }:
-pythonPackages.buildPythonApplication rec {
+python3Packages.buildPythonApplication rec {
+ pname = "zk-shell";
version = "1.0.0";
- name = "zk-shell-" + version;
src = fetchFromGitHub {
owner = "rgs1";
@@ -11,18 +11,23 @@ pythonPackages.buildPythonApplication rec {
sha256 = "0zisvvlclsf4sdh7dpqcl1149xbxw6pi1aqcwjbqblgf8m4nm0c7";
};
- propagatedBuildInputs = (with pythonPackages; [
- ansi kazoo nose six tabulate twitter
- ]);
+ propagatedBuildInputs = with python3Packages; [
+ ansi
+ kazoo
+ nose
+ six
+ tabulate
+ twitter
+ ];
- #requires a running zookeeper, don't know how to fix that for the moment
+ # requires a running zookeeper, don't know how to fix that for the moment
doCheck = false;
- meta = {
+ meta = with lib; {
description = "A powerful & scriptable shell for Apache ZooKeeper";
homepage = "https://github.com/rgs1/zk_shell";
- license = lib.licenses.asl20;
- maintainers = [ lib.maintainers.mahe ];
- platforms = lib.platforms.all;
+ license = licenses.asl20;
+ maintainers = [ maintainers.mahe ];
+ platforms = platforms.all;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/zola/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/zola/default.nix
index 52e3b9d847d..6c24f65d762 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/zola/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/misc/zola/default.nix
@@ -1,4 +1,15 @@
-{ lib, stdenv, fetchFromGitHub, rustPlatform, cmake, pkg-config, openssl, oniguruma, CoreServices, installShellFiles }:
+{ lib
+, stdenv
+, fetchFromGitHub
+, rustPlatform
+, cmake
+, pkg-config
+, openssl
+, oniguruma
+, CoreServices
+, installShellFiles
+, libsass
+}:
rustPlatform.buildRustPackage rec {
pname = "zola";
@@ -13,9 +24,18 @@ rustPlatform.buildRustPackage rec {
cargoSha256 = "1hg8j9a8c6c3ap24jd96y07rlp4f0s2mkyx5034nlnkm3lj4q42n";
- nativeBuildInputs = [ cmake pkg-config installShellFiles];
- buildInputs = [ openssl oniguruma ]
- ++ lib.optional stdenv.isDarwin CoreServices;
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ installShellFiles
+ ];
+ buildInputs = [
+ openssl
+ oniguruma
+ libsass
+ ] ++ lib.optionals stdenv.isDarwin [
+ CoreServices
+ ];
RUSTONIG_SYSTEM_LIBONIG = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/appgate-sdp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/appgate-sdp/default.nix
index e894572dd78..397c64141ac 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/appgate-sdp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/appgate-sdp/default.nix
@@ -32,8 +32,7 @@
, openssl
, pango
, procps
-, python37
-, python37Packages
+, python3
, stdenv
, systemd
, xdg-utils
@@ -101,8 +100,8 @@ stdenv.mkDerivation rec {
dontBuild = true;
buildInputs = [
- python37
- python37Packages.dbus-python
+ python3
+ python3.pkgs.dbus-python
];
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/angelfish/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/angelfish/default.nix
index 391993617da..dedc5146967 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/angelfish/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/angelfish/default.nix
@@ -20,17 +20,17 @@
mkDerivation rec {
pname = "angelfish";
- version = "21.06";
+ version = "21.08";
src = fetchurl {
url = "mirror://kde/stable/plasma-mobile/${version}/angelfish-${version}.tar.xz";
- sha256 = "sha256-iHgmG/DeaUPnRXlVIU8P/oUcYINienYmR2zI9Q4Yd3s=";
+ sha256 = "1gzvlha159bw767mj8lisn89592j4j4dazzfws3v4anddjh60xnh";
};
cargoDeps = rustPlatform.fetchCargoTarball {
inherit src;
name = "${pname}-${version}";
- sha256 = "0zh0kli7kav18v9znq2f5jklhf3m1kyb41jzmivjx70g9xyfzlwk";
+ sha256 = "1pbvw9hdzn3i97mahdy9y6jnjsmwmjs3lxfz7q6r9r10i8swbkak";
};
nativeBuildInputs = [
@@ -63,7 +63,7 @@ mkDerivation rec {
meta = with lib; {
description = "Web browser for Plasma Mobile";
- homepage = "https://apps.kde.org/en/mobile.angelfish";
+ homepage = "https://invent.kde.org/plasma-mobile/angelfish";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dotlambda ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/brave/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/brave/default.nix
index fe7cfb6c7b3..53c4145cee2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/brave/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/brave/default.nix
@@ -36,6 +36,7 @@
, nspr
, nss
, pango
+, pipewire
, udev
, xorg
, zlib
@@ -80,6 +81,7 @@ rpath = lib.makeLibraryPath [
nspr
nss
pango
+ pipewire
udev
xdg-utils
xorg.libxcb
@@ -90,11 +92,11 @@ in
stdenv.mkDerivation rec {
pname = "brave";
- version = "1.28.106";
+ version = "1.30.89";
src = fetchurl {
url = "https://github.com/brave/brave-browser/releases/download/v${version}/brave-browser_${version}_amd64.deb";
- sha256 = "gr8d5Dh6ZHb2kThVOA61BoGo64MB77qF7ualUY2RRq0=";
+ sha256 = "2fu6Nk/eMLQ9nYy1aZDpjnRg16YosQPqdKtJ2VAYBrw=";
};
dontConfigure = true;
@@ -124,7 +126,7 @@ stdenv.mkDerivation rec {
ln -sf $BINARYWRAPPER $out/bin/brave
- for exe in $out/opt/brave.com/brave/{brave,crashpad_handler}; do
+ for exe in $out/opt/brave.com/brave/{brave,chrome_crashpad_handler}; do
patchelf \
--set-interpreter "$(cat $NIX_CC/nix-support/dynamic-linker)" \
--set-rpath "${rpath}" $exe
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/castor/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/castor/default.nix
index ae8b7c723ec..71b4593c40d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/castor/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/castor/default.nix
@@ -13,16 +13,16 @@
rustPlatform.buildRustPackage rec {
pname = "castor";
- version = "0.8.16";
+ version = "0.8.18";
src = fetchFromSourcehut {
owner = "~julienxx";
repo = pname;
rev = version;
- sha256 = "0rwg1w7srjwa23mkypl8zk6674nhph4xsc6nc01f6g5k959szylr";
+ sha256 = "sha256-sv6hiSTVFe3jxNuaM6Jyn7UeqFqUNmRvYtWfkJTJ4tA=";
};
- cargoSha256 = "0dm3walwi3vzpk69l7nz6yl6w49676x8pjnigpn67q4bn7lpaqb1";
+ cargoSha256 = "sha256-/IHxvTW9VYZmgjmDh0zJFDQqfw/H5CXVwEuLKq6Hnys=";
nativeBuildInputs = [
pkg-config
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/browser.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/browser.nix
index 8b80f8f8a6e..96729f1dcda 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/browser.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/browser.nix
@@ -19,8 +19,7 @@ mkChromiumDerivation (base: rec {
cp -v "$buildPath/"*.so "$buildPath/"*.pak "$buildPath/"*.bin "$libExecPath/"
cp -v "$buildPath/icudtl.dat" "$libExecPath/"
cp -vLR "$buildPath/locales" "$buildPath/resources" "$libExecPath/"
- ${lib.optionalString (!chromiumVersionAtLeast "94") ''cp -v "$buildPath/crashpad_handler" "$libExecPath/"''}
- ${lib.optionalString (chromiumVersionAtLeast "94") ''cp -v "$buildPath/chrome_crashpad_handler" "$libExecPath/"''}
+ cp -v "$buildPath/chrome_crashpad_handler" "$libExecPath/"
cp -v "$buildPath/chrome" "$libExecPath/$packageName"
# Swiftshader
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/common.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/common.nix
index be3eff9bd95..a9331041ddd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/common.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/common.nix
@@ -6,7 +6,7 @@
# Native build inputs:
, ninja, pkg-config
-, python2, python3, perl
+, python3, perl
, gnutar, which
, llvmPackages
# postPatch:
@@ -34,6 +34,7 @@
, libva
, libdrm, wayland, libxkbcommon # Ozone
, curl
+, epoxy
# postPatch:
, glibc # gconv + locale
@@ -53,12 +54,13 @@ buildFun:
with lib;
let
- python2WithPackages = python2.withPackages(ps: with ps; [
- ply jinja2 setuptools
- ]);
python3WithPackages = python3.withPackages(ps: with ps; [
ply jinja2 setuptools
]);
+ clangFormatPython3 = fetchurl {
+ url = "https://chromium.googlesource.com/chromium/tools/build/+/e77882e0dde52c2ccf33c5570929b75b4a2a2522/recipes/recipe_modules/chromium/resources/clang-format?format=TEXT";
+ sha256 = "0ic3hn65dimgfhakli1cyf9j3cxcqsf1qib706ihfhmlzxf7256l";
+ };
# The additional attributes for creating derivations based on the chromium
# source tree.
@@ -85,17 +87,18 @@ let
in attrs: concatStringsSep " " (attrValues (mapAttrs toFlag attrs));
# https://source.chromium.org/chromium/chromium/src/+/master:build/linux/unbundle/replace_gn_files.py
- gnSystemLibraries = lib.optionals (!chromiumVersionAtLeast "93") [
- "ffmpeg"
- "snappy"
+ gnSystemLibraries = lib.optionals (!chromiumVersionAtLeast "95") [
+ "zlib"
] ++ [
+ # TODO:
+ # "ffmpeg"
+ # "snappy"
"flac"
"libjpeg"
"libpng"
"libwebp"
"libxslt"
"opus"
- "zlib"
];
opusWithCustomModes = libopus.override {
@@ -124,7 +127,7 @@ let
nativeBuildInputs = [
ninja pkg-config
- python2WithPackages python3WithPackages perl
+ python3WithPackages perl
gnutar which
llvmPackages.bintools
];
@@ -149,6 +152,8 @@ let
libva
libdrm wayland mesa.drivers libxkbcommon
curl
+ ] ++ optionals (chromiumVersionAtLeast "96") [
+ epoxy
] ++ optionals gnomeSupport [ gnome2.GConf libgcrypt ]
++ optional gnomeKeyringSupport libgnome-keyring3
++ optionals cupsSupport [ libgcrypt cups ]
@@ -159,21 +164,6 @@ let
./patches/no-build-timestamps.patch
# For bundling Widevine (DRM), might be replaceable via bundle_widevine_cdm=true in gnFlags:
./patches/widevine-79.patch
- ] ++ lib.optionals (versionRange "91" "94") [
- # Fix the build by adding a missing dependency (s. https://crbug.com/1197837):
- ./patches/fix-missing-atspi2-dependency.patch
- # Required as dependency for the next patch:
- (githubPatch {
- # Reland "Reland "Linux sandbox syscall broker: use struct kernel_stat""
- commit = "4b438323d68840453b5ef826c3997568e2e0e8c7";
- sha256 = "1lf6yilx2ffd3r0840ilihp4px35w7jvr19ll56bncqmz4r5fd82";
- })
- # To fix the text rendering, see #131074:
- (githubPatch {
- # Linux sandbox: fix fstatat() crash
- commit = "60d5e803ef2a4874d29799b638754152285e0ed9";
- sha256 = "0apmsqqlfxprmdmi3qzp3kr9jc52mcc4xzps206kwr8kzwv48b70";
- })
];
postPatch = ''
@@ -195,7 +185,7 @@ let
substituteInPlace third_party/harfbuzz-ng/src/src/update-unicode-tables.make \
--replace "/usr/bin/env -S make -f" "/usr/bin/make -f"
fi
- chmod -x third_party/webgpu-cts/src/tools/deno
+ chmod -x third_party/webgpu-cts/src/tools/${lib.optionalString (chromiumVersionAtLeast "96") "run_"}deno
# We want to be able to specify where the sandbox is via CHROME_DEVEL_SANDBOX
substituteInPlace sandbox/linux/suid/client/setuid_sandbox_host.cc \
@@ -226,6 +216,9 @@ let
# Allow to put extensions into the system-path.
sed -i -e 's,/usr,/run/current-system/sw,' chrome/common/chrome_paths.cc
+ # We need the fix for https://bugs.chromium.org/p/chromium/issues/detail?id=1254408:
+ base64 --decode ${clangFormatPython3} > buildtools/linux64/clang-format
+
patchShebangs .
# Link to our own Node.js and Java (required during the build):
mkdir -p third_party/node/linux/node-linux-x64/bin
@@ -252,6 +245,7 @@ let
# e.g. unsafe developer builds have developer-friendly features that may
# weaken or disable security measures like sandboxing or ASLR):
is_official_build = true;
+ disable_fieldtrial_testing_config = true;
# Build Chromium using the system toolchain (for Linux distributions):
custom_toolchain = "//build/toolchain/linux/unbundle:default";
host_toolchain = "//build/toolchain/linux/unbundle:default";
@@ -288,10 +282,6 @@ let
enable_widevine = true;
# Provides the enable-webrtc-pipewire-capturer flag to support Wayland screen capture:
rtc_use_pipewire = true;
- } // optionalAttrs (!chromiumVersionAtLeast "94") {
- fieldtrial_testing_like_official_build = true;
- } // optionalAttrs (chromiumVersionAtLeast "94") {
- disable_fieldtrial_testing_config = true;
} // optionalAttrs proprietaryCodecs {
# enable support for the H.264 codec
proprietary_codecs = true;
@@ -325,7 +315,7 @@ let
# This is to ensure expansion of $out.
libExecPath="${libExecPath}"
- ${python2}/bin/python2 build/linux/unbundle/replace_gn_files.py --system-libraries ${toString gnSystemLibraries}
+ ${python3}/bin/python3 build/linux/unbundle/replace_gn_files.py --system-libraries ${toString gnSystemLibraries}
${gnChromium}/bin/gn gen --args=${escapeShellArg gnFlags} out/Release | tee gn-gen-outputs.txt
# Fail if `gn gen` contains a WARNING.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/default.nix
index 86ee33f3510..c4521c89caa 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/default.nix
@@ -1,5 +1,5 @@
{ newScope, config, stdenv, fetchurl, makeWrapper
-, llvmPackages_12, llvmPackages_13, ed, gnugrep, coreutils, xdg-utils
+, llvmPackages_13, ed, gnugrep, coreutils, xdg-utils
, glib, gtk3, gnome, gsettings-desktop-schemas, gn, fetchgit
, libva, pipewire, wayland
, gcc, nspr, nss, runCommand
@@ -19,7 +19,7 @@
}:
let
- llvmPackages = llvmPackages_12;
+ llvmPackages = llvmPackages_13;
stdenv = llvmPackages.stdenv;
upstream-info = (lib.importJSON ./upstream-info.json).${channel};
@@ -54,9 +54,6 @@ let
inherit (upstream-info.deps.gn) url rev sha256;
};
});
- } // lib.optionalAttrs (chromiumVersionAtLeast "93") rec {
- llvmPackages = llvmPackages_13;
- stdenv = llvmPackages.stdenv;
});
browser = callPackage ./browser.nix {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/patches/fix-missing-atspi2-dependency.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/patches/fix-missing-atspi2-dependency.patch
deleted file mode 100644
index 9417b30159d..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/patches/fix-missing-atspi2-dependency.patch
+++ /dev/null
@@ -1,26 +0,0 @@
-From 6c5b9197076f6f384112e6566039116c56600909 Mon Sep 17 00:00:00 2001
-From: Michael Weiss
-Date: Sat, 10 Apr 2021 13:53:50 +0200
-Subject: [PATCH] Fix a missing atspi2 dependency
-
-See https://bugs.chromium.org/p/chromium/issues/detail?id=1197837 for
-more details.
----
- content/public/browser/BUILD.gn | 1 +
- 1 file changed, 1 insertion(+)
-
-diff --git a/content/public/browser/BUILD.gn b/content/public/browser/BUILD.gn
-index 7e7c436d90c7..20ef832f1d8c 100644
---- a/content/public/browser/BUILD.gn
-+++ b/content/public/browser/BUILD.gn
-@@ -535,6 +535,7 @@ source_set("browser_sources") {
-
- if (use_atk) {
- sources += [ "ax_inspect_factory_auralinux.cc" ]
-+ configs += [ "//build/config/linux/atspi2" ]
- }
-
- if (is_linux || is_chromeos) {
---
-2.20.1
-
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/upstream-info.json b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/upstream-info.json
index 7ea33766001..6e890ccb6c3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/upstream-info.json
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/chromium/upstream-info.json
@@ -1,26 +1,26 @@
{
"stable": {
- "version": "92.0.4515.159",
- "sha256": "04gxgimg5ygzx6nvfws5y9dppdfjg1fhyl8zbykmksbh1myk6zfr",
- "sha256bin64": "0lxnqsvqr1kw6swvkhhz475j0xvaa58ha8r1gq8zxmk48mp41985",
+ "version": "95.0.4638.54",
+ "sha256": "1zb1009gg9962axn2l1krycz7ml20i8z2n3ka2psxpg68pbqivry",
+ "sha256bin64": "0mf9jfzwz6nkz1yg8lndz1gmsvmdh1rxhqkv0vd9nr04h5x9b41a",
"deps": {
"gn": {
- "version": "2021-05-07",
+ "version": "2021-08-11",
"url": "https://gn.googlesource.com/gn",
- "rev": "39a87c0b36310bdf06b692c098f199a0d97fc810",
- "sha256": "0x63jr5hssm9dl6la4q5ahy669k4gxvbapqxi5w32vv107jrj8v4"
+ "rev": "69ec4fca1fa69ddadae13f9e6b7507efa0675263",
+ "sha256": "031znmkbm504iim5jvg3gmazj4qnkfc7zg8aymjsij18fhf7piz0"
}
},
"chromedriver": {
- "version": "92.0.4515.107",
- "sha256_linux": "12yckzjhlhxp14j7aphnvlw4xv713vllj44h5pba181ivxavmky3",
- "sha256_darwin": "0gm0zazwy053rip9h0ma2s53rc65b8pzy57x48ch0fpq7rrsbycp"
+ "version": "95.0.4638.17",
+ "sha256_linux": "0jqq2h3rjancq9gk4w29gcr4b3z4irnkbvcj97fdsnksck9y5h2q",
+ "sha256_darwin": "0vl73i28xq3z5njg4287j08pb2sfd28amc8hkm4ddq5dgqpim0l8"
}
},
"beta": {
- "version": "94.0.4606.20",
- "sha256": "0wp9fdw7jkrzhaz8dils7k1ssd6v7kkiz4y9l81s37xxi3xj1drg",
- "sha256bin64": "0ahc09qv78vmx72kqhjj2lwcniqn9q73vkc1b9lyv184ai3269sq",
+ "version": "95.0.4638.54",
+ "sha256": "1zb1009gg9962axn2l1krycz7ml20i8z2n3ka2psxpg68pbqivry",
+ "sha256bin64": "06d0kjnrv8z74icc6nahllxbwn3xxwn0vgc7ss47402zrqig8lch",
"deps": {
"gn": {
"version": "2021-08-11",
@@ -31,32 +31,32 @@
}
},
"dev": {
- "version": "95.0.4621.4",
- "sha256": "06fgdyg3bychqhf31fikk7s4qp3rc7hmsvm6p2bj9ascd6kzjzx8",
- "sha256bin64": "1bvkdrc7cznb9xrnld642qj3z7vbyin9xbq0pp6kqva4gck41cyn",
+ "version": "96.0.4664.9",
+ "sha256": "0hlniaglnd19cb3k2wi9mmf56ws8i89lm5y2dbjpr7vqnmj6qc39",
+ "sha256bin64": "1crl8gbhjyaa0yhkvm4va0g9dyljra8n5zg9bd1znmjlk0c124x3",
"deps": {
"gn": {
- "version": "2021-08-11",
+ "version": "2021-09-24",
"url": "https://gn.googlesource.com/gn",
- "rev": "69ec4fca1fa69ddadae13f9e6b7507efa0675263",
- "sha256": "031znmkbm504iim5jvg3gmazj4qnkfc7zg8aymjsij18fhf7piz0"
+ "rev": "0153d369bbccc908f4da4993b1ba82728055926a",
+ "sha256": "0y4414h8jqsbz5af6pn91c0vkfp4s281s85g992xfyl785c5zbsi"
}
}
},
"ungoogled-chromium": {
- "version": "92.0.4515.159",
- "sha256": "04gxgimg5ygzx6nvfws5y9dppdfjg1fhyl8zbykmksbh1myk6zfr",
- "sha256bin64": "0lxnqsvqr1kw6swvkhhz475j0xvaa58ha8r1gq8zxmk48mp41985",
+ "version": "94.0.4606.81",
+ "sha256": "16755mfqxxmvslm9ix060safrnml91ckj5p85960jj5g5hmslwbh",
+ "sha256bin64": "1d3z5np6b6jax7afak7f0yh76kmmdggdjlrzwyhy8hgrv7c7rsdz",
"deps": {
"gn": {
- "version": "2021-05-07",
+ "version": "2021-08-11",
"url": "https://gn.googlesource.com/gn",
- "rev": "39a87c0b36310bdf06b692c098f199a0d97fc810",
- "sha256": "0x63jr5hssm9dl6la4q5ahy669k4gxvbapqxi5w32vv107jrj8v4"
+ "rev": "69ec4fca1fa69ddadae13f9e6b7507efa0675263",
+ "sha256": "031znmkbm504iim5jvg3gmazj4qnkfc7zg8aymjsij18fhf7piz0"
},
"ungoogled-patches": {
- "rev": "92.0.4515.159-1",
- "sha256": "1mfkpkyj0sd2k07fzmxmqc24ywqqmcv3vpg1yhh96k676q0qkpd0"
+ "rev": "94.0.4606.81-1",
+ "sha256": "113abybh8kkw9a92lj6jww6dl6rc1sv5x7a7a1gjwsihzd2r0cik"
}
}
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/elinks/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/elinks/default.nix
index df9fc3f822c..50ada47a444 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/elinks/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/elinks/default.nix
@@ -13,13 +13,13 @@ assert enablePython -> python != null;
stdenv.mkDerivation rec {
pname = "elinks";
- version = "0.14.1";
+ version = "0.14.2";
src = fetchFromGitHub {
owner = "rkd77";
repo = "felinks";
rev = "v${version}";
- sha256 = "sha256-D7dUVHgYGzY4FXEnOzXw0Fao3gLgfFuCl8LJdLVpcSM=";
+ sha256 = "sha256-/VsxMpITBDKJqyMwl1oitS8aUM4AziibV/OHRSHbRjg=";
};
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/ephemeral/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/ephemeral/default.nix
index 64e26142575..1fea44e6627 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/ephemeral/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/ephemeral/default.nix
@@ -64,7 +64,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "The always-incognito web browser";
homepage = "https://github.com/cassidyjames/ephemeral";
- maintainers = with maintainers; [ xiorcale ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ xiorcale ] ++ teams.pantheon.members;
platforms = platforms.linux;
license = licenses.gpl3;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/beta_sources.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/beta_sources.nix
index d14d5b3215b..213efa8d998 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/beta_sources.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/beta_sources.nix
@@ -1,975 +1,985 @@
{
- version = "90.0b6";
+ version = "94.0b2";
sources = [
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ach/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ach/firefox-94.0b2.tar.bz2";
locale = "ach";
arch = "linux-x86_64";
- sha256 = "07c06ddfe0b2d3f4acc89a8bd5de963ae8fb90aedb7710272adbccac178af867";
+ sha256 = "edbac6e1811e97cf9c8f9b4b65ad0709722330adeaa521314144bd34fdd4a182";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/af/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/af/firefox-94.0b2.tar.bz2";
locale = "af";
arch = "linux-x86_64";
- sha256 = "b01b6f591d815e2dd3c0bb129952a4a5dbfc7f93d9eed2d61b8c387135768462";
+ sha256 = "437bb41be38350ba7c3962475d0fad1e3186379b93f751755978187960d27704";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/an/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/an/firefox-94.0b2.tar.bz2";
locale = "an";
arch = "linux-x86_64";
- sha256 = "aebbf9e5edce5aa4a01b3dea5342d2335dadca261124f9557d727a2f03c5a123";
+ sha256 = "bd264763fd5cf9272736595e1b96509c6558561f49664960e14f4c87364f871f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ar/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ar/firefox-94.0b2.tar.bz2";
locale = "ar";
arch = "linux-x86_64";
- sha256 = "842c29a5aa563d1f386ed74820ba2cce763e86f8d86a943295b959a51bd8bf27";
+ sha256 = "af3bd5415b279f90b625716217bdf25c3f8b51cbd0969c944755043150b7f069";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ast/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ast/firefox-94.0b2.tar.bz2";
locale = "ast";
arch = "linux-x86_64";
- sha256 = "8fe01d2193b4d60ce2134ebc5848abf6621cc49bc76d08890ce7588ac2d81311";
+ sha256 = "b83760c915d1508188cbc1d02ce210ab6ae4847b42f4e03f37b75134808a9576";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/az/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/az/firefox-94.0b2.tar.bz2";
locale = "az";
arch = "linux-x86_64";
- sha256 = "e5a929e0eb95a09ec408aa831853b1a5859bb0b1f3b133677568f18bc7ba5a31";
+ sha256 = "7e28431db70a3a7c8bbedadd2e1abb32f3b69c8f571eb1f2e0cdc7d2c4097f9f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/be/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/be/firefox-94.0b2.tar.bz2";
locale = "be";
arch = "linux-x86_64";
- sha256 = "32533dc55222e7e407b386f46d55ae78dc61581ec7653bbe9f8e9859b4d191ce";
+ sha256 = "07b286100cd63f4b700c7f7ba88692010cc27b281a31ba411f65d4cb8071b7ce";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/bg/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/bg/firefox-94.0b2.tar.bz2";
locale = "bg";
arch = "linux-x86_64";
- sha256 = "2d561a690d625cfff4fe163dda26eac5424e89b74bd6385543238c9e85abf39b";
+ sha256 = "8d1e1bdccd06eca90a233660c20a7e2127c0a352ef897f582924f377d5d1c4e6";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/bn/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/bn/firefox-94.0b2.tar.bz2";
locale = "bn";
arch = "linux-x86_64";
- sha256 = "3cec173b9c23137d935221d715bfeb87353bc87bd316a219c8063b5977422bc8";
+ sha256 = "d2b113a70009d5a5c9699882e8df8a707bb7faa3a2d37c3f84b63157c3d30cf6";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/br/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/br/firefox-94.0b2.tar.bz2";
locale = "br";
arch = "linux-x86_64";
- sha256 = "ab9bd15850209de8d7ad1ef33f50c132babc2c6e70b4ceddee84081f2707b6ab";
+ sha256 = "0535bfe128414d7fd30eac04590c5932387d035fbf35d2d29048894b5267af90";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/bs/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/bs/firefox-94.0b2.tar.bz2";
locale = "bs";
arch = "linux-x86_64";
- sha256 = "9f9c87c0bf0a08c23dc30b29bcfb79634a52dccfa945a4593451f6230e373686";
+ sha256 = "9dcfc9a016456ef20177a0ab5296b661a06c7738329e5d4c18637d42c6399e89";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ca-valencia/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ca-valencia/firefox-94.0b2.tar.bz2";
locale = "ca-valencia";
arch = "linux-x86_64";
- sha256 = "21900bc7c61ebbf34f45da1b4740a722e00d6c0d27042a89e006fd99485e7b60";
+ sha256 = "bec81b4ea39288303c6a62383b24589defea2ed35e71b355e67bf852c432cb57";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ca/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ca/firefox-94.0b2.tar.bz2";
locale = "ca";
arch = "linux-x86_64";
- sha256 = "6ccec2ac83ab36fb72a8db9293622d7b1c6fe55d1fb8ec6421a64e1210e7e0a1";
+ sha256 = "1f3b8416e7683c6d81cf6f0c90a7dd74a6d0dc251e6c14d5eeed2b7de1530cea";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/cak/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/cak/firefox-94.0b2.tar.bz2";
locale = "cak";
arch = "linux-x86_64";
- sha256 = "7610d94fa66da37689abd3fa79e0703d77f68457c77287e5e61e66169baf7473";
+ sha256 = "162a8ffdc7b3840528f632278a1acb7f9ff23899f88ca898cde8ae0574ca37ea";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/cs/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/cs/firefox-94.0b2.tar.bz2";
locale = "cs";
arch = "linux-x86_64";
- sha256 = "6241b68e5618b474b86529222f5a646f426983eb9e39b2a4d7c3d261cd26f4e2";
+ sha256 = "160234bbd7a68ab3376f37487385af9ee8d692298bf62cf2e4577636ccc34a60";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/cy/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/cy/firefox-94.0b2.tar.bz2";
locale = "cy";
arch = "linux-x86_64";
- sha256 = "b981946aec3128a3e7da3ca76f7815373dc8963ed01d495ee02941954ca42fca";
+ sha256 = "f876e1ce0b9db06f1de275b3cdf9b8ac9481860a70689846191dd7c68dc6ae8a";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/da/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/da/firefox-94.0b2.tar.bz2";
locale = "da";
arch = "linux-x86_64";
- sha256 = "c59f28aef1af64d1cf83e0e5e9ba4bd15be593da27e88e6ea7edaed80a3a64b5";
+ sha256 = "980651fba5ead97dcdb05ea2f0b1dccc2947c985e2ab65aed8c239d1c85518eb";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/de/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/de/firefox-94.0b2.tar.bz2";
locale = "de";
arch = "linux-x86_64";
- sha256 = "b9cd59a9b7ca024f6931d8e25962349346d8c279d3c7983f1a76681784c38efc";
+ sha256 = "430c4a2cad2d9cf170332bb8abd6e66d084e7e1221b63dcb7993d04d9c713aeb";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/dsb/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/dsb/firefox-94.0b2.tar.bz2";
locale = "dsb";
arch = "linux-x86_64";
- sha256 = "e185f4b874beeacca38bfde59958eb277ce83fcf47eee4cc16065e350707e52d";
+ sha256 = "92e9665c4c6f01112374b4ff1b2778c020459a970a1dceafbe1257d9ad519f4e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/el/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/el/firefox-94.0b2.tar.bz2";
locale = "el";
arch = "linux-x86_64";
- sha256 = "ed323fc4a677afa40b1073640dc3fe9e5f49906842684677694a1c85d042c988";
+ sha256 = "2db0291b5a827f86c2fa8c33770d1bc8ad88873e2d451b57df40c0546d776d5b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/en-CA/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/en-CA/firefox-94.0b2.tar.bz2";
locale = "en-CA";
arch = "linux-x86_64";
- sha256 = "3c495c341ab226b13cca26fb4d1e79ba8a763c2944374f417b0d1d1e3afb6e64";
+ sha256 = "84330d6f6e648f996b8eb54f999a54f8c552f55a4cd17cb8aa2065d296692a0d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/en-GB/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/en-GB/firefox-94.0b2.tar.bz2";
locale = "en-GB";
arch = "linux-x86_64";
- sha256 = "00d888cf643780473aa480c0a0ded49ad22b76ee0b902062cf014f140ddd2530";
+ sha256 = "3f46d8f80747adb4f619806b8450569d6bbaa259792b9ebe9e4d7a3df54522d0";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/en-US/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/en-US/firefox-94.0b2.tar.bz2";
locale = "en-US";
arch = "linux-x86_64";
- sha256 = "4421542a7a8d968b4e705852ca76ec4bed857c57df0d10afab17f27b701712e8";
+ sha256 = "90a836f289c72d09b26968516df7fdfba4d1f19e035b102dcabf5c69e4c6306b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/eo/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/eo/firefox-94.0b2.tar.bz2";
locale = "eo";
arch = "linux-x86_64";
- sha256 = "db89780192cd14f0fb43ac2974c7c8a72e1896ddcf2db7ff3f6eea9f2788051a";
+ sha256 = "a01c2871905b78d8e2f6f53bcce50a1446cbf4595e38f21a8e9c74ffc0305b8f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/es-AR/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/es-AR/firefox-94.0b2.tar.bz2";
locale = "es-AR";
arch = "linux-x86_64";
- sha256 = "60cd22340342b689e12cabf13ae33a2af3a5ecc6dad5b5e15851fcec0405652b";
+ sha256 = "5e6dbece8a54d5f384bab627e92f3481e3a3823a259d93a152f5e71f92f6d524";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/es-CL/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/es-CL/firefox-94.0b2.tar.bz2";
locale = "es-CL";
arch = "linux-x86_64";
- sha256 = "7524fa67ed3246fac49622fb360ddb9ca5b91cc0bdb7eb64d881bf9851dd9128";
+ sha256 = "8ca362a3be8bb77fe390e96b23d04bc0902c49c9adbdde37c310703d9dda78b9";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/es-ES/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/es-ES/firefox-94.0b2.tar.bz2";
locale = "es-ES";
arch = "linux-x86_64";
- sha256 = "641c8f48694184ff77db1b7825317f623deae42acafccce35cf7b8b95d38d45e";
+ sha256 = "1705fd9cef5991a0f88cc7c62412a2a6573a2aeac0514ffccbaa4dc663f94314";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/es-MX/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/es-MX/firefox-94.0b2.tar.bz2";
locale = "es-MX";
arch = "linux-x86_64";
- sha256 = "e0c808695678e844efe04926bab17168fef0a97f8b125e724c4ebc606a5fc513";
+ sha256 = "8da27496f7674cea873dfe963e9e87871e9648c33cc4fa6eff0cc0717263bbb8";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/et/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/et/firefox-94.0b2.tar.bz2";
locale = "et";
arch = "linux-x86_64";
- sha256 = "64fc3ac8c3614cb128f7174802dc101b5c36a92fee5cd6dbd826f505e85c149d";
+ sha256 = "56ce06fec63fd6bcb9c71ac5cb5375864b2e8f9967e1a3d03dad37454dc9fa01";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/eu/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/eu/firefox-94.0b2.tar.bz2";
locale = "eu";
arch = "linux-x86_64";
- sha256 = "e7bb62c4f6d4a00b0837fb720c08923f057610267ef97b0090ae8767c26e6867";
+ sha256 = "03b0f33d0d960c044fe80990404e1f9480843cac11100769bc52f6cc32ffd5ff";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/fa/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/fa/firefox-94.0b2.tar.bz2";
locale = "fa";
arch = "linux-x86_64";
- sha256 = "038a1f723017141ae12ec15a6fec3fc6a49cbdd6244d237c18cd36c70ea6e6d9";
+ sha256 = "ea75b853ec5807b6e292c2fb0cb44f7529d9f9047892f03a674c24e0455b146c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ff/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ff/firefox-94.0b2.tar.bz2";
locale = "ff";
arch = "linux-x86_64";
- sha256 = "e2c1d68ee029e3f1064277dc6c3844bdbbe3eb28b0492c1299ed6f8a9351ab56";
+ sha256 = "99f3232c806671f4d1099c2b3e72d5511a3377dbd601e9880c36f4c6db9d25fe";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/fi/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/fi/firefox-94.0b2.tar.bz2";
locale = "fi";
arch = "linux-x86_64";
- sha256 = "c5e4dff35094ff645272bf402e4c56908b6df7b5c7d6b6925e86528d3ced89af";
+ sha256 = "c99f94936820657990d9aec946e664b7f607412df03d9ee9a87b5bfaaed4d66a";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/fr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/fr/firefox-94.0b2.tar.bz2";
locale = "fr";
arch = "linux-x86_64";
- sha256 = "502f35f69f5f04477678f183e40f8958aa095724e65254cbbdaa9c72c1a40ed7";
+ sha256 = "f053084b00a5c22e1e0e257226c46716fed3425293ce8e57cc58f6a0e7c6bf76";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/fy-NL/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/fy-NL/firefox-94.0b2.tar.bz2";
locale = "fy-NL";
arch = "linux-x86_64";
- sha256 = "e353afc86a7b6c452870587b13fa093883e7680f2e44a14c94563d12d50de622";
+ sha256 = "587dfd7904bf5d077a8f61417bd635547e71e2926980730b9ee93fa83109e7a5";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ga-IE/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ga-IE/firefox-94.0b2.tar.bz2";
locale = "ga-IE";
arch = "linux-x86_64";
- sha256 = "10cb0a4ee3c42035a06e509a74e632dc269c407efb8dbad40bc4dfc2b2d6b9d4";
+ sha256 = "4a549683496ad19adb87c87c01eb296cea59d309c494f55f7512d9ac3fa23f7b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/gd/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/gd/firefox-94.0b2.tar.bz2";
locale = "gd";
arch = "linux-x86_64";
- sha256 = "8cc1603bd589fb910df22b47a8d7e3611cff371deea4eb634127b68a523221df";
+ sha256 = "bc8a38559c327121f2c8d89a8f511446db83ff2fb9c554661020b8624ff5790d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/gl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/gl/firefox-94.0b2.tar.bz2";
locale = "gl";
arch = "linux-x86_64";
- sha256 = "b4867bf6406854f80dd402ab3f1ad1e20b7acae7e93587831913144e690cc84f";
+ sha256 = "9412b3f98618a40e4e66542db3892f4d276d25d3ce86c336fe3297edcd9c64e1";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/gn/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/gn/firefox-94.0b2.tar.bz2";
locale = "gn";
arch = "linux-x86_64";
- sha256 = "555a76d9915a471f134d8f3986e7afca341d201bcad73d90d5751d8ec86c17c3";
+ sha256 = "1664f221bc7a6faad6eb1cbb2fcbf083626f80883703506a8a79eb9562e02a0e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/gu-IN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/gu-IN/firefox-94.0b2.tar.bz2";
locale = "gu-IN";
arch = "linux-x86_64";
- sha256 = "c72f1b40d5a9fbe689b76b3a0010ee1838b8b7fd7126dccb2a7c8907a87db0a4";
+ sha256 = "556e8f38a0743a421a4fabf658eaccada707e8f3164c9eab7dbfdf8b301fea3c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/he/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/he/firefox-94.0b2.tar.bz2";
locale = "he";
arch = "linux-x86_64";
- sha256 = "e63f1e83785b777dbf9c69982c314684937dc22456b19eb1e8e83c87af511938";
+ sha256 = "aedd0af01b30b582d601bbd6c52234218c702eff7eb17d0af6c6c6aff838f984";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/hi-IN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/hi-IN/firefox-94.0b2.tar.bz2";
locale = "hi-IN";
arch = "linux-x86_64";
- sha256 = "41e02c58459a2b2e4dfee5ba4d8dce6fda51fd85ddcf7a4d18426d25b9049aa7";
+ sha256 = "879bb929c4f871536eba1c479148ebeda4d6651dfcb9832fd981673e3eeec850";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/hr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/hr/firefox-94.0b2.tar.bz2";
locale = "hr";
arch = "linux-x86_64";
- sha256 = "5b4122ac4367a88de9d8551498633d396630ac4fbaa7012408382e8e7dbfd27f";
+ sha256 = "ec26e00627ee66b2d4113871e13995b4e60dc91088db30d318ace4f9bea4e903";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/hsb/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/hsb/firefox-94.0b2.tar.bz2";
locale = "hsb";
arch = "linux-x86_64";
- sha256 = "ec1d030b1980e747c415d6238cc5868c24ff6393cce6489f4775926e00fe55e6";
+ sha256 = "7950d84ad252c9ff6e01d7ae3506a1dd69b9d9a118f2df2e5234a5a987651f0e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/hu/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/hu/firefox-94.0b2.tar.bz2";
locale = "hu";
arch = "linux-x86_64";
- sha256 = "214f1dc79bd09d1f5e4dbba1311ebfbfd47ac4a418da2f6adb6c8c6285bfc4b4";
+ sha256 = "9700bd647b0a074ffbeb2c1b71b422d0a15a7da1055c92c29897abbb7f54c4ee";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/hy-AM/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/hy-AM/firefox-94.0b2.tar.bz2";
locale = "hy-AM";
arch = "linux-x86_64";
- sha256 = "fddc2c4c13595e480696924cea10e5caeea81fb775d01a91e7b13a6701a1cd2a";
+ sha256 = "5c8e6dd327ca3b07e64c9e2e33d31fcdfffdff8c716577a0a89ba680635c8c61";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ia/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ia/firefox-94.0b2.tar.bz2";
locale = "ia";
arch = "linux-x86_64";
- sha256 = "39402ff53caddb69cb6248afbcc37814536fc0cd4767a2096923089168f48a46";
+ sha256 = "465094fd3b6f035df4f603ca55acfa8c75665d5b92e3527973dbc26697913105";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/id/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/id/firefox-94.0b2.tar.bz2";
locale = "id";
arch = "linux-x86_64";
- sha256 = "d2143e50a0f6bb19ce038e5fcc83530bbd5c781bb104da3a29d870f00acd2001";
+ sha256 = "ea3dea0c2a091707341f19f64995bf6a41980be8ad915eb547876f34bd8b5e8d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/is/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/is/firefox-94.0b2.tar.bz2";
locale = "is";
arch = "linux-x86_64";
- sha256 = "e2b035ece9d2911d131fb40eee6bd8f9c40506cc812978bce0bcf317ea9c8ef1";
+ sha256 = "dbb1a792245c9e0fe6fad749da27679d45d0d892044e9779b25143d2d34d247e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/it/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/it/firefox-94.0b2.tar.bz2";
locale = "it";
arch = "linux-x86_64";
- sha256 = "a2c3ddfeba658b8c58b77e6abd6aaf2c4141c73b0ee6363e391f08f5a94e8ec4";
+ sha256 = "dda28b54be15f43ecacbf490099270cc1cd279b6801a2bbe84cb8c0ba092ff27";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ja/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ja/firefox-94.0b2.tar.bz2";
locale = "ja";
arch = "linux-x86_64";
- sha256 = "ea7c40e0d00a1d8d72d7cd397bbe7533959e1e715a2a7df8a205ce6e98d26fca";
+ sha256 = "e023f941584bd89e9465076aa1912774d64d8430ad7bc75d8bd5f4cdd1b6efbd";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ka/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ka/firefox-94.0b2.tar.bz2";
locale = "ka";
arch = "linux-x86_64";
- sha256 = "24c62141ec5bddfc431690bd21428bbf8ad07d7fecc9acdc242565a2dfe5fe91";
+ sha256 = "24ee80944e4101353f5126d2cdbb2d9f1023656ac638f3975558efb24b13378d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/kab/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/kab/firefox-94.0b2.tar.bz2";
locale = "kab";
arch = "linux-x86_64";
- sha256 = "de40edc25528e7cff904d14feac97dd282067e27793a4d2a85311c260be4262c";
+ sha256 = "d5bc4455950dd952a936247b0f3ef5a4fd2047bba599c229bf2b7c04e716d7da";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/kk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/kk/firefox-94.0b2.tar.bz2";
locale = "kk";
arch = "linux-x86_64";
- sha256 = "a4daf7b8c77661f2dc211c6e4a4432de8c57e34be48556b707f167f7333f0a25";
+ sha256 = "85ec8a29e24aa5362fe4b3b397571c2bd479348ce63c2adf63e8006b7555aca3";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/km/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/km/firefox-94.0b2.tar.bz2";
locale = "km";
arch = "linux-x86_64";
- sha256 = "6d4a3eb396871b35e4d880c98e48dd5dfd92e66b09a80066c20543f95ab3cfbb";
+ sha256 = "a9fdb04131c7b761426b68748b491dcbf1ddee41e063dc222045ee84fb2eab2c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/kn/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/kn/firefox-94.0b2.tar.bz2";
locale = "kn";
arch = "linux-x86_64";
- sha256 = "ff5e9d651cb9224dde03d207042c5ee4312359d9b111839269eaadff49d2efb5";
+ sha256 = "3de931130e6136287fcd0983e975188c3110611f14f28838f439149ad00b0a74";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ko/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ko/firefox-94.0b2.tar.bz2";
locale = "ko";
arch = "linux-x86_64";
- sha256 = "3433149001e07215dcce9f3b934edf5f188b8e88725a01372fb1e831f0dc00f7";
+ sha256 = "17012e23c8dcc5c136377ebdd6e0c4035eff9e4a605bd61df21ea5b2ed9f0189";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/lij/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/lij/firefox-94.0b2.tar.bz2";
locale = "lij";
arch = "linux-x86_64";
- sha256 = "79434cc64d0acbed593f3c859ef15623333239d2868d0b797e6e51252778466c";
+ sha256 = "96ccf4a71bc2feff202374a63330c4e064ea0d50c6687bc5fd2c372116e1dfcd";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/lt/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/lt/firefox-94.0b2.tar.bz2";
locale = "lt";
arch = "linux-x86_64";
- sha256 = "efb3302005785dc6fd7aa464c591978f11637e89aca3a6f41d4d855970fe68d9";
+ sha256 = "2eb4c4b355888e9b540d1290d7aea0f0a0dfe3eb0d03e278b1fa20eae43cbeff";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/lv/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/lv/firefox-94.0b2.tar.bz2";
locale = "lv";
arch = "linux-x86_64";
- sha256 = "7940ac6685d94144e758f703511946aa422f7a9303f6e8461d29b65178068d3d";
+ sha256 = "27cf7a6f9cb6ff304ed6169fe97e519e19049e9608f7cf08313c36d7b1640e08";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/mk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/mk/firefox-94.0b2.tar.bz2";
locale = "mk";
arch = "linux-x86_64";
- sha256 = "adfc49b40832942760d996da4e659c672def298159de535b03daf5064ebbb049";
+ sha256 = "1cb76b49d0323c194f47bd64a8df186c9724de25449b3f6cde123425436a4d6d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/mr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/mr/firefox-94.0b2.tar.bz2";
locale = "mr";
arch = "linux-x86_64";
- sha256 = "1212c04fb5b1a114b49562534e65c4983bfade7ae9290290e1b51f999476fdbe";
+ sha256 = "7a60b912f369d9f344b0fee98c4108ccf87cb643712bd7033bbb139634e1471d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ms/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ms/firefox-94.0b2.tar.bz2";
locale = "ms";
arch = "linux-x86_64";
- sha256 = "4172e9dd98806535b22162c2a4b50b1912936ac6d94ee99fc2315fc8e93e7fba";
+ sha256 = "788a127d2f75462cbdfd4f5915777d81095e2a8bb591bfc256d1b604987a17e3";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/my/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/my/firefox-94.0b2.tar.bz2";
locale = "my";
arch = "linux-x86_64";
- sha256 = "4c65a755594a5e03611c0add1b506760853efcfc057b9c04c0237968d972960e";
+ sha256 = "946911b98f0d7cc6765ec7e88db9d19ce4b908f0e53fd6f79ff27e1d45eb2b88";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/nb-NO/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/nb-NO/firefox-94.0b2.tar.bz2";
locale = "nb-NO";
arch = "linux-x86_64";
- sha256 = "7b82b581badc7d5ddcc02e008bb1b968a1ddccd42e4acc3f75863114ef00459b";
+ sha256 = "d1703b56d9796af0053605cc1ed7eaee69bd7f30d470cf8fc18b074e8ecbb529";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ne-NP/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ne-NP/firefox-94.0b2.tar.bz2";
locale = "ne-NP";
arch = "linux-x86_64";
- sha256 = "db25e60614b1f31049886d17b5d9f7aa45f51a1230e5829d513d449752d4cad4";
+ sha256 = "3cc448f48a8aac7b61ceab0cc8e46402795c61dd1bad66dee72b93dbbdeeff02";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/nl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/nl/firefox-94.0b2.tar.bz2";
locale = "nl";
arch = "linux-x86_64";
- sha256 = "d0208622ac503716edd14383f9b3391064b0e5167ebeb6e349819253ab12cadd";
+ sha256 = "aa4857320b95c78b6434475ada060ce78d3a36d71148d8b24b5868cf3311b916";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/nn-NO/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/nn-NO/firefox-94.0b2.tar.bz2";
locale = "nn-NO";
arch = "linux-x86_64";
- sha256 = "3e9eb15f215120151572feb8ae621cd9e07617962fd1797edbecd79f7176f950";
+ sha256 = "227414b8fdbcf31ddcafac4c3ddb25ac34154a46db3e1e93f8cf26477720c8bb";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/oc/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/oc/firefox-94.0b2.tar.bz2";
locale = "oc";
arch = "linux-x86_64";
- sha256 = "db49b53e15f96dd4668e0224019f4413c3e41c5e696de137faa44ac547ad2560";
+ sha256 = "22924d95c07426b40b201bb6c659421f43d8f3c36c50348f053c8f8654430706";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/pa-IN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/pa-IN/firefox-94.0b2.tar.bz2";
locale = "pa-IN";
arch = "linux-x86_64";
- sha256 = "9b05c58c61c25b584dd32cd9973d42aed887b22e07feb8a64f20e8288a1ccbcf";
+ sha256 = "418853a0c95d16cf9277b8b5210ba707a427d68d2390f3bfb9917bafbfe2b947";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/pl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/pl/firefox-94.0b2.tar.bz2";
locale = "pl";
arch = "linux-x86_64";
- sha256 = "bdcd745a9270d9fa34b070cd260285381f41481a2735bcde9cb73ffd038c924c";
+ sha256 = "ede88f034e7541851a21aae7329bb5f60c767cbc163fa6a58a67cdd760382899";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/pt-BR/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/pt-BR/firefox-94.0b2.tar.bz2";
locale = "pt-BR";
arch = "linux-x86_64";
- sha256 = "05ce159ae923326132d656b94b7ed78590109b14ce5436d65f262c00f7b70235";
+ sha256 = "679efad4343b9fd6c9869a35f5c1e74daf902014263ca06f957740f911cfe56c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/pt-PT/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/pt-PT/firefox-94.0b2.tar.bz2";
locale = "pt-PT";
arch = "linux-x86_64";
- sha256 = "1829442b517f425fa85feab5691ec63e2375473655d00a25618f21f4c3ff6797";
+ sha256 = "45bdfc0cd916eec6628618fdcdb042df9207193a6fef74e539bdce548c985520";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/rm/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/rm/firefox-94.0b2.tar.bz2";
locale = "rm";
arch = "linux-x86_64";
- sha256 = "5ea7bfa88a46b4d3409ab953537fcc87a5a8a95dfc314a9f7b4b991886be10dd";
+ sha256 = "7bbea6a1d8fa099d7882758aae43136dd413724d3dd17fae103a32b7f960e879";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ro/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ro/firefox-94.0b2.tar.bz2";
locale = "ro";
arch = "linux-x86_64";
- sha256 = "896c0a79ccebd764d4718342bd142d1cfdc172a666b2db2beafc3f1883b69edc";
+ sha256 = "9540f4015850aad6561d9c03b39ef36f1b2c6497d240b7ad5b43e02a73cd003a";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ru/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ru/firefox-94.0b2.tar.bz2";
locale = "ru";
arch = "linux-x86_64";
- sha256 = "6c4f2da35945c331de90f292e35314ffd51c693f01ad69268062bbcad0e2a617";
+ sha256 = "7837ebd163d03693e504d50c70c6798f228b89bdb9ffcb6a892a350a211cece9";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/si/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/sco/firefox-94.0b2.tar.bz2";
+ locale = "sco";
+ arch = "linux-x86_64";
+ sha256 = "43be1c890d3aaefdf1e7671c3ad1d177fd2ecb34df23369cac5d9cdad6404eba";
+ }
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/si/firefox-94.0b2.tar.bz2";
locale = "si";
arch = "linux-x86_64";
- sha256 = "095abb133991ec166dd0d6bc86de71f6367bd338a21480577f2fc2210f42d69f";
+ sha256 = "08f5c9fcd42937bb7c56fcbb82d39570a08dd88fa82afd6defb12468dc8116f6";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/sk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/sk/firefox-94.0b2.tar.bz2";
locale = "sk";
arch = "linux-x86_64";
- sha256 = "549d6fe7bb33d99a2e4a22438defeb5d5b090069f67d58063efdcc458edcaf9c";
+ sha256 = "ba0008b1ce61baaa4026cde2df5e643fde36d8442e5d7a4eb60bf366d913d75a";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/sl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/sl/firefox-94.0b2.tar.bz2";
locale = "sl";
arch = "linux-x86_64";
- sha256 = "5c7138c3ccefcfea898c00e428fb166b0c8883ebaa4980eb9f75507acd15f01b";
+ sha256 = "7192260868cf36a31b8f0e655a623a06c9ea6a9f070b62517803a4f5f922c650";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/son/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/son/firefox-94.0b2.tar.bz2";
locale = "son";
arch = "linux-x86_64";
- sha256 = "a4cdf6b8a865b818301fa23a2ed3626f4e220b02cdc46475d1e39bc9e5245735";
+ sha256 = "ef1b7444683e8232ab043f539a8822e18f0de181a99c205d3d1258f4f3d4fba5";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/sq/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/sq/firefox-94.0b2.tar.bz2";
locale = "sq";
arch = "linux-x86_64";
- sha256 = "69d42b943be2a848b5a28aadf240540f9cb7e527ea312d83e1ac559a361ccfdc";
+ sha256 = "3eee3b72f4deeefa66f4214347d5800421827e2d402c1a1b594c8c57fde35fec";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/sr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/sr/firefox-94.0b2.tar.bz2";
locale = "sr";
arch = "linux-x86_64";
- sha256 = "8b14c6a508f0c5676de085050cac2732a6852047356d28d6257dcf80691df0b1";
+ sha256 = "63cb0b927a324afac3e7ecbdb899f970ce24008ce95d2179afae3eb06543d713";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/sv-SE/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/sv-SE/firefox-94.0b2.tar.bz2";
locale = "sv-SE";
arch = "linux-x86_64";
- sha256 = "b145606546bac77e3e45b98a23bdeebd23b752b5a1045a72312e1cb6c6927fc9";
+ sha256 = "be7023d2f9d23adc466fdf79206694dbd2e17ebeadb6293c85a82dbf6e966884";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/szl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/szl/firefox-94.0b2.tar.bz2";
locale = "szl";
arch = "linux-x86_64";
- sha256 = "212ff7d8d8addec767ce38d81a4a92103d9ee905f01387df9975bbf557795a8d";
+ sha256 = "95714546832f86662101ba73c560d38aa9850fa90333feef7d9ff8267f295296";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ta/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ta/firefox-94.0b2.tar.bz2";
locale = "ta";
arch = "linux-x86_64";
- sha256 = "334684039f1350871356e58e0c467b2d93c6d56b00973601e24728a65ebf45fa";
+ sha256 = "6bf28669ddf04df959d24b960d9f67ac9fd1bee97743d28cc21bac1d8fcc16a0";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/te/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/te/firefox-94.0b2.tar.bz2";
locale = "te";
arch = "linux-x86_64";
- sha256 = "96a9a309a869fa11effce362fd6f781e9c0067639a49b372170e0bc85eb11706";
+ sha256 = "8c59a61fb25662936ca2ad8e19a84058aa22d85103d75c76493eb30b2762eda1";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/th/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/th/firefox-94.0b2.tar.bz2";
locale = "th";
arch = "linux-x86_64";
- sha256 = "3bce10cbab2b6e913af910160c4935e814d2fe862428be78448b6c3b872df53f";
+ sha256 = "984d1a346179dd819de86e970f3cacb0a89ea026c86ca56edabd7855b7bc7afa";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/tl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/tl/firefox-94.0b2.tar.bz2";
locale = "tl";
arch = "linux-x86_64";
- sha256 = "96879338880bc4c632d31d2b096966a957c85de649a047a9ecd5f8e0eaaa43e5";
+ sha256 = "19617e8f8f2aeaaedcbbe33d8bb2421cd8b1eba399ea860d6c7d1e209d5dbc66";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/tr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/tr/firefox-94.0b2.tar.bz2";
locale = "tr";
arch = "linux-x86_64";
- sha256 = "ab592b0b45491be0c573e604344a74291df2d7aa41604ae7961dd78e64987f49";
+ sha256 = "d953044a4b63b5e5eee29c782c12e8e55350dd638c199ce1390361b3ea509f34";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/trs/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/trs/firefox-94.0b2.tar.bz2";
locale = "trs";
arch = "linux-x86_64";
- sha256 = "d75e1569466425349d64c57836517a20be7f6e036bfa837815f6e60c9b1f4f85";
+ sha256 = "738504b47da44370d49c0a7aae03317c3f83992d1be913189194f0e0ce1a3dd9";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/uk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/uk/firefox-94.0b2.tar.bz2";
locale = "uk";
arch = "linux-x86_64";
- sha256 = "5a35497e2e07cd5552f05a130c4ca161929e0643cf106e3bd6fd46fca71e2309";
+ sha256 = "88243361771fbee5cc666bf8bded017a68b4ce1d608e4e13f29b1e58250de2a1";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/ur/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/ur/firefox-94.0b2.tar.bz2";
locale = "ur";
arch = "linux-x86_64";
- sha256 = "504a498350b1399662a68ef1b5371e3d5bd9313c844a9389e862ebc74b28f073";
+ sha256 = "a10538386e72d3051de739cfed8422b4c48eb1ddf5389589b49cd6079a5136e6";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/uz/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/uz/firefox-94.0b2.tar.bz2";
locale = "uz";
arch = "linux-x86_64";
- sha256 = "46c4b1f8403f0fb645878b5cf2867871bfd74382d33963ddc3240ebd0222971f";
+ sha256 = "f07e249bd9e106b7513a65e0b0bf8915adc7d6c0cf65cba983c8763fd3da9aab";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/vi/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/vi/firefox-94.0b2.tar.bz2";
locale = "vi";
arch = "linux-x86_64";
- sha256 = "909f7fbdd6d669f46bb3fdd7fdc929c4fccae59f3b6fcbce4fb6668e26661dc9";
+ sha256 = "29d7bc28680f4694eb66ec898be74089c34ac73536b6bffc26a74ca8a424c537";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/xh/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/xh/firefox-94.0b2.tar.bz2";
locale = "xh";
arch = "linux-x86_64";
- sha256 = "98114043b1b79dd9a151de04b2654fdc12885649e06a45c05818c1d66229a818";
+ sha256 = "771c98ac9bd5420bff5ab955882114249d274cd1dc82f8fad24885efca302069";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/zh-CN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/zh-CN/firefox-94.0b2.tar.bz2";
locale = "zh-CN";
arch = "linux-x86_64";
- sha256 = "b2a0f7e1a18f77cbc33183a48a70f6459adde67329b7c2522d95622ac474f2f0";
+ sha256 = "670a2a1b9e6805aed79909474c01b771d7fd8215fb2bc0e7bb79e890cffc70eb";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-x86_64/zh-TW/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-x86_64/zh-TW/firefox-94.0b2.tar.bz2";
locale = "zh-TW";
arch = "linux-x86_64";
- sha256 = "3999a0a4f297acfa0e5e8e464df86774676c07f7df9cdf3a9ade243b17d91424";
+ sha256 = "7265b78daf40004d7767d17b500a50d06f0d6bd0ea3a72d341732b6eea3d85a7";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ach/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ach/firefox-94.0b2.tar.bz2";
locale = "ach";
arch = "linux-i686";
- sha256 = "1557576b37ef31b8ac98f2663afab1e589acc071a489c415594b2b7880ae15b6";
+ sha256 = "2aac8adf1d0059b9d6ac6cb8558cc87738a60600260ba3c6267d5ca0091dcb35";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/af/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/af/firefox-94.0b2.tar.bz2";
locale = "af";
arch = "linux-i686";
- sha256 = "1ee162319eb90015d06165d4e6fb4ba6071cfddc54dbb17e3b84ee6c5f9bb72c";
+ sha256 = "5b53daa874efe434aaf3e9ab913a7eb5c41de3dc5bb45218375761a4a9251064";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/an/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/an/firefox-94.0b2.tar.bz2";
locale = "an";
arch = "linux-i686";
- sha256 = "bb117ca51ff004a4320f58593f5aa45042e1ad9336989fee60810aa13b051ec7";
+ sha256 = "44eb267e3e38957fdf328bc7c72f35286c0f4de98ea16b419d22650c464b398b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ar/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ar/firefox-94.0b2.tar.bz2";
locale = "ar";
arch = "linux-i686";
- sha256 = "d204482d09bb66f08562dc57a7151230d02994ed7515443c2a8ae1cdb35e1533";
+ sha256 = "cf12aca145ee165cebd17b0e206ce3bb874f0b06a910f34bee4ebd7bd20675b2";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ast/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ast/firefox-94.0b2.tar.bz2";
locale = "ast";
arch = "linux-i686";
- sha256 = "412812c1b9df963039f72652d24785f4401f414d4953c8a249c9bb8bb94e2f2a";
+ sha256 = "5742c9397cf56356f8377516bfd16098df3ec690282ea1b01c2121e80855aafb";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/az/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/az/firefox-94.0b2.tar.bz2";
locale = "az";
arch = "linux-i686";
- sha256 = "3e2fe0a600137fdda9ed538dbc9292c8da03654d071c44651fae7a0917fd1428";
+ sha256 = "28c52a7dca6db549577e072bbf055701706e4bbac9a65fc11f8f2bfd62c6760a";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/be/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/be/firefox-94.0b2.tar.bz2";
locale = "be";
arch = "linux-i686";
- sha256 = "5d845562839f60c2c7b6b142411bb43764b67dbab604ea27852f2b61bf77bf22";
+ sha256 = "d50cf3da85aeba9f967d23cb1d661f6ac3bddc032ab14ad8790237a7eebc2dad";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/bg/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/bg/firefox-94.0b2.tar.bz2";
locale = "bg";
arch = "linux-i686";
- sha256 = "642f79d32cb8ffa869527dc394b316a95caa3b3c30b1e61303d49ef4becc7ce1";
+ sha256 = "44e8f8477b5bf60546e712ea054fb0f736c593ccfa76d744c5f7ff092f8756e8";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/bn/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/bn/firefox-94.0b2.tar.bz2";
locale = "bn";
arch = "linux-i686";
- sha256 = "aef7ab7858de026b357f9af8d00c32759031e77aef42d6ce9338cdffb1b120dc";
+ sha256 = "6a70df8153b96afa2b3489e40781b3ec56cd40515ecce9e682f2236a395c1224";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/br/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/br/firefox-94.0b2.tar.bz2";
locale = "br";
arch = "linux-i686";
- sha256 = "856d428fc9cd62369b1b6834fbf577c94807ce4f958eb3c70accb45d4f86445e";
+ sha256 = "e999ec80dec5e33143e911a3f0492e68a8dac43088a9adf9a9a644fd87905b6b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/bs/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/bs/firefox-94.0b2.tar.bz2";
locale = "bs";
arch = "linux-i686";
- sha256 = "8557c44af4b52dc6c72279b1b3b31a41c87c5cd9b1c23284e2e503362ba81ff7";
+ sha256 = "d500cc9f6e898626efb8e987649f4786988c0977342a46c2ed02c5c5ef540043";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ca-valencia/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ca-valencia/firefox-94.0b2.tar.bz2";
locale = "ca-valencia";
arch = "linux-i686";
- sha256 = "0fc37342f7539431522dd60f1eb319ade594efa494810416843a58a1291fbe40";
+ sha256 = "0f524bb723d1c62380f5bb1e6223ee6c2469a08ddc643dfc36e21c0c82153803";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ca/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ca/firefox-94.0b2.tar.bz2";
locale = "ca";
arch = "linux-i686";
- sha256 = "e5577a0cfec17c2dc83c46570a1351363ec7bffc3bbca59390d3866459bcd33c";
+ sha256 = "e41924c1d4a6161da982a50ab6556a0dd680db8bba3a0399b0fa2a950667bf2e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/cak/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/cak/firefox-94.0b2.tar.bz2";
locale = "cak";
arch = "linux-i686";
- sha256 = "e4ea2fa2c4d15152d21fa1843a5d14d76c12aaaa0ea8326c8db29f219f877f88";
+ sha256 = "a598adefaae52af1da8649965a1b8ba96316d0c6fa13fb5d3c482e7aa63a00c3";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/cs/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/cs/firefox-94.0b2.tar.bz2";
locale = "cs";
arch = "linux-i686";
- sha256 = "43d9d42a10ad996f8a447f932068a0366557d542c1f2a3be626703b2f09735d0";
+ sha256 = "a4833932ee61dd26c552c01b9b6c7d91efe25d7a2d9a63fc0ab2f7700bb2d508";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/cy/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/cy/firefox-94.0b2.tar.bz2";
locale = "cy";
arch = "linux-i686";
- sha256 = "0c79fc024f1714d4267d3483c33e5ef75a0415fc487b0689d600ac5a9a8b8c9b";
+ sha256 = "865c431769651a68946d7496a293194eeaa50886d2d14496b2d06ecae97d0f02";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/da/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/da/firefox-94.0b2.tar.bz2";
locale = "da";
arch = "linux-i686";
- sha256 = "df9e037da8af21c5a22c26f326389d8c171fdc3218e2df2b37795a2ea0af4987";
+ sha256 = "90761a3f5ce8340678eb1bde13dfb646922cd1c42edd17dc8eeef99ddf5a94a4";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/de/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/de/firefox-94.0b2.tar.bz2";
locale = "de";
arch = "linux-i686";
- sha256 = "1e85bb05709e2efdbb48d5b788a0210a5ed83b52c709be06d4cae6d7a94ea9a1";
+ sha256 = "cb0e6ee6fcaa7e112f5c8a1c32f1423ff718b1413f5ba8c1c8807d8513d7798b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/dsb/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/dsb/firefox-94.0b2.tar.bz2";
locale = "dsb";
arch = "linux-i686";
- sha256 = "1074ee2af33d208875197e7f6434596b9d9bcbe6aa5ae911e11adb3606a8f06d";
+ sha256 = "028fc876c1156b48d670b97c750a25ec442b2ba6a68a28a7cd1b81c3a1db410f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/el/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/el/firefox-94.0b2.tar.bz2";
locale = "el";
arch = "linux-i686";
- sha256 = "c2378d2924f99e3c8600d6d23023cb524ada34884645917e1adfd810583fa1b4";
+ sha256 = "b5055d99965a01312dbe339113ce2495c23dbd38cf93a994ff5622f9b61835fb";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/en-CA/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/en-CA/firefox-94.0b2.tar.bz2";
locale = "en-CA";
arch = "linux-i686";
- sha256 = "8f3f4c41c324d7b8b6e518f370899cef2aa58d311e2edea50be22991e0f0c865";
+ sha256 = "a83a7457ad8f4ba12feb3c7824a8d9d211dd88e9f862a9f5127bfb7bf4896075";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/en-GB/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/en-GB/firefox-94.0b2.tar.bz2";
locale = "en-GB";
arch = "linux-i686";
- sha256 = "a702f5cc3d5cc80b189ab4e50579a4a0dd5a3b969497c0f17f22296ccd6aaeac";
+ sha256 = "ad3ffa6449f1fe0f65cee89a64f9b392131c0a765704f95ec94cdc9f7d4baa9f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/en-US/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/en-US/firefox-94.0b2.tar.bz2";
locale = "en-US";
arch = "linux-i686";
- sha256 = "628dce1cf52e132019eb538d260c692e360d33ba18067c5696b665118f1756c1";
+ sha256 = "baa1bea27fddd11c6781a48ea677724bce4c4246125be876d17122998d9a7f4d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/eo/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/eo/firefox-94.0b2.tar.bz2";
locale = "eo";
arch = "linux-i686";
- sha256 = "bea0b89ddeaf47d79d40ffd152c14846e7ece9d5cd62c3e11b3d8a47db26018e";
+ sha256 = "568e14988abf65cfbc9d6c73adfcd5716f909b8a6fca3fb120a6cfdeb9e69e2d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/es-AR/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/es-AR/firefox-94.0b2.tar.bz2";
locale = "es-AR";
arch = "linux-i686";
- sha256 = "79703843c31b39f48198e7477c1047c246c2bbf6ae23736483a1a3c68a3acee2";
+ sha256 = "c90e3f18ecf370d223365c1d0f91b785476d0abc680fda2f86247e25387004c9";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/es-CL/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/es-CL/firefox-94.0b2.tar.bz2";
locale = "es-CL";
arch = "linux-i686";
- sha256 = "a1defb47b1e0deca525e474790fbb75ab48825b59a996f8e2af6d8bb3fd04da3";
+ sha256 = "c0f03f3762ca094a7562f312a4d8de177da3603f3e10ecdebb8d53a0573be44f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/es-ES/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/es-ES/firefox-94.0b2.tar.bz2";
locale = "es-ES";
arch = "linux-i686";
- sha256 = "cc0b63ac061cc573a16ef419a856417242cb52f4f39525eaf4a03fe084659e87";
+ sha256 = "a105f2f4a70c891e4e29e73871919e0eee5882080bc5f5fde408b3ef5b68d5e9";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/es-MX/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/es-MX/firefox-94.0b2.tar.bz2";
locale = "es-MX";
arch = "linux-i686";
- sha256 = "9f0ccc88d783b2b5dcefa19c0761517ec4aa29ebd45e09d36f3b52d4c0d4841e";
+ sha256 = "a1f3a1bbd0c63f96627fed01fea147520e0df328aa0fadfe3dd1a7f6bcadf0c0";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/et/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/et/firefox-94.0b2.tar.bz2";
locale = "et";
arch = "linux-i686";
- sha256 = "f08eb49146b4ff68ad82c92accf80956c9b5e203d384021475cbc51abd1be67e";
+ sha256 = "de90af4dc817d9df6273dda7ee1c7a9eb360c758220b501b37470cd7d8704a7e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/eu/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/eu/firefox-94.0b2.tar.bz2";
locale = "eu";
arch = "linux-i686";
- sha256 = "982cce3566baf096f37a3d9b4ab09324c0b0edd8bd1b31e711a269e2284fe5d2";
+ sha256 = "32eaea585ba8b2d4cf2974b7b78e57657ed8aa3fc7e005453197c3aa1c96abd6";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/fa/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/fa/firefox-94.0b2.tar.bz2";
locale = "fa";
arch = "linux-i686";
- sha256 = "8fcc7329ca0fd874e6b36d830bc6195d43387332b28bd2fdfbe09fad0a17c65f";
+ sha256 = "07810ba943fe1f8a129d7d5795a6bc96852b216d9988ba96776647c7f33a8f62";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ff/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ff/firefox-94.0b2.tar.bz2";
locale = "ff";
arch = "linux-i686";
- sha256 = "efb096d2e43e54f9ed0e18ed898db646839287f55996c86610a463c1d6b92cd5";
+ sha256 = "6a9bdce53ea3b5546b938b2ee9a1b47be4bd1084cb0461740518b4efbb6138af";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/fi/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/fi/firefox-94.0b2.tar.bz2";
locale = "fi";
arch = "linux-i686";
- sha256 = "8c3715c8063cbe7d7df698fa4e4380be1fd06d4e5c7976578f46fa3d40539417";
+ sha256 = "b9145e2b96cf240f4309b8c240269ace21edb90925f140cedf7fca6c74692015";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/fr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/fr/firefox-94.0b2.tar.bz2";
locale = "fr";
arch = "linux-i686";
- sha256 = "57077171b350b88ccfaceb8c0eb3ad1990748d80b2f40dbc3d761c1d0a73d6eb";
+ sha256 = "e3b6b6a62a48a154d28f132a597d265c51b31fc25edbdc2548020e8ca25953a4";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/fy-NL/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/fy-NL/firefox-94.0b2.tar.bz2";
locale = "fy-NL";
arch = "linux-i686";
- sha256 = "14f24328d0e33a18ce6e3d67fbc95cecc61554d07035884181806a25391ab6b6";
+ sha256 = "be2ef81fc49fb6ce874d5efb62b1bc1752ff125fa4ba2205a1dbdb5064c7d072";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ga-IE/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ga-IE/firefox-94.0b2.tar.bz2";
locale = "ga-IE";
arch = "linux-i686";
- sha256 = "27a8b7fdb93b98f36aee3a5442564421436df91f17a68d58961238108992542a";
+ sha256 = "5fc3a6df099ba9e0230717c7fabc6026583818bb0b4a27f465dd27b020a0c475";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/gd/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/gd/firefox-94.0b2.tar.bz2";
locale = "gd";
arch = "linux-i686";
- sha256 = "2319b00f9b2bb0073045b08d170af666d217b2e4667611fbd8b9628c52680200";
+ sha256 = "d6c799bb7c0f13ea956d878462e625425dbc4ece9547514cb12dd9102553c44f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/gl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/gl/firefox-94.0b2.tar.bz2";
locale = "gl";
arch = "linux-i686";
- sha256 = "65782353f01ad909dec1b473a6642b0a0e6733070df5c98f0a262b28aa536e36";
+ sha256 = "6268596e62294f38ab05c85373ed99fc18f69bcb4add19d6dd201b92d066b454";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/gn/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/gn/firefox-94.0b2.tar.bz2";
locale = "gn";
arch = "linux-i686";
- sha256 = "e6cea933b4b1948f0eb84918df5b401968f6e449caae9a5a57ab765a8726eb89";
+ sha256 = "de044826177ce892bc5ce3ef1bdf46882759bb8e49e2b6b36df47cdf34b2615b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/gu-IN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/gu-IN/firefox-94.0b2.tar.bz2";
locale = "gu-IN";
arch = "linux-i686";
- sha256 = "5702b1f19f7b99781447290abf32bbcf97c4ab889549ae5b9d73b20d49b0ecb6";
+ sha256 = "586e731cdc1336ac6d1894ff4f79d67e098bf203384c2944f33a8185a7b6d183";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/he/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/he/firefox-94.0b2.tar.bz2";
locale = "he";
arch = "linux-i686";
- sha256 = "599e8f6d6972a7d9c33ebd92527eb30abe7ea5098c0dc0ad91171818d2ea1ca0";
+ sha256 = "42fbadfd03343c5e878abf913bb45283dda50fb3c9b52395be47d939881a8420";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/hi-IN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/hi-IN/firefox-94.0b2.tar.bz2";
locale = "hi-IN";
arch = "linux-i686";
- sha256 = "d8303c9148c008e556dbe754eba46f2c056a93167e90088ce06fb1bcf425c27e";
+ sha256 = "3fa42bca8f7816b8ab2c87c783f326c55cfae8a04f578f4b7ad71477e9b4cf85";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/hr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/hr/firefox-94.0b2.tar.bz2";
locale = "hr";
arch = "linux-i686";
- sha256 = "da8034b67d159a267b5a1e0d1d816c2030e52d1828fea29e46b8241486854e0f";
+ sha256 = "69d0b032973f80c05ea79d79e98b2f9f4084199cffe9ce486c2a1f7a57fc2569";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/hsb/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/hsb/firefox-94.0b2.tar.bz2";
locale = "hsb";
arch = "linux-i686";
- sha256 = "7b7fa736a29a08108f6e3b5bb47aa768e3ee6c9dc58dae866dd70ab3ec6b04bb";
+ sha256 = "6e78186771e988d87d4cc1eb341ce7fa37b53cb1a34d221dadcf694457a71912";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/hu/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/hu/firefox-94.0b2.tar.bz2";
locale = "hu";
arch = "linux-i686";
- sha256 = "7152458a1742f65ca69341d3762c0adec354acd7402c35213ac07ea2264107d8";
+ sha256 = "5fab0ba23aebd9f717f799ca5783150796ec14c9c45d1c709448e16bd37c9f01";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/hy-AM/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/hy-AM/firefox-94.0b2.tar.bz2";
locale = "hy-AM";
arch = "linux-i686";
- sha256 = "362b5c4c2764f69c3cc175025cf3b0de054cc39e5a47bced76a67e8578d17a2a";
+ sha256 = "fa0de5ec5044eccccec5d8a2b113f7ea1aef59d3a33197bc21774643aa85198d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ia/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ia/firefox-94.0b2.tar.bz2";
locale = "ia";
arch = "linux-i686";
- sha256 = "a19ec522293833dbb48a20e0da510d67825f2dc7892c6c7c9e66d844f74a62f6";
+ sha256 = "87608b529985a8949e29394a9bfeedcd5ffeb67949923358fd82c27c6b351871";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/id/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/id/firefox-94.0b2.tar.bz2";
locale = "id";
arch = "linux-i686";
- sha256 = "cc0819e2c416b2473ef52eb1ee91dec88b38af97c420723562d90dab16f30952";
+ sha256 = "c6d5bcf7db0582063cf42b3b9d8793b4941bd13604ee7cab68fc919dbf160907";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/is/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/is/firefox-94.0b2.tar.bz2";
locale = "is";
arch = "linux-i686";
- sha256 = "f24905a22e4a664af3cfffc859ce6ec453a1b40309c2756b45a74b999e056769";
+ sha256 = "0de58066c6904a9870fc79e144e50cebddf61bab26f346dff8d3d8b4339eb650";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/it/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/it/firefox-94.0b2.tar.bz2";
locale = "it";
arch = "linux-i686";
- sha256 = "e9813624c07dac6b9615f18baed1d24cbb1e34a2347e3d31034ba4718dba90b7";
+ sha256 = "aff66e83da3a968e003caf4df9278a85e9bb15e0cda0173b3e73339c1c85e481";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ja/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ja/firefox-94.0b2.tar.bz2";
locale = "ja";
arch = "linux-i686";
- sha256 = "e8670c1edbff38ee9400bcfc9bc9893ff036af337fcfcceb8d8f76c854474b61";
+ sha256 = "3205dbb1ccec432962d90c2cb533244f1baf47d01b0c77801a827a85f89de328";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ka/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ka/firefox-94.0b2.tar.bz2";
locale = "ka";
arch = "linux-i686";
- sha256 = "bb9243d36cf8c4e62258be1b692366243056d51164a183c7e10fcb3206e22902";
+ sha256 = "d14ee6a00e34495e76af31a20a49b206a744ca0eda7eff60e739e504774ec042";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/kab/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/kab/firefox-94.0b2.tar.bz2";
locale = "kab";
arch = "linux-i686";
- sha256 = "7e57fa6e4da39014b4c7c53bf8b7e5b4f7868ba7e5c80726a3e03bf2d283baca";
+ sha256 = "fe3fe6170a6b5ce661d54d24c0a1d09f7772f11faa4a325d0553cb12ecdc8c7f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/kk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/kk/firefox-94.0b2.tar.bz2";
locale = "kk";
arch = "linux-i686";
- sha256 = "acf4d5d11aa323659ef6c6ea0754104d642501cdc68e734d75bde77b662e207b";
+ sha256 = "14e27fff2da0ee16a47372f6d1426c9f337aa3d2c781020539f787ffd6535765";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/km/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/km/firefox-94.0b2.tar.bz2";
locale = "km";
arch = "linux-i686";
- sha256 = "ab268fc166a3cf2b27df64472835d2ea487bf4860662db807a0700ac21ccfa0c";
+ sha256 = "0de1b97e2bb964b161e15092607f7ed98483a421216249e9988050e213795744";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/kn/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/kn/firefox-94.0b2.tar.bz2";
locale = "kn";
arch = "linux-i686";
- sha256 = "478ea687157dc0922712a61652ad6884972551ed8ce4d01127a80c1efc106e32";
+ sha256 = "8f0160c8797f48024b19139ce23fa2b13540b09e4ac100508fe8fb6c68596f9f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ko/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ko/firefox-94.0b2.tar.bz2";
locale = "ko";
arch = "linux-i686";
- sha256 = "111876d47dd316c11e77439e076df41af384d3daed166f6f5d30b68bd0be4d52";
+ sha256 = "7729469059efd35363ae18d58ab8c84785534d2d94624b863c13ba0e5e98fd3e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/lij/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/lij/firefox-94.0b2.tar.bz2";
locale = "lij";
arch = "linux-i686";
- sha256 = "0810c419383c606ff14d2bbac487368d2122599ee3a5684a288416069b52fac9";
+ sha256 = "6712ecde2c548608fa95af783a7576d765e8bf09e157060a3619cbaf0bec4196";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/lt/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/lt/firefox-94.0b2.tar.bz2";
locale = "lt";
arch = "linux-i686";
- sha256 = "79ab57756a0d232407752eecd621f13567e43592f84ce2995b13bf73513022b6";
+ sha256 = "938e3e220f39d91014b5fb0824d1543027d971f260eaa633c286f6815ebe3a6c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/lv/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/lv/firefox-94.0b2.tar.bz2";
locale = "lv";
arch = "linux-i686";
- sha256 = "856608639af7990122fa2fce426ef126d35dbc3525fc7515b5f26c93203aadb8";
+ sha256 = "b563a544e75431ee878c8c982076c4f320331f8930f539ab15502df6a57e1e49";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/mk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/mk/firefox-94.0b2.tar.bz2";
locale = "mk";
arch = "linux-i686";
- sha256 = "ddd8dfa8a85754d96b83ef24ae5f0b3748b084cc1fbc87670dfe576d7c65c012";
+ sha256 = "e349e36c833709f737cbdbe6effc7874d07b06bf92aa762a91a25fe218862a3c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/mr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/mr/firefox-94.0b2.tar.bz2";
locale = "mr";
arch = "linux-i686";
- sha256 = "52f5327fe808e4273830ae5b71077d6419dff4c763c9e4fd9e64ac8c49b67f14";
+ sha256 = "ab19ad0215db1d4a3dede497bc4ff13bed3f584b1238157b5ad13a1faf62cb15";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ms/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ms/firefox-94.0b2.tar.bz2";
locale = "ms";
arch = "linux-i686";
- sha256 = "0242eedfe6b5259738175f83686db3bfdd6d42db66173f7632c6ad9b064cdd51";
+ sha256 = "9971db2e0b409c58a6931e7e8b8b1ae3fb109c51ca6d8ca763a3819a20c4e818";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/my/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/my/firefox-94.0b2.tar.bz2";
locale = "my";
arch = "linux-i686";
- sha256 = "706c6525e5f6859b5d19a5c49ad787577064b1c12ad5088cff3e923d4fb3304d";
+ sha256 = "67f4e4b4f21f6b0af8973602300a5a511966b341e8abc6a4156d9c5f6278b523";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/nb-NO/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/nb-NO/firefox-94.0b2.tar.bz2";
locale = "nb-NO";
arch = "linux-i686";
- sha256 = "6a3aca1232dbf5a018be45fc5411ca82a45f4be7ecb91fc052a429b9716ec8d6";
+ sha256 = "3a0519e469b7e215f8a3fda16a3445f0dfa466ddcbef105926d00a792e5ec29b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ne-NP/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ne-NP/firefox-94.0b2.tar.bz2";
locale = "ne-NP";
arch = "linux-i686";
- sha256 = "ee10040074f9eb053a83308b104f84deabb2183bf7b1b9dbf349adfd3e25f523";
+ sha256 = "8fb2ef6a3a96c772202dcfc31e507d75baced0df75882135864fcfd376187948";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/nl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/nl/firefox-94.0b2.tar.bz2";
locale = "nl";
arch = "linux-i686";
- sha256 = "3bbd3358f8a6d84ae3183f8f67941f3653d70595feb4d74f9c3d287caa698932";
+ sha256 = "fd81e646da95800ef65d4f7d260be6f40d0c6b3f5fd927258c1b587212a26a3b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/nn-NO/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/nn-NO/firefox-94.0b2.tar.bz2";
locale = "nn-NO";
arch = "linux-i686";
- sha256 = "61f21fef0fb76e40099f2264aae4969d1cd798f58dbf75db81c6366ddfe48335";
+ sha256 = "f7630d4b5ae51b163cf7aea5d8c1d2580b6e11a101fd74672df4b38e629c92b0";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/oc/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/oc/firefox-94.0b2.tar.bz2";
locale = "oc";
arch = "linux-i686";
- sha256 = "0edac08c5d0f400df2f2c7d26c410b2eb3b2a467a167d17fd57b50352b9ff079";
+ sha256 = "c938d337a49dadeecf83ea0436ec1b2e1fcfad93a9a573eee1f8481df303af5d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/pa-IN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/pa-IN/firefox-94.0b2.tar.bz2";
locale = "pa-IN";
arch = "linux-i686";
- sha256 = "c036f77e862df5454e3be5f913448e1732585f19b3f4cd968824c139f82d8790";
+ sha256 = "c4ed4426a29a456c4232d4d681b5d7cf24ee26b80b0d03aa32e860038d011871";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/pl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/pl/firefox-94.0b2.tar.bz2";
locale = "pl";
arch = "linux-i686";
- sha256 = "927a08479433999af0808538ea3df289d3595ff0c88dc311c71d061875ada19d";
+ sha256 = "e5064dd88b7a2fc3a99a0d702aa50f9fa425442e6b10ae6b2f69daa7dcff3961";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/pt-BR/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/pt-BR/firefox-94.0b2.tar.bz2";
locale = "pt-BR";
arch = "linux-i686";
- sha256 = "fd7ec7834104629773481d47cf3c36deb1147326661788403c5ad676e18dc1a5";
+ sha256 = "a749befb91525c428a2861524b51742cf6a04f9d2c5e9b65b313422a29a54f21";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/pt-PT/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/pt-PT/firefox-94.0b2.tar.bz2";
locale = "pt-PT";
arch = "linux-i686";
- sha256 = "b5125d265997ed75a68a9b9c8348efb1127da8c7e07233ee403bbc1c1c1d551a";
+ sha256 = "cc8af104daff4f7023d1bad24d88d8eae063d7810c76edeb3548426eb70056b7";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/rm/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/rm/firefox-94.0b2.tar.bz2";
locale = "rm";
arch = "linux-i686";
- sha256 = "9a77df59be3a58f7ba75951f38461ac366afeb22a936c01562a01d509792ecbb";
+ sha256 = "d548cfd5b4d4a7e4f1d2fcadde5686868ad6d08549ca17d876747d7ae51f0476";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ro/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ro/firefox-94.0b2.tar.bz2";
locale = "ro";
arch = "linux-i686";
- sha256 = "baf15728a07ba2ce73213edbc486cd060a95e9722867e129b4dcaa5b7dbdc20e";
+ sha256 = "02339108ac6c793ecd2907366ddf68864fc834c57358c2b8895684cffc8b92fe";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ru/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ru/firefox-94.0b2.tar.bz2";
locale = "ru";
arch = "linux-i686";
- sha256 = "201bfd1905918fde0134abff649e4153639d42beefca8e5cf695db13305c4869";
+ sha256 = "35113139ff21db5ea21b14856927d08fdf4b9486cead60ffc842f5d0d525bc3c";
+ }
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/sco/firefox-94.0b2.tar.bz2";
+ locale = "sco";
+ arch = "linux-i686";
+ sha256 = "5ad751c892c034a839ebef7a5033af04555d7e9873bd0080ece3323c277dae26";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/si/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/si/firefox-94.0b2.tar.bz2";
locale = "si";
arch = "linux-i686";
- sha256 = "9a8b35abf95cedd5bd8c777e45dc412df76c5ab135fdffce1136f4d5400c4b5f";
+ sha256 = "b06f9b5301f89f3ee5a54f564302ab820ddc3c3e75ff2bb775c506a28afe46dc";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/sk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/sk/firefox-94.0b2.tar.bz2";
locale = "sk";
arch = "linux-i686";
- sha256 = "371fc35135170674b3560a7472b479473c5f989d041c87cd030f8798861f1c2e";
+ sha256 = "dddcc75cbd4bb4d88d04348d71043e6983e6c149bc509de92f0b89e4a8b728f4";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/sl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/sl/firefox-94.0b2.tar.bz2";
locale = "sl";
arch = "linux-i686";
- sha256 = "77df5a99a9577a3a07bb0f034a99f96afa85cb4b05200f56c41a3da2283a93f6";
+ sha256 = "f77d13ae4d55533427e6f61643688fbc7f5018c64058657e3af0b840e5a57ff4";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/son/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/son/firefox-94.0b2.tar.bz2";
locale = "son";
arch = "linux-i686";
- sha256 = "5b72f4757d23c78e2f6ed33ba7afc99b09478d434b6d85b453f980d6a183fabb";
+ sha256 = "984590c9cb5216738e6f438bf976b1d7f948ae2a74eb721d32d34cd10185b0ba";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/sq/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/sq/firefox-94.0b2.tar.bz2";
locale = "sq";
arch = "linux-i686";
- sha256 = "f44f53326face336bebe48657abec77444fbeaf90c82ea57a596465f0fa2e87f";
+ sha256 = "1534c2664809eee6f08d63f6e90f9b68cc451753a7be05ec6271eae0102d9297";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/sr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/sr/firefox-94.0b2.tar.bz2";
locale = "sr";
arch = "linux-i686";
- sha256 = "5a284e9d3afc91691a2f4cc9454f8f113a2385db8e93cf243717e18755a066ce";
+ sha256 = "b007555569112e3603d0f82b70b88232a08790de47715becf1eafc263cc5b766";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/sv-SE/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/sv-SE/firefox-94.0b2.tar.bz2";
locale = "sv-SE";
arch = "linux-i686";
- sha256 = "b2abb070d7d89d0fff19b9ba293961d863547da558804c9ee853ba280cab5524";
+ sha256 = "3ebe9a9ab0f98d9e72111334fa14fe2756d14b7c55ca0961661a4b614b29e730";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/szl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/szl/firefox-94.0b2.tar.bz2";
locale = "szl";
arch = "linux-i686";
- sha256 = "a6a8233e17ecdc236c6741286272fb79148d30b86876d348097f93733937bfcb";
+ sha256 = "c0b10b4c6a40acf11625fde74091060550a127e50374d112357a7644e85fc7c4";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ta/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ta/firefox-94.0b2.tar.bz2";
locale = "ta";
arch = "linux-i686";
- sha256 = "723d94602a9914c1d572f85635ceb2622960b09bfdc99d4ae010efd1f65e4792";
+ sha256 = "02ccf92925d17e23aaba13cfc30691cc7ccca4058ef3b5f687c2ec6d86be9131";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/te/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/te/firefox-94.0b2.tar.bz2";
locale = "te";
arch = "linux-i686";
- sha256 = "78e0ed7271171c5eaed2831ed56afb98b525f8ae4cd9db78f6e5b2c6830b5c87";
+ sha256 = "0a915418ae01e65f77814765719a8d92a8476e0e6b92b7e4f3345c741f9b9d4c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/th/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/th/firefox-94.0b2.tar.bz2";
locale = "th";
arch = "linux-i686";
- sha256 = "4d06b6cf8b81e39875f6b749286cc52d89d8c172e8a8af54f920906326404346";
+ sha256 = "0e528aea8b5ba9ad6cc432c73677702233fe40681e0b2b8a35069c97d6727c20";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/tl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/tl/firefox-94.0b2.tar.bz2";
locale = "tl";
arch = "linux-i686";
- sha256 = "9880b5fb724522d823fdbad3aea4c9b1376e9d97543ad47071a7438aef164b1c";
+ sha256 = "08643d52f23bf7bd945e85575675331213d1b7aaee907dee007913107cf06df8";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/tr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/tr/firefox-94.0b2.tar.bz2";
locale = "tr";
arch = "linux-i686";
- sha256 = "b198c6491a3618c0dc437cfef06c4564a8b70700b399013072201bbf003b2b37";
+ sha256 = "fea788ada1b3260ff7effac66eae99cabe7f7d56c3c27a9f6ecc31fdb077feed";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/trs/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/trs/firefox-94.0b2.tar.bz2";
locale = "trs";
arch = "linux-i686";
- sha256 = "f35c3b4a04742ad4e500fd60a5d6f80147e19b60d6ba1464c7df62707a8dcc19";
+ sha256 = "74709f4feef8ce28eda0b6b1c82dc26991b8099f0cb3f09eb2bd1ced924d4922";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/uk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/uk/firefox-94.0b2.tar.bz2";
locale = "uk";
arch = "linux-i686";
- sha256 = "d3c2b7e536438e8d212c66463bb201475c1df143a040617aaeb08cc14e6962eb";
+ sha256 = "7181038f9069573e8a043dd855efd0bdfb9434be0abe91e79f632778f26d3de3";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/ur/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/ur/firefox-94.0b2.tar.bz2";
locale = "ur";
arch = "linux-i686";
- sha256 = "c708a6886f3a22ec5ef66d9c3d26e051d153fea4ff01fceb65ac41cf090173e8";
+ sha256 = "82a8a5fb6f45d64ac1250465c469572ee8aea4af578158f7b6c697abd81bb949";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/uz/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/uz/firefox-94.0b2.tar.bz2";
locale = "uz";
arch = "linux-i686";
- sha256 = "e26ab71962c17774721bc54bb612f963c61b3b5fb83f5c1473a84157c73c28bb";
+ sha256 = "b2f7b1f0b296912a6dea8f4003ecc1511ed22d7546e576b5f9ef4c877311c01b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/vi/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/vi/firefox-94.0b2.tar.bz2";
locale = "vi";
arch = "linux-i686";
- sha256 = "fc8d824190bec7889618e14cc439f6b96e878acec171ef270e54980000cb60d5";
+ sha256 = "8bf4701b32ae12d16d8d207a2344c2f6b208065c96166bfb724309cd223205de";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/xh/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/xh/firefox-94.0b2.tar.bz2";
locale = "xh";
arch = "linux-i686";
- sha256 = "ef932683f2b7eb51b043ac7c2b9dc1ffef3e048f3a92a5abc65550743e95440b";
+ sha256 = "d546e726258f3b2e72373892bf11bdada96dca0f21e97acb4cc60af872f991f7";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/zh-CN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/zh-CN/firefox-94.0b2.tar.bz2";
locale = "zh-CN";
arch = "linux-i686";
- sha256 = "9e2695711d611ace940d8f6dcce037646bd96f0d0a533d551b73eb1adaa31b98";
+ sha256 = "1e064ce673c92fe9ab0874a71583a9bac311a61850787b419e64b12819cd2dbe";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/90.0b6/linux-i686/zh-TW/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/94.0b2/linux-i686/zh-TW/firefox-94.0b2.tar.bz2";
locale = "zh-TW";
arch = "linux-i686";
- sha256 = "dbb0f78780deef67bfed9c1a126ec296657f327ce3a72beabcea0bbb9a033be3";
+ sha256 = "e3dc9f29ccc01f149f1a071dacdda21fbdca6906f4007ab1cd352b3ff6b498c3";
}
];
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/default.nix
index 551453e9a2e..c8f28b551cd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/default.nix
@@ -28,7 +28,7 @@
, libXt
, libcanberra
, libnotify
-, gnome
+, adwaita-icon-theme
, libGLU, libGL
, nspr
, nss
@@ -137,7 +137,7 @@ stdenv.mkDerivation {
inherit gtk3;
- buildInputs = [ wrapGAppsHook gtk3 gnome.adwaita-icon-theme ];
+ buildInputs = [ wrapGAppsHook gtk3 adwaita-icon-theme ];
# "strip" after "patchelf" may break binaries.
# See: https://github.com/NixOS/patchelf/issues/10
@@ -196,11 +196,9 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Mozilla Firefox, free web browser (binary package)";
homepage = "http://www.mozilla.org/firefox/";
- license = {
- free = false;
- url = "http://www.mozilla.org/en-US/foundation/trademarks/policy/";
- };
+ license = licenses.mpl20;
platforms = builtins.attrNames mozillaPlatforms;
+ hydraPlatforms = [];
maintainers = with maintainers; [ taku0 lovesegfault ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/devedition_sources.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/devedition_sources.nix
index 619b6186b49..8c3988389fa 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/devedition_sources.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/devedition_sources.nix
@@ -1,975 +1,985 @@
{
- version = "90.0b6";
+ version = "94.0b2";
sources = [
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ach/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ach/firefox-94.0b2.tar.bz2";
locale = "ach";
arch = "linux-x86_64";
- sha256 = "20c33b145287c4477e6516837c7bd763f0895750e40400e82ddb6f196b072b23";
+ sha256 = "bd42904859dbd5891779c6e74035596669a498c4140abe36091746a74ca0eea4";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/af/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/af/firefox-94.0b2.tar.bz2";
locale = "af";
arch = "linux-x86_64";
- sha256 = "edebcfa2f2c8a7af69d8d98ec4890d6ce8404f93d8e21a7d643458d769056286";
+ sha256 = "37fab70d161246bcc70e4685dc680a2941c8d92db5bd66edb18fa6e72f1ba279";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/an/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/an/firefox-94.0b2.tar.bz2";
locale = "an";
arch = "linux-x86_64";
- sha256 = "59a8423486afdf3860bd03c5ed2df595c5706f2d048f14d27acf50bc659da119";
+ sha256 = "0960095aba64ca73ad838935a5bd78fc4773347b02f2bf8a08964cf15d0cd866";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ar/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ar/firefox-94.0b2.tar.bz2";
locale = "ar";
arch = "linux-x86_64";
- sha256 = "0c2f57d48e42602109bc30574f12843c09f68f84e810e3f7860d3185999bf7cb";
+ sha256 = "40c097f94a2c106434fe95f35c68b8dafca84fbb887d416aba427637f83d7e11";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ast/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ast/firefox-94.0b2.tar.bz2";
locale = "ast";
arch = "linux-x86_64";
- sha256 = "d505465fa32dd6e0a324955ca950cbef5826f3136b5d2deff3e5a42b8063e69a";
+ sha256 = "41f7b6e15760548be4bb883bbf196175c912484891298a717fd256f091c72f5c";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/az/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/az/firefox-94.0b2.tar.bz2";
locale = "az";
arch = "linux-x86_64";
- sha256 = "fd87877ec1d0978b4f83451360d1eb4f2ff7c0e3fec9a9346b38f34545b89418";
+ sha256 = "1485eb4eee5f3858797871c710a83d7a79217f69002dc8915202080ce182358e";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/be/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/be/firefox-94.0b2.tar.bz2";
locale = "be";
arch = "linux-x86_64";
- sha256 = "a193474f5b728559acbabbfb5d746930909db38e83c2607c38d48a8b62376bb9";
+ sha256 = "1ed4be4238bb0f427dd2a8b8542da9a989c88c417da48ac333dc0afa11ca5a66";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/bg/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/bg/firefox-94.0b2.tar.bz2";
locale = "bg";
arch = "linux-x86_64";
- sha256 = "b0ed4a45bb9ec405b4795d2e73eccd56e89555eaa40c4c72c64c0b5d7731bad0";
+ sha256 = "8b29bc7a4ab22512343e836998150c8786496354697574b8318f6c47da499949";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/bn/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/bn/firefox-94.0b2.tar.bz2";
locale = "bn";
arch = "linux-x86_64";
- sha256 = "1d7deb7f6bed82fe73e795677b4e60192dee7bc52e2a870c1ef53dc3a23e7390";
+ sha256 = "f3b279fed3f5542ed7d9222eb4e7bf7d36ebd633defc920fc1f2d50de71cb0b7";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/br/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/br/firefox-94.0b2.tar.bz2";
locale = "br";
arch = "linux-x86_64";
- sha256 = "379304d81c8ca21b41e63802394d30892572dc3a740d5fc701512ca482e2a649";
+ sha256 = "f9e07894a168c6ecb36a0f10d5aff3335e6553d3516440bb3b2e0a99e34afde8";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/bs/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/bs/firefox-94.0b2.tar.bz2";
locale = "bs";
arch = "linux-x86_64";
- sha256 = "8b54063ef6a1c015f2fac84426b6309df89e013dc8e7deeb862ca6ef54512a7f";
+ sha256 = "4ef75403c6db94821419ab687c362c355bdd7864caa1248383aa33ea330bbe86";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ca-valencia/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ca-valencia/firefox-94.0b2.tar.bz2";
locale = "ca-valencia";
arch = "linux-x86_64";
- sha256 = "80ca50a92ff6a46d140609558265efb422759ce320f5e7480a270b16fcaf486d";
+ sha256 = "7f0488fe5efc807560dec19bf5ab8b9ab63fbea074e5da0c1ba10677fc37580a";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ca/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ca/firefox-94.0b2.tar.bz2";
locale = "ca";
arch = "linux-x86_64";
- sha256 = "00c027ca3c56aea456d0187a0a664d34d5ad704af5220d508e7dfe29ef66a4fa";
+ sha256 = "cefcdb247f82543e356e0aa3144a8594b40d5ebb6d612dbe4624ee23ce4e1ffa";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/cak/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/cak/firefox-94.0b2.tar.bz2";
locale = "cak";
arch = "linux-x86_64";
- sha256 = "7126556936ac97989719922c4123f48508bf946ea51af05ce30182a8c5c798ca";
+ sha256 = "761d75f0764b94bc24a2ef480841639975698308f9a957b68c4cbe4487f0920e";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/cs/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/cs/firefox-94.0b2.tar.bz2";
locale = "cs";
arch = "linux-x86_64";
- sha256 = "3f40d14e92fd79cf695b36c0c6357503bf08ffdf3e2105ea30b38919a0c40442";
+ sha256 = "ba098e4498e3b5e7d5832e922db7a75022cc2eb0ee75a90c587b8a605b9341d7";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/cy/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/cy/firefox-94.0b2.tar.bz2";
locale = "cy";
arch = "linux-x86_64";
- sha256 = "be85ba66f9d92f27fadc5bef7220b794ad1425c09424ff7c1ffea905f1495222";
+ sha256 = "1ab3378924c5ec5677a0380307a34f3c0d5dc5278f14fae8cbc6638f95d887df";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/da/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/da/firefox-94.0b2.tar.bz2";
locale = "da";
arch = "linux-x86_64";
- sha256 = "a69fb1355b2b0fb710954d2982750d9a11a80be924c4e8ca8664d97a77676f7f";
+ sha256 = "dd10f8ca235755fa0384c8e9856ff68da9d35f08f4207253f026393b4ca6913c";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/de/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/de/firefox-94.0b2.tar.bz2";
locale = "de";
arch = "linux-x86_64";
- sha256 = "9142b0b44f4f375f2b426f3ed7af11646367b2a21db8cc3afb704d847552569b";
+ sha256 = "c82331eddd13d69a947555842d4398ae3c89f6bf11d4f57d7e99543aec3d720c";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/dsb/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/dsb/firefox-94.0b2.tar.bz2";
locale = "dsb";
arch = "linux-x86_64";
- sha256 = "42f04094c7d3eaeff3e8bebb073c140870a94e7de29f367950c47ea963fd8ee5";
+ sha256 = "4770d9fa07f4be586ed036e2c9b5655f8aa1939bf9b7148ea282f0926822e88f";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/el/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/el/firefox-94.0b2.tar.bz2";
locale = "el";
arch = "linux-x86_64";
- sha256 = "17a234d289679e507f9bfe67d2b65d7a89dcb4b603e0b154b9faebb9044d320b";
+ sha256 = "4e5beae917f4cc06283cd02eac07758383d9efb26976100bf8c0728e0cffc752";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/en-CA/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/en-CA/firefox-94.0b2.tar.bz2";
locale = "en-CA";
arch = "linux-x86_64";
- sha256 = "5c5e9ef0526aaccf67f6f0bd1bbd5415c2086c0ea8c9d9e614cdd053c5e3e9c8";
+ sha256 = "38bc8dc87a3f381b17d2f38a15d6d75238a16fd968a6463773af773617ffa74f";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/en-GB/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/en-GB/firefox-94.0b2.tar.bz2";
locale = "en-GB";
arch = "linux-x86_64";
- sha256 = "61a4dd2a80d54c79436c2f3f62c4b56bb2d6449c0475cd938a4bc5c3c91a41fd";
+ sha256 = "52592454a7f3a3bab8b1613584da691641b6e25a391b430694e3a4a0a4b96398";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/en-US/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/en-US/firefox-94.0b2.tar.bz2";
locale = "en-US";
arch = "linux-x86_64";
- sha256 = "0ab2b7121ff045df76e043e165cffd3a4cf33f4a5d76f80d880e4135b3ac8e87";
+ sha256 = "ec2b8c578b1aed605987b29c89ad168589f736a656d05de555378a5b5adf2f16";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/eo/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/eo/firefox-94.0b2.tar.bz2";
locale = "eo";
arch = "linux-x86_64";
- sha256 = "20282abb72dc7bbc2db8898d6c18b2d877a6905449a221d4044bb2984594782a";
+ sha256 = "769c9355c29af59994e1c16671c73304d4f51ef8642331c5940b208388c5247d";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/es-AR/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/es-AR/firefox-94.0b2.tar.bz2";
locale = "es-AR";
arch = "linux-x86_64";
- sha256 = "3bfdb83b8ec6ea8c2e95e4a7e50348370617135057053e89ccf9e61ae26710bc";
+ sha256 = "8cef957fd4f6dae23193a51f6fe1bcd473245cb0e559cef09121b012bf56b433";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/es-CL/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/es-CL/firefox-94.0b2.tar.bz2";
locale = "es-CL";
arch = "linux-x86_64";
- sha256 = "b78b75d2832c240c764409d0130f7f70081c66ddfc6a4a710acb329325df3f36";
+ sha256 = "551e4cbb9e2208189da351886127c71bc2b8251aabcfbf3868199f8fc2d75e4b";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/es-ES/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/es-ES/firefox-94.0b2.tar.bz2";
locale = "es-ES";
arch = "linux-x86_64";
- sha256 = "28821baefc20114bd7785c04ddcf4aec363151f0c1ebb850d364b337a5141fbd";
+ sha256 = "a54f96c6354616f3b55a67da80f347a402197712fe751971517130d35fe1ec90";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/es-MX/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/es-MX/firefox-94.0b2.tar.bz2";
locale = "es-MX";
arch = "linux-x86_64";
- sha256 = "853620bc46a74bd425554e83a5866bc5bdec79e9f8129c83f0ba147c47ceec35";
+ sha256 = "a47ed73f1c3323b1b8fe005c903e63773f2e1d2ac0956ec0159c3b335bc28918";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/et/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/et/firefox-94.0b2.tar.bz2";
locale = "et";
arch = "linux-x86_64";
- sha256 = "570cc2cf48702b16384029ee8831e3ba4db39a50b1b02576a0c82d51beb03779";
+ sha256 = "8acdbed6a1027dd9ab042875b8c0d2a612c6a8a86d8a099836dec7fcb7bc26c9";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/eu/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/eu/firefox-94.0b2.tar.bz2";
locale = "eu";
arch = "linux-x86_64";
- sha256 = "44f3cb224da4c0df900737ca5d4d80ce41f404f1d3aa36f6f470d6eb13d7c9bb";
+ sha256 = "5809ce9075b2b4ec919e443b849f10185ae7d636009654c5692a9a480d680404";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/fa/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/fa/firefox-94.0b2.tar.bz2";
locale = "fa";
arch = "linux-x86_64";
- sha256 = "24ac71b7d28b286fe026b1cea49777876eff5146bd47463071142524d4f18fb3";
+ sha256 = "79ab5b7258434720299141ef6df0c70c8ac617e0c92fb7ad394c8bb5adf5515e";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ff/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ff/firefox-94.0b2.tar.bz2";
locale = "ff";
arch = "linux-x86_64";
- sha256 = "1a795decfbef4c7689eeb931f07d8b036943856239ffdd550882267a14395dc0";
+ sha256 = "3faecda595fe0dd9685786fc70a81aa2df19e019e724f3f52d06397cb853c5a7";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/fi/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/fi/firefox-94.0b2.tar.bz2";
locale = "fi";
arch = "linux-x86_64";
- sha256 = "807a38d68d0a5010c6309e2e725d210e3254de5c5b7375fbd209b864053ad25b";
+ sha256 = "bb9109efeab5b45a9fedce6dcbbab7e71f06f2e91204fed3dbe160e3d1b757d7";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/fr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/fr/firefox-94.0b2.tar.bz2";
locale = "fr";
arch = "linux-x86_64";
- sha256 = "6be1e617b3dcd59ec82607a8a1f8b5f145ca6c1cea7020ee678021ea5524d902";
+ sha256 = "6b2d0b74c8eacd8dad7f946ca0f1279d3a22a0176595712859e4de72810c4fe4";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/fy-NL/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/fy-NL/firefox-94.0b2.tar.bz2";
locale = "fy-NL";
arch = "linux-x86_64";
- sha256 = "48d4de4cc60ebc53acdcc4f8fea5b483b546f06527f24bf90340db141f1a043a";
+ sha256 = "21f847af86e0806f16a28f6174178e0cfe1cb9bef8728e6d7e4cbd0e38683fd4";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ga-IE/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ga-IE/firefox-94.0b2.tar.bz2";
locale = "ga-IE";
arch = "linux-x86_64";
- sha256 = "4924bab4100d18fa65b1309a091f0e6042f34aa342508923dd8c3ca559dc9628";
+ sha256 = "c75d79b0e12406e69c00a1975b59003e9cc2ac4467bf565eb17e5af9302ff467";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/gd/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/gd/firefox-94.0b2.tar.bz2";
locale = "gd";
arch = "linux-x86_64";
- sha256 = "b2dcd37bc4c9ed6015321216040cbc27909061c668d8cc053f3385920ad9b18a";
+ sha256 = "1863a424cde413315e7b7b4cc0e39070c1ed18cc470b32c6a951952b95ab2675";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/gl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/gl/firefox-94.0b2.tar.bz2";
locale = "gl";
arch = "linux-x86_64";
- sha256 = "bb50a6514aeed37e4fd96295c0e97ef026bc6fca8c62b7ce6bfdbc227b69b0dd";
+ sha256 = "9eb9d0f8f8ba600a50a7005b7c8c165050ff8fb7c58586e2581a1d45781f8ff0";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/gn/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/gn/firefox-94.0b2.tar.bz2";
locale = "gn";
arch = "linux-x86_64";
- sha256 = "a038dd8daa01675758acb4adf50cb93b204f3573ee204f97cf170a16f300906a";
+ sha256 = "8587fc264b5dae1acd2f6286d6e918ececfd4c25e2f79557faa2b9b268a33264";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/gu-IN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/gu-IN/firefox-94.0b2.tar.bz2";
locale = "gu-IN";
arch = "linux-x86_64";
- sha256 = "a2b385578b11bc12c3bd7230b3a22d03f08a260d26e8f287efb2756b0fd3f9e0";
+ sha256 = "f592615d49abf4cfe6e0fdbba0534199fc3a60c7a330fef45dd254eeae44d6bd";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/he/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/he/firefox-94.0b2.tar.bz2";
locale = "he";
arch = "linux-x86_64";
- sha256 = "6025d34743157cc0869d12aa5921d9951cffa660244804b092ce0f79ebe9abae";
+ sha256 = "fd19095f03f488c2d4303498ce5f11055104b76cc31073f4ac7d146255653a89";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/hi-IN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/hi-IN/firefox-94.0b2.tar.bz2";
locale = "hi-IN";
arch = "linux-x86_64";
- sha256 = "0c83746e1212350945277a65e0219e7bd871bf3bd01fd2e91194dd0e44e377c1";
+ sha256 = "39f6e825ff611a87ab3a86fe83f36c1036a81e78fb6cf96f619d165ba1066db9";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/hr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/hr/firefox-94.0b2.tar.bz2";
locale = "hr";
arch = "linux-x86_64";
- sha256 = "03675af908ee739dbe8f426fe7c4647f4b9073128544e990fd3e167020ba3a88";
+ sha256 = "cf92d55b8e812c9d6ab4d4c26e1d7c1e6c17d211bba601f7eb9dcae9e0c735ad";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/hsb/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/hsb/firefox-94.0b2.tar.bz2";
locale = "hsb";
arch = "linux-x86_64";
- sha256 = "9cf3dc5faebef0342f2b165189312fb1d76b5882b0767241a8240a440fc33bc8";
+ sha256 = "3a3fe83158be2ac5839065b31d988ffe170e3945556d91959fbd47c50cffe6e8";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/hu/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/hu/firefox-94.0b2.tar.bz2";
locale = "hu";
arch = "linux-x86_64";
- sha256 = "b30deb7e51005523457e7f31428356178c1fd546440df2cb12f9420d89374c08";
+ sha256 = "67baa75346bec877fd5f780a02e6edcf7f26f3568de4c175b8abd5a21c5dba61";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/hy-AM/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/hy-AM/firefox-94.0b2.tar.bz2";
locale = "hy-AM";
arch = "linux-x86_64";
- sha256 = "b51db297071b1bcec3416e7904bc1340d1dcf3bc5fea5016e3311911782ae813";
+ sha256 = "66a6143eb2d7e9de36e3c4320f3fdcd6975ffac37fbe33c32519470fcb072025";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ia/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ia/firefox-94.0b2.tar.bz2";
locale = "ia";
arch = "linux-x86_64";
- sha256 = "1e946e4c6893583836874e9ed951969a605b5f8de222d152d79ca003904af86b";
+ sha256 = "c9ff2c7cee071e6e6a08aeffa0e2f733d13337f8088312f927e8b61c24feb096";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/id/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/id/firefox-94.0b2.tar.bz2";
locale = "id";
arch = "linux-x86_64";
- sha256 = "6fb1006d097e353401e032090f08bf1911247b4c3923d2490a7f46cae3f69376";
+ sha256 = "80b403898b67fb720e63dc4eb23f9dec28d526144c287a3a74478db7a083f088";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/is/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/is/firefox-94.0b2.tar.bz2";
locale = "is";
arch = "linux-x86_64";
- sha256 = "a0de49c85a0726fd56038058acb6e1ab31e5100bbb759a6c5cc89191908fe402";
+ sha256 = "6c3a8e686a74a10b6e360420affd461bb51e840a1b4c6a47fa057bd2e928ea4c";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/it/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/it/firefox-94.0b2.tar.bz2";
locale = "it";
arch = "linux-x86_64";
- sha256 = "386c1b25b9f78b91b0474e512091b6907a598555a8e146ed61226332e3c04e22";
+ sha256 = "86d7c1a8401f706fcd8c54da28609355f04c3017f14cc32f656090cbe5641a72";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ja/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ja/firefox-94.0b2.tar.bz2";
locale = "ja";
arch = "linux-x86_64";
- sha256 = "185964b4ba62e93ce54004e28a8d6da65c9b5c657f191e4ef924528c92bf5fcb";
+ sha256 = "15abffc6fde55d2212283001cc74bb250f8c5f088268409352950356fe2bb59e";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ka/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ka/firefox-94.0b2.tar.bz2";
locale = "ka";
arch = "linux-x86_64";
- sha256 = "ff14f1d6c4ee8438cc471c151fea840cc81336de06e244b6e2c8ca193d97e490";
+ sha256 = "ef5149154a366f71bbac944ca3a9c05f64695ad6ce0b0f93c8c24262aa287761";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/kab/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/kab/firefox-94.0b2.tar.bz2";
locale = "kab";
arch = "linux-x86_64";
- sha256 = "36d9058cb4c0bd804f640665bfd05804a787ec59632010d682ea132f12660b18";
+ sha256 = "1c5ce596f41132a7a085ced18dd7a2377544a360b19f3553de8ec1b652ae1c80";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/kk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/kk/firefox-94.0b2.tar.bz2";
locale = "kk";
arch = "linux-x86_64";
- sha256 = "da31f2928efa27843afe07872ecd9b6e286bac90a101c14e1dd1e806e3bdeb65";
+ sha256 = "f13adef30dd6b0b05faff543c1e405e9dd9e29da718dd49831837dd323732c22";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/km/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/km/firefox-94.0b2.tar.bz2";
locale = "km";
arch = "linux-x86_64";
- sha256 = "7a8a1aaf9d78f7b1373374e70017252004c3d49de9c0b97e705fc5df7790834d";
+ sha256 = "e32051813912b819150b7509dec726dcfe206a0a8210f8f7b19db1532f683cdc";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/kn/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/kn/firefox-94.0b2.tar.bz2";
locale = "kn";
arch = "linux-x86_64";
- sha256 = "8641fa391ff8ac3cc25236ee6d19c043c39d651c674cca2badafee685b47eeef";
+ sha256 = "4b94e161b64db88cedfd119962647118d7124853d20c03f64d3540dfafffd9b9";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ko/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ko/firefox-94.0b2.tar.bz2";
locale = "ko";
arch = "linux-x86_64";
- sha256 = "7a676b767215a1d5d6537975fbe9e7e16156d21032901365c24b8b0dd1adb21f";
+ sha256 = "ef05cb08b2bebccd2defb0cbda7c4bbb529e45f0a4d3de23a98c81b201f7e5ed";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/lij/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/lij/firefox-94.0b2.tar.bz2";
locale = "lij";
arch = "linux-x86_64";
- sha256 = "3d5b3e6f04d7a617870a034a4c1b213246d48408eb4849cfaa8872f1aa8c8f13";
+ sha256 = "223f9f000297769f259c1536b51598212524ed202e58d225b0e6387afe073a3d";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/lt/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/lt/firefox-94.0b2.tar.bz2";
locale = "lt";
arch = "linux-x86_64";
- sha256 = "6534871a9a4414a59c3b885d7fa7d7637c9e1f220aa82afcb89e629795c61562";
+ sha256 = "42769ee6bf135861247c5d60482ddcdcf7f5e3f63864e21b50e93398452e573d";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/lv/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/lv/firefox-94.0b2.tar.bz2";
locale = "lv";
arch = "linux-x86_64";
- sha256 = "c2b3cb52ea74f0d62b28e54f87662863b801d4f8fc357c088ecb690d042fe8f4";
+ sha256 = "d3f2cda8f808a32e1fbd28054232a177a46f3db232806281c3ae1a31a4522b57";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/mk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/mk/firefox-94.0b2.tar.bz2";
locale = "mk";
arch = "linux-x86_64";
- sha256 = "2a30d42025663aad7cf6f3039c0e4b8a1fe642dcf97b9d616e6a70c5f2ff0e82";
+ sha256 = "89acc976c5cae171aba6dd2fb7e3c2f99594533bbbad0c27eb2b14ac4a1ae691";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/mr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/mr/firefox-94.0b2.tar.bz2";
locale = "mr";
arch = "linux-x86_64";
- sha256 = "43f244482732b43c39f206fecbf1080c8a154e78707be98931549e0f6809b56f";
+ sha256 = "efa3e483041e64c481d6ef10227fe8ae2b3221285b3a631ff47bf0a156cd2bc9";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ms/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ms/firefox-94.0b2.tar.bz2";
locale = "ms";
arch = "linux-x86_64";
- sha256 = "46b3273f508889c48d611568f0e927eac7e612c2fdafb3546c47f080ee928db7";
+ sha256 = "30891b9eb724b0dfa216c31298e9498145693f12a47b368de7bbca87f25a608e";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/my/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/my/firefox-94.0b2.tar.bz2";
locale = "my";
arch = "linux-x86_64";
- sha256 = "ea355f78f80ec11c44a5735838c5b48b6b915951872abfd8a935f8991010840c";
+ sha256 = "34cd51cd386cfba4566e758615f65d3a74a072b57479fee738fe67796d09e7d2";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/nb-NO/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/nb-NO/firefox-94.0b2.tar.bz2";
locale = "nb-NO";
arch = "linux-x86_64";
- sha256 = "acda50b76ec558b2d941d77b4e523edc0fe4cce0f03e0201d881917a820dff9a";
+ sha256 = "44a38252170ff2fca7722e4cbf30ca42fb268c70eb293299f8350729389d67c1";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ne-NP/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ne-NP/firefox-94.0b2.tar.bz2";
locale = "ne-NP";
arch = "linux-x86_64";
- sha256 = "ac72053ee1992338bf192ec3a10ff975cc973e72c5468de68f8441bc815553b8";
+ sha256 = "86042545a3c9caaa29f200d4e179a364548d42905b6a12d1dd0a3cf82c1cef14";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/nl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/nl/firefox-94.0b2.tar.bz2";
locale = "nl";
arch = "linux-x86_64";
- sha256 = "7f8c13888b7a24235c48f7c8c03ed66f7e3736247c936ddfcf88c8f3816c066c";
+ sha256 = "9c2021434b4f52e2ddd1af5953359e7fd6c783e9216790d09909434e35dadec9";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/nn-NO/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/nn-NO/firefox-94.0b2.tar.bz2";
locale = "nn-NO";
arch = "linux-x86_64";
- sha256 = "c0b50c45a6d198f60cd5703889771778bae6493e77e70d07518b6ae9ce9c4f4b";
+ sha256 = "12cd5d82ed9d74f44e5eb6371c45f65152a74342add2828bf6927c384471da4d";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/oc/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/oc/firefox-94.0b2.tar.bz2";
locale = "oc";
arch = "linux-x86_64";
- sha256 = "0b75c4725e1d9f271a5f34781b8479ff6d6a11ef827afca97d52c599fb389d08";
+ sha256 = "f9d56085b622cc36a1559171ad6bafde322630d638e9a2934dbe6ff933c41a04";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/pa-IN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/pa-IN/firefox-94.0b2.tar.bz2";
locale = "pa-IN";
arch = "linux-x86_64";
- sha256 = "fd4e2d38c3dbbe0ef35799a89fb8cb50435e2a06ed49cec2a380144b3ccd0ec9";
+ sha256 = "9f1557c39b960da9c13d7bb25caeb03d8cc35ff1e2983682c56dce61ef02bc97";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/pl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/pl/firefox-94.0b2.tar.bz2";
locale = "pl";
arch = "linux-x86_64";
- sha256 = "a329a085eaa20c41105b70b2efdfc31260c2e5f9afaae97416a8c3a77e82f822";
+ sha256 = "c7a0989eaec77ccec91464fce8c443bde4069eeb91c069e7919f2fc09715d3b7";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/pt-BR/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/pt-BR/firefox-94.0b2.tar.bz2";
locale = "pt-BR";
arch = "linux-x86_64";
- sha256 = "c418d18e275c4ce5641c8b0f7e30da75659137a4a5ef9dc43fbd2c206e1a1bdb";
+ sha256 = "4d36295b3998c0b512d6757899c8a88f6e960d81fd7ac1eec4585f4f90dc10cd";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/pt-PT/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/pt-PT/firefox-94.0b2.tar.bz2";
locale = "pt-PT";
arch = "linux-x86_64";
- sha256 = "d5cbb5282477c716966c6b30ca9a8f21771638cfbf2e3fce69663ff7bc58c6b4";
+ sha256 = "a0d88e57288dfaf4aaa5f133e5790c6de78bfaeb66b1bef5984c522e05e58626";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/rm/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/rm/firefox-94.0b2.tar.bz2";
locale = "rm";
arch = "linux-x86_64";
- sha256 = "916934135d13a1b8c0a21543c3569f697358e8935cb9f6972c9e80f96fbb775c";
+ sha256 = "5157ae9afa5b8ee193901c1d07dd993261b997be7af0fbde407ec938bb2460b0";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ro/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ro/firefox-94.0b2.tar.bz2";
locale = "ro";
arch = "linux-x86_64";
- sha256 = "5571914386329eb2b0eddf3e4ddbf98eeebf1ae86172fac533d2397a48c8cb31";
+ sha256 = "73baed0ba08e472f57ab2897cbba7a4edc4c63a80ea5bf374a65c3fd8d9b68d0";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ru/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ru/firefox-94.0b2.tar.bz2";
locale = "ru";
arch = "linux-x86_64";
- sha256 = "6b78d8ed2d8df5c00198ccf6fc3221b215623ab4a0b6c21030cde5413f1a1165";
+ sha256 = "8b56328580c42eb44669765e1fa912ce4e4cf5c8f61f3bf32f011661b59b31ad";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/si/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/sco/firefox-94.0b2.tar.bz2";
+ locale = "sco";
+ arch = "linux-x86_64";
+ sha256 = "6b8d7cdbcc0254e5d48190032325af651a068f5b53f04b258b2c04ee44300fee";
+ }
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/si/firefox-94.0b2.tar.bz2";
locale = "si";
arch = "linux-x86_64";
- sha256 = "ed31203623e85d3cd0ea23c54177542455af4013e2ed8ddf642056a99187f814";
+ sha256 = "d31d5b07b273d9cd69ce5422298ba4a2afe874c4bb7e86215ad9abaa5a867786";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/sk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/sk/firefox-94.0b2.tar.bz2";
locale = "sk";
arch = "linux-x86_64";
- sha256 = "ef8f4020adae61fcd797ed23efc1bf54bd94609105a9bec4ac7f543fe876275b";
+ sha256 = "a9cb13bfd2d8b38a144c82a8b7718eacbc26f241a75cc9b42c044130e4acbe56";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/sl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/sl/firefox-94.0b2.tar.bz2";
locale = "sl";
arch = "linux-x86_64";
- sha256 = "ca4a679042db1485d0ced1f81be3610493755ceb7a32887110f528029657b499";
+ sha256 = "bbe947610a3eeee8d93d2f42504d9fabff9574519c67568305c3786df121a0fa";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/son/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/son/firefox-94.0b2.tar.bz2";
locale = "son";
arch = "linux-x86_64";
- sha256 = "aeb7a5e4a15eb836455dacf964826a08905ac822390e2f67230eccd32942e136";
+ sha256 = "67a26046e446d455c1acc161736e0ce0077a7486bf645e03eb2cda98b5b08e8a";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/sq/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/sq/firefox-94.0b2.tar.bz2";
locale = "sq";
arch = "linux-x86_64";
- sha256 = "93109db6d38da24dd3999960c866754966945dceebdfee960125039e1939b5d9";
+ sha256 = "c97fa4c925a8f78fc86e5df390cefd75dd881c27e328730bba7fe142673bb2e0";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/sr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/sr/firefox-94.0b2.tar.bz2";
locale = "sr";
arch = "linux-x86_64";
- sha256 = "cc1787dca9c673d6ee937d7e0a2801cb345a0b06db3f6e53581e1151716d4170";
+ sha256 = "acb8f82e49472d39a771bf54b0f7999fde4551b165db334c53a2bf91b84ae83b";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/sv-SE/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/sv-SE/firefox-94.0b2.tar.bz2";
locale = "sv-SE";
arch = "linux-x86_64";
- sha256 = "281397c37d390635e44e1471b318ea9ba98f4b3b8d7670131c3262838ad26e4a";
+ sha256 = "4e2b4a35ba5b3a68ddc43cc268975d1d717a836c6e83b28b5857cfb63c1306e3";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/szl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/szl/firefox-94.0b2.tar.bz2";
locale = "szl";
arch = "linux-x86_64";
- sha256 = "5255724df1ec3d05f33de152885d180dab52796317ec6739838029f20195ce3b";
+ sha256 = "eb2680babe9f84a0ceef228303cdbe6576acd8fb6753026af5dfbe7779ef98c7";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ta/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ta/firefox-94.0b2.tar.bz2";
locale = "ta";
arch = "linux-x86_64";
- sha256 = "d8c5ae00cc8175e5b6beba8b1c7102a8a1f81860d5945c4e73cd77df5b5f8368";
+ sha256 = "0ca07506b739e405f1ac3fad1842cb3f7993c580c1c9d65a0cd805dd531d0f2e";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/te/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/te/firefox-94.0b2.tar.bz2";
locale = "te";
arch = "linux-x86_64";
- sha256 = "270e079ef9ce1c7977d8cdcfaed1f34552c3058dd6cdad22f17b53c31d6c1dc6";
+ sha256 = "c8660dbf2ca596dfb0ab28178701a8718f6ba7e556f657a19e1c50f69d80edbf";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/th/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/th/firefox-94.0b2.tar.bz2";
locale = "th";
arch = "linux-x86_64";
- sha256 = "747acadb00c955621ec470870478a63a10c4131c244282bdde2a269a9569d6e6";
+ sha256 = "14a935d95568d0c8f723031291c647e6548880f66abb082319303832a3b8c212";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/tl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/tl/firefox-94.0b2.tar.bz2";
locale = "tl";
arch = "linux-x86_64";
- sha256 = "9cdc0ccc264d071ee7f9d7208cd1fddb6179e016ad759c4d5e4dbb00f6508360";
+ sha256 = "c6b1745e717ba35fead6cb9c3c735084e2b019aaa4b20a0fc9af480b9703ae3b";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/tr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/tr/firefox-94.0b2.tar.bz2";
locale = "tr";
arch = "linux-x86_64";
- sha256 = "2e20a8e524e0b91832efa759627b0ddab02fac12d009e0b6bc81ba0833c94c2c";
+ sha256 = "0abfb41a070c5bd2941d29b3c84ab3d9eed74e653bb6177a59803301a27b5465";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/trs/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/trs/firefox-94.0b2.tar.bz2";
locale = "trs";
arch = "linux-x86_64";
- sha256 = "1f4654b6d9d9d33992662288c47e79f8fcfbdf76db5ba0519bfb0de997756afa";
+ sha256 = "a405fa57208ad50ab282f87725fa47789f3afc742c6bf65b872ff2e1320dd83c";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/uk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/uk/firefox-94.0b2.tar.bz2";
locale = "uk";
arch = "linux-x86_64";
- sha256 = "e7048a1226c8af17dd95d162b0d88ed9cd8b0ddfb08bc03920ed04e3d2cd2d8b";
+ sha256 = "a463362bda810d83d86ad8e516cd784ff037f8571a2530ea409c8c6539d5af82";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/ur/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/ur/firefox-94.0b2.tar.bz2";
locale = "ur";
arch = "linux-x86_64";
- sha256 = "5ae8a63c2c3dc8168910c231dcf54aac745327b818d66254a3caada129a83fb0";
+ sha256 = "bbe19675765e179d7277dcb97fa46cdcced95ab1fc52f88bf282d280c29fd376";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/uz/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/uz/firefox-94.0b2.tar.bz2";
locale = "uz";
arch = "linux-x86_64";
- sha256 = "3dc370ffe28c5ed91b8374c2f5ee41a0ae4bd094851a8dfb1d53b546595f558f";
+ sha256 = "4cdeb5836d53de3234e42d2af3db899891500ce9d6ee1ab5ef8ccf6e13312e6e";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/vi/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/vi/firefox-94.0b2.tar.bz2";
locale = "vi";
arch = "linux-x86_64";
- sha256 = "a6f39e25aae7ed5b7d7d1e4ec8c67c4bd5f5ab28178d8f1fbbb817713dbecc1a";
+ sha256 = "db8690e204c87d956665191fe767212d4c5a12797143cf48552d24cf62de81bb";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/xh/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/xh/firefox-94.0b2.tar.bz2";
locale = "xh";
arch = "linux-x86_64";
- sha256 = "10d3c4c3638f0715001b8eb0026a6ca18a5b92d09e8e1d80f6b40246a5c7cafd";
+ sha256 = "6563e14b33dfe307967f75b06bb18f5a2f6ef5de4efcb7f59b0efd0727f95af6";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/zh-CN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/zh-CN/firefox-94.0b2.tar.bz2";
locale = "zh-CN";
arch = "linux-x86_64";
- sha256 = "2142e803dd183e8f7fdf7949804e3cfef22dee2a0e665eeb60933368f54797c5";
+ sha256 = "a3e6b37681f053b771e10a745b0eadce52424d69cac40896112fe317f424701b";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-x86_64/zh-TW/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-x86_64/zh-TW/firefox-94.0b2.tar.bz2";
locale = "zh-TW";
arch = "linux-x86_64";
- sha256 = "0617ccd22cc00872e5e5462636f150511ef5074052c8ad707bba98ae907f4ad7";
+ sha256 = "cd4e160499499bc09e3dc813376711d5d084dff4a2728df4ebc611617a6df56c";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ach/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ach/firefox-94.0b2.tar.bz2";
locale = "ach";
arch = "linux-i686";
- sha256 = "eaa38a9976a7ac2e99030559ba27ff56cac4820ab5f9757f5c2cef76db8c4536";
+ sha256 = "4049dea6bb18ee343452b59bae0072d68b1e8b7c75a22ac03122a56f0804dd55";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/af/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/af/firefox-94.0b2.tar.bz2";
locale = "af";
arch = "linux-i686";
- sha256 = "acc117bc014e08cfef0a26447097bb8df774d6246d569e6408021f0f8799af9d";
+ sha256 = "7acdcd0346fef075473a3585de300b90bd00b2ddc9bd7ae2ce094163b361fbd6";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/an/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/an/firefox-94.0b2.tar.bz2";
locale = "an";
arch = "linux-i686";
- sha256 = "1bbab4c2b380edc694480e2f6427ddb539b5fe5fd2489f050998c920975725da";
+ sha256 = "1e8da8a7e6e5b55ace65c4d7040ce20a2829f222f6636b3638f849af8d8d180b";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ar/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ar/firefox-94.0b2.tar.bz2";
locale = "ar";
arch = "linux-i686";
- sha256 = "4d438bef41db963a282b53d13fc54db0d685014e03684fa19e25109f94d7f6bc";
+ sha256 = "3c6502b58e6ffe364ee1aa2dcb2b69448e45885211a99684b5ec725a8945a648";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ast/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ast/firefox-94.0b2.tar.bz2";
locale = "ast";
arch = "linux-i686";
- sha256 = "ae0d76246adcf16e4e910a02c54c8227a1ebd930b24bfe32ee54e631310cc42e";
+ sha256 = "ebf2d99fc7a64876a9541e27a77cbb7c0a2c69deaa0defeecd1e2ddca2b11807";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/az/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/az/firefox-94.0b2.tar.bz2";
locale = "az";
arch = "linux-i686";
- sha256 = "7b262f731afe0ab9a35e604099197e470416a79c80141c672886473cccfe9f49";
+ sha256 = "3630b509a69a5511fddc9347b89b8afda1c60ca54d42cdaa30409593a7eb8f39";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/be/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/be/firefox-94.0b2.tar.bz2";
locale = "be";
arch = "linux-i686";
- sha256 = "7a3596146cc81954dc55c4040d3926326d468e447dc8fdffaac7a3fabe423d0e";
+ sha256 = "d112fb18d55b5381470103be2cb2a068f3712a1ed16912813f59f0972d8cd5b7";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/bg/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/bg/firefox-94.0b2.tar.bz2";
locale = "bg";
arch = "linux-i686";
- sha256 = "8a1cd058dbd39c6c807a7819116f1af4b22ff936a71d67bc54047d72252721f7";
+ sha256 = "b0c8a0ee33d50fbb2a6d9b0770a7b5fe85c7f8a00eb15cbbc57abc682b7f7cd0";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/bn/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/bn/firefox-94.0b2.tar.bz2";
locale = "bn";
arch = "linux-i686";
- sha256 = "8e58b03730a2c32f0537537114ec662c7307d1f79c5f1aa3dbe60a8d95526194";
+ sha256 = "63bca544f093ec07b5813b2b692edcf6ed1d46068f1a736a49d55255a7a7a23d";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/br/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/br/firefox-94.0b2.tar.bz2";
locale = "br";
arch = "linux-i686";
- sha256 = "37bb7c1e9c015994ea23b6c069b227367c752a4a2cb968d983fe2b0fd0d35839";
+ sha256 = "8b419f06da716bd14f6d6c0534f2ebb622c25a8c52f9f7e03b4bf596657e8612";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/bs/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/bs/firefox-94.0b2.tar.bz2";
locale = "bs";
arch = "linux-i686";
- sha256 = "fa97d80ac97b9d155c40ca0dcc21bc5d1255b3e6a54153402d965b35700d9f74";
+ sha256 = "edab469f4b69d843a15a925a667c8fd33fd16cbbfcaf4ad16c8974bef172cbbb";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ca-valencia/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ca-valencia/firefox-94.0b2.tar.bz2";
locale = "ca-valencia";
arch = "linux-i686";
- sha256 = "c9bc1be306267b7bb2282c8a543e6af66ccfaaa1f09769cd4c535d744a670b22";
+ sha256 = "3be5d8044cd4f8a474fafe864f8264776ba5a478bed7510c6530ee7966d25d3c";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ca/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ca/firefox-94.0b2.tar.bz2";
locale = "ca";
arch = "linux-i686";
- sha256 = "8f3d423ea28e80303709b9323576695a674ea20c675bd825ab5431606e284136";
+ sha256 = "b330165f8326818276b06bf4592984a4061c6236c962dc54d060318aa2131481";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/cak/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/cak/firefox-94.0b2.tar.bz2";
locale = "cak";
arch = "linux-i686";
- sha256 = "57d9509c186eee016cc22568d1f90942e689aeb918ed55aef19764b53da46087";
+ sha256 = "d705ca432b15db9b0f2ed0b2067ee0d236fe788434dc066b428c19d7420e34bf";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/cs/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/cs/firefox-94.0b2.tar.bz2";
locale = "cs";
arch = "linux-i686";
- sha256 = "af43cab80b6f29346310171c32f8b8ea610f191ae48d6caf7db383826f23945c";
+ sha256 = "3116ba93d2926b38d6cc372d3a7e8c746b0c1da14237ea8c18ac51ba7f3aa8e2";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/cy/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/cy/firefox-94.0b2.tar.bz2";
locale = "cy";
arch = "linux-i686";
- sha256 = "dc8e87e1e15cba292f3c5aadec017d8c0c6f2c5c5518f68b5267c7e707b27c4e";
+ sha256 = "c598db707229b9d19723943e018b52b45e3a6c129c3541cadd64798127c403ef";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/da/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/da/firefox-94.0b2.tar.bz2";
locale = "da";
arch = "linux-i686";
- sha256 = "c30e8c35a2eb997c62530a330fc411bf9f87996a717ea3e12f0747784ce287d5";
+ sha256 = "31a2ed6b5d9749319e754e259c9963a195edcc93349429856eb71eecf5b4395d";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/de/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/de/firefox-94.0b2.tar.bz2";
locale = "de";
arch = "linux-i686";
- sha256 = "ab884b36e16d5401b54c1e75d77d553c9add8bd26ee38e57f11f433a163bc567";
+ sha256 = "dc3734616f5d1d997dd0af6a16df090ed28b1945d4f621cb306030cd3ddee06a";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/dsb/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/dsb/firefox-94.0b2.tar.bz2";
locale = "dsb";
arch = "linux-i686";
- sha256 = "0eb8d2548e8b79211dba6fd477393430ba77c7bfb2fc519eeb5e1a3f484504fd";
+ sha256 = "4d11e58b9885cab5f81bbe55b7976d63079d019bc659c23eb537d09a7d527f92";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/el/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/el/firefox-94.0b2.tar.bz2";
locale = "el";
arch = "linux-i686";
- sha256 = "86522647d5107f3518002d8958af62272f0566e178b732a1243ff84da1b2579a";
+ sha256 = "24c23df7db690d2f998f424cd90e951986378a861ef4c722e6517465397c69f4";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/en-CA/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/en-CA/firefox-94.0b2.tar.bz2";
locale = "en-CA";
arch = "linux-i686";
- sha256 = "e9b442a4aebca8f9740f657bd9c032661573890355efc2e418bc2a8ca66c07a4";
+ sha256 = "71a3e42722b84fec6b286d1b009270d40022d43b929b8226961c589059262da0";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/en-GB/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/en-GB/firefox-94.0b2.tar.bz2";
locale = "en-GB";
arch = "linux-i686";
- sha256 = "d0a850a7d9754bb71ff29985c4a129160ce3e99e30df1ef4e7c7a2e011b59771";
+ sha256 = "9e29763788ae6df281ef367def18a19385605afc211a888c24bb0971cdb2a073";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/en-US/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/en-US/firefox-94.0b2.tar.bz2";
locale = "en-US";
arch = "linux-i686";
- sha256 = "4ba4529f6b391d946e876aa321648790b0ec7a92ea5530981dd2f726e04ce0b6";
+ sha256 = "df7b71e338f62f841bd5154aa454324416043bb0485c6ccac8fa068240a5ff81";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/eo/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/eo/firefox-94.0b2.tar.bz2";
locale = "eo";
arch = "linux-i686";
- sha256 = "01e4bcc9d4d01ff5ffb67540cdb815710ab12d9bf621ab1febe8237236960680";
+ sha256 = "370e0b201f706dfbf157cc49d02adb36c64e660d95dca4a10d4020c77e4d3e59";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/es-AR/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/es-AR/firefox-94.0b2.tar.bz2";
locale = "es-AR";
arch = "linux-i686";
- sha256 = "e3d38a32104b08219d06950d1f63726460ea631a7efe8f0eb594ff8aa4e398f4";
+ sha256 = "4c70649db14fdbbc04a5d9cf464cb538f8e652c26e79edc5f388c1f0bda4377d";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/es-CL/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/es-CL/firefox-94.0b2.tar.bz2";
locale = "es-CL";
arch = "linux-i686";
- sha256 = "20df30c114c27f1242d14139e0a62efde00a6fca686adb54ea9f30ce30a22776";
+ sha256 = "151f18e59c2f1a986ae07f0dd8184bc5d6f3f8d1e9f02923d433740620fa47f2";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/es-ES/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/es-ES/firefox-94.0b2.tar.bz2";
locale = "es-ES";
arch = "linux-i686";
- sha256 = "441b5a41d4721cb6a3f99de3820611907e212415c4a398be9177693704d3cd80";
+ sha256 = "2298f5411f24fdfb1e1e0488643cfaf71caf1c1e33823f4b7f4b705f0bd663f9";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/es-MX/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/es-MX/firefox-94.0b2.tar.bz2";
locale = "es-MX";
arch = "linux-i686";
- sha256 = "b08fa76644afe19af1203fcf079e4908f1e441bf0db362cead45d13ad5f30a93";
+ sha256 = "1ee921da00504251d898ffad33a524c0fe306aba8b555d973e058c7f5950e680";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/et/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/et/firefox-94.0b2.tar.bz2";
locale = "et";
arch = "linux-i686";
- sha256 = "1754d25cf865a5d08c8a267abe0bce05c951644b58e0126f7085152538392028";
+ sha256 = "dcbe2aa046fe5123a53d6f29ea7961c214a6a2aabeb1d8b0ea586b6cb769a656";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/eu/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/eu/firefox-94.0b2.tar.bz2";
locale = "eu";
arch = "linux-i686";
- sha256 = "33c3a95df0a369c5feae813bda7f0cc8bbc9b1c63aba5cf4193647f03d86623b";
+ sha256 = "d5b2cc74d0073a47c6ca32ce9fdfec34360e09e00459823a04e8780eb24e427c";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/fa/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/fa/firefox-94.0b2.tar.bz2";
locale = "fa";
arch = "linux-i686";
- sha256 = "ba977267c4ab955665fee6ebae8e5be2ac9a71118b118c2626942f4481e8b8f8";
+ sha256 = "1584f4daebfd7dea873f05c86af3625193f5ac376281229076f4e5d3a9c9c0db";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ff/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ff/firefox-94.0b2.tar.bz2";
locale = "ff";
arch = "linux-i686";
- sha256 = "52b1cbeae32276158a6e649299229c008f797e05d16af756f8e11ab10b8e5a68";
+ sha256 = "263e74577c0559fbe65f157ebbd199e4c31a092227c79378cac6a52a263aec26";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/fi/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/fi/firefox-94.0b2.tar.bz2";
locale = "fi";
arch = "linux-i686";
- sha256 = "45e3e210abb3d0e8647451a52c3ac8e17982d3774e557ffbde36383741b3ea88";
+ sha256 = "c9dfd9166aea3a5ac1d124285b19e65914908a96614a5f02f089d05b8a8b67e4";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/fr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/fr/firefox-94.0b2.tar.bz2";
locale = "fr";
arch = "linux-i686";
- sha256 = "0d6b747bc77931771c9cf6ec23085bcc3def89d8586ebe310df6296a4690df74";
+ sha256 = "9392bc742f0b99eee0b44b7f936794a5098eda0ee67ce8720d7263dde1ea459a";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/fy-NL/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/fy-NL/firefox-94.0b2.tar.bz2";
locale = "fy-NL";
arch = "linux-i686";
- sha256 = "829019f441a1bdbabe67a367d755499c0fb2a79fe1e62c5fa319f7b786d8f096";
+ sha256 = "7be3b93ec071fd2e8759a29a0eea0486c0eb7f2aa091e26ce3f2d3e0e47d0e23";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ga-IE/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ga-IE/firefox-94.0b2.tar.bz2";
locale = "ga-IE";
arch = "linux-i686";
- sha256 = "2a04c91377b2870c986d434e24626e119a2420028549a51e0dfc0be140ebbd57";
+ sha256 = "0ac9df6ec6d46588028af9c462a3ac9a6e97418e2d3cd4786f1f284069c60b80";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/gd/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/gd/firefox-94.0b2.tar.bz2";
locale = "gd";
arch = "linux-i686";
- sha256 = "60ac0465a4cdae54b33035469d6897a5905678ebbe36b49a748fd87b0bf8e541";
+ sha256 = "430016776249d100bfc5a214949acf41fa9065a679f99f05abb4931ee8770768";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/gl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/gl/firefox-94.0b2.tar.bz2";
locale = "gl";
arch = "linux-i686";
- sha256 = "237a0ef1486b7864bb8cfbccd17d8ae95a1935664acda423a1c4ae543aa4401d";
+ sha256 = "a2c4e3cbfe150218b1d9aa158fdf22b195dc85832066dc7cf34ff1caa6e241d7";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/gn/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/gn/firefox-94.0b2.tar.bz2";
locale = "gn";
arch = "linux-i686";
- sha256 = "137d3c301c5fb74d69481801eca7e7bd1cd01d10d0a87b68b177bc63382f8559";
+ sha256 = "a5946fd82663f7127f9ab867eb8c1b09aee2d00e287ca78809cd76ebd009894d";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/gu-IN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/gu-IN/firefox-94.0b2.tar.bz2";
locale = "gu-IN";
arch = "linux-i686";
- sha256 = "6d9e69ca5feb66721369a1c39e76ea3e0b4b4db855ca895137f55d61df129485";
+ sha256 = "9474d901bf617bb76ea3052225086689dd59b9d17a0b0b86f36ea501829cffad";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/he/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/he/firefox-94.0b2.tar.bz2";
locale = "he";
arch = "linux-i686";
- sha256 = "fe88f902475e01b1fb5a26abaf40fef31910eb1c812bce208eb9f55024225e95";
+ sha256 = "a91230f5c6a47273e134252333d8146c3ac7a37a5fbe1e52e5cfc68dd5a813bc";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/hi-IN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/hi-IN/firefox-94.0b2.tar.bz2";
locale = "hi-IN";
arch = "linux-i686";
- sha256 = "fce917ccb693ac825b387ea78f6a5e4de4816f8fe9d7fe5ad6c2b600a2a68cbc";
+ sha256 = "52b5c938ac1920321612adf241fc6618c878abfb31103e72e0634a1dc1416da6";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/hr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/hr/firefox-94.0b2.tar.bz2";
locale = "hr";
arch = "linux-i686";
- sha256 = "71872966d982032bbafea002c3e7e03162b972ee7f8eb8df00d26bd5d3e8c70e";
+ sha256 = "32046eca67d812d36508fcb918292fb7c50c7a663a439a1cdef1779dbe470982";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/hsb/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/hsb/firefox-94.0b2.tar.bz2";
locale = "hsb";
arch = "linux-i686";
- sha256 = "ea8d862701f94cbca2e5f22090cc1434f6f51f8afec5ec929c84c714f11c42ee";
+ sha256 = "858047b2ca9c33a1177625b00b2a46feae836c3b1985b5dc699120194b7592d7";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/hu/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/hu/firefox-94.0b2.tar.bz2";
locale = "hu";
arch = "linux-i686";
- sha256 = "9bd5842125a2432fc997a416987414323f729685b8b0780db1183a8415ad3a94";
+ sha256 = "293c677e1b7dd29832f94cef92af1b646fbafdff6597db8cc384bbde73c3dda4";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/hy-AM/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/hy-AM/firefox-94.0b2.tar.bz2";
locale = "hy-AM";
arch = "linux-i686";
- sha256 = "d094a0d50acfa2d2e28cc6c4bda43c4e4fa999e80ef97bf4090fa19d672515a9";
+ sha256 = "0cf4541c98712014394dc6438536a98fdfeb70993aab1c323a30d3f5f46511f8";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ia/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ia/firefox-94.0b2.tar.bz2";
locale = "ia";
arch = "linux-i686";
- sha256 = "077179806415be1461f4cf2dc5676c434b851fe99e62404cafa6736284cb432c";
+ sha256 = "b1367ef6cc208b39eb022d9767adc0b36169b201ba5a30cdf9db6e08afb2b0ce";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/id/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/id/firefox-94.0b2.tar.bz2";
locale = "id";
arch = "linux-i686";
- sha256 = "69e9dbb8621fb196d2a59f7f96c3f368abebc7b512ccc492ea45959f9ba75446";
+ sha256 = "d7f7e0afbd30dff3611b5785e0570b31aa536f67e3d3542cad14beb88f26bbe6";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/is/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/is/firefox-94.0b2.tar.bz2";
locale = "is";
arch = "linux-i686";
- sha256 = "b5776defe739415915780672d31c35a277f885914ac56c09ac947e317f71ac5a";
+ sha256 = "75b5aaf6aafa26d3b4e51f65cf545ca8c673d71e9a6e8e047814a681d6a7faf3";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/it/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/it/firefox-94.0b2.tar.bz2";
locale = "it";
arch = "linux-i686";
- sha256 = "37b347a27bb14ee9a2c6c7f672cf971de1a7826cbeb0588a4847c32b79dc0496";
+ sha256 = "5b105dab4223fa1b0415e995c4f5e71139427ed9024db5a6e11cd93e3bf370c0";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ja/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ja/firefox-94.0b2.tar.bz2";
locale = "ja";
arch = "linux-i686";
- sha256 = "979cb88f236160d3091f7cfcb80d317fba4fac6ab2ec26d78a2c92651e856f21";
+ sha256 = "d1fb1d2a0d26bb5cf297183639078e7b631840280313f08c80c5ec78e4cbdf8f";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ka/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ka/firefox-94.0b2.tar.bz2";
locale = "ka";
arch = "linux-i686";
- sha256 = "744cf7540dc3238442153b0edd50c687957f47531c0569ce32720e2e5160cbd7";
+ sha256 = "cfd785976f05874dd811c12678a73a0c2804caefe2a01a55839a81f4cb56e580";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/kab/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/kab/firefox-94.0b2.tar.bz2";
locale = "kab";
arch = "linux-i686";
- sha256 = "66efbd08ad245c4629d05de0eec26f987d7d72d66ad097ab197bb31f29345284";
+ sha256 = "e57ffdeb5b3251bf79a3a2308e5b61322db608c0756a61fe28593c7fbfc3da7e";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/kk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/kk/firefox-94.0b2.tar.bz2";
locale = "kk";
arch = "linux-i686";
- sha256 = "9099027e11d674ce49a0945194d724010980deab08360b4bc0240d007c307fbc";
+ sha256 = "eec7d365e1567a4b5ae818b2b42354d9f0109c211bd38af9cdede58c687497eb";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/km/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/km/firefox-94.0b2.tar.bz2";
locale = "km";
arch = "linux-i686";
- sha256 = "a7e43012fcb7a6b58ad20069452d69cc9624236983872f733239c487e4737fda";
+ sha256 = "42f1ed4bdc2cb8098d87b4a313263a5af352a0c3f4cd0cdb7bd07fb7db54bf99";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/kn/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/kn/firefox-94.0b2.tar.bz2";
locale = "kn";
arch = "linux-i686";
- sha256 = "396bb2a4e2c5385b5f74018d3eccb3db2526fdaf277ca99e74934cb17c738cd2";
+ sha256 = "0bd163bd0de53e70fb53bd95aa560203d3af91233e6e75b2417fa7a7dbcb0969";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ko/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ko/firefox-94.0b2.tar.bz2";
locale = "ko";
arch = "linux-i686";
- sha256 = "7606eccf3e6ebe3190a1bfbb60bf88d991e0ff48453b9cc1c07a08ecf54e7932";
+ sha256 = "304b6218cf1f93735589be998b09f9b7ab8dff300ba8b8d2ac28b4a59925b526";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/lij/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/lij/firefox-94.0b2.tar.bz2";
locale = "lij";
arch = "linux-i686";
- sha256 = "b5570a8a3ee4445da1b18ee3217976e02923819f4b3d05f58caba65586f91294";
+ sha256 = "e983f5c04ff113f532e2125c4cbcc474569975eb0b48810e5b64348bd100c51e";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/lt/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/lt/firefox-94.0b2.tar.bz2";
locale = "lt";
arch = "linux-i686";
- sha256 = "2e4b6a279d3db48dc8a498ee5d038c6304782083a699a89d958f81bfa87d8916";
+ sha256 = "5e77dc75af6951262e7f427ac18f9ace83bb28171a9b3cfb9c702093542bb2c2";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/lv/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/lv/firefox-94.0b2.tar.bz2";
locale = "lv";
arch = "linux-i686";
- sha256 = "031c1fa63780985b4576305b2979bb3c7265cfb175b67393c0f0bfc23177711e";
+ sha256 = "99924cc0493a8309a947cd190758b7a0656c34be18b0f3cb02a6b09719cee78d";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/mk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/mk/firefox-94.0b2.tar.bz2";
locale = "mk";
arch = "linux-i686";
- sha256 = "797af316793586db1ab0e0cc9fcf75c1fe70a9c89d78ffa43e6ca6b4fce99c44";
+ sha256 = "23c0d0defcf9bd212bf53a7ff4b6ead8787b0c45a0421f27e403be8067bf0b69";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/mr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/mr/firefox-94.0b2.tar.bz2";
locale = "mr";
arch = "linux-i686";
- sha256 = "5363901c98627006d490d20f89fbe9a050cc23a018651934b39e0a0b7dde0d5e";
+ sha256 = "91bc941df086f34ecd6ca3ed6116fb8d90bdb5148e4c206f6cb377c720dcf90d";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ms/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ms/firefox-94.0b2.tar.bz2";
locale = "ms";
arch = "linux-i686";
- sha256 = "063ebaa12fa522c218990d01f5b312f019e223fee8efb57a10ff68197b8c529e";
+ sha256 = "83cee1afe5711c1735e9f7242760f5a06c912d1f488a2550e6c377e56c80e837";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/my/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/my/firefox-94.0b2.tar.bz2";
locale = "my";
arch = "linux-i686";
- sha256 = "0eac26eb33852f0c0ea79869385010c623769933f5c8cd6c718ab9fde5eae61d";
+ sha256 = "d8111a8274c916dcc7d19442cdab770b3c1895debf8e6e073402e14fa647a2d7";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/nb-NO/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/nb-NO/firefox-94.0b2.tar.bz2";
locale = "nb-NO";
arch = "linux-i686";
- sha256 = "15cad2c535889f2cc29021490d6bb28145e0f1df27676e3871d18d17b3db90bc";
+ sha256 = "bd45064a98e01c6048c2f1497d17d8e9fc695304076f7e8e3bf91d2c6ae0aa1b";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ne-NP/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ne-NP/firefox-94.0b2.tar.bz2";
locale = "ne-NP";
arch = "linux-i686";
- sha256 = "640b3099d96af524455026de4059a23fe75a54b330a8b4fd0a9efe58e55cf950";
+ sha256 = "258a79a425ac0de620e1e05a9710a2f1c6972955bdd075f39ef3d45a8caf1ac0";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/nl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/nl/firefox-94.0b2.tar.bz2";
locale = "nl";
arch = "linux-i686";
- sha256 = "f12f1d587701cc78884fa5ae3d9997aed69ab6b57438e89419bda3330e1787d7";
+ sha256 = "6a7d5d49b97b08463280935e9e2cf22cbac4e00416a113ba320bbc6379604b11";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/nn-NO/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/nn-NO/firefox-94.0b2.tar.bz2";
locale = "nn-NO";
arch = "linux-i686";
- sha256 = "7ac1de885da3fd400e03d91adad4eee78736d7776d1b3134b38ecb9bc4d4614c";
+ sha256 = "e85e22c122266db32f28c2701682be59a0ebd5991eae47dc83f1b21ace3a486f";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/oc/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/oc/firefox-94.0b2.tar.bz2";
locale = "oc";
arch = "linux-i686";
- sha256 = "bab17427dc0d1af03bf8a28a1ac9f505d71ff2d84a184fa6ac99454d6623a269";
+ sha256 = "f21eb275072e63bdd5508d10ab07f2fa3633560fafe45c522f29c90fd7ba511b";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/pa-IN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/pa-IN/firefox-94.0b2.tar.bz2";
locale = "pa-IN";
arch = "linux-i686";
- sha256 = "47a04146475054fcb8d76880f06491e885e12862bd0444e6556ac1030ae8b2b9";
+ sha256 = "26c77efd91b134b19a5054be1ea1782ce9026894be5b1fa3d563cad853b2aa79";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/pl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/pl/firefox-94.0b2.tar.bz2";
locale = "pl";
arch = "linux-i686";
- sha256 = "5c4993bcc60f8d116ef36ea6b502078c34c274f91ee455497203714281f3be46";
+ sha256 = "c003cafaf65b18bd89c10d7f225dac95e254c7792499379f962795da67bf9920";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/pt-BR/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/pt-BR/firefox-94.0b2.tar.bz2";
locale = "pt-BR";
arch = "linux-i686";
- sha256 = "7b5e2f52aa1864a6c1c96387a8f5fe3e788b8472d4852226919db430168b151e";
+ sha256 = "ec8fdd1b154a0cc44c7eb573ee46a52e191115ad6ce26b1e5e5e6d58c5feb790";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/pt-PT/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/pt-PT/firefox-94.0b2.tar.bz2";
locale = "pt-PT";
arch = "linux-i686";
- sha256 = "7717af1088d956ffaaf91f122e5929d3605b60938a40bfa829f791eb7833b620";
+ sha256 = "b699ab3c9034a54111c91aec2292803181466f482fc36a4d56ad2ab1642776e6";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/rm/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/rm/firefox-94.0b2.tar.bz2";
locale = "rm";
arch = "linux-i686";
- sha256 = "eea1dd966c8f4264616b89183a732f7c551c30c999c7bc95e3a6952c6ed667c1";
+ sha256 = "92d2ff95f2b4a4933df5b94e314d9b4ab3957cb4abf852b93c5f547e3c5cfeca";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ro/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ro/firefox-94.0b2.tar.bz2";
locale = "ro";
arch = "linux-i686";
- sha256 = "1f472eab1edacbd4f94e5120a32ecd51b4ec507108b516a244582e0a6f177669";
+ sha256 = "7ef53acc59832375854cf6795bdd5ef02dfd94bb50ed258b981364332d4f1b98";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ru/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ru/firefox-94.0b2.tar.bz2";
locale = "ru";
arch = "linux-i686";
- sha256 = "0cc517ed918facc26e76159b744b28397536736db641a45ac5e11bef9cf9b3d9";
+ sha256 = "65c0596d5d6d889b8dd7bca44c302b46fac0e584fc8d7b0e31db28943886e3ff";
+ }
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/sco/firefox-94.0b2.tar.bz2";
+ locale = "sco";
+ arch = "linux-i686";
+ sha256 = "5f07b16697dcda7129127c2b0d69f05f6ae4d52b1c6a158c979ea96f77124b52";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/si/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/si/firefox-94.0b2.tar.bz2";
locale = "si";
arch = "linux-i686";
- sha256 = "edb56422879227089c8093397464e24c641d7d76bfd71e2dd6fde7a8b7b2498e";
+ sha256 = "3a1203cc2974f91ca023b5ebb99945708dea0dd995a7f2ab7dcd0efdd05963a0";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/sk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/sk/firefox-94.0b2.tar.bz2";
locale = "sk";
arch = "linux-i686";
- sha256 = "ca64ed30ae3d073b51c3dc82c841eec88a7fa3913e82243dd8f67078f0ec59b0";
+ sha256 = "1622f6101810fec383598d0d586023137b391cf3657d14ac223a19874887ae6f";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/sl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/sl/firefox-94.0b2.tar.bz2";
locale = "sl";
arch = "linux-i686";
- sha256 = "ce5c1df3db5e819f309a757e37c764f75dffc2cbf8737a8953349283f98855c2";
+ sha256 = "b64163b6769fbdca125b5f593c99b368e6750db33b0289f093b6622e4c084bea";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/son/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/son/firefox-94.0b2.tar.bz2";
locale = "son";
arch = "linux-i686";
- sha256 = "d1f5604c5204be5c6c5e323b1ffabb411051de8c31c1568cebb6cecda0c1a371";
+ sha256 = "dc7fa036e51002568832b39d929fe519d3f485dafb40e2804c687b00e24151a2";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/sq/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/sq/firefox-94.0b2.tar.bz2";
locale = "sq";
arch = "linux-i686";
- sha256 = "805b77a1a561541e714c9ebaf23d0f5d50abb84766033e38056e0c528ac44d13";
+ sha256 = "8e0a44122cee3ce32783022e6adfc34368939089585e2cc59bc8cff2d77526ce";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/sr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/sr/firefox-94.0b2.tar.bz2";
locale = "sr";
arch = "linux-i686";
- sha256 = "d9b5ca9b56132936391f0b56b3694dd741a6217f591acc7cecb73e947adac302";
+ sha256 = "63162923573e087dfd13c3e3fc65a4b5c20ccc7427fb0a5809639d1edead0b53";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/sv-SE/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/sv-SE/firefox-94.0b2.tar.bz2";
locale = "sv-SE";
arch = "linux-i686";
- sha256 = "3b5aa0a8d598135a2bee5b9b7db478a106473f7708e24c89f103c8d67e233dec";
+ sha256 = "d29eeca6fa60fbfc225610f3d90b3e394296ecd3edf8acd0a9417df22d8f41eb";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/szl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/szl/firefox-94.0b2.tar.bz2";
locale = "szl";
arch = "linux-i686";
- sha256 = "6da4aef36fb8cd014e827a89a9ec9092c9d2005f493b29180048fe70688e8513";
+ sha256 = "a2d122d98a66cb67820111cf6914321e094f112c38ba8b10b7b413631258bea3";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ta/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ta/firefox-94.0b2.tar.bz2";
locale = "ta";
arch = "linux-i686";
- sha256 = "972706bfdf85c74f7615222fd3dc15315fe9b339f2cf03509ea40461ffa09dc9";
+ sha256 = "829f0888af39a91bfc7d1813d37f3c8fd0379eb80b8445550e0d00af9ca89167";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/te/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/te/firefox-94.0b2.tar.bz2";
locale = "te";
arch = "linux-i686";
- sha256 = "5e92dbef162c8553868c321c604315af544fc5a157ad80bbb6cf0dfc1e049ed3";
+ sha256 = "9e5862a75d161b3a7a5afe0b04625a4e195c08c6d76f9b359b5c18470cd2f7c9";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/th/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/th/firefox-94.0b2.tar.bz2";
locale = "th";
arch = "linux-i686";
- sha256 = "2530799eca2427f2294b9020dacdd1360f12c07973627a4f27a1fd09fcee02c3";
+ sha256 = "8562d938f7afb33d2c393a047cd48b4a5791c9fb9d20dafd5c60402b08505edb";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/tl/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/tl/firefox-94.0b2.tar.bz2";
locale = "tl";
arch = "linux-i686";
- sha256 = "ab71a1db5a1a1a77e52819b48322186a7b2425ccea4528aa68c286f76733b0cd";
+ sha256 = "541cad5bfe1cb36595e6ffff13af66f23595fa94d0a2b3591920519da06f5bb7";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/tr/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/tr/firefox-94.0b2.tar.bz2";
locale = "tr";
arch = "linux-i686";
- sha256 = "f4816b4dcc0263451bfe4953c80ca9b2c19dcc882cd01523dee0fa95f8196db7";
+ sha256 = "fc59be56ae01db30b9349cdd912f40fb16851d4ba45d85cb2741c00bcaf1eceb";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/trs/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/trs/firefox-94.0b2.tar.bz2";
locale = "trs";
arch = "linux-i686";
- sha256 = "6dad8a06f75a64ea647b7eac9b211611048d46f6cb82c339eed09f26554e97e1";
+ sha256 = "6b07660d7ebc94792483ee248d87de5b28c720fc24cec2acbd3feb5275250e2b";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/uk/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/uk/firefox-94.0b2.tar.bz2";
locale = "uk";
arch = "linux-i686";
- sha256 = "ea5eb3e482eb51804a935266a91957c5969f0faacf7ea6fc3aaeb39e0b0d3dc4";
+ sha256 = "1936549b7414a8f8712bc642b1d95123b92c1330a523822c6cd35146bc723ead";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/ur/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/ur/firefox-94.0b2.tar.bz2";
locale = "ur";
arch = "linux-i686";
- sha256 = "3048627e8fa53fa379f5d1c3ec9ea70733aadee3a8a9ae35c75187f820024a1e";
+ sha256 = "38c599db47a31edbc86fc57106f9dd4e95fc5f35bb92828c6f8f1aab4cb4ec66";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/uz/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/uz/firefox-94.0b2.tar.bz2";
locale = "uz";
arch = "linux-i686";
- sha256 = "9cd8711b5a188e7c3fc954b65bd08b4ecb3b827816652ae859d5e6a57a15ae5f";
+ sha256 = "6be5066a14c96acba04a49d76c9f08f1f5aec41b492c4473ed8744f7fdd6fe14";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/vi/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/vi/firefox-94.0b2.tar.bz2";
locale = "vi";
arch = "linux-i686";
- sha256 = "89b09f5d295512e98fc374ee950a74aac041ecdde3bbad5cf42a07e5b3ae3ece";
+ sha256 = "0e07833741e12e53d6bbc41eacce27ad3f65d6e3dbfda5aff701ac3c915654a1";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/xh/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/xh/firefox-94.0b2.tar.bz2";
locale = "xh";
arch = "linux-i686";
- sha256 = "925c0f9832d235aca22b0b26aef59f750f09d1d3ea2a391aab4087a44f743072";
+ sha256 = "d34b9f5ce9b3f3bf94d0412d21283909a8170e78aafc0db5cdb006c1a48a5bc2";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/zh-CN/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/zh-CN/firefox-94.0b2.tar.bz2";
locale = "zh-CN";
arch = "linux-i686";
- sha256 = "3f8029b6f33a0954ea8d3029a5182b4b016356348d1a793f9f96261a5e7e6e99";
+ sha256 = "a865cf695ddfb17c218c19ebd7373b396ca008ff4e848af80725881a4398d7b9";
}
- { url = "http://archive.mozilla.org/pub/devedition/releases/90.0b6/linux-i686/zh-TW/firefox-90.0b6.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/devedition/releases/94.0b2/linux-i686/zh-TW/firefox-94.0b2.tar.bz2";
locale = "zh-TW";
arch = "linux-i686";
- sha256 = "8d69eede16322268738bf8d4b75698a825391df1c06caa0ca2931555c832f124";
+ sha256 = "f5c1ab464a2c66945116dac0c7fc5da7b21dd5e219b0f19cc7bb210b0d649b71";
}
];
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/release_sources.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/release_sources.nix
index 8d51e7a2c79..8d91260b6bb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/release_sources.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox-bin/release_sources.nix
@@ -1,985 +1,985 @@
{
- version = "91.0.1";
+ version = "93.0";
sources = [
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ach/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ach/firefox-93.0.tar.bz2";
locale = "ach";
arch = "linux-x86_64";
- sha256 = "d3ffa075821d9c11dcb96e7edaf8e8d71df251d53c9d0451fb01fcaee62ef8f4";
+ sha256 = "8be7f497a9bd28eedb3b30c4c5437242cbd599df3fa5e7a6a2912acadc126707";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/af/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/af/firefox-93.0.tar.bz2";
locale = "af";
arch = "linux-x86_64";
- sha256 = "dc51c73414bcffd8b36741f1d6ab2734b15b4bec786502f35a4b9421b9ca3f0a";
+ sha256 = "aaab5d767d832e883a5ca2ad0a81b128c0fbebe141238835064210b27e47db6b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/an/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/an/firefox-93.0.tar.bz2";
locale = "an";
arch = "linux-x86_64";
- sha256 = "4e629d00106765cf22cf4c78d7ad04ba0379838addcd7cb991fae3d0881cb850";
+ sha256 = "8340801d581d55a249b94378c69061466aa6e6181d64790d5bda43d2b3631a27";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ar/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ar/firefox-93.0.tar.bz2";
locale = "ar";
arch = "linux-x86_64";
- sha256 = "c7054c65464e149d3a59ccaa8e9bf2d69bc77677ea5a2ba3ae918db5be8fdaed";
+ sha256 = "03e0cd262aad9e49b10f6626ec2c96f1646a51d1e461998be5d5487a40709626";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ast/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ast/firefox-93.0.tar.bz2";
locale = "ast";
arch = "linux-x86_64";
- sha256 = "8270e3217f302700c0a3771f68bb88df45100d9d1d0631351f22053e891e66b8";
+ sha256 = "062e4bdc3144b19b5f85ded44078ef64e988bc4c9658ac189771b3411b3e0145";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/az/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/az/firefox-93.0.tar.bz2";
locale = "az";
arch = "linux-x86_64";
- sha256 = "8b1085c48b5e0181c9771763406592bbdbc244d4d3151f33a16988356b5a0952";
+ sha256 = "175fb26412691b06d82f0175bdb51bf5635ff16564df93cdd4c332d6614fbcb9";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/be/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/be/firefox-93.0.tar.bz2";
locale = "be";
arch = "linux-x86_64";
- sha256 = "447646e47e60981affd8d08c2dba13be7cea36298acf0b5fbb643ad8c65cb3d2";
+ sha256 = "9841d99dd7407397388384d37a1b4d11027344e1710073ad3425163144445341";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/bg/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/bg/firefox-93.0.tar.bz2";
locale = "bg";
arch = "linux-x86_64";
- sha256 = "f684ce4051cffe8e5f49450368b11ba92dfe745a7676c815b48d34649594eb08";
+ sha256 = "2932865a731d33c3447aa17d545185faff6fb8db32502236537301ec7eb3d54f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/bn/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/bn/firefox-93.0.tar.bz2";
locale = "bn";
arch = "linux-x86_64";
- sha256 = "9ba47714afcd7919c681b426c5df76664e7115b1c29f44082a84fe352f2a55be";
+ sha256 = "b9d7a1d69e0bf88fcdb24038f410289187a3de5047fa28925513a5f6ac47ae46";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/br/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/br/firefox-93.0.tar.bz2";
locale = "br";
arch = "linux-x86_64";
- sha256 = "da820985c59c010f6de527347c5e475db73aae93180517451c3b06ed4605515f";
+ sha256 = "ef5b6a548c200cd0e519c67a6542624a6b085ed20ca78e162b0dfb5b9d921a0a";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/bs/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/bs/firefox-93.0.tar.bz2";
locale = "bs";
arch = "linux-x86_64";
- sha256 = "7fcf9509831a7b44b07525d6622a29e8e3f83e1cf2aaf60c66afc73e4514a952";
+ sha256 = "4a8e64d088509a8df5b95eea4c39267a884bb2906a71ac39056214dfc10a62c2";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ca-valencia/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ca-valencia/firefox-93.0.tar.bz2";
locale = "ca-valencia";
arch = "linux-x86_64";
- sha256 = "6764d541d324578c381fe723a36c5ccb298276f34749ac61e8ae7a2218036d6b";
+ sha256 = "ad7401e804d5cfe80d4bea0da8c324f70d3304dee96ea9d6c7d7257c67bfca9f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ca/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ca/firefox-93.0.tar.bz2";
locale = "ca";
arch = "linux-x86_64";
- sha256 = "d598fee99118b2d881326458f8bede038ddf51779bed99d581c6bdc31272fa5b";
+ sha256 = "ab9d9d2cdb33f3f6b490f463021e9afe12e930bbe227e4e26122c45522995c8c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/cak/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/cak/firefox-93.0.tar.bz2";
locale = "cak";
arch = "linux-x86_64";
- sha256 = "6c8ed355c7b6b50e9e1752543f7367fd2a1249ab54a7c459f53f0b3e9b5568ae";
+ sha256 = "698e4d066469ffedd1f915d93fac4358c4f614695966937858e950b9fc455bfb";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/cs/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/cs/firefox-93.0.tar.bz2";
locale = "cs";
arch = "linux-x86_64";
- sha256 = "c2f42dc7fa41645583649aac6da440eb6868b42b4522330c282890bbd11a056c";
+ sha256 = "e6525afccdc478dc4db4cb23c30d18cfa2911c1f93bb85efd41b1647f9dbb85b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/cy/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/cy/firefox-93.0.tar.bz2";
locale = "cy";
arch = "linux-x86_64";
- sha256 = "0efe41d3566e6ee405f87c7e76c97725580c25cdcf4753eaac925baca52e31d0";
+ sha256 = "dd3bf768de4120595e2264f4c8155c7037b5d220dc1cd6120c9821125f272046";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/da/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/da/firefox-93.0.tar.bz2";
locale = "da";
arch = "linux-x86_64";
- sha256 = "76f8dbe67bd73c20b219184337ca36b529ff5afbb38278975acc2579c497c938";
+ sha256 = "6b36bdd340f100ee627c34e0b959d11aa19afe15dc4d5b68fb594cd58bf3db23";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/de/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/de/firefox-93.0.tar.bz2";
locale = "de";
arch = "linux-x86_64";
- sha256 = "a0886d38dc116d087f3cd06aad8f496f7c969bdb0761a4da09621b04b1c4dad6";
+ sha256 = "befb39ec9a21c8ab30fbe81a3aad56bdc3734c3df5f511d5b088b79edbd179b7";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/dsb/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/dsb/firefox-93.0.tar.bz2";
locale = "dsb";
arch = "linux-x86_64";
- sha256 = "f84647095269cbe6714109ffc8432606be0e3ec7664c26680fbe9d79eaaf6274";
+ sha256 = "1b922369255e48ef6decc6914df53d8461e5fa6139741ff6946e5f68d797aad9";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/el/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/el/firefox-93.0.tar.bz2";
locale = "el";
arch = "linux-x86_64";
- sha256 = "5773765759d427f491ee809c89fe038f43fb0e0680047ae072fdca973439107f";
+ sha256 = "ba9ddc9bdb8b7b5f1535dfcc8d6ae2062158689d57aae089a854b486e24f2b67";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/en-CA/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/en-CA/firefox-93.0.tar.bz2";
locale = "en-CA";
arch = "linux-x86_64";
- sha256 = "694df869386c430f5f410e81ecd1e6d9f50448dc1bf8773ff544e40f86ba9015";
+ sha256 = "910529d6c94fadc481238b015a35a4b6aab9f532aa4fac3b815413e02ba09f5e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/en-GB/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/en-GB/firefox-93.0.tar.bz2";
locale = "en-GB";
arch = "linux-x86_64";
- sha256 = "abaccbf19c75df6a077a669f3c70380d589756768f776874c7b44253876cd645";
+ sha256 = "be7b43f5e801e3528c5e9eb732d281c36166265a1bcb84c168b017ec8cc01dd3";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/en-US/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/en-US/firefox-93.0.tar.bz2";
locale = "en-US";
arch = "linux-x86_64";
- sha256 = "f3cce733e83ea3abc8085a9809a03afc8caafe6d858f9da5f1823789ee740307";
+ sha256 = "9d06897b80d77cfb59e1c8bd4dfc427590b058616ae634e52cfe272af06f9b32";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/eo/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/eo/firefox-93.0.tar.bz2";
locale = "eo";
arch = "linux-x86_64";
- sha256 = "0f7a104438d8175f22998c3e626cac6a85ceb955201bc0961c9f50a2d3c6942d";
+ sha256 = "83e76766de41b81936b5a2d5bdb3b61a654adfcd3ee7226cc58418a1b4257e4e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/es-AR/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/es-AR/firefox-93.0.tar.bz2";
locale = "es-AR";
arch = "linux-x86_64";
- sha256 = "6622a16486eff0dcb34c77882dccf94f7e85d22c09e04c6ef8e2be2eb7ca4971";
+ sha256 = "8f7fefb869a19511065025d1b0e0ed1d84ffdc402dbb07c4c35673bb9209403a";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/es-CL/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/es-CL/firefox-93.0.tar.bz2";
locale = "es-CL";
arch = "linux-x86_64";
- sha256 = "06208db32a2bc11296aa516c83394162e96da2f2e2d947ec56aeacc3711f9c2e";
+ sha256 = "62531f511e3d79a2a4d80c6a09ce120ecc62662fc5e277f8ba7f73488fd870f3";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/es-ES/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/es-ES/firefox-93.0.tar.bz2";
locale = "es-ES";
arch = "linux-x86_64";
- sha256 = "edeec59af78cea871f1ffcbf49194eb0395300160373c5a51716e3bb3ef528a2";
+ sha256 = "f3f3869780b3344746f8cf1e59dda3f44f56e5b9a97bab7bdc4cc58ba5d8b4a7";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/es-MX/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/es-MX/firefox-93.0.tar.bz2";
locale = "es-MX";
arch = "linux-x86_64";
- sha256 = "157f71cde8354b5c8a03cfd106a17a4748592030177b804432e8d61af7a99bd1";
+ sha256 = "55dfe3202f289bf5ab4b8fa59e3ef7824ca921c436b6c872f2fa6eab8b95dfd3";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/et/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/et/firefox-93.0.tar.bz2";
locale = "et";
arch = "linux-x86_64";
- sha256 = "4e90edde6e458a7858e01247c09a585e78eeadfcdd756b0c5cb18a0ea6e587bf";
+ sha256 = "b6ed0570c1644a00f058453b82b48953adc9e500179f51ad769a796eb7417f75";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/eu/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/eu/firefox-93.0.tar.bz2";
locale = "eu";
arch = "linux-x86_64";
- sha256 = "01b398b9ad33b3543a0dbf2d0fbc425044d3204109b14d8d0b9aa894c0a3003b";
+ sha256 = "070ef21ffeb8c339c49346017626a0c6112ca2c63e2a2880c3b22b858c9322ff";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/fa/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/fa/firefox-93.0.tar.bz2";
locale = "fa";
arch = "linux-x86_64";
- sha256 = "7687e30c2812033ad6c36c2abad3bb3e2983bc7c6554ceb8de331e9f168ad4dc";
+ sha256 = "9dc071ecb81ba221ece8131ff54d27e94585243322a39d817ae663a35af4cc4d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ff/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ff/firefox-93.0.tar.bz2";
locale = "ff";
arch = "linux-x86_64";
- sha256 = "05dbe4360ec07378ab16c3e7e0b7554107a7d2277f330a68d48f91177386ecfb";
+ sha256 = "7d1aa96cfe5c39059ebf682216083a2d5505f9ae97290f6dffb9b15912c5b6ac";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/fi/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/fi/firefox-93.0.tar.bz2";
locale = "fi";
arch = "linux-x86_64";
- sha256 = "98c4a8299bad3392ec33315034828a322189f67c90d10dff6cd76c74de0579d5";
+ sha256 = "3f050f0205134d52aa3e7fd08e1e78ccf2f987aed286dc20c9d5d8422e8dffd1";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/fr/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/fr/firefox-93.0.tar.bz2";
locale = "fr";
arch = "linux-x86_64";
- sha256 = "f0ebd26d849f54b87e3330629cacf0928804c2bbe739533e64105391e67dc579";
+ sha256 = "bc7aef8139c8e20c3ee69e027d93300b30320c24fbf9b651c14743b88d243f66";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/fy-NL/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/fy-NL/firefox-93.0.tar.bz2";
locale = "fy-NL";
arch = "linux-x86_64";
- sha256 = "5ce2534b6298c2d2796445d5ddb7b6bcd0643dbcf17a96177130df8f481eda86";
+ sha256 = "4236fc93d2d661e29f1b82157b179bc91a92543df8b623264c5e05b5d03747a4";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ga-IE/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ga-IE/firefox-93.0.tar.bz2";
locale = "ga-IE";
arch = "linux-x86_64";
- sha256 = "80a422b732154d75b5e6a56082b367506bb04629dff74d26dd412ccab3a94a41";
+ sha256 = "ef874f0887ff4724e141608aeed56a2f78a40f3a0f620e3bdd35e0247bb21194";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/gd/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/gd/firefox-93.0.tar.bz2";
locale = "gd";
arch = "linux-x86_64";
- sha256 = "f277afca343edbf9dbe56c2fe84d0d7204ba70501894cec0107e6cbab112c213";
+ sha256 = "bb0d22e04c024a86bddba9cc527db275199a04dd2576c170f78f98c68ffe4077";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/gl/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/gl/firefox-93.0.tar.bz2";
locale = "gl";
arch = "linux-x86_64";
- sha256 = "f5d238ec36d881729dc6b92b41cf73fdcf73419f4706e1578bb226769d272f69";
+ sha256 = "ab958633a2f6b691b950c18147c04426fe5cdb23592e142dcf15dcd5ce86bc5c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/gn/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/gn/firefox-93.0.tar.bz2";
locale = "gn";
arch = "linux-x86_64";
- sha256 = "bddab5b3c78078c70d80a99eb963dd7c159f24acaf186f94ef2a032fd15ca1bd";
+ sha256 = "1ec4293dd2658d598dee23fb04ecfb6674ccb19ae5b93fb60e94c3ac018056fb";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/gu-IN/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/gu-IN/firefox-93.0.tar.bz2";
locale = "gu-IN";
arch = "linux-x86_64";
- sha256 = "a4a62c689fe6aa5b2c0f0d196fccc5ad6dba42fc4616c25ad45ecdfc18db6c39";
+ sha256 = "eaa2792ff2a4ff29ee5301e3827c758f5e93159d4212988c8a3e3bb19a609064";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/he/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/he/firefox-93.0.tar.bz2";
locale = "he";
arch = "linux-x86_64";
- sha256 = "06a9b9b88f458af96e500d1ddcc58ee587cd3595d152a155a90bfcb9695cf6b6";
+ sha256 = "03ef507e5047f3f84cd4b41a1fbfe00ba72833d0f6fe2503cf0156504031228a";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/hi-IN/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/hi-IN/firefox-93.0.tar.bz2";
locale = "hi-IN";
arch = "linux-x86_64";
- sha256 = "65a1f2e57f0ec59e8b1b6995b6f7c2511b56557abb35f4bb77a0b7fa0e07fc53";
+ sha256 = "9ff924a878b7e8e69868ca33de7ac10d66a4590d022fb2255527928d905a891a";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/hr/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/hr/firefox-93.0.tar.bz2";
locale = "hr";
arch = "linux-x86_64";
- sha256 = "1dc71379aed8b5537bd751db50c4810f7fa5940575341921b4e111c6b727ac6c";
+ sha256 = "ef61afbedff8dac01c600620e82756c5bc04782d717dff13bc6f59ccc06c8ab0";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/hsb/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/hsb/firefox-93.0.tar.bz2";
locale = "hsb";
arch = "linux-x86_64";
- sha256 = "acd5df918ef7e09d08a6fb94696d9a15431e5c899f8137caa8431b2f38d9962a";
+ sha256 = "57ed98a1bce575fc67cd290457072e0142183b9d8713d20a58574453fb3d7707";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/hu/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/hu/firefox-93.0.tar.bz2";
locale = "hu";
arch = "linux-x86_64";
- sha256 = "afeb9429b3aad80c7f92bde3c42c4cf8e6b1e51e221b62a2e7d405da5f1c9ea3";
+ sha256 = "d565f5881e15197d70bfdca1d3df7eef4afd505f7fb2e71bd9bcf5495ba33007";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/hy-AM/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/hy-AM/firefox-93.0.tar.bz2";
locale = "hy-AM";
arch = "linux-x86_64";
- sha256 = "bf5fc5658ae5ba925685d06340ef66fe3d80eeb6297406637cb4ee8d05f02f57";
+ sha256 = "ee430651716013ad37fdeb0549d96aaa1ef254888653b686ba9576844976bc36";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ia/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ia/firefox-93.0.tar.bz2";
locale = "ia";
arch = "linux-x86_64";
- sha256 = "d5269e41a98722c264fc6a9e3299d667bd2f8796b2640989c853e6f1b0beab39";
+ sha256 = "d85cea5e56cff08f185084144374c782a0edbc8396a2ab7ad9e373e6d6441cab";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/id/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/id/firefox-93.0.tar.bz2";
locale = "id";
arch = "linux-x86_64";
- sha256 = "47e2e461b7635f7026af8685c2dc6aed981b3e5c8e6953ea855bd08af2a6ee81";
+ sha256 = "f9b95eafb8f064dc9ac02693befca85b90567b6635446a20f81bd3391fd64847";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/is/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/is/firefox-93.0.tar.bz2";
locale = "is";
arch = "linux-x86_64";
- sha256 = "3d93b22ad196777b13ba6d17871fcc46cb6ecde1e8775171624cbd9d527fa345";
+ sha256 = "d1d68fe93ef3de2424f3ca4d59d339e3add6c21ae63163fa86f0f6c7751893f6";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/it/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/it/firefox-93.0.tar.bz2";
locale = "it";
arch = "linux-x86_64";
- sha256 = "310b5f10f1ff96805f691dfcf0f8c034a9a1a54e84d6e0ae5ecaafa8ab229764";
+ sha256 = "9bf7961653ac654daf8f019ee03b242bd73667e302f9910ab1a7b64aef4b7995";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ja/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ja/firefox-93.0.tar.bz2";
locale = "ja";
arch = "linux-x86_64";
- sha256 = "6e50b5b236da722a01c11402fc6fb5ff362d9c6476ac43815d5c7f48245d158f";
+ sha256 = "7ed411b87cbb261094c6b7cfa34d5cbfa28f0800644b10957429e0499f03b95b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ka/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ka/firefox-93.0.tar.bz2";
locale = "ka";
arch = "linux-x86_64";
- sha256 = "e39a97ca32c43d53e95af91de0e58051fc74174eead6ce4346d8a201fed56800";
+ sha256 = "b5e92b09a9348033abfbf9e55049c7d188821aa2e3ed973cf207130cb1f47abe";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/kab/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/kab/firefox-93.0.tar.bz2";
locale = "kab";
arch = "linux-x86_64";
- sha256 = "851f4eb72487e5a22777905017e91d9b55e6f10eb06ef366e24d4d96272e18e9";
+ sha256 = "71368bdaa2cae9a585b1bc7e6539d5f6ba97ae87a39c8a5910077d28bb0c80fb";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/kk/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/kk/firefox-93.0.tar.bz2";
locale = "kk";
arch = "linux-x86_64";
- sha256 = "cf83913fd67615c8ed9d542c75d22401b051760eb4c0c4e2a5367f954d473dbc";
+ sha256 = "e91bb39de263c5a41c54c50c11d82ce9a28ccaa4df95594657b92e2584210072";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/km/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/km/firefox-93.0.tar.bz2";
locale = "km";
arch = "linux-x86_64";
- sha256 = "82343a709dbb9061d5a71b1f8c5be6adbd8f27e9c0016ff6d0a0ed395f75e4d1";
+ sha256 = "21aaa236b79db29eeacb9c3b4509be78bf65f5584dbf8ee7c6803bc8ce89d201";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/kn/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/kn/firefox-93.0.tar.bz2";
locale = "kn";
arch = "linux-x86_64";
- sha256 = "56fe5ee2e6abd203252ec8643bef2fd019c53ee298ac063ee492c67c6377dcac";
+ sha256 = "d661f1b28960791bc2e15cf6f831fb88c69c691e81bc56b61bb6bb47f4540851";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ko/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ko/firefox-93.0.tar.bz2";
locale = "ko";
arch = "linux-x86_64";
- sha256 = "dbcfce2f941e817cdf6427ef70c3ce1b7d14898ee9b3a30e470d7ce604f4d816";
+ sha256 = "72b75385aac30b8e659a919710412bd532103f34498bbd921e698d8d41354f31";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/lij/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/lij/firefox-93.0.tar.bz2";
locale = "lij";
arch = "linux-x86_64";
- sha256 = "7764585a7bb44f5d139cf822ddd2f89ae12c32ece08844549724b805ed1c86af";
+ sha256 = "9bc73f6865faf264bc411dddb362aee6b54d4d6b14abb25e088032148027f7ae";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/lt/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/lt/firefox-93.0.tar.bz2";
locale = "lt";
arch = "linux-x86_64";
- sha256 = "a64c6ee25e8011f63651085ff3c1c853cbeab97ad24d8988d5c419ac2f3fe660";
+ sha256 = "2499f42c4da599e2b006fe92ae921b6e3fd85af8b94c895875c242e45cfd6987";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/lv/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/lv/firefox-93.0.tar.bz2";
locale = "lv";
arch = "linux-x86_64";
- sha256 = "a7bb8ede18fbe6d9d75d9327104e4f0cef1aa6ae8add6045b6952e4c4c4c9df0";
+ sha256 = "b413029366708222b35286b872efe6b1fcd27f092e9d5b01fa1a6ff9d48e62ad";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/mk/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/mk/firefox-93.0.tar.bz2";
locale = "mk";
arch = "linux-x86_64";
- sha256 = "c8cb79bd2d0f244aa6b236ebd026c79b25ebbc23d53f429bed4d00e333180f6d";
+ sha256 = "1f87c65a87cb5a876dd8a3749ea47c1ca5d7446bbca72de1ed64d92f77f7bc74";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/mr/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/mr/firefox-93.0.tar.bz2";
locale = "mr";
arch = "linux-x86_64";
- sha256 = "5b451466b9f21f4163c0339c226c475c1d5519e947f98a544fb4fd2a315b2652";
+ sha256 = "8fa074c47ffff06f7bd596d3ce3e6e2281c7e924582f285aead35d37f71b18e1";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ms/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ms/firefox-93.0.tar.bz2";
locale = "ms";
arch = "linux-x86_64";
- sha256 = "2fc219544e852aae4bc65b97b6a2cf90509eecfa8728358e9bb747c309d7e3a0";
+ sha256 = "674e877cd4a2e1d0844dcad823c26a50032565239f0ac07c5dd073b919beff80";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/my/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/my/firefox-93.0.tar.bz2";
locale = "my";
arch = "linux-x86_64";
- sha256 = "fb2ef8be7e7e553a9529def262c5b072a4a6f36d459858be81ce4d7d7d7f65ab";
+ sha256 = "8a65cdc5a9c7455c6def1e68fab652c2c5a1d943c4e7af6a83502de5f1d5738f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/nb-NO/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/nb-NO/firefox-93.0.tar.bz2";
locale = "nb-NO";
arch = "linux-x86_64";
- sha256 = "67bd49a41d34a1f2f14f9fa98998b49b4837c9cf90bd0d393eb9454248562f3c";
+ sha256 = "9c6771132a3fee58115cee692564f43464d3ce745da721d3c61519d845592304";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ne-NP/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ne-NP/firefox-93.0.tar.bz2";
locale = "ne-NP";
arch = "linux-x86_64";
- sha256 = "3cf1ec8e18765292105f092e199806281d8e5c10e24b1a2ad02f3cc8e2a03384";
+ sha256 = "110e30dc86f3996b9a3c474be5f170510383ad137a71257a5cd27ed25432ecfb";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/nl/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/nl/firefox-93.0.tar.bz2";
locale = "nl";
arch = "linux-x86_64";
- sha256 = "c4254c7b2b54abc68ea1ea01fe3ca3a47745477d7e972c1e242288b799035457";
+ sha256 = "4e753199be0e8b2b927fd2bef35bfbdcb2aa47fee5a178ff34f4348849f058f1";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/nn-NO/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/nn-NO/firefox-93.0.tar.bz2";
locale = "nn-NO";
arch = "linux-x86_64";
- sha256 = "629b16c5b060d20b4992aa9b4f6601c13495ba8e0f48e6bed299fbb2db1b2dbf";
+ sha256 = "7f9351e18fd74c472151bc8c9ed9181542308a15820b9aec503981de97b851b2";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/oc/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/oc/firefox-93.0.tar.bz2";
locale = "oc";
arch = "linux-x86_64";
- sha256 = "ddd22460bc90e2b0ea468923478114d55ced9b351b954ce354142a93321e369f";
+ sha256 = "0eaefd72fef1d1d86bc0250052d80993456754be8b2818ecaf5a34d4064c4ab3";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/pa-IN/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/pa-IN/firefox-93.0.tar.bz2";
locale = "pa-IN";
arch = "linux-x86_64";
- sha256 = "9f8127b05b46dae4d3f953d83d10815f29e3c7c3d84631be488d68005a81f803";
+ sha256 = "d82d82b827225d9764c127b0fbddbddc9fb46ff4c85a9da7d132ce54a2ef98c6";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/pl/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/pl/firefox-93.0.tar.bz2";
locale = "pl";
arch = "linux-x86_64";
- sha256 = "05dda135b165b1f3e90432a25846d1f9deb0e0e4eff4985bc0b8156d4ce03db9";
+ sha256 = "1bafb0bff6e280a6595b82dcfd99bd2dde5bde5d5bf0993f828e1658afcf0e98";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/pt-BR/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/pt-BR/firefox-93.0.tar.bz2";
locale = "pt-BR";
arch = "linux-x86_64";
- sha256 = "6fc80a89332e3f7fbb15ef035f53a854a408209e1d1a2e12adeffd51e3c7a49d";
+ sha256 = "ebb2bdc70f03a6aaddd3ac1e47f716f880198f3a7c5040a4a592c88a90dd7ff4";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/pt-PT/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/pt-PT/firefox-93.0.tar.bz2";
locale = "pt-PT";
arch = "linux-x86_64";
- sha256 = "542e38d07c041845abff165eb17740cf729075020a210e4b11b3a7627c325668";
+ sha256 = "c082c36c403b685e089b1a90ace81dc4fc2d612f4d82d65fd178e61fafb265ab";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/rm/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/rm/firefox-93.0.tar.bz2";
locale = "rm";
arch = "linux-x86_64";
- sha256 = "6a484c541b31400b30c193697d5512ed6cccf228c58bc8953187451ceab255e8";
+ sha256 = "b42d24bbdfb7016c71c262058af2fb9fec38fe6a9dbf47f6a3c04cd2e9d9279d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ro/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ro/firefox-93.0.tar.bz2";
locale = "ro";
arch = "linux-x86_64";
- sha256 = "a235174d99da396b491b0ba802558b6ae8e124ad3baa80bc471b65b34ec8cd33";
+ sha256 = "cdf32a9e5268885f103e9a9391a247f1e05b2922b1e3e8744c26d92fac9722bf";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ru/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ru/firefox-93.0.tar.bz2";
locale = "ru";
arch = "linux-x86_64";
- sha256 = "e0e6584185798695f92b34bfef5643a8e60e8d8745e8162b4e1de5962a91f072";
+ sha256 = "b87f839b38e8b9e7f17dd97724b210f1eac2e3d290fcd677ab729c00f341757f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/sco/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/sco/firefox-93.0.tar.bz2";
locale = "sco";
arch = "linux-x86_64";
- sha256 = "bfc2e413320b9bd4479aa36d41fcf881237f6051b978dfb6e0ac8871dc43f272";
+ sha256 = "7262fb3b507d74b6d68da1426e7f4571dbecf66211cb32f9719363a6c1f2aa0c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/si/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/si/firefox-93.0.tar.bz2";
locale = "si";
arch = "linux-x86_64";
- sha256 = "91b68d52ee3f49e922d9bb85fb34ce8f81f4413f4246d2131430606cdf0dbf27";
+ sha256 = "aa3e1a8d8f05eeb024eda42c6510532b297a73ced25944e0c28137ec778be9e4";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/sk/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/sk/firefox-93.0.tar.bz2";
locale = "sk";
arch = "linux-x86_64";
- sha256 = "6e705eec8f8c99cd8f7761a65df781b094276f3c4ea2483dfab4a2344755aee0";
+ sha256 = "e1102e5c0961c8532cd9ae49d8b3da624de490265cd39d3e952cd4da839b394f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/sl/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/sl/firefox-93.0.tar.bz2";
locale = "sl";
arch = "linux-x86_64";
- sha256 = "4f868d14d0b0f07e5f2204fae2bf3074e9b4b9ad20c715f3618e20fbf5340046";
+ sha256 = "1109d8fe7a1e33fe03da7c0b3cb27e9b9f314273d4c2ba8a61f12b3a6237d6e3";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/son/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/son/firefox-93.0.tar.bz2";
locale = "son";
arch = "linux-x86_64";
- sha256 = "3d9596c5d74aff035ad15178d26d48cafb6baec6a3cbdabf4a9df10560558726";
+ sha256 = "676739441dcfac253974ae5092c59455b7101e294f9c4df5d31eca00ca864eb4";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/sq/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/sq/firefox-93.0.tar.bz2";
locale = "sq";
arch = "linux-x86_64";
- sha256 = "c52577d01a098c808b83a21b9f49123287e58c2cde78818dcee5541b545c8924";
+ sha256 = "eae6d8801a111b38fa7d7b3ee7fc5b23469940de26760b44160d09f68f5d8e5f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/sr/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/sr/firefox-93.0.tar.bz2";
locale = "sr";
arch = "linux-x86_64";
- sha256 = "9ded38976438030a6edb5c4c38b1d6a6c5a32006afd2f72b2aadefd4e6a5e9c1";
+ sha256 = "a315f119c5cbe0d5a2794933e21180ab837e672c3063a870947e12def2fad450";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/sv-SE/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/sv-SE/firefox-93.0.tar.bz2";
locale = "sv-SE";
arch = "linux-x86_64";
- sha256 = "b83c19762d22d7cd0f6f60e095bcc6245bba32695de6672caded6bbb0ebbae62";
+ sha256 = "3f3490ea0bb9fc22ea85d5d4f6eedb4531e204c1d53f8cf487dbaa063dc973a9";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/szl/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/szl/firefox-93.0.tar.bz2";
locale = "szl";
arch = "linux-x86_64";
- sha256 = "470d77255bab962ca51393593f4416e0a6464e9dbf65e2d3c735901709ade7db";
+ sha256 = "a59912a923916040b87ce1cda8fa71aede4123b39bfcab88a8da4c0da2fb6ce0";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ta/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ta/firefox-93.0.tar.bz2";
locale = "ta";
arch = "linux-x86_64";
- sha256 = "d2dbc50bab3854aa0b16580aeee2836e5a59a9cbbc7283230b8e1367f07cff8e";
+ sha256 = "f6cda89c2b4097e5c33c0eac0819bdcb65cc18e085666fe346fb64aa8d55f64e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/te/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/te/firefox-93.0.tar.bz2";
locale = "te";
arch = "linux-x86_64";
- sha256 = "4f488f890cddeb3726ed745a3503a6efbf25081d91b3008b9b99e5c23753f75e";
+ sha256 = "dfd5f6b330b8ae139ce76c7f21451773342f960e6ec09cce6039791835f6910b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/th/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/th/firefox-93.0.tar.bz2";
locale = "th";
arch = "linux-x86_64";
- sha256 = "e988d6aa3392c68307767a01bef615186d8c40937f8efb39ddee7b0401a8b216";
+ sha256 = "27e625b98bbed71a299607c2cac31ffc937a597d8c6bcd0aaafeb338cdcac547";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/tl/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/tl/firefox-93.0.tar.bz2";
locale = "tl";
arch = "linux-x86_64";
- sha256 = "d51ca2bcdaabb9bf6ca885cc7b01d1cf4cd13ba98fbc403c9fafe3b8d3870007";
+ sha256 = "72a57301971f9bb1a2674a4c00e8e45e77fe1b5b041de9a3255ede15b124460c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/tr/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/tr/firefox-93.0.tar.bz2";
locale = "tr";
arch = "linux-x86_64";
- sha256 = "74a188ca542d32bda09a44fc5d7f11f4e0ff77f7cfb65b2b083a233f7ec164d3";
+ sha256 = "c939cfa088b584330179dc3563062b6e08458e4347ef1e8c66c899ecbd642413";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/trs/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/trs/firefox-93.0.tar.bz2";
locale = "trs";
arch = "linux-x86_64";
- sha256 = "7f458cd74a2798391cf46ecca3075e2d7a8fcb89bbec699d466fe02aef5ce1e8";
+ sha256 = "3216099a1b3435591d1eeb3e50a90c66d9bdb697bf852a302cecb1819cc96c07";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/uk/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/uk/firefox-93.0.tar.bz2";
locale = "uk";
arch = "linux-x86_64";
- sha256 = "8b491ad4234b7bf1b920ad4456e1e416287fed0a272e4e49295dee5bbfa3081a";
+ sha256 = "b2a63a362d0197e065608961a57ab04017fa92f6b43a9848c6046f6da08d3bda";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/ur/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/ur/firefox-93.0.tar.bz2";
locale = "ur";
arch = "linux-x86_64";
- sha256 = "68ef530ab99c08854d99b7f9315ee4e5a664538be849b5654df47dc205bf2a78";
+ sha256 = "faf5f628ec7b1abafb385f43c26534012d6cb888d92bc1c98f17005a4c86896b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/uz/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/uz/firefox-93.0.tar.bz2";
locale = "uz";
arch = "linux-x86_64";
- sha256 = "865aaed959c41461ba6c7275c36170bf633f8a2064612d6deb68fe98a34e19cc";
+ sha256 = "5d2ffce85b1286958dc770a163103b6642c98f29b40bc441bd4771ca5c9817c0";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/vi/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/vi/firefox-93.0.tar.bz2";
locale = "vi";
arch = "linux-x86_64";
- sha256 = "00f2d6282faa8fcb0ecd7d4f5d07514ed9ae23d8cb8ea64ec9911a327153bb13";
+ sha256 = "6218c4c6e58dc0c07df62adef703ee5fca39be1c3e157dbd936c1a0fd670cac9";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/xh/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/xh/firefox-93.0.tar.bz2";
locale = "xh";
arch = "linux-x86_64";
- sha256 = "9ef4bd1d054ea8c9773082699f1cc7b2493bb3eed8d99386db8ec6910ea828b5";
+ sha256 = "4a61e9af94fb6fac5b3fcb9c1461b7c551583b741c66830545744b3b717b6a05";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/zh-CN/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/zh-CN/firefox-93.0.tar.bz2";
locale = "zh-CN";
arch = "linux-x86_64";
- sha256 = "b91a7fbd4478b913c29b295be9ca968b4992d38410dcdd63fffdb4750b10b872";
+ sha256 = "dc8279b92b8e030795edfb1c939a2989f8801953547f2c581740ad24701cb95b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-x86_64/zh-TW/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-x86_64/zh-TW/firefox-93.0.tar.bz2";
locale = "zh-TW";
arch = "linux-x86_64";
- sha256 = "4d2317c96524b21c842af70f6e4096be3518e707f894713d99edfc7d71153dff";
+ sha256 = "d0c7d5f5738d051959dc9ee4f39dbf699a8c8f6f2328858670663163600075e3";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ach/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ach/firefox-93.0.tar.bz2";
locale = "ach";
arch = "linux-i686";
- sha256 = "d3bf432eec6a56c869c6c3f9cc25e99f6843b806c3a569fcfc8365cdaaf49bdc";
+ sha256 = "ad9067dc548ce33d6441c0e94dd46a93751efd5c1de391dfabee1ea7dd81c80b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/af/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/af/firefox-93.0.tar.bz2";
locale = "af";
arch = "linux-i686";
- sha256 = "bf00fcaf0d322e995ece30f7bc3479d37651f866607ead0090f429a4c582bc91";
+ sha256 = "8267fedba7d52a5eed2dfc64b6bbba23c2f72e9f7b28370f65047b6009191730";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/an/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/an/firefox-93.0.tar.bz2";
locale = "an";
arch = "linux-i686";
- sha256 = "757247fac4eb7232a2668a56e547d031cb55ac76bd8b4c0143c637483ae8ea13";
+ sha256 = "ffc6725d9c9a2baad960f7b587588b221fa3aa0de7707dd6fefd3f81f61dfe89";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ar/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ar/firefox-93.0.tar.bz2";
locale = "ar";
arch = "linux-i686";
- sha256 = "072237ecdaf5bccd8d99aa5ea00e0686a064554bf7039dfb37b05634879e0218";
+ sha256 = "dafd5ead95dda8f5fe119805b1d1d3482cf4d90bd8f274bbdf551846f8b7780e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ast/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ast/firefox-93.0.tar.bz2";
locale = "ast";
arch = "linux-i686";
- sha256 = "cec45238e8e7291bde4d9bc66e489777280b80b6b2d38445899908ca0acf0251";
+ sha256 = "4ff9f1379b95aedb46017b77a86766a0fa42d4fe4f0a0c2c6d3a26b4612e578f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/az/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/az/firefox-93.0.tar.bz2";
locale = "az";
arch = "linux-i686";
- sha256 = "6b178343e28818a29e64b24033e2b5851d77901c372d27ed94fdd93d566527d6";
+ sha256 = "ff597f10b2f9e42e1dbf9cf7ea495732c021879afd5b3a2c5ce9d1aa9db144da";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/be/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/be/firefox-93.0.tar.bz2";
locale = "be";
arch = "linux-i686";
- sha256 = "b7ec62a226648166d5942d6064df72e58a70d5ccb4c8489c7cf691bc10812284";
+ sha256 = "274297dda60b7b2e2c19687888affeec46dfab0a0745d8b251179bfa06361331";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/bg/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/bg/firefox-93.0.tar.bz2";
locale = "bg";
arch = "linux-i686";
- sha256 = "95eabbdb1016491e8daece292f12cad165eadc906bf7929121bef665eb15100b";
+ sha256 = "8acc4e37249c706f23db4964da28289cd2cfcd0984f60ed40856022b8202f147";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/bn/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/bn/firefox-93.0.tar.bz2";
locale = "bn";
arch = "linux-i686";
- sha256 = "c07547743841020f6b8072a76e398ad067b9991955c73229e74bb28cbe4ba2f1";
+ sha256 = "8b7e681b6d22b1d2573facfd57f0039f9afef868d38f0b4c6d15c8d9e216ff10";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/br/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/br/firefox-93.0.tar.bz2";
locale = "br";
arch = "linux-i686";
- sha256 = "6c8edc45cf932549e92c1baee6bbbe06f2f412b4087f95ad1d77ac60d48742c9";
+ sha256 = "6c621a574b031b19fe43376b5b7175a9b11be3ecacf6ae32ff7dbf42e2385e94";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/bs/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/bs/firefox-93.0.tar.bz2";
locale = "bs";
arch = "linux-i686";
- sha256 = "7f175edda71591a1ff00679d79c51bb63d777090f8e9920280396dbcc2dd0c47";
+ sha256 = "d365a64a2d8dc71e2bbfd73899102671784bd313982f48a87a94fd4f5283d6ec";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ca-valencia/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ca-valencia/firefox-93.0.tar.bz2";
locale = "ca-valencia";
arch = "linux-i686";
- sha256 = "30bec0fa1b027f3dfe3255f214cfe2bc10b19346cc0ed9bd546d9ce63fe53de5";
+ sha256 = "e9af2c49c737d5546aa65a45a26e27c971bbdd0bfd94256159eca2585470ac32";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ca/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ca/firefox-93.0.tar.bz2";
locale = "ca";
arch = "linux-i686";
- sha256 = "33dbe31e5613ace4f58e5f748b58c7c6f9b0a2a192df660904d4c03a2f7faa0e";
+ sha256 = "365e008db10d5d5f1cdb584718dba289af656e9176020898ef642371d8b2cc09";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/cak/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/cak/firefox-93.0.tar.bz2";
locale = "cak";
arch = "linux-i686";
- sha256 = "26b995231e3c95b8189114f1682f975b4e6041cb99e081af99ac215e2ad23352";
+ sha256 = "ca9e050e8df1b775221a3a8189b319e9dfc70aabd61421ba2ac7f8cf47da13a8";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/cs/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/cs/firefox-93.0.tar.bz2";
locale = "cs";
arch = "linux-i686";
- sha256 = "946a570a68551772a1590fc69f006f9269a3e669b002dfa0c30ae036c47b52ea";
+ sha256 = "7444caa7dce9e45adaa419c6a71d1ff3fe0a21a3ba3cfe4e0c08ddd93973e7db";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/cy/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/cy/firefox-93.0.tar.bz2";
locale = "cy";
arch = "linux-i686";
- sha256 = "b5f2b8b412b149672646775c421d67f2b243d9fe16cabb3cd34e853b4ce2de8e";
+ sha256 = "ac6e4bbbcc489c514c26dfab7ce7be56d78e2544628969b0dc1578856d0c5439";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/da/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/da/firefox-93.0.tar.bz2";
locale = "da";
arch = "linux-i686";
- sha256 = "263430400e8fc7e1177923df2dee3eeba05680250e96303f63c8a6c2f163a36b";
+ sha256 = "1f1859f9ce3b691e4fadaa82cee1680b4c23f70567d3f68a60f9fb682f96babd";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/de/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/de/firefox-93.0.tar.bz2";
locale = "de";
arch = "linux-i686";
- sha256 = "b90f12c6f4e09e2b8282bd87ad830932073bd41bece3f2309bc698491e4373ae";
+ sha256 = "f5ac9118d0937638a5b011657cd529d0dbc28108885b5cc2254022b7082c3ffa";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/dsb/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/dsb/firefox-93.0.tar.bz2";
locale = "dsb";
arch = "linux-i686";
- sha256 = "e2bb197a3dd9864496e92f9280b2655e27cb4052e3c5ee17ea41b7387bff5a3e";
+ sha256 = "86381f8c5a5c7c1431012ad8ae44360c1c78e644197e7774de82101551cccfb1";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/el/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/el/firefox-93.0.tar.bz2";
locale = "el";
arch = "linux-i686";
- sha256 = "4018eb187e3534142c5fe760a4d35657693950119ce1aea6d6a0fab7177cbbea";
+ sha256 = "89d9f1bc006e1d0f824ed794f7917430ca2285c88cc82eb98fb643fc2231218e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/en-CA/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/en-CA/firefox-93.0.tar.bz2";
locale = "en-CA";
arch = "linux-i686";
- sha256 = "3f52e42c0ca74036b65b0221eeceb382c7cf28aa63d70a6e26b7f0278da2086d";
+ sha256 = "4c2c968ee7f4f9fb49bbe951a36fc23d9e51178d15772cb41e4d59f41b6c2816";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/en-GB/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/en-GB/firefox-93.0.tar.bz2";
locale = "en-GB";
arch = "linux-i686";
- sha256 = "7a0e416b48038d7b827ec90d3f5b3656d5099e35283e09f0f9c2833e337f76f4";
+ sha256 = "ecc57a222760119d6ec9562e3953ca7541dba4b6ea194b02cf20bf3b4fb1a994";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/en-US/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/en-US/firefox-93.0.tar.bz2";
locale = "en-US";
arch = "linux-i686";
- sha256 = "754be9b9e175fc43f96827dcbd894ac539ab4f882d8d078a1a24a8c60cd78fb4";
+ sha256 = "56294b9d6b39d94e99a507bb4f1511dbf8a2512a846b8ad49bc93e1253f1e3a8";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/eo/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/eo/firefox-93.0.tar.bz2";
locale = "eo";
arch = "linux-i686";
- sha256 = "99c612d0748e8980e80750ca1a0477872bbc8151a0703c69bc85fb603dea352d";
+ sha256 = "33eb50b3e38eb259fc7559b60df2a9d69f4ed00efc8768a6dc2cafb2c6a93fb0";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/es-AR/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/es-AR/firefox-93.0.tar.bz2";
locale = "es-AR";
arch = "linux-i686";
- sha256 = "49db8ffbc5c396d7eff390c0bd856ce9f9d38f878584beb8dde90476aaa70fb1";
+ sha256 = "0e21f3ca04c37439768a9ddd9de73dc725c688a109e25b95061c4fb241361820";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/es-CL/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/es-CL/firefox-93.0.tar.bz2";
locale = "es-CL";
arch = "linux-i686";
- sha256 = "9fdcd97e6301c2f650a5354b7284705be071f5736c7d356d19dfb097f033f5e2";
+ sha256 = "418fbd415180600791b91500a69811447578102488642c4b6e9c8d9f0d7f94ea";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/es-ES/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/es-ES/firefox-93.0.tar.bz2";
locale = "es-ES";
arch = "linux-i686";
- sha256 = "ec2fadaeb087f75172531077ed034a230d57385a05d170bdc0b1f0e5ccc86b59";
+ sha256 = "6744d826f205b162800c8c32bd4955e84ea284b6c92450ed88f1b947d4ac0bbf";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/es-MX/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/es-MX/firefox-93.0.tar.bz2";
locale = "es-MX";
arch = "linux-i686";
- sha256 = "c268d56c1409c60a1d502b524391ea8cfc221e217cdd9e933b5af785486aaa36";
+ sha256 = "242f2d561482a1fb9859bdadb6db2756378ef364bd622485639282a537c9d7e7";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/et/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/et/firefox-93.0.tar.bz2";
locale = "et";
arch = "linux-i686";
- sha256 = "e22530e22d58a82b0efc6f7f97b48e6b3a36164b65a7e7851fde4b92f6cfe63c";
+ sha256 = "df71885748c89e6298467d70486193404ad83db7e2f77a6eae70a80df73a11df";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/eu/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/eu/firefox-93.0.tar.bz2";
locale = "eu";
arch = "linux-i686";
- sha256 = "0602c61dc05853c4622cd420c93d85d70931ef4dfa240d9d5a342cc199159762";
+ sha256 = "487ef0a284b3979d5eb758bb91a51b177606b9e2a40418df914d5ee0854852c3";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/fa/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/fa/firefox-93.0.tar.bz2";
locale = "fa";
arch = "linux-i686";
- sha256 = "6c77f6673f0b4745596be16273fd126f53798b3ef4c118f6602623f09452c317";
+ sha256 = "30e9d9421a3b13555008ce6f422e7567ecaeedbd7d06fd5c2e9d5a22b9f93f0f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ff/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ff/firefox-93.0.tar.bz2";
locale = "ff";
arch = "linux-i686";
- sha256 = "c492aeb925c7ca214fe74513d4296f6ed8774098709d2383101ff29274f2ef94";
+ sha256 = "76339d6f61adb1fd0c33b1e37902d9af4442d4d0cdbf17bc87da5d025e1658bf";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/fi/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/fi/firefox-93.0.tar.bz2";
locale = "fi";
arch = "linux-i686";
- sha256 = "164d5579dbb14ad0335afce5fc99ab18e433f7c75920a6836d390eb67b8ac743";
+ sha256 = "ce7d5435d3b25f3db558a226ab99932f26d1de68a32c801693ff809f83f5ce80";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/fr/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/fr/firefox-93.0.tar.bz2";
locale = "fr";
arch = "linux-i686";
- sha256 = "2b0f336fbb9496ee28d00114c4e6492663573a5e4fad4f1e40ab3a6a498645ea";
+ sha256 = "788d1fc89d22cf2a69bd412937d3a94326e780eca272eca7410d1119b2a95234";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/fy-NL/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/fy-NL/firefox-93.0.tar.bz2";
locale = "fy-NL";
arch = "linux-i686";
- sha256 = "ebae965bb9faafe4aaa781bc63551a9e885e77501e39aa8db81a03537e802777";
+ sha256 = "d691253a24487b32a646aa7c10b36ae0f35523ee4a22a1d35d41c5e871117d73";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ga-IE/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ga-IE/firefox-93.0.tar.bz2";
locale = "ga-IE";
arch = "linux-i686";
- sha256 = "8b4640af9b69620b0dcbc07eb677624bfb0c210e8204ac421e5efb87ea8c5aed";
+ sha256 = "6f5c0ccf72bae2d35be9b256c9453d4f53c60252a09b51a96d46ae2296728277";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/gd/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/gd/firefox-93.0.tar.bz2";
locale = "gd";
arch = "linux-i686";
- sha256 = "336df4ba9eb7773eb59e1b437f9cea47ddcb25114f26982402792fae9fb6bc8a";
+ sha256 = "ac0d3239cad9315cb5a2441d287c741c44ea65656efd2a8f9c8dab88818bc8ca";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/gl/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/gl/firefox-93.0.tar.bz2";
locale = "gl";
arch = "linux-i686";
- sha256 = "92917b113b9cb7d383e97fa542cedadc6cb37fcaf9f861bb68eafcf46faaf23a";
+ sha256 = "6e0f03f1f6eb30e0052ea5a4dd853f9ce4a028fae099287e8ebfffc7b45f5aed";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/gn/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/gn/firefox-93.0.tar.bz2";
locale = "gn";
arch = "linux-i686";
- sha256 = "8dace2530483ab4774e1d5377ec11b36b71a7af393ca6155db2acf223c74c433";
+ sha256 = "c5544c5847c8e1a3ed8a0ad4be937fa072fb2bf4cdb1860ed7212611cde645cc";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/gu-IN/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/gu-IN/firefox-93.0.tar.bz2";
locale = "gu-IN";
arch = "linux-i686";
- sha256 = "982fa9b19585a12c53436eb4c76e75b0836b8ee55326bee0ca5d979af66094a4";
+ sha256 = "7375ccbfc1e179282dfd2835b8b67dabdea4e2edbe8689dbc42ab08d518b1538";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/he/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/he/firefox-93.0.tar.bz2";
locale = "he";
arch = "linux-i686";
- sha256 = "b74efdb1e0167e9b5fe3849df91b252a3958f308dffcf3d055840832b2f5bbed";
+ sha256 = "c918f748d226ddd8891b87c11958f9fe4df871d94bffa089fdf9d2830955b824";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/hi-IN/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/hi-IN/firefox-93.0.tar.bz2";
locale = "hi-IN";
arch = "linux-i686";
- sha256 = "4f51b08ce8029f1e4a7f9fd25c949255042b0f7dbd5a0a85800e1e914a56cf1e";
+ sha256 = "99c86d7ed9f027a5b1f7593c840ec8c401e87bba07e90584a61e59a0d67af348";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/hr/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/hr/firefox-93.0.tar.bz2";
locale = "hr";
arch = "linux-i686";
- sha256 = "48bf30b5955b2232ed55a9c67450662a3f378fe1e2c9e994ce68759540718d81";
+ sha256 = "8884a70c80d07cdb57a8f825db50ce7f073da01a09860ba9db5a69a94d82825f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/hsb/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/hsb/firefox-93.0.tar.bz2";
locale = "hsb";
arch = "linux-i686";
- sha256 = "cd4a5758c4073b7d18da174b47e81a82ef828ef5791f49d47ee58fe43426964d";
+ sha256 = "4828b9cbd728bf750d11c0e71554f9c84ed6a19303cb78e35b909f7b11a7a563";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/hu/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/hu/firefox-93.0.tar.bz2";
locale = "hu";
arch = "linux-i686";
- sha256 = "012beccd9fbb7c561b8cbdaedeefbb2bde6ec5fee18208d9794ad04cecd25c6e";
+ sha256 = "6faa65b0559dd42a63199bcc576d64c9ea1140df259ef0e0c0b26f0bf0b938f6";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/hy-AM/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/hy-AM/firefox-93.0.tar.bz2";
locale = "hy-AM";
arch = "linux-i686";
- sha256 = "512f6679b880bc5b1f4f98dd74ee255f94592692ca7987a172bef20ac2722edd";
+ sha256 = "c7aaf4ab6e126608db0027524226fcd62ca6ac781d06da0bdbb0547aa0356480";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ia/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ia/firefox-93.0.tar.bz2";
locale = "ia";
arch = "linux-i686";
- sha256 = "6d252ec4bcc81917fe61210c60deb87b187b13b6957d07d169339f31bae57ef9";
+ sha256 = "fccedf58c92bf64e15a2d4edfc8ad9b1098589821e395a5ed4455b030faf3584";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/id/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/id/firefox-93.0.tar.bz2";
locale = "id";
arch = "linux-i686";
- sha256 = "80b41c75ba207724bb55521a24292713862057cc1b05056dedf135c3e368346b";
+ sha256 = "79d69217a8888f00753ad5d2ce9368a3094f5454a0fb6117ceb9c82a271688a0";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/is/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/is/firefox-93.0.tar.bz2";
locale = "is";
arch = "linux-i686";
- sha256 = "be35a2937d4fbab20386574d27dd714704338e313f6c4232005e50aedc52e75d";
+ sha256 = "ba948a6f3b48ce5dac9090c0fdbc90bbbac3a04618a3891c0a77c033c61969b5";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/it/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/it/firefox-93.0.tar.bz2";
locale = "it";
arch = "linux-i686";
- sha256 = "d05ecd1685954054601c848f59af446bdb5b3b1399d20421033448122e093792";
+ sha256 = "dcd7e0357c115395040b5a33f5f3aaad07d1c7094f4068d2c2690ec28c915a30";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ja/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ja/firefox-93.0.tar.bz2";
locale = "ja";
arch = "linux-i686";
- sha256 = "a71d96f6b3d2e30d422a74b6656b78eb0d43be59c6e46db76bf6c8cae6e65394";
+ sha256 = "1d936db1e57e0fdf34a6bea460a19e2fd21a55078c50c9126d2d43041fb3d78a";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ka/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ka/firefox-93.0.tar.bz2";
locale = "ka";
arch = "linux-i686";
- sha256 = "19629e7c91f887b4e5cb2a9a93ab2002d7409787a7e84ece914cb969724e9c7e";
+ sha256 = "394659da7197aa055f4452edb4594850eb5300dd13940c14741ae0272337b16d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/kab/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/kab/firefox-93.0.tar.bz2";
locale = "kab";
arch = "linux-i686";
- sha256 = "36e9bcae974500da350a1f60114845a127862f972ff435378c45d18d950957d7";
+ sha256 = "7c8fd02d0cb5c93cdeb8119ede9ffa54ad5f0546fe65a655a31b23ba5bb251ab";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/kk/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/kk/firefox-93.0.tar.bz2";
locale = "kk";
arch = "linux-i686";
- sha256 = "e19473a3dac5f41bf02b783427161c933257d68d24bddef0381354cd86ad5151";
+ sha256 = "3a60f6d34d7b1563d58d58019333997f1afc548dbeccb16cc2d053b4a7082479";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/km/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/km/firefox-93.0.tar.bz2";
locale = "km";
arch = "linux-i686";
- sha256 = "7f1fc2bd4fafa346838fec02a64bafdf2cbde52550c2b28bc7190c35e72de939";
+ sha256 = "d480093c6c276ff17eb4d001613381e8b72018a9774acc667d1a774fc71d599e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/kn/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/kn/firefox-93.0.tar.bz2";
locale = "kn";
arch = "linux-i686";
- sha256 = "3b27a6fe3eb654bf20d7b49e9deef1cd2dd44537b0d1de7b2ad7c63dbb2ad133";
+ sha256 = "0aa8200106375275f358a732acbe658193eea29e6fca65072f9e3de22d88eb42";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ko/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ko/firefox-93.0.tar.bz2";
locale = "ko";
arch = "linux-i686";
- sha256 = "40e8972a4b20e41ad4a24dc75064748e508e30bd7a33f9926cfa0693348f6222";
+ sha256 = "a5b2118e3761dfd182893621f045d7cadf7a75f15b46208a0f2ce878bc1a1b2e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/lij/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/lij/firefox-93.0.tar.bz2";
locale = "lij";
arch = "linux-i686";
- sha256 = "7a7db77418d2dab962d26107cf54cb8d1eb743fb5324bb507016dd46c84f4fed";
+ sha256 = "583110560cf65f6968e3cb5080227de3c47b9df3404a793a892be7985b132115";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/lt/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/lt/firefox-93.0.tar.bz2";
locale = "lt";
arch = "linux-i686";
- sha256 = "094fe53032aa6df3ded2e4eb49d56588267f02c3378054ede51aa221d9d69dbf";
+ sha256 = "e9eca5885a67bf0cb8b1ac00d3f5ea0c1b829743ae710975f3cda3e09d226849";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/lv/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/lv/firefox-93.0.tar.bz2";
locale = "lv";
arch = "linux-i686";
- sha256 = "668b677734c550c7e707f9e3b9c38e4c65d800fa902d1ee3d8c357116acf2700";
+ sha256 = "4d969ee872531b2058752058bf90dceecb6c8050458d5cba5f96c82f0a6e301d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/mk/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/mk/firefox-93.0.tar.bz2";
locale = "mk";
arch = "linux-i686";
- sha256 = "10c9760c2eea05c9d1187e3575cf80eee1be3b8eb40a6d401d924a6528ae1359";
+ sha256 = "322985bb11f4e6f2f7a4da6606dda4af74d7eb63bef34b6e7b86618804adba5d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/mr/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/mr/firefox-93.0.tar.bz2";
locale = "mr";
arch = "linux-i686";
- sha256 = "bb1ad7d9dc90237c3bf914c33576024575c634fbdf682e0002a4d1edee011c7b";
+ sha256 = "1dfb359ada64faea03068afbe32e14431edfbdfb61ea61590ccffc954d637c55";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ms/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ms/firefox-93.0.tar.bz2";
locale = "ms";
arch = "linux-i686";
- sha256 = "49b4e751d17b6ca9f13d632b6b0e8815bfa503d28ddb22aab62b2247c91aced7";
+ sha256 = "6c8541db16063140c63dcdc6314a38c049a2179376f3cdf80787cae774dac267";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/my/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/my/firefox-93.0.tar.bz2";
locale = "my";
arch = "linux-i686";
- sha256 = "d546e7449ea8e68b948ebf33d9bf94fbce2f62f4b273830fe5f1e8228bbcf339";
+ sha256 = "3131c70b51193a8cb0a3ca18207c6001d8ba5f458af214ce5280924d5700782e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/nb-NO/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/nb-NO/firefox-93.0.tar.bz2";
locale = "nb-NO";
arch = "linux-i686";
- sha256 = "954bc07f32b59fccca996050240dcdfa76240b7f01929665431935834e50e170";
+ sha256 = "be47aa5951f3c07e11e47959b4718b21bab16085d25469fb4eafe406caddb181";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ne-NP/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ne-NP/firefox-93.0.tar.bz2";
locale = "ne-NP";
arch = "linux-i686";
- sha256 = "ebf70abdcea48b9c9a4e0b5d5f4a80568a1c9215c93482a555eff5aacceba0ab";
+ sha256 = "4dfacf4d17838e75c51f60b26d8f66b0bf3a0bad9c2d9e2854c107fb30d8757c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/nl/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/nl/firefox-93.0.tar.bz2";
locale = "nl";
arch = "linux-i686";
- sha256 = "1f780554975799773e5a8f158b50b188362f94174916a4e1f4ac005ac3538a6a";
+ sha256 = "9f9e628c3809f9e7afc5a338abe4854a54c3cf6b8fdcb59de8a306b09a22bda1";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/nn-NO/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/nn-NO/firefox-93.0.tar.bz2";
locale = "nn-NO";
arch = "linux-i686";
- sha256 = "0da1e744122f745522960dae64933f322410ab0439043da9d5785bd8d3af058a";
+ sha256 = "32f057f0ff57c17f010e19ca6f3cd0d11b6ee454401f1ec57e42c08ca1ded04d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/oc/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/oc/firefox-93.0.tar.bz2";
locale = "oc";
arch = "linux-i686";
- sha256 = "14ff5cd790fba8dee449d7754c3c629db28d35e5ac8d0bae2880f11fdcfc1de1";
+ sha256 = "681702c8a8d6d2b0fda8f4701c7c77fa305d3483c3d5f070d31c2b8006638f74";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/pa-IN/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/pa-IN/firefox-93.0.tar.bz2";
locale = "pa-IN";
arch = "linux-i686";
- sha256 = "86366ec7227c08a72d9ba296bbc42401ce2c9cb6f5ed314d0a2eb686f9ec11fb";
+ sha256 = "080794608bf065d92431fc5d822eae12373b3f60677229303af30e07e40a8751";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/pl/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/pl/firefox-93.0.tar.bz2";
locale = "pl";
arch = "linux-i686";
- sha256 = "a1bec4f47cdef2cfd1c4253a47d1512b69aa5ae1b1f4f88f277387e983b4a2da";
+ sha256 = "e44448176c0275da5e5f44b2aa4f6b378699cf44aa015e8f03513b89b204f5d8";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/pt-BR/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/pt-BR/firefox-93.0.tar.bz2";
locale = "pt-BR";
arch = "linux-i686";
- sha256 = "f553fb4a38dc3c71ee1a37e56aa1719639ad9c83da5bf2c2757e73a362ca50f3";
+ sha256 = "fb970290b6efba30ac36f145ac57ad2d65045bd3757c78cd006864f841c1d52c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/pt-PT/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/pt-PT/firefox-93.0.tar.bz2";
locale = "pt-PT";
arch = "linux-i686";
- sha256 = "6194d2616f2fe18b98c107b178014c65bc74c6c00cc744cd97ece3dbc844bb9b";
+ sha256 = "97af8a13778621c873dce9393b5653f48a440f401a61e4a7401a49253d6b3ec3";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/rm/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/rm/firefox-93.0.tar.bz2";
locale = "rm";
arch = "linux-i686";
- sha256 = "bf0c9adbd0a0ca0a00414e6ccbb09ef53a722d4cb5640584c95d40422a67a159";
+ sha256 = "ae7852c30969fef6e8ba6d8e0fb932c5c63eeb9867a42e32135d193f8ee4ae7c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ro/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ro/firefox-93.0.tar.bz2";
locale = "ro";
arch = "linux-i686";
- sha256 = "20a69f3723937342eb53cfaa47fcb18ac50c0dfa641052fd3cc113af1804b508";
+ sha256 = "94e69e8e91da2e22a2ac0fce179b62b246cf9eaf7a662f348907350562951262";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ru/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ru/firefox-93.0.tar.bz2";
locale = "ru";
arch = "linux-i686";
- sha256 = "67ee468fed1c544aedb4e11aa217909e1dbf804f720b6899d9ccec396577e229";
+ sha256 = "3460e2a2fb34f952bfb099671980207de7b5a45a8c5f4a7f79f2c050e6bc5e82";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/sco/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/sco/firefox-93.0.tar.bz2";
locale = "sco";
arch = "linux-i686";
- sha256 = "70c6309032e919f4b206f6de2b2cd233583422be15510b0fa6b1d1ed28444fec";
+ sha256 = "8e1adffa5e7a46ddcad564e4d7d01b19b3c851eed451cd1e83608c634f9e8fbc";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/si/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/si/firefox-93.0.tar.bz2";
locale = "si";
arch = "linux-i686";
- sha256 = "d102448eba1055c231ca8983fcbf0cfb57da9f7a43addedcdae44858ff387643";
+ sha256 = "0ed0ce0025e1ecf7b3fef2cb011b5c5fcb0e3eb67a0159e80b6c116b9034277f";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/sk/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/sk/firefox-93.0.tar.bz2";
locale = "sk";
arch = "linux-i686";
- sha256 = "4cc3e5e2c929a5b3775439509a4f917e85962bd9646397ca1c4d41eea83d6284";
+ sha256 = "1e1334c2e55a27b6b653d038f4ef30d8655b3c7c253365788cdfd92117bb1e47";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/sl/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/sl/firefox-93.0.tar.bz2";
locale = "sl";
arch = "linux-i686";
- sha256 = "ec8d97a98bf3c72a1dcef53cc09ea13d39f6ec6b60e1fc24ffaa3fdfeccbdc47";
+ sha256 = "dc91d34c44bf240b2c6c9b4285c5a7b24f3c509ee5f9de300e9a6c2ff1228ebb";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/son/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/son/firefox-93.0.tar.bz2";
locale = "son";
arch = "linux-i686";
- sha256 = "c5452583e32a70cd19f40572bce96f18ff37dd09b2116567c8b2867d0a2a2d10";
+ sha256 = "29121af73aaeda8e346df00f8831a3c80c77eb759604cd51c8b39597e3f7a6ea";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/sq/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/sq/firefox-93.0.tar.bz2";
locale = "sq";
arch = "linux-i686";
- sha256 = "a6d43eef8633ea4cb94307b40ccd76abffc5b59f28d42eead7cbcc9bb9e4bade";
+ sha256 = "2d7ca2e6680d909659241561ec2d24369749059acc642d9db8ca90d8e67201d5";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/sr/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/sr/firefox-93.0.tar.bz2";
locale = "sr";
arch = "linux-i686";
- sha256 = "442905f80fd06bc19e3422ffe13c1acc98ab86681f1a829c0fc04bbb81f1f757";
+ sha256 = "63ba06a120ac6702350330758aa98671feb1a008bbe08ab2b11d92d556a22a2d";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/sv-SE/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/sv-SE/firefox-93.0.tar.bz2";
locale = "sv-SE";
arch = "linux-i686";
- sha256 = "9943b50c9771a0fd7aca1c3197f8d1f4ceae0fbe2e48f636652c68748bf86826";
+ sha256 = "f2f59f378be886fc283a84f58ccea2c8ae2f2313435557122b1dd7161ba03853";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/szl/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/szl/firefox-93.0.tar.bz2";
locale = "szl";
arch = "linux-i686";
- sha256 = "5de3407570162f1a458aef71f279c0b6a4f496b3e293a7b18d210e154ecafe1d";
+ sha256 = "df9fc3563749939e20351021f90da4060adcf9c50eae74cc65eacc4c8e019e6c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ta/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ta/firefox-93.0.tar.bz2";
locale = "ta";
arch = "linux-i686";
- sha256 = "5b8185d511d8d40c8cea1fa542578fda89e3ae6c80b43a64d4942339968e2349";
+ sha256 = "2f1cef7b50cc9d44f816ab09c38a1b91a63fec3eee65d97a5a8637f503ed7eaa";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/te/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/te/firefox-93.0.tar.bz2";
locale = "te";
arch = "linux-i686";
- sha256 = "2afc3041ba9ef4ba74a0a1abd44b5e71270917a8f640dced04dad44da253f787";
+ sha256 = "40385b07128cccea8a3210c301795ebaf32c860423c3206297f3ebe2363d868b";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/th/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/th/firefox-93.0.tar.bz2";
locale = "th";
arch = "linux-i686";
- sha256 = "4cd235f4b74d7e35bcd714acd2c9823ef790b40e77335faef7d024ddb9791adc";
+ sha256 = "e62c3317af6ba5ea55160898c628eaef21eba1be94a77de5c5280dfad12eef65";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/tl/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/tl/firefox-93.0.tar.bz2";
locale = "tl";
arch = "linux-i686";
- sha256 = "885f1ce73b9633dca06ec91332d88e3783ed8a699cd9a56346c7d2a550511d80";
+ sha256 = "801226da66a4a08d48483ef894e8cd4076e0f9381ab949c619d976323ceac02a";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/tr/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/tr/firefox-93.0.tar.bz2";
locale = "tr";
arch = "linux-i686";
- sha256 = "485dbbf6ba54385ac605b627dd63adc1dd0b1f10b8e34f37b1aadc115308bf17";
+ sha256 = "dde8d3b8947b8a9b87c6451cc4c1ede7fd0bb0eeb5f86eea4b58a3fa20028038";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/trs/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/trs/firefox-93.0.tar.bz2";
locale = "trs";
arch = "linux-i686";
- sha256 = "24d04d03c8e936ce614de375410c5da867995688118e469543fc66dafe6e1532";
+ sha256 = "1b0ca6672e149b343345f1d8fa7cfbe94054a9a4d67d6d04b4c06e7216e8be38";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/uk/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/uk/firefox-93.0.tar.bz2";
locale = "uk";
arch = "linux-i686";
- sha256 = "beb3566a07a5f1e1acd2aea6d78fc5b970929d7eab51a10d870866da916095c7";
+ sha256 = "2eecee8d2d5f34222b0009b6f5e7638e650e5b692cbdafc2f1710da677ad1e5c";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/ur/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/ur/firefox-93.0.tar.bz2";
locale = "ur";
arch = "linux-i686";
- sha256 = "39cbcffe0a7c4f490ff26366c2bdaec7b432ba4c6d00321141d05637a723b8c7";
+ sha256 = "1386c6c018807e4ca189d6a9b400c3d6bd55abafee476f88b4ab7b958017d460";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/uz/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/uz/firefox-93.0.tar.bz2";
locale = "uz";
arch = "linux-i686";
- sha256 = "511fc678e43522fc8c5f33ea4ab9d1a06cf0b8946c7a520ec774e159be00861f";
+ sha256 = "7f92bd0536d32ca7af1f8dbe4fd7dd5eb7ce8c2f2d1383b21bfd5b1c8c7ca30e";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/vi/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/vi/firefox-93.0.tar.bz2";
locale = "vi";
arch = "linux-i686";
- sha256 = "637d3743e5a853a54872053f97b91ac664d303fab76b0d6553a4c5fe3817495c";
+ sha256 = "04d7ac16f2d28bfe3d70e717c8a4ee10c291bea54f022521eb22856d41f421ae";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/xh/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/xh/firefox-93.0.tar.bz2";
locale = "xh";
arch = "linux-i686";
- sha256 = "10594aaaf2b2fa1a71c90b0b0d900978d33bfdd4db00b133a37b4edb4a13c8e9";
+ sha256 = "12591a4fe50ef293015484dcef03d43e1922cca4724b3901d38e0cd136b12274";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/zh-CN/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/zh-CN/firefox-93.0.tar.bz2";
locale = "zh-CN";
arch = "linux-i686";
- sha256 = "c6cb4c1d22d380b86910a5ec4971e1d40fd77669be9e16caf1e3962e80f3100d";
+ sha256 = "a9b69bde93512f6531740a4bea967717fb56ad5cfe88a9b89db0e4fc1a971feb";
}
- { url = "http://archive.mozilla.org/pub/firefox/releases/91.0.1/linux-i686/zh-TW/firefox-91.0.1.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/firefox/releases/93.0/linux-i686/zh-TW/firefox-93.0.tar.bz2";
locale = "zh-TW";
arch = "linux-i686";
- sha256 = "79722e27df9badbac931d25f77b8d241d5568a34a586d0e34099ce3355677027";
+ sha256 = "3c790d0a8ba551c22e7b92bd993eb077159e21e2e3748e64d2aa635739511c36";
}
];
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox/common.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox/common.nix
index fdd4dbb9b1d..2600b5209bb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox/common.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox/common.nix
@@ -9,7 +9,7 @@
, yasm, libGLU, libGL, sqlite, unzip, makeWrapper
, hunspell, libevent, libstartup_notification
, libvpx_1_8
-, icu69, libpng, jemalloc, glib, pciutils
+, icu69, libpng, glib, pciutils
, autoconf213, which, gnused, rustPackages, rustPackages_1_45
, rust-cbindgen, nodejs, nasm, fetchpatch
, gnum4
@@ -27,6 +27,7 @@
, ltoSupport ? (stdenv.isLinux && stdenv.is64bit), overrideCC, buildPackages
, gssSupport ? true, libkrb5
, pipewireSupport ? waylandSupport && webrtcSupport, pipewire
+, jemallocSupport ? true, jemalloc
## privacy-related options
@@ -172,7 +173,7 @@ buildStdenv.mkDerivation ({
xorg.libXdamage
xorg.libXext
libevent libstartup_notification /* cairo */
- libpng jemalloc glib
+ libpng glib
nasm icu69 libvpx_1_8
# >= 66 requires nasm for the AV1 lib dav1d
# yasm can potentially be removed in future versions
@@ -185,6 +186,7 @@ buildStdenv.mkDerivation ({
++ lib.optional gssSupport libkrb5
++ lib.optionals waylandSupport [ libxkbcommon libdrm ]
++ lib.optional pipewireSupport pipewire
+ ++ lib.optional jemallocSupport jemalloc
++ lib.optional (lib.versionAtLeast version "82") gnum4
++ lib.optionals buildStdenv.isDarwin [ CoreMedia ExceptionHandling Kerberos
AVFoundation MediaToolbox CoreLocation
@@ -246,6 +248,7 @@ buildStdenv.mkDerivation ({
# this will run autoconf213
configureScript="$(realpath ./mach) configure"
export MOZCONFIG=$(pwd)/mozconfig
+ export MOZBUILD_STATE_PATH=$(pwd)/mozbuild
# Set C flags for Rust's bindgen program. Unlike ordinary C
# compilation, bindgen does not invoke $CC directly. Instead it
@@ -292,7 +295,6 @@ buildStdenv.mkDerivation ({
"--disable-tests"
"--disable-necko-wifi" # maybe we want to enable this at some point
"--disable-updater"
- "--enable-jemalloc"
"--enable-default-toolkit=${default-toolkit}"
"--with-libclang-path=${llvmPackages.libclang.lib}/lib"
"--with-system-nspr"
@@ -312,6 +314,7 @@ buildStdenv.mkDerivation ({
++ flag alsaSupport "alsa"
++ flag pulseaudioSupport "pulseaudio"
++ flag ffmpegSupport "ffmpeg"
+ ++ flag jemallocSupport "jemalloc"
++ flag gssSupport "negotiateauth"
++ flag webrtcSupport "webrtc"
++ flag crashreporterSupport "crashreporter"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox/packages.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox/packages.nix
index 4bbb98d7a83..80750b966c1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox/packages.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/firefox/packages.nix
@@ -7,10 +7,10 @@ in
rec {
firefox = common rec {
pname = "firefox";
- version = "91.0.1";
+ version = "93.0";
src = fetchurl {
url = "mirror://mozilla/firefox/releases/${version}/source/firefox-${version}.source.tar.xz";
- sha512 = "9388789bfe3dca596542b082d0eca7b1a6d1bbbf69eb97cc445f563d1a5ff0c9b530f3be02ee290805e311b0fcb392a4f5341e9f256d9764a787b43b232bdf67";
+ sha512 = "b29890e331819d47201b599b9feaaa7eaa0b02088fcbf980efc4f289d43da4f73970bf35ba2f763a2a892fd5318deb68cb9a66e71e9bc0c603642434c7e32e91";
};
meta = {
@@ -32,10 +32,10 @@ rec {
firefox-esr-91 = common rec {
pname = "firefox-esr";
- version = "91.0.1esr";
+ version = "91.2.0esr";
src = fetchurl {
url = "mirror://mozilla/firefox/releases/${version}/source/firefox-${version}.source.tar.xz";
- sha512 = "79703b3ec615d10957350719b2c034df10fd47d140c3557cd7de665ef4430973b97c1906d5408ddaf8855c1424e87eb9b1b568322ad8fbdb956fca219a865d66";
+ sha512 = "f4cff7e43ff9927cbab3f02d37d360ee8bb0dbe988e280cb0638ee67bfe3c76e3a0469336de1b212fba66c958d58594b1739aafee1ebb84695d098c1e5c77b9d";
};
meta = {
@@ -57,10 +57,10 @@ rec {
firefox-esr-78 = common rec {
pname = "firefox-esr";
- version = "78.13.0esr";
+ version = "78.15.0esr";
src = fetchurl {
url = "mirror://mozilla/firefox/releases/${version}/source/firefox-${version}.source.tar.xz";
- sha512 = "78a5dc8713ab879ebfc3b8fd7a8219844d06f0d897342fdf9a11471633d98e148ff85cf10e561899df4910b94a33b57709b64788df4621a8c0b83eb9a7102cef";
+ sha512 = "ac3de735b246ce4f0e1619cd2664321ffa374240ce6843e785d79a350dc30c967996bbcc5e3b301cb3d822ca981cbea116758fc4122f1738d75ddfd1165b6378";
};
meta = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/google-chrome/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/google-chrome/default.nix
index 34cc5bb9160..03bdb84f438 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/google-chrome/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/google-chrome/default.nix
@@ -6,7 +6,7 @@
, alsa-lib, libXdamage, libXtst, libXrandr, libxshmfence, expat, cups
, dbus, gtk3, gdk-pixbuf, gcc-unwrapped, at-spi2-atk, at-spi2-core
, libkrb5, libdrm, mesa
-, libxkbcommon, wayland # ozone/wayland
+, libxkbcommon, pipewire, wayland # ozone/wayland
# Command line programs
, coreutils
@@ -67,7 +67,7 @@ let
flac harfbuzz icu libpng opusWithCustomModes snappy speechd
bzip2 libcap at-spi2-atk at-spi2-core
libkrb5 libdrm mesa coreutils
- libxkbcommon wayland
+ libxkbcommon pipewire wayland
] ++ optional pulseSupport libpulseaudio
++ optional libvaSupport libva
++ optional vulkanSupport vulkan-loader
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/lagrange/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/lagrange/default.nix
index 9f3a27e22b5..c0542014b7e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/lagrange/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/lagrange/default.nix
@@ -7,6 +7,7 @@
, fribidi
, harfbuzz
, libunistring
+, libwebp
, mpg123
, openssl
, pcre
@@ -17,13 +18,13 @@
stdenv.mkDerivation rec {
pname = "lagrange";
- version = "1.6.2";
+ version = "1.7.2";
src = fetchFromGitHub {
owner = "skyjake";
repo = "lagrange";
rev = "v${version}";
- sha256 = "sha256-YTWVBQt0X12UDFJv/rPBqlIBC4iXSvpdYi/HIl+BPxc=";
+ sha256 = "sha256-iJ6+tc5nls8E/9/Jp5OS9gfJo8SJ5bN+Im/JzEYEAfI=";
fetchSubmodules = true;
};
@@ -33,7 +34,7 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [ cmake pkg-config ];
- buildInputs = [ fribidi harfbuzz libunistring mpg123 openssl pcre SDL2 zlib ]
+ buildInputs = [ fribidi harfbuzz libunistring libwebp mpg123 openssl pcre SDL2 zlib ]
++ lib.optional stdenv.isDarwin AppKit;
hardeningDisable = lib.optional (!stdenv.cc.isClang) "format";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/palemoon/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/palemoon/default.nix
index 70adae5d09a..5231b0a0f93 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/palemoon/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/palemoon/default.nix
@@ -1,6 +1,6 @@
{ stdenv
, lib
-, fetchFromGitHub
+, fetchzip
, writeScript
, alsa-lib
, autoconf213
@@ -52,15 +52,12 @@ let
in
stdenv.mkDerivation rec {
pname = "palemoon";
- version = "29.4.0.1";
-
- src = fetchFromGitHub {
- githubBase = "repo.palemoon.org";
- owner = "MoonchildProductions";
- repo = "Pale-Moon";
- rev = "${version}_Release";
- sha256 = "1qzsryhlxvh9xx9j7s4dmxv575z13wdx8iigj8r0pdmg5kx6rpkb";
- fetchSubmodules = true;
+ version = "29.4.1";
+
+ src = fetchzip {
+ url = "http://archive.palemoon.org/source/palemoon-${version}-source.tar.xz";
+ stripRoot = false;
+ sha256 = "0kb9yn1q8rrmnlsyvxvv2gdgyyf12g6rxlyh82lmc0gysvd4qd2c";
};
passthru.updateScript = writeScript "update-${pname}" ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/telescope/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/telescope/default.nix
index a6762e255f4..dafcc0e63a8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/telescope/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/telescope/default.nix
@@ -1,23 +1,27 @@
{ stdenv
, lib
-, fetchurl
+, fetchFromGitHub
, pkg-config
, bison
, libevent
, libressl
, ncurses
+, autoreconfHook
}:
stdenv.mkDerivation rec {
pname = "telescope";
- version = "0.4.1";
+ version = "0.5.2";
- src = fetchurl {
- url = "https://github.com/omar-polo/telescope/releases/download/${version}/telescope-${version}.tar.gz";
- sha256 = "086zps4nslv5isfw1b5gvms7vp3fglm7x1a6ks0h0wxarzj350bl";
+ src = fetchFromGitHub {
+ owner = "omar-polo";
+ repo = pname;
+ rev = version;
+ sha256 = "sha256-AdbFJfoicQUgJ9kesIWZ9ygttyjjDeC0UHRI98GwoZ8=";
};
nativeBuildInputs = [
+ autoreconfHook
pkg-config
bison
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix
index ac063cb1a64..8ac9235121c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix
@@ -88,19 +88,25 @@ let
fteLibPath = makeLibraryPath [ stdenv.cc.cc gmp ];
# Upstream source
- version = "10.5.5";
+ version = "10.5.8";
lang = "en-US";
srcs = {
x86_64-linux = fetchurl {
- url = "https://dist.torproject.org/torbrowser/${version}/tor-browser-linux64-${version}_${lang}.tar.xz";
- sha256 = "0847lib2z21fgb7x5szwvprc77fhdpmp4z5d6n1sk6d40dd34spn";
+ urls = [
+ "https://dist.torproject.org/torbrowser/${version}/tor-browser-linux64-${version}_${lang}.tar.xz"
+ "https://tor.eff.org/dist/torbrowser/${version}/tor-browser-linux64-${version}_${lang}.tar.xz"
+ ];
+ sha256 = "1bn31r3cayv79pjw5ndji5qzxy552cb2mcavij3nwchsmnfqp4z1";
};
i686-linux = fetchurl {
- url = "https://dist.torproject.org/torbrowser/${version}/tor-browser-linux32-${version}_${lang}.tar.xz";
- sha256 = "0i26fb0r234nrwnvb2c9vk9yn869qghq0n4qlm1d7mr62dy6prxa";
+ urls = [
+ "https://dist.torproject.org/torbrowser/${version}/tor-browser-linux32-${version}_${lang}.tar.xz"
+ "https://tor.eff.org/dist/torbrowser/${version}/tor-browser-linux32-${version}_${lang}.tar.xz"
+ ];
+ sha256 = "1j3xxflwwjwxfayixj75dn6a2ka751s53f60dpkfzwpp5rfwl572";
};
};
in
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/vieb/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/vieb/default.nix
index f97e8d8250e..78116305094 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/vieb/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/vieb/default.nix
@@ -1,14 +1,14 @@
-{ mkYarnPackage, fetchFromGitHub, electron, makeWrapper, makeDesktopItem, lib }:
+{ mkYarnPackage, fetchFromGitHub, electron, makeWrapper, makeDesktopItem, lib, p7zip }:
mkYarnPackage rec {
pname = "vieb";
- version = "5.3.0";
+ version = "6.1.0";
src = fetchFromGitHub {
owner = "Jelmerro";
repo = pname;
rev = version;
- sha256 = "sha256-NKWqSnUO8SScEodHYSptRHwVNOa5C4M61ac85d+wYK0=";
+ sha256 = "sha256-MJJeHnwfXouBygRT/wFWFMRHxQVf/3k2c7vp/tkD5co=";
};
packageJSON = ./package.json;
@@ -34,6 +34,11 @@ mkYarnPackage rec {
};
postInstall = ''
+ unlink $out/libexec/vieb/deps/vieb/node_modules
+ ln -s $out/libexec/vieb/node_modules $out/libexec/vieb/deps/vieb/node_modules
+
+ find $out/libexec/vieb/node_modules/7zip-bin -name 7za -exec ln -s -f ${p7zip}/bin/7za {} ';'
+
install -Dm0644 {${desktopItem},$out}/share/applications/vieb.desktop
pushd $out/libexec/vieb/node_modules/vieb/app/img/icons
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/vivaldi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/vivaldi/default.nix
index 6477f4fbe06..4e44e584180 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/vivaldi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/vivaldi/default.nix
@@ -18,11 +18,11 @@ let
vivaldiName = if isSnapshot then "vivaldi-snapshot" else "vivaldi";
in stdenv.mkDerivation rec {
pname = "vivaldi";
- version = "4.1.2369.21-1";
+ version = "4.3.2439.44-1";
src = fetchurl {
url = "https://downloads.vivaldi.com/${branch}/vivaldi-${branch}_${version}_amd64.deb";
- sha256 = "03062mik6paqp219jz420jsg762jjrfxmj1daq129z2zgzq0qr8l";
+ sha256 = "1bsx8axs438f4p019mdq66pmpimf575r31rv6cibpgv85366xhh9";
};
unpackPhase = ''
@@ -49,7 +49,7 @@ in stdenv.mkDerivation rec {
buildPhase = ''
runHook preBuild
echo "Patching Vivaldi binaries"
- for f in crashpad_handler vivaldi-bin vivaldi-sandbox ; do
+ for f in chrome_crashpad_handler vivaldi-bin vivaldi-sandbox ; do
patchelf \
--set-interpreter "$(cat $NIX_CC/nix-support/dynamic-linker)" \
--set-rpath "${libPath}" \
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/vivaldi/ffmpeg-codecs.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/vivaldi/ffmpeg-codecs.nix
index d6703788ed9..0e4236f421a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/vivaldi/ffmpeg-codecs.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/browsers/vivaldi/ffmpeg-codecs.nix
@@ -3,12 +3,12 @@
}:
stdenv.mkDerivation rec {
- name = "chromium-codecs-ffmpeg";
- version = "78.0.3904.70";
+ pname = "chromium-codecs-ffmpeg-extra";
+ version = "94.0.4606.50";
src = fetchurl {
- url = "https://launchpadlibrarian.net/449403909/${name}-extra_${version}-0ubuntu0.16.04.2_amd64.deb";
- sha256 = "00j604nm49z6hbyw7xsxcvmdjf7117kb478plkpizzvmm3w72b9v";
+ url = "https://launchpadlibrarian.net/558847674/${pname}_${version}-0ubuntu0.18.04.1_amd64.deb";
+ sha256 = "sha256-H7Tzd8tkaoLClXtNiwEO5nD4+PPt7Jgs+gtLiag/KN4=";
};
buildInputs = [ dpkg ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cawbird/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cawbird/default.nix
index 517bd1e01cc..74074d23242 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cawbird/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cawbird/default.nix
@@ -23,14 +23,14 @@
}:
stdenv.mkDerivation rec {
- version = "1.4.1";
+ version = "1.4.2";
pname = "cawbird";
src = fetchFromGitHub {
owner = "IBBoard";
repo = "cawbird";
rev = "v${version}";
- sha256 = "0lmrgcj1ky1vhzynl36k6ba3ws089x4qdrnkjk3lbr334kicx9na";
+ sha256 = "17575cp5qcgsqf37y3xqg3vr6l2j8bbbkmy2c1l185rxghfacida";
};
nativeBuildInputs = [
@@ -71,10 +71,10 @@ stdenv.mkDerivation rec {
'';
# supply Twitter API keys
- # use default keys supplied by upstream, see https://github.com/IBBoard/cawbird/blob/master/README.md#preparation
+ # use keys supplied by @SuperSandro2000, see https://github.com/IBBoard/cawbird/blob/master/README.md#preparation
mesonFlags = [
- "-Dconsumer_key_base64=VmY5dG9yRFcyWk93MzJEZmhVdEk5Y3NMOA=="
- "-Dconsumer_secret_base64=MThCRXIxbWRESDQ2Y0podzVtVU13SGUyVGlCRXhPb3BFRHhGYlB6ZkpybG5GdXZaSjI="
+ "-Dconsumer_key_base64=YnJJNm01SE9PbEkzM3pWenZObVhVSHdlTg=="
+ "-Dconsumer_secret_base64=YUc1SkcyYzhsenlKT2VOWWhVSXlJMERDaFh0WEswUG9oTEp4TzhZNEdJb1hXN0hhYlY="
];
meta = with lib; {
@@ -83,6 +83,6 @@ stdenv.mkDerivation rec {
homepage = "https://ibboard.co.uk/cawbird/";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = with lib.maintainers; [ jonafato schmittlauch ];
+ maintainers = with lib.maintainers; [ jonafato schmittlauch SuperSandro2000 ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cloudflared/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cloudflared/default.nix
index ffdd8b7ed04..c959dd61bad 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cloudflared/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cloudflared/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "cloudflared";
- version = "2021.8.6";
+ version = "2021.9.2";
src = fetchFromGitHub {
owner = "cloudflare";
repo = "cloudflared";
rev = version;
- sha256 = "sha256-dMZu4IRdchPeoYylz1XDZeJsAW+V8HZApNndpeu+RbA=";
+ sha256 = "sha256-UAx3DY8d3I1g7DuNmBu4w+3NGUQqDdcScXdtq/VkpJ8=";
};
vendorSha256 = null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/argocd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/argocd/default.nix
index 4101ac9f3fd..91ccf67f557 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/argocd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/argocd/default.nix
@@ -2,18 +2,18 @@
buildGoModule rec {
pname = "argocd";
- version = "2.1.1";
- commit = "57abbf95ed160c88b2634ec4d37df9555cc74fb3";
+ version = "2.1.2";
+ commit = "7af9dfb3524c13e941ab604e36e49a617fe47d2e";
tag = "v${version}";
src = fetchFromGitHub {
owner = "argoproj";
repo = "argo-cd";
rev = tag;
- sha256 = "0jh7kh4751kb7439vbbh5f03kcy56phdcvzypjw8n0w239n5xmmc";
+ sha256 = "1pr48z1qhv7xxnllr00zz2v0ygxmq2hjdyk0j3zazflnqr2mc596";
};
- vendorSha256 = "sha256-KtLEN66Q5WpCi+COId+gPu2XHcs5/D04rYLHV6XohzQ=";
+ vendorSha256 = "sha256-N45yRlBGZ/c9ve2YPcWA26pylV8hzxjPh6evKtkgnoc=";
nativeBuildInputs = [ packr makeWrapper installShellFiles ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/bosh-cli/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/bosh-cli/default.nix
index 90105b1c4eb..e03451f4f2f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/bosh-cli/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/bosh-cli/default.nix
@@ -8,13 +8,13 @@
buildGoModule rec {
pname = "bosh-cli";
- version = "6.4.5";
+ version = "6.4.7";
src = fetchFromGitHub {
owner = "cloudfoundry";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-/1JRje7SNrIsb3V1tq5ZW5zsURaQUzM/Jp3TMR0MfKw=";
+ sha256 = "sha256-uLzYRfH2wJB/ucYtZGjKi0K5FaiP3CyA85gJ8Ji3WHE=";
};
vendorSha256 = null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/cilium/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/cilium/default.nix
index 1ee8f31ed89..5984710d8c2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/cilium/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/cilium/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "cilium-cli";
- version = "0.8.6";
+ version = "0.9.0";
src = fetchFromGitHub {
owner = "cilium";
repo = pname;
rev = "v${version}";
- sha256 = "07p62zifycw7gnwkd3230jsjns80k2q9fbj8drzp84s9cp7ddpa9";
+ sha256 = "05qc1fcf4ahl1zvxv92mq3awiy5b1rq6r9l896b4hkp0m5lx9m3c";
};
vendorSha256 = null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/cloudfoundry-cli/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/cloudfoundry-cli/default.nix
index 619b2834e5c..a4b49adb1e2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/cloudfoundry-cli/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/cloudfoundry-cli/default.nix
@@ -2,25 +2,23 @@
buildGoModule rec {
pname = "cloudfoundry-cli";
- version = "7.3.0";
+ version = "8.0.0";
src = fetchFromGitHub {
owner = "cloudfoundry";
repo = "cli";
rev = "v${version}";
- sha256 = "sha256-I+4tFAMmmsmi5WH9WKXIja1vVWsPHNGkWbvjWGUCmkU=";
+ sha256 = "00cwnfylra0msbb423ad21if98s6smzccsyidqsl4r2mrlkhahwm";
};
- # vendor directory stale
- deleteVendor = true;
- vendorSha256 = null;
+ vendorSha256 = "0fcgyyd11xfhn8i11bqnaw3h51bj1y8s37b4d8wzv31dr8zswqsc";
subPackages = [ "." ];
# upstream have helpfully moved the bash completion script to a separate
# repo which receives no releases or even tags
bashCompletionScript = fetchurl {
- url = "https://raw.githubusercontent.com/cloudfoundry/cli-ci/6087781a0e195465a35c79c8e968ae708c6f6351/ci/installers/completion/cf7";
- sha256 = "1vhg9jcgaxcvvb4pqnhkf27b3qivs4d3w232j0gbh9393m3qxrvy";
+ url = "https://raw.githubusercontent.com/cloudfoundry/cli-ci/5f4f0d5d01e89c6333673f0fa96056749e71b3cd/ci/installers/completion/cf8";
+ sha256 = "06w26kpnjd3f2wdjhb4pp0kaq2gb9kf87v7pjd9n2g7s7qhdqyhy";
};
nativeBuildInputs = [ installShellFiles ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/cni/plugins.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/cni/plugins.nix
index 0b862718cfb..14e7095c4b9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/cni/plugins.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/cni/plugins.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "cni-plugins";
- version = "1.0.0";
+ version = "1.0.1";
src = fetchFromGitHub {
owner = "containernetworking";
repo = "plugins";
rev = "v${version}";
- sha256 = "sha256-RcDZW/iOAcJodGiuzmeZk3obtD0/mQoMF9vL0xNehbQ=";
+ sha256 = "sha256-zIL9KG1WL+DlgC5c+b9gV1i7mB0Ge8bapcuSV4GNIck=";
};
vendorSha256 = null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/fluxcd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/fluxcd/default.nix
index 729f7e47228..a2b61a66e11 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/fluxcd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/fluxcd/default.nix
@@ -1,28 +1,29 @@
{ lib, buildGoModule, fetchFromGitHub, fetchzip, installShellFiles }:
let
- version = "0.16.2";
+ version = "0.18.3";
+ sha256 = "0nvvjc0ml1irn7vxyq4m43qimp128cx8hczk21y5m39i2rg4yzx4";
+ manifestsSha256 = "1qgw9ij0b85vvdx03wmbbwanhq1hf69wphy58lsqwf33rdq0bb1m";
manifests = fetchzip {
url = "https://github.com/fluxcd/flux2/releases/download/v${version}/manifests.tar.gz";
- sha256 = "05khmpbv42wjpkdb4n51pnq678la6hjfhkyy49d0j2kcnvfd1m5p";
+ sha256 = manifestsSha256;
stripRoot = false;
};
in
buildGoModule rec {
- inherit version;
-
pname = "fluxcd";
+ inherit version;
src = fetchFromGitHub {
owner = "fluxcd";
repo = "flux2";
rev = "v${version}";
- sha256 = "sha256-hP2HQI9Oc7IlzVS5r7yqGAgSgqECOSZVe2B3vO2sgKA=";
+ inherit sha256;
};
- vendorSha256 = "sha256-6ABnX0GV3HmhpUpPWS0bigubRqpXGoikEeQ/LqO6Ybs=";
+ vendorSha256 = "0vgi5cnvmc98xa2ibpgvvqlc90hf3gj3v17yqncid596ig3dnqsc";
nativeBuildInputs = [ installShellFiles ];
@@ -34,6 +35,10 @@ buildGoModule rec {
cp -r ${manifests} source/cmd/flux/manifests
'';
+ # Required to workaround test error:
+ # panic: mkdir /homeless-shelter: permission denied
+ HOME="$TMPDIR";
+
doInstallCheck = true;
installCheckPhase = ''
$out/bin/flux --version | grep ${version} > /dev/null
@@ -46,6 +51,8 @@ buildGoModule rec {
done
'';
+ passthru.updateScript = ./update.sh;
+
meta = with lib; {
description = "Open and extensible continuous delivery solution for Kubernetes";
longDescription = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/fluxcd/update.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/fluxcd/update.sh
new file mode 100755
index 00000000000..1ded63d4d86
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/fluxcd/update.sh
@@ -0,0 +1,38 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i bash -p curl gnugrep gnused jq
+
+set -x -eu -o pipefail
+
+cd $(dirname "${BASH_SOURCE[0]}")
+
+TAG=$(curl ${GITHUB_TOKEN:+" -u \":$GITHUB_TOKEN\""} --silent https://api.github.com/repos/fluxcd/flux2/releases/latest | jq -r '.tag_name')
+
+VERSION=$(echo ${TAG} | sed 's/^v//')
+
+SHA256=$(nix-prefetch-url --quiet --unpack https://github.com/fluxcd/flux2/archive/refs/tags/${TAG}.tar.gz)
+
+SPEC_SHA256=$(nix-prefetch-url --quiet --unpack https://github.com/fluxcd/flux2/releases/download/${TAG}/manifests.tar.gz)
+
+setKV () {
+ sed -i "s|$1 = \".*\"|$1 = \"${2:-}\"|" ./default.nix
+}
+
+setKV version ${VERSION}
+setKV sha256 ${SHA256}
+setKV manifestsSha256 ${SPEC_SHA256}
+setKV vendorSha256 "0000000000000000000000000000000000000000000000000000" # The same as lib.fakeSha256
+
+cd ../../../../../
+set +e
+VENDOR_SHA256=$(nix-build --no-out-link -A fluxcd 2>&1 >/dev/null | grep "got:" | cut -d':' -f2 | sed 's| ||g')
+set -e
+
+cd - > /dev/null
+
+if [ -n "${VENDOR_SHA256:-}" ]; then
+ setKV vendorSha256 ${VENDOR_SHA256}
+else
+ echo "Update failed. VENDOR_SHA256 is empty."
+ exit 1
+fi
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/fluxctl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/fluxctl/default.nix
index 4fe8bea9071..fd6a314ecac 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/fluxctl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/fluxctl/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "fluxctl";
- version = "1.23.2";
+ version = "1.24.1";
src = fetchFromGitHub {
owner = "weaveworks";
repo = "flux";
rev = version;
- sha256 = "sha256-Ypy462QYmRiQrnOYjBA4BrtPKMT7sNpWb4St3KMVqbI=";
+ sha256 = "sha256-lgcEkOu4iaLg+tP826Qpgmn0ogOpr62o1iWlv1yLbBQ=";
};
- vendorSha256 = "sha256-GUeLbngahbjEXetCfFbwWhn7jtyqKu7I2dyfjKalUM0=";
+ vendorSha256 = "sha256-NQonBTHToGPo7QsChvuVM/jbV5FK71HMJfe5fe1gZYw=";
nativeBuildInputs = [ installShellFiles ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helm/default.nix
index 8e9d2662ea6..425e7e2c246 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helm/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "helm";
- version = "3.6.3";
- gitCommit = "ee407bdf364942bcb8e8c665f82e15aa28009b71";
+ version = "3.7.0";
+ gitCommit = "eeac83883cb4014fe60267ec6373570374ce770b";
src = fetchFromGitHub {
owner = "helm";
repo = "helm";
rev = "v${version}";
- sha256 = "sha256-DfMI50eQsMHRX8S5rBzF3qlSfJizlYQyofA7HPkD4EQ=";
+ sha256 = "sha256-dV6Bx6XVzPqaRBeCzEFR473xnxjff4f24jd5vETVX78=";
};
- vendorSha256 = "sha256-PTAyRG6PZK+vaiheUd3oiu4iBGlnFjoCrci0CYbXjBk=";
+ vendorSha256 = "sha256-Q/ycpLCIvf+PP+03ug3fKT+uIOdzDwP7709VfFVJglk=";
doCheck = false;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helm/plugins/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helm/plugins/default.nix
index edd19a25f9b..342fd9e686a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helm/plugins/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helm/plugins/default.nix
@@ -2,10 +2,12 @@
{
- helm-diff = callPackage ./helm-diff.nix {};
+ helm-diff = callPackage ./helm-diff.nix { };
- helm-s3 = callPackage ./helm-s3.nix {};
+ helm-git = callPackage ./helm-git.nix { };
- helm-secrets = callPackage ./helm-secrets.nix {};
+ helm-s3 = callPackage ./helm-s3.nix { };
+
+ helm-secrets = callPackage ./helm-secrets.nix { };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helm/plugins/helm-git.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helm/plugins/helm-git.nix
new file mode 100644
index 00000000000..05ded10444b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helm/plugins/helm-git.nix
@@ -0,0 +1,46 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, coreutils
+, findutils
+, git
+, gnugrep
+, gnused
+, makeWrapper
+}:
+
+stdenv.mkDerivation rec {
+ pname = "helm-git";
+ version = "0.10.0";
+
+ src = fetchFromGitHub {
+ owner = "aslafy-z";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "0hvycqibmlw2zw3nm8rn73v5x1zcgm2jrfdlljbvc1n4n5vnzdrg";
+ };
+
+ nativeBuildInputs = [ makeWrapper ];
+
+ # NOTE: helm-git is comprised of shell scripts.
+ dontBuild = true;
+
+ installPhase = ''
+ install -dm755 $out/helm-git
+ install -m644 -Dt $out/helm-git plugin.yaml
+ cp helm-git helm-git-plugin.sh $out/helm-git/
+
+ patchShebangs $out/helm-git/helm-git{,-plugin.sh}
+ wrapProgram $out/helm-git/helm-git \
+ --prefix PATH : ${lib.makeBinPath [ coreutils findutils git gnugrep gnused ]}
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "The Helm downloader plugin that provides GIT protocol support";
+ inherit (src.meta) homepage;
+ license = licenses.mit;
+ maintainers = with maintainers; [ flokli ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helmfile/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helmfile/default.nix
index 6d70b405e16..a802ce67941 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helmfile/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helmfile/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "helmfile";
- version = "0.140.0";
+ version = "0.141.0";
src = fetchFromGitHub {
owner = "roboll";
repo = "helmfile";
rev = "v${version}";
- sha256 = "sha256-D9CyJE6/latz4541NfOtvKy+kui3CVmD483SkdEJzyU=";
+ sha256 = "sha256-UwjV3xgnZa0Emzw4FP/+gHh1ES6MTihrrlGKUBH6O9Q=";
};
- vendorSha256 = "sha256-QYI5HxEUNrZKSjk0LlbhjvxXlWCbbLup51Ht3HJDNC8=";
+ vendorSha256 = "sha256-HKHMeDnIDmQ7AjuS2lYCMphTHGD1JgQuBYDJe2+PEk4=";
doCheck = false;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helmsman/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helmsman/default.nix
index 933f1bfe71b..aa0abbae429 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helmsman/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/helmsman/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "helmsman";
- version = "3.7.2";
+ version = "3.7.5";
src = fetchFromGitHub {
owner = "Praqma";
repo = "helmsman";
rev = "v${version}";
- sha256 = "sha256-wzmn06nUycNaQ4tUEBd4q17M1CVC0+5X13rqF7zaHqU=";
+ sha256 = "sha256-QJXCVcEf23oaTDemoCV/2aaajbubfXg0AfZrlSTS4Ag=";
};
- vendorSha256 = "sha256-XHgdVFGIzbPPYgv/T4TtvDDbKAe3niev4S5tu/nwSqg=";
+ vendorSha256 = "sha256-4imZrZfpR/5tw9ZFSTr7Gx4G9O1iHNE9YRYMOJFKvHU=";
doCheck = false;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/hubble/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/hubble/default.nix
new file mode 100644
index 00000000000..ebb0abfcbd2
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/hubble/default.nix
@@ -0,0 +1,22 @@
+{ lib, buildGoModule, fetchFromGitHub }:
+
+buildGoModule rec {
+ pname = "hubble";
+ version = "0.8.2";
+
+ src = fetchFromGitHub {
+ owner = "cilium";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "1n1930hlaflx7kzqbz7vvnxw9hrps84kqibaf2ixnjp998kqkl6d";
+ };
+
+ vendorSha256 = null;
+
+ meta = with lib; {
+ description = "Network, Service & Security Observability for Kubernetes using eBPF";
+ license = licenses.asl20;
+ homepage = "https://github.com/cilium/hubble/";
+ maintainers = with maintainers; [ humancalico ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/istioctl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/istioctl/default.nix
index e4ef8d1aa8d..508ea403c5f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/istioctl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/istioctl/default.nix
@@ -2,15 +2,15 @@
buildGoModule rec {
pname = "istioctl";
- version = "1.11.0";
+ version = "1.11.2";
src = fetchFromGitHub {
owner = "istio";
repo = "istio";
rev = version;
- sha256 = "sha256-pQ8Xhhjpcp9RAUUqEDNWRf9JI7xkDVh2PG2KB0lmScs=";
+ sha256 = "sha256-4v/2lEq2BJX90P3UpSyDcHkxclMOTK9bmvyq0MyB7Pg=";
};
- vendorSha256 = "sha256-PBMPTrTk5AzzELitSVQijHnx8YDCiZ7R+cpetUfe2KU=";
+ vendorSha256 = "sha256-TY7l5ttLKC3rqZ2kcy0l2gRXZg3vRrZBNzYsGerPe0k=";
doCheck = false;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/k0sctl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/k0sctl/default.nix
index 59530f05457..b552af837bd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/k0sctl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/k0sctl/default.nix
@@ -5,16 +5,23 @@
buildGoModule rec {
pname = "k0sctl";
- version = "0.9.0";
+ version = "0.10.4";
src = fetchFromGitHub {
owner = "k0sproject";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-aW7x2XfeFU0z3lwPTsDHudHjdwTtfASgrbKGddVb6Rs=";
+ sha256 = "sha256-22jZWRnymIYN1LlGOo8abVx8DTUe9VK1xAHddLknt6A=";
};
- vendorSha256 = "sha256-bsXXWyeZXZLV6igEvyvPpS92FruGiLDx/5CCTKPe0EU=";
+ vendorSha256 = "sha256-N4cU9wzBRZn71mZHkNDXKgSXvlN2QFS6K4MtlR25DJc=";
+
+ ldflags = [
+ "-s"
+ "-w"
+ "-X github.com/k0sproject/k0sctl/version.Environment=production"
+ "-X github.com/k0sproject/k0sctl/version.Version=${version}"
+ ];
meta = with lib; {
description = "A bootstrapping and management tool for k0s clusters.";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/k3s/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/k3s/default.nix
index 4b60ec6ea2d..822a2c4b70a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/k3s/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/k3s/default.nix
@@ -45,10 +45,16 @@ with lib;
let
k3sVersion = "1.21.4+k3s1"; # k3s git tag
k3sCommit = "3e250fdbab72d88f7e6aae57446023a0567ffc97"; # k3s git commit at the above version
+ k3sRepoSha256 = "1w7drvk0bmlmqrxh1y6dxjy7dk6bdrl72pkd25lc1ir6wbzb05h9";
+
+ traefikChartVersion = "9.18.2"; # taken from ./manifests/traefik.yaml at spec.version
+ traefikChartSha256 = "sha256-9d7p0ngyMN27u4OPgz7yI14Zj9y36t9o/HMX5wyDpUI=";
- traefikChartVersion = "9.18.2"; # taken from ./scripts/download at TRAEFIK_VERSION
k3sRootVersion = "0.9.1"; # taken from ./scripts/download at ROOT_VERSION
+ k3sRootSha256 = "sha256-qI84KYJKY/T6pqWZW9lOTq5NzZiu//v1zrMzUCiRTGQ=";
+
k3sCNIVersion = "0.8.6-k3s1"; # taken from ./scripts/version.sh at VERSION_CNIPLUGINS
+ k3sCNISha256 = "sha256-uAy17eRRAXPCcnh481KxFMvFQecnnBs24jn5YnVNfY4=";
baseMeta = {
description = "A lightweight Kubernetes distribution";
@@ -61,7 +67,7 @@ let
# bundled into the k3s binary
traefikChart = fetchurl {
url = "https://helm.traefik.io/traefik/traefik-${traefikChartVersion}.tgz";
- sha256 = "sha256-9d7p0ngyMN27u4OPgz7yI14Zj9y36t9o/HMX5wyDpUI=";
+ sha256 = traefikChartSha256;
};
# so, k3s is a complicated thing to package
# This derivation attempts to avoid including any random binaries from the
@@ -75,7 +81,7 @@ let
k3sRoot = fetchzip {
# Note: marked as apache 2.0 license
url = "https://github.com/k3s-io/k3s-root/releases/download/v${k3sRootVersion}/k3s-root-amd64.tar";
- sha256 = "sha256-qI84KYJKY/T6pqWZW9lOTq5NzZiu//v1zrMzUCiRTGQ=";
+ sha256 = k3sRootSha256;
stripRoot = false;
};
k3sPlugins = buildGoPackage rec {
@@ -89,7 +95,7 @@ let
owner = "rancher";
repo = "plugins";
rev = "v${version}";
- sha256 = "sha256-uAy17eRRAXPCcnh481KxFMvFQecnnBs24jn5YnVNfY4=";
+ sha256 = k3sCNISha256;
};
meta = baseMeta // {
@@ -101,7 +107,7 @@ let
k3sRepo = fetchgit {
url = "https://github.com/k3s-io/k3s";
rev = "v${k3sVersion}";
- sha256 = "1w7drvk0bmlmqrxh1y6dxjy7dk6bdrl72pkd25lc1ir6wbzb05h9";
+ sha256 = k3sRepoSha256;
};
# Stage 1 of the k3s build:
# Let's talk about how k3s is structured.
@@ -237,6 +243,9 @@ stdenv.mkDerivation rec {
pname = "k3s";
version = k3sVersion;
+ # `src` here is a workaround for the updateScript bot. It couldn't be empty.
+ src = builtins.filterSource (path: type: false) ./.;
+
# Important utilities used by the kubelet, see
# https://github.com/kubernetes/kubernetes/issues/26093#issuecomment-237202494
# Note the list in that issue is stale and some aren't relevant for k3s.
@@ -280,5 +289,7 @@ stdenv.mkDerivation rec {
$out/bin/k3s --version | grep v${k3sVersion} > /dev/null
'';
+ passthru.updateScript = ./update.sh;
+
meta = baseMeta;
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/k3s/update.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/k3s/update.sh
new file mode 100755
index 00000000000..34257fcda2f
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/k3s/update.sh
@@ -0,0 +1,62 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i bash -p curl gnugrep gnused jq
+
+set -x -eu -o pipefail
+
+WORKDIR=$(mktemp -d)
+trap "rm -rf ${WORKDIR}" EXIT
+
+cd $(dirname "${BASH_SOURCE[0]}")
+
+LATEST_TAG_RAWFILE=${WORKDIR}/latest_tag.json
+curl --silent ${GITHUB_TOKEN:+"-u \":$GITHUB_TOKEN\""} \
+ https://api.github.com/repos/k3s-io/k3s/releases > ${LATEST_TAG_RAWFILE}
+
+LATEST_TAG_NAME=$(jq 'map(.tag_name)' ${LATEST_TAG_RAWFILE} | grep -v -e rc -e engine | sed 's/["|,| ]//g' | sort -V -r | head -n1)
+K3S_VERSION=$(echo ${LATEST_TAG_NAME} | sed 's/^v//')
+
+K3S_COMMIT=$(curl --silent ${GITHUB_TOKEN:+"-u \":$GITHUB_TOKEN\""} \
+ https://api.github.com/repos/k3s-io/k3s/tags \
+ | jq -r "map(select(.name == \"${LATEST_TAG_NAME}\")) | .[0] | .commit.sha")
+
+K3S_REPO_SHA256=$(nix-prefetch-url --quiet --unpack https://github.com/k3s-io/k3s/archive/refs/tags/${LATEST_TAG_NAME}.tar.gz)
+
+FILE_SCRIPTS_DOWNLOAD=${WORKDIR}/scripts-download
+curl --silent https://raw.githubusercontent.com/k3s-io/k3s/${K3S_COMMIT}/scripts/download > $FILE_SCRIPTS_DOWNLOAD
+
+FILE_SCRIPTS_VERSION=${WORKDIR}/scripts-version.sh
+curl --silent https://raw.githubusercontent.com/k3s-io/k3s/${K3S_COMMIT}/scripts/version.sh > $FILE_SCRIPTS_VERSION
+
+FILE_MANIFESTS_TRAEFIK=${WORKDIR}/manifests-traefik.yaml
+curl --silent https://raw.githubusercontent.com/k3s-io/k3s/${K3S_COMMIT}/manifests/traefik.yaml > $FILE_MANIFESTS_TRAEFIK
+
+TRAEFIK_CHART_VERSION=$(awk -F/ '/traefik-([[:digit:]]+\.)/ {sub(/traefik-/, "", $6) ; sub(/\.tgz/, "", $6); print $6}' $FILE_MANIFESTS_TRAEFIK)
+
+TRAEFIK_CHART_SHA256=$(nix-prefetch-url --quiet "https://helm.traefik.io/traefik/traefik-${TRAEFIK_CHART_VERSION}.tgz")
+
+K3S_ROOT_VERSION=$(grep 'ROOT_VERSION=' ${FILE_SCRIPTS_DOWNLOAD} \
+ | cut -d'=' -f2 | cut -d' ' -f1 | sed 's/^v//')
+K3S_ROOT_SHA256=$(nix-prefetch-url --quiet --unpack \
+ "https://github.com/k3s-io/k3s-root/releases/download/v${K3S_ROOT_VERSION}/k3s-root-amd64.tar")
+
+CNIPLUGINS_VERSION=$(grep 'VERSION_CNIPLUGINS=' ${FILE_SCRIPTS_VERSION} \
+ | cut -d'=' -f2 | cut -d' ' -f1 | sed -e 's/"//g' -e 's/^v//')
+CNIPLUGINS_SHA256=$(nix-prefetch-url --quiet --unpack \
+ "https://github.com/rancher/plugins/archive/refs/tags/v${CNIPLUGINS_VERSION}.tar.gz")
+
+setKV () {
+ sed -i "s|$1 = \".*\"|$1 = \"${2:-}\"|" ./default.nix
+}
+
+setKV k3sVersion ${K3S_VERSION}
+setKV k3sCommit ${K3S_COMMIT}
+setKV k3sRepoSha256 ${K3S_REPO_SHA256}
+
+setKV traefikChartVersion ${TRAEFIK_CHART_VERSION}
+setKV traefikChartSha256 ${TRAEFIK_CHART_SHA256}
+
+setKV k3sRootVersion ${K3S_ROOT_VERSION}
+setKV k3sRootSha256 ${K3S_ROOT_SHA256}
+
+setKV k3sCNIVersion ${CNIPLUGINS_VERSION}
+setKV k3sCNISha256 ${CNIPLUGINS_SHA256}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kn/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kn/default.nix
new file mode 100644
index 00000000000..a41c3c0ad35
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kn/default.nix
@@ -0,0 +1,44 @@
+{ lib, buildGoModule, fetchFromGitHub, installShellFiles }:
+
+buildGoModule rec {
+ pname = "kn";
+ version = "0.26.0";
+
+ src = fetchFromGitHub {
+ owner = "knative";
+ repo = "client";
+ rev = "v${version}";
+ sha256 = "sha256-hquxv1BluR535WvMtJlVyP7JuARDNGDjPAbdSSj2juo=";
+ };
+
+ vendorSha256 = null;
+
+ subPackages = [ "cmd/kn" ];
+
+ nativeBuildInputs = [ installShellFiles ];
+
+ ldflags = [
+ "-X knative.dev/client/pkg/kn/commands/version.Version=v${version}"
+ "-X knative.dev/client/pkg/kn/commands/version.VersionEventing=v${version}"
+ "-X knative.dev/client/pkg/kn/commands/version.VersionServing=v${version}"
+ ];
+
+ postInstall = ''
+ installShellCompletion --cmd kn \
+ --bash <($out/bin/kn completion bash) \
+ --zsh <($out/bin/kn completion zsh)
+ '';
+
+ doInstallCheck = true;
+ installCheckPhase = ''
+ $out/bin/kn version | grep ${version} > /dev/null
+ '';
+
+ meta = with lib; {
+ description = "The Knative client kn is your door to the Knative world. It allows you to create Knative resources interactively from the command line or from within scripts";
+ homepage = "https://github.com/knative/client";
+ changelog = "https://github.com/knative/client/releases/tag/v${version}";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ bryanasdev000 ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kops/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kops/default.nix
index 389be11e506..8487afc208d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kops/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kops/default.nix
@@ -65,8 +65,8 @@ rec {
};
kops_1_21 = mkKops rec {
- version = "1.21.0";
- sha256 = "sha256-T2i3qpg3GC7yaYCGrN1V5XXrUyT+Ce9Q4aV00gQJ7gM=";
+ version = "1.21.1";
+ sha256 = "sha256-/C/fllgfAovHuyGRY+LM09bsUpYdA8zDw1w0b9HnlBc=";
rev = "v${version}";
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/krane/Gemfile b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/krane/Gemfile
new file mode 100644
index 00000000000..94ff895ab39
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/krane/Gemfile
@@ -0,0 +1,3 @@
+source 'https://rubygems.org'
+
+gem 'krane'
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/krane/Gemfile.lock b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/krane/Gemfile.lock
new file mode 100644
index 00000000000..7cc11b1af60
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/krane/Gemfile.lock
@@ -0,0 +1,120 @@
+GEM
+ remote: https://rubygems.org/
+ specs:
+ activesupport (6.1.4.1)
+ concurrent-ruby (~> 1.0, >= 1.0.2)
+ i18n (>= 1.6, < 2)
+ minitest (>= 5.1)
+ tzinfo (~> 2.0)
+ zeitwerk (~> 2.3)
+ addressable (2.8.0)
+ public_suffix (>= 2.0.2, < 5.0)
+ colorize (0.8.1)
+ concurrent-ruby (1.1.9)
+ domain_name (0.5.20190701)
+ unf (>= 0.0.5, < 1.0.0)
+ ejson (1.3.0)
+ faraday (1.8.0)
+ faraday-em_http (~> 1.0)
+ faraday-em_synchrony (~> 1.0)
+ faraday-excon (~> 1.1)
+ faraday-httpclient (~> 1.0.1)
+ faraday-net_http (~> 1.0)
+ faraday-net_http_persistent (~> 1.1)
+ faraday-patron (~> 1.0)
+ faraday-rack (~> 1.0)
+ multipart-post (>= 1.2, < 3)
+ ruby2_keywords (>= 0.0.4)
+ faraday-em_http (1.0.0)
+ faraday-em_synchrony (1.0.0)
+ faraday-excon (1.1.0)
+ faraday-httpclient (1.0.1)
+ faraday-net_http (1.0.1)
+ faraday-net_http_persistent (1.2.0)
+ faraday-patron (1.0.0)
+ faraday-rack (1.0.0)
+ ffi (1.15.4)
+ ffi-compiler (1.0.1)
+ ffi (>= 1.0.0)
+ rake
+ googleauth (0.17.1)
+ faraday (>= 0.17.3, < 2.0)
+ jwt (>= 1.4, < 3.0)
+ memoist (~> 0.16)
+ multi_json (~> 1.11)
+ os (>= 0.9, < 2.0)
+ signet (~> 0.15)
+ http (4.4.1)
+ addressable (~> 2.3)
+ http-cookie (~> 1.0)
+ http-form_data (~> 2.2)
+ http-parser (~> 1.2.0)
+ http-accept (1.7.0)
+ http-cookie (1.0.4)
+ domain_name (~> 0.5)
+ http-form_data (2.3.0)
+ http-parser (1.2.3)
+ ffi-compiler (>= 1.0, < 2.0)
+ i18n (1.8.10)
+ concurrent-ruby (~> 1.0)
+ jsonpath (0.9.9)
+ multi_json
+ to_regexp (~> 0.2.1)
+ jwt (2.3.0)
+ krane (2.3.0)
+ activesupport (>= 5.0)
+ colorize (~> 0.8)
+ concurrent-ruby (~> 1.1)
+ ejson (~> 1.0)
+ googleauth (~> 0.8)
+ jsonpath (~> 0.9.6)
+ kubeclient (~> 4.3)
+ oj (~> 3.0)
+ statsd-instrument (>= 2.8, < 4)
+ thor (>= 1.0, < 2.0)
+ kubeclient (4.7.0)
+ http (>= 3.0, < 5.0)
+ recursive-open-struct (~> 1.1, >= 1.1.1)
+ rest-client (~> 2.0)
+ memoist (0.16.2)
+ mime-types (3.3.1)
+ mime-types-data (~> 3.2015)
+ mime-types-data (3.2021.0901)
+ minitest (5.14.4)
+ multi_json (1.15.0)
+ multipart-post (2.1.1)
+ netrc (0.11.0)
+ oj (3.13.8)
+ os (1.1.1)
+ public_suffix (4.0.6)
+ rake (13.0.6)
+ recursive-open-struct (1.1.3)
+ rest-client (2.1.0)
+ http-accept (>= 1.7.0, < 2.0)
+ http-cookie (>= 1.0.2, < 2.0)
+ mime-types (>= 1.16, < 4.0)
+ netrc (~> 0.8)
+ ruby2_keywords (0.0.5)
+ signet (0.16.0)
+ addressable (~> 2.8)
+ faraday (>= 0.17.3, < 2.0)
+ jwt (>= 1.5, < 3.0)
+ multi_json (~> 1.10)
+ statsd-instrument (3.1.2)
+ thor (1.1.0)
+ to_regexp (0.2.1)
+ tzinfo (2.0.4)
+ concurrent-ruby (~> 1.0)
+ unf (0.1.4)
+ unf_ext
+ unf_ext (0.0.8)
+ zeitwerk (2.4.2)
+
+PLATFORMS
+ ruby
+
+DEPENDENCIES
+ krane
+
+BUNDLED WITH
+ 2.2.20
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/krane/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/krane/default.nix
new file mode 100644
index 00000000000..6d325d6f592
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/krane/default.nix
@@ -0,0 +1,28 @@
+{ lib
+, bundlerApp
+, makeWrapper
+, kubectl
+, bundlerUpdateScript
+}:
+
+bundlerApp {
+ pname = "krane";
+ gemdir = ./.;
+ exes = [ "krane" ];
+
+ buildInputs = [ makeWrapper ];
+
+ postBuild = ''
+ wrapProgram "$out/bin/krane" \
+ --prefix PATH : ${lib.makeBinPath [ kubectl ]}
+ '';
+
+ passthru.updateScript = bundlerUpdateScript "krane";
+
+ meta = with lib; {
+ description = "A command-line tool that helps you ship changes to a Kubernetes namespace and understand the result";
+ homepage = "https://github.com/Shopify/krane";
+ license = licenses.mit;
+ maintainers = with maintainers; [ kira-bruneau ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/krane/gemset.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/krane/gemset.nix
new file mode 100644
index 00000000000..13163c193e3
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/krane/gemset.nix
@@ -0,0 +1,520 @@
+{
+ activesupport = {
+ dependencies = ["concurrent-ruby" "i18n" "minitest" "tzinfo" "zeitwerk"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "19gx1jcq46x9d1pi1w8xq0bgvvfw239y4lalr8asm291gj3q3ds4";
+ type = "gem";
+ };
+ version = "6.1.4.1";
+ };
+ addressable = {
+ dependencies = ["public_suffix"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "022r3m9wdxljpbya69y2i3h9g3dhhfaqzidf95m6qjzms792jvgp";
+ type = "gem";
+ };
+ version = "2.8.0";
+ };
+ colorize = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "133rqj85n400qk6g3dhf2bmfws34mak1wqihvh3bgy9jhajw580b";
+ type = "gem";
+ };
+ version = "0.8.1";
+ };
+ concurrent-ruby = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0nwad3211p7yv9sda31jmbyw6sdafzmdi2i2niaz6f0wk5nq9h0f";
+ type = "gem";
+ };
+ version = "1.1.9";
+ };
+ domain_name = {
+ dependencies = ["unf"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0lcqjsmixjp52bnlgzh4lg9ppsk52x9hpwdjd53k8jnbah2602h0";
+ type = "gem";
+ };
+ version = "0.5.20190701";
+ };
+ ejson = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "09584dhklhnxvgrf1b1lvb1illhzg79rsd9sgbpzrawiir789ksy";
+ type = "gem";
+ };
+ version = "1.3.0";
+ };
+ faraday = {
+ dependencies = ["faraday-em_http" "faraday-em_synchrony" "faraday-excon" "faraday-httpclient" "faraday-net_http" "faraday-net_http_persistent" "faraday-patron" "faraday-rack" "multipart-post" "ruby2_keywords"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0afhlqgby2cizcwgh7h2sq5f77q01axjbdl25bsvfwsry9n7gyyi";
+ type = "gem";
+ };
+ version = "1.8.0";
+ };
+ faraday-em_http = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "12cnqpbak4vhikrh2cdn94assh3yxza8rq2p9w2j34bqg5q4qgbs";
+ type = "gem";
+ };
+ version = "1.0.0";
+ };
+ faraday-em_synchrony = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1vgrbhkp83sngv6k4mii9f2s9v5lmp693hylfxp2ssfc60fas3a6";
+ type = "gem";
+ };
+ version = "1.0.0";
+ };
+ faraday-excon = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0h09wkb0k0bhm6dqsd47ac601qiaah8qdzjh8gvxfd376x1chmdh";
+ type = "gem";
+ };
+ version = "1.1.0";
+ };
+ faraday-httpclient = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0fyk0jd3ks7fdn8nv3spnwjpzx2lmxmg2gh4inz3by1zjzqg33sc";
+ type = "gem";
+ };
+ version = "1.0.1";
+ };
+ faraday-net_http = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1fi8sda5hc54v1w3mqfl5yz09nhx35kglyx72w7b8xxvdr0cwi9j";
+ type = "gem";
+ };
+ version = "1.0.1";
+ };
+ faraday-net_http_persistent = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0dc36ih95qw3rlccffcb0vgxjhmipsvxhn6cw71l7ffs0f7vq30b";
+ type = "gem";
+ };
+ version = "1.2.0";
+ };
+ faraday-patron = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "19wgsgfq0xkski1g7m96snv39la3zxz6x7nbdgiwhg5v82rxfb6w";
+ type = "gem";
+ };
+ version = "1.0.0";
+ };
+ faraday-rack = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1h184g4vqql5jv9s9im6igy00jp6mrah2h14py6mpf9bkabfqq7g";
+ type = "gem";
+ };
+ version = "1.0.0";
+ };
+ ffi = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0ssxcywmb3flxsjdg13is6k01807zgzasdhj4j48dm7ac59cmksn";
+ type = "gem";
+ };
+ version = "1.15.4";
+ };
+ ffi-compiler = {
+ dependencies = ["ffi" "rake"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0c2caqm9wqnbidcb8dj4wd3s902z15qmgxplwyfyqbwa0ydki7q1";
+ type = "gem";
+ };
+ version = "1.0.1";
+ };
+ googleauth = {
+ dependencies = ["faraday" "jwt" "memoist" "multi_json" "os" "signet"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "08l9qb2an7a60r3xjlkrfna8b8sfnj5c2hlfdygbnpvb1p7cpafl";
+ type = "gem";
+ };
+ version = "0.17.1";
+ };
+ http = {
+ dependencies = ["addressable" "http-cookie" "http-form_data" "http-parser"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0z8vmvnkrllkpzsxi94284di9r63g9v561a16an35izwak8g245y";
+ type = "gem";
+ };
+ version = "4.4.1";
+ };
+ http-accept = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "09m1facypsdjynfwrcv19xcb1mqg8z6kk31g8r33pfxzh838c9n6";
+ type = "gem";
+ };
+ version = "1.7.0";
+ };
+ http-cookie = {
+ dependencies = ["domain_name"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "19370bc97gsy2j4hanij246hv1ddc85hw0xjb6sj7n1ykqdlx9l9";
+ type = "gem";
+ };
+ version = "1.0.4";
+ };
+ http-form_data = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1wx591jdhy84901pklh1n9sgh74gnvq1qyqxwchni1yrc49ynknc";
+ type = "gem";
+ };
+ version = "2.3.0";
+ };
+ http-parser = {
+ dependencies = ["ffi-compiler"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "18qqvckvqjffh88hfib6c8pl9qwk9gp89w89hl3f2s1x8hgyqka1";
+ type = "gem";
+ };
+ version = "1.2.3";
+ };
+ i18n = {
+ dependencies = ["concurrent-ruby"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0g2fnag935zn2ggm5cn6k4s4xvv53v2givj1j90szmvavlpya96a";
+ type = "gem";
+ };
+ version = "1.8.10";
+ };
+ jsonpath = {
+ dependencies = ["multi_json" "to_regexp"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1zim5bl7zsbccd502iy63f7c3b6dw0a820z7q8kpv66hncavb7gp";
+ type = "gem";
+ };
+ version = "0.9.9";
+ };
+ jwt = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0bg8pjx0mpvl10k6d8a6gc8dzlv2z5jkqcjbjcirnk032iriq838";
+ type = "gem";
+ };
+ version = "2.3.0";
+ };
+ krane = {
+ dependencies = ["activesupport" "colorize" "concurrent-ruby" "ejson" "googleauth" "jsonpath" "kubeclient" "oj" "statsd-instrument" "thor"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1r4sfyapdqcgqns4skxwkxd9v7a4f0h7y7zrgyz7za1p56jmx9sr";
+ type = "gem";
+ };
+ version = "2.3.0";
+ };
+ kubeclient = {
+ dependencies = ["http" "recursive-open-struct" "rest-client"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1k4w7h6fywhccv7fskwks9p71fvbh00qyvcx8cc4bnvwjn43680w";
+ type = "gem";
+ };
+ version = "4.7.0";
+ };
+ memoist = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0i9wpzix3sjhf6d9zw60dm4371iq8kyz7ckh2qapan2vyaim6b55";
+ type = "gem";
+ };
+ version = "0.16.2";
+ };
+ mime-types = {
+ dependencies = ["mime-types-data"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1zj12l9qk62anvk9bjvandpa6vy4xslil15wl6wlivyf51z773vh";
+ type = "gem";
+ };
+ version = "3.3.1";
+ };
+ mime-types-data = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1z5wvk6qi4ws1kjh7xn1rfirqw5m72bwvqacck1fjpbh33pcrwxv";
+ type = "gem";
+ };
+ version = "3.2021.0901";
+ };
+ minitest = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "19z7wkhg59y8abginfrm2wzplz7py3va8fyngiigngqvsws6cwgl";
+ type = "gem";
+ };
+ version = "5.14.4";
+ };
+ multi_json = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0pb1g1y3dsiahavspyzkdy39j4q377009f6ix0bh1ag4nqw43l0z";
+ type = "gem";
+ };
+ version = "1.15.0";
+ };
+ multipart-post = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1zgw9zlwh2a6i1yvhhc4a84ry1hv824d6g2iw2chs3k5aylpmpfj";
+ type = "gem";
+ };
+ version = "2.1.1";
+ };
+ netrc = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0gzfmcywp1da8nzfqsql2zqi648mfnx6qwkig3cv36n9m0yy676y";
+ type = "gem";
+ };
+ version = "0.11.0";
+ };
+ oj = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1wk5vk0py65aqp3xrs8s8qkj8pw6xny5z5p9dx16qdx6j3zw5a6g";
+ type = "gem";
+ };
+ version = "3.13.8";
+ };
+ os = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "12fli64wz5j9868gpzv5wqsingk1jk457qyqksv9ksmq9b0zpc9x";
+ type = "gem";
+ };
+ version = "1.1.1";
+ };
+ public_suffix = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1xqcgkl7bwws1qrlnmxgh8g4g9m10vg60bhlw40fplninb3ng6d9";
+ type = "gem";
+ };
+ version = "4.0.6";
+ };
+ rake = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "15whn7p9nrkxangbs9hh75q585yfn66lv0v2mhj6q6dl6x8bzr2w";
+ type = "gem";
+ };
+ version = "13.0.6";
+ };
+ recursive-open-struct = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0nnyr6qsqrcszf6c10n4zfjs8h9n67zvsmx6mp8brkigamr8llx3";
+ type = "gem";
+ };
+ version = "1.1.3";
+ };
+ rest-client = {
+ dependencies = ["http-accept" "http-cookie" "mime-types" "netrc"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1qs74yzl58agzx9dgjhcpgmzfn61fqkk33k1js2y5yhlvc5l19im";
+ type = "gem";
+ };
+ version = "2.1.0";
+ };
+ ruby2_keywords = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1vz322p8n39hz3b4a9gkmz9y7a5jaz41zrm2ywf31dvkqm03glgz";
+ type = "gem";
+ };
+ version = "0.0.5";
+ };
+ signet = {
+ dependencies = ["addressable" "faraday" "jwt" "multi_json"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0cgmadrpgkpcklvvm2cga9mnrfqwqlydwpask1wx617h5ha6954k";
+ type = "gem";
+ };
+ version = "0.16.0";
+ };
+ statsd-instrument = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1q98rkmgrzb59zmswhr6863z6dk042i90jbp9pflwa2vy2xkfj0y";
+ type = "gem";
+ };
+ version = "3.1.2";
+ };
+ thor = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "18yhlvmfya23cs3pvhr1qy38y41b6mhr5q9vwv5lrgk16wmf3jna";
+ type = "gem";
+ };
+ version = "1.1.0";
+ };
+ to_regexp = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1rgabfhnql6l4fx09mmj5d0vza924iczqf2blmn82l782b6qqi9v";
+ type = "gem";
+ };
+ version = "0.2.1";
+ };
+ tzinfo = {
+ dependencies = ["concurrent-ruby"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "10qp5x7f9hvlc0psv9gsfbxg4a7s0485wsbq1kljkxq94in91l4z";
+ type = "gem";
+ };
+ version = "2.0.4";
+ };
+ unf = {
+ dependencies = ["unf_ext"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0bh2cf73i2ffh4fcpdn9ir4mhq8zi50ik0zqa1braahzadx536a9";
+ type = "gem";
+ };
+ version = "0.1.4";
+ };
+ unf_ext = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0jmbimpnpjdzz8hlrppgl9spm99qh3qzbx0b81k3gkgwba8nk3yd";
+ type = "gem";
+ };
+ version = "0.0.8";
+ };
+ zeitwerk = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1746czsjarixq0x05f7p3hpzi38ldg6wxnxxw74kbjzh1sdjgmpl";
+ type = "gem";
+ };
+ version = "2.4.2";
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kube-score/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kube-score/default.nix
index a466598f00d..707abd5e2bf 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kube-score/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kube-score/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "kube-score";
- version = "1.11.0";
+ version = "1.12.0";
src = fetchFromGitHub {
owner = "zegl";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-O0RtlFkyo01kcxWSzrkhh7vvV76B7I5V19dSzaxvv4Y=";
+ sha256 = "sha256-FZbq7f8Urx3tlJOBPnPyp1enFsmtrxqNjR42CTNo6GI=";
};
- vendorSha256 = "sha256-qFS+N0tOf3zxqs1tN6Z1EnR3qLR1FfZNfJ21NoRXek0=";
+ vendorSha256 = "sha256-8Rg57Uj/hdNqAj40MKZ/5PObRkdsInbsRT1ZkRqGTfo=";
meta = with lib; {
description = "Kubernetes object analysis with recommendations for improved reliability and security";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kube3d/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kube3d/default.nix
index 3652405194f..2c571ff83a8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kube3d/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kube3d/default.nix
@@ -1,14 +1,14 @@
-{ lib, buildGoModule, fetchFromGitHub, installShellFiles, k3sVersion ? "1.20.6-k3s1" }:
+{ lib, buildGoModule, fetchFromGitHub, installShellFiles, k3sVersion ? "1.22.2-k3s2" }:
buildGoModule rec {
pname = "kube3d";
- version = "4.4.7";
+ version = "5.0.0";
src = fetchFromGitHub {
owner = "rancher";
repo = "k3d";
rev = "v${version}";
- sha256 = "sha256-S1vHmXUCP1ayPo3vvHAbNCqNm1ueJ0jE4NUBvg5P3MU=";
+ sha256 = "1pkrcjr78xxw3idmyzpkbx0rp20972dl44bzwkkp06milrzsq27i";
};
vendorSha256 = null;
@@ -17,10 +17,9 @@ buildGoModule rec {
excludedPackages = "\\(tools\\|docgen\\)";
- ldflags = let t = "github.com/rancher/k3d/v4/version"; in
- [
- "-s" "-w" "-X ${t}.Version=v${version}" "-X ${t}.K3sVersion=v${k3sVersion}"
- ];
+ ldflags =
+ let t = "github.com/rancher/k3d/v5/version"; in
+ [ "-s" "-w" "-X ${t}.Version=v${version}" "-X ${t}.K3sVersion=v${k3sVersion}" ];
doCheck = false;
@@ -35,7 +34,7 @@ buildGoModule rec {
installCheckPhase = ''
runHook preInstallCheck
$out/bin/k3d --help
- $out/bin/k3d version | grep "k3d version v${version}"
+ $out/bin/k3d --version | grep -e "k3d version v${version}" -e "k3s version v${k3sVersion}"
runHook postInstallCheck
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubecfg/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubecfg/default.nix
index 7c04f6e9eb9..c46c6ed2630 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubecfg/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubecfg/default.nix
@@ -1,6 +1,6 @@
{ lib, buildGoPackage, fetchFromGitHub, ... }:
-let version = "0.20.0"; in
+let version = "0.21.0"; in
buildGoPackage {
pname = "kubecfg";
@@ -10,11 +10,13 @@ buildGoPackage {
owner = "bitnami";
repo = "kubecfg";
rev = "v${version}";
- sha256 = "sha256-7lBIqaozVBoiYYOTqAxq9h2N+Y3JFwLaunCykILOmPU=";
+ sha256 = "sha256-Wu7+Xmb7ha3OG37DzLg2+/Sr9hB5oD3OIkC9h9Fa4QA=";
};
goPackagePath = "github.com/bitnami/kubecfg";
+ ldflags = [ "-s" "-w" "-X main.version=v${version}" ];
+
meta = {
description = "A tool for managing Kubernetes resources as code";
homepage = "https://github.com/bitnami/kubecfg";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubeconform/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubeconform/default.nix
index cc0f1e0bf64..7249c2a5cef 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubeconform/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubeconform/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "kubeconform";
- version = "0.4.8";
+ version = "0.4.10";
src = fetchFromGitHub {
owner = "yannh";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-XD8xGqtE7eaBxPL4Z0Kw4BEqM2fdgww7wl8wJ1U3u0U=";
+ sha256 = "sha256-D1/ljIOc5vK6HcYmk0WNnIRGBt1vJk9dGxl5GjhKhuA=";
};
vendorSha256 = null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubedb-cli/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubedb-cli/default.nix
index 3d277163884..655150580b5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubedb-cli/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubedb-cli/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "kubedb-cli";
- version = "0.19.0";
+ version = "0.21.0";
src = fetchFromGitHub {
owner = "kubedb";
repo = "cli";
rev = "v${version}";
- sha256 = "sha256-CwAa2YqJ0R+L+VwxqruQmZJUctP4GgKszY49ZVyyNBE=";
+ sha256 = "sha256-7e1VQ9uXNj6Lmnl1IXHLcADSLuK7Jgiww8acxtD4xFM=";
};
vendorSha256 = null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubeone/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubeone/default.nix
index b570ebc09dc..917059370ca 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubeone/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubeone/default.nix
@@ -6,16 +6,16 @@
buildGoModule rec {
pname = "kubeone";
- version = "1.2.3";
+ version = "1.3.0";
src = fetchFromGitHub {
owner = "kubermatic";
repo = "kubeone";
rev = "v${version}";
- sha256 = "sha256-oInE8fwE+7a+F4NevKPuYQqCP9GkPhqayLu8HCmVbLs=";
+ sha256 = "sha256-B/ga5MpjXoLe5H/JosmrS/Wuj1elzQHPsnz/qOm7Hrg=";
};
- vendorSha256 = "sha256-VvO5YnDofdEku9+RC6PPHWSZY8qZt9N3JNzlm5omNAc=";
+ vendorSha256 = "sha256-/rhV7JHuqejCTizcjKIkaJlbRcx7AfMcGqQYo6dlg48=";
nativeBuildInputs = [
installShellFiles
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubernetes/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubernetes/default.nix
index 78ccc8cb83a..13b15da38cd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubernetes/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/kubernetes/default.nix
@@ -21,13 +21,13 @@
stdenv.mkDerivation rec {
pname = "kubernetes";
- version = "1.22.1";
+ version = "1.22.2";
src = fetchFromGitHub {
owner = "kubernetes";
repo = "kubernetes";
rev = "v${version}";
- sha256 = "sha256-coiDKczX5kWw/5A9+p0atPbn2nR0wBBdfXKTw6FYywo=";
+ sha256 = "sha256-O+FY9wJ0fztO7i5qJfw+cfhfBgaMWKX7IBBXJV4uuCk=";
};
nativeBuildInputs = [ removeReferencesTo makeWrapper which go rsync installShellFiles ];
@@ -60,6 +60,7 @@ stdenv.mkDerivation rec {
'';
installPhase = ''
+ runHook preInstall
for p in $WHAT; do
install -D _output/local/go/bin/''${p##*/} -t $out/bin
done
@@ -83,6 +84,7 @@ stdenv.mkDerivation rec {
--bash <($out/bin/$tool completion bash) \
--zsh <($out/bin/$tool completion zsh)
done
+ runHook postInstall
'';
preFixup = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/default.nix
index da77ffad3af..ac85ef8a6a3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/default.nix
@@ -1,71 +1,8 @@
-{ lib, fetchFromGitHub, buildGoModule, installShellFiles }:
-
-let generic = { channel, version, sha256, vendorSha256 }:
- buildGoModule rec {
- pname = "linkerd-${channel}";
- inherit version vendorSha256;
-
- src = fetchFromGitHub {
- owner = "linkerd";
- repo = "linkerd2";
- rev = "${channel}-${version}";
- inherit sha256;
- };
-
- subPackages = [ "cli" ];
- runVend = true;
-
- preBuild = ''
- env GOFLAGS="" go generate ./pkg/charts/static
- env GOFLAGS="" go generate ./jaeger/static
- env GOFLAGS="" go generate ./multicluster/static
- env GOFLAGS="" go generate ./viz/static
- '';
-
- tags = [
- "prod"
- ];
-
- ldflags = [
- "-s" "-w"
- "-X github.com/linkerd/linkerd2/pkg/version.Version=${src.rev}"
- ];
-
- nativeBuildInputs = [ installShellFiles ];
-
- postInstall = ''
- mv $out/bin/cli $out/bin/linkerd
- installShellCompletion --cmd linkerd \
- --bash <($out/bin/linkerd completion bash) \
- --zsh <($out/bin/linkerd completion zsh) \
- --fish <($out/bin/linkerd completion fish)
- '';
-
- doInstallCheck = true;
- installCheckPhase = ''
- $out/bin/linkerd version --client | grep ${src.rev} > /dev/null
- '';
-
- meta = with lib; {
- description = "A simple Kubernetes service mesh that improves security, observability and reliability";
- downloadPage = "https://github.com/linkerd/linkerd2/";
- homepage = "https://linkerd.io/";
- license = licenses.asl20;
- maintainers = with maintainers; [ Gonzih bryanasdev000 superherointj ];
- };
- };
-in
- {
- stable = generic {
- channel = "stable";
- version = "2.10.2";
- sha256 = "sha256-dOD0S4FJ2lXE+1VZooi8tKvC8ndGEHAxmAvSqoWI/m0=";
- vendorSha256 = "sha256-Qb0FZOvKL9GgncfUl538PynkYbm3V8Q6lUpApUoIp5s=";
- };
- edge = generic {
- channel = "edge";
- version = "21.8.2";
- sha256 = "sha256-jMYJ/mLWvuje4ZRuRbzMaqhz8kyn1bYGITJxkyw5Fyg=";
- vendorSha256 = "sha256-18QB2GOxHfnP4GQaF0aohY5kEOg0xN/c+Sp33Ww/1uQ=";
- };
- }
+{ callPackage }:
+
+(callPackage ./generic.nix { }) {
+ channel = "stable";
+ version = "2.10.2";
+ sha256 = "sha256-dOD0S4FJ2lXE+1VZooi8tKvC8ndGEHAxmAvSqoWI/m0=";
+ vendorSha256 = "sha256-Qb0FZOvKL9GgncfUl538PynkYbm3V8Q6lUpApUoIp5s=";
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/edge.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/edge.nix
new file mode 100644
index 00000000000..8f2b9cda0b9
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/edge.nix
@@ -0,0 +1,8 @@
+{ callPackage }:
+
+(callPackage ./generic.nix { }) {
+ channel = "edge";
+ version = "21.9.3";
+ sha256 = "0swqx4myvr24visj39icg8g90kj325pvf22bq447rnm0whq3cnyz";
+ vendorSha256 = "sha256-fMtAR66TwMNR/HCVQ9Jg3sJ0XBx2jUKDG7/ts0lEZM4=";
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/generic.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/generic.nix
new file mode 100644
index 00000000000..82172ebb992
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/generic.nix
@@ -0,0 +1,59 @@
+{ lib, fetchFromGitHub, buildGoModule, installShellFiles }:
+
+{ channel, version, sha256, vendorSha256 }:
+
+buildGoModule rec {
+ pname = "linkerd-${channel}";
+ inherit version vendorSha256;
+
+ src = fetchFromGitHub {
+ owner = "linkerd";
+ repo = "linkerd2";
+ rev = "${channel}-${version}";
+ inherit sha256;
+ };
+
+ subPackages = [ "cli" ];
+ runVend = true;
+
+ preBuild = ''
+ env GOFLAGS="" go generate ./pkg/charts/static
+ env GOFLAGS="" go generate ./jaeger/static
+ env GOFLAGS="" go generate ./multicluster/static
+ env GOFLAGS="" go generate ./viz/static
+ '';
+
+ tags = [
+ "prod"
+ ];
+
+ ldflags = [
+ "-s" "-w"
+ "-X github.com/linkerd/linkerd2/pkg/version.Version=${src.rev}"
+ ];
+
+ nativeBuildInputs = [ installShellFiles ];
+
+ postInstall = ''
+ mv $out/bin/cli $out/bin/linkerd
+ installShellCompletion --cmd linkerd \
+ --bash <($out/bin/linkerd completion bash) \
+ --zsh <($out/bin/linkerd completion zsh) \
+ --fish <($out/bin/linkerd completion fish)
+ '';
+
+ doInstallCheck = true;
+ installCheckPhase = ''
+ $out/bin/linkerd version --client | grep ${src.rev} > /dev/null
+ '';
+
+ passthru.updateScript = (./. + "/update-${channel}.sh");
+
+ meta = with lib; {
+ description = "A simple Kubernetes service mesh that improves security, observability and reliability";
+ downloadPage = "https://github.com/linkerd/linkerd2/";
+ homepage = "https://linkerd.io/";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ Gonzih bryanasdev000 superherointj ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/update-edge.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/update-edge.sh
new file mode 100755
index 00000000000..937d41a7942
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/update-edge.sh
@@ -0,0 +1,33 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i bash -p curl gnugrep gnused jq
+
+set -x -eu -o pipefail
+
+cd $(dirname "$0")
+
+VERSION=$(curl ${GITHUB_TOKEN:+" -u \":$GITHUB_TOKEN\""} \
+ --silent https://api.github.com/repos/linkerd/linkerd2/releases | \
+ jq 'map(.tag_name)' | grep edge | sed 's/["|,| ]//g' | sed 's/edge-//' | sort -V -r | head -n1)
+
+SHA256=$(nix-prefetch-url --quiet --unpack https://github.com/linkerd/linkerd2/archive/refs/tags/edge-${VERSION}.tar.gz)
+
+setKV () {
+ sed -i "s|$1 = \".*\"|$1 = \"${2:-}\"|" ./edge.nix
+}
+
+setKV version ${VERSION}
+setKV sha256 ${SHA256}
+setKV vendorSha256 "0000000000000000000000000000000000000000000000000000" # Necessary to force clean build.
+
+cd ../../../../../
+set +e
+VENDOR_SHA256=$(nix-build --no-out-link -A linkerd_edge 2>&1 >/dev/null | grep "got:" | cut -d':' -f2 | sed 's| ||g')
+set -e
+cd - > /dev/null
+
+if [ -n "${VENDOR_SHA256:-}" ]; then
+ setKV vendorSha256 ${VENDOR_SHA256}
+else
+ echo "Update failed. VENDOR_SHA256 is empty."
+ exit 1
+fi
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/update-stable.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/update-stable.sh
new file mode 100755
index 00000000000..5ec96af796c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/linkerd/update-stable.sh
@@ -0,0 +1,33 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i bash -p curl gnugrep gnused jq
+
+set -x -eu -o pipefail
+
+cd $(dirname "$0")
+
+VERSION=$(curl ${GITHUB_TOKEN:+" -u \":$GITHUB_TOKEN\""} \
+ --silent https://api.github.com/repos/linkerd/linkerd2/releases | \
+ jq 'map(.tag_name)' | grep stable | sed 's/["|,| ]//g' | sed 's/stable-//' | sort -V -r | head -n1)
+
+SHA256=$(nix-prefetch-url --quiet --unpack https://github.com/linkerd/linkerd2/archive/refs/tags/stable-${VERSION}.tar.gz)
+
+setKV () {
+ sed -i "s|$1 = \".*\"|$1 = \"${2:-}\"|" ./default.nix
+}
+
+setKV version ${VERSION}
+setKV sha256 ${SHA256}
+setKV vendorSha256 "0000000000000000000000000000000000000000000000000000" # Necessary to force clean build.
+
+cd ../../../../../
+set +e
+VENDOR_SHA256=$(nix-build --no-out-link -A linkerd 2>&1 >/dev/null | grep "got:" | cut -d':' -f2 | sed 's| ||g')
+set -e
+cd - > /dev/null
+
+if [ -n "${VENDOR_SHA256:-}" ]; then
+ setKV vendorSha256 ${VENDOR_SHA256}
+else
+ echo "Update failed. VENDOR_SHA256 is empty."
+ exit 1
+fi
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/minikube/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/minikube/default.nix
index 2db814c635f..f3cb598d246 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/minikube/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/minikube/default.nix
@@ -11,9 +11,9 @@
buildGoModule rec {
pname = "minikube";
- version = "1.22.0";
+ version = "1.23.2";
- vendorSha256 = "sha256-zAXEwGJ3dnqN/+3k189zqppdiNHPyJ+mdZvDNEWQLsA=";
+ vendorSha256 = "sha256-Q6DadAmx/8TM+MrdaKgAjn0sVrKqTYoWdsmnN77yfKA=";
doCheck = false;
@@ -21,7 +21,7 @@ buildGoModule rec {
owner = "kubernetes";
repo = "minikube";
rev = "v${version}";
- sha256 = "sha256-wL/HsdV6MZcsR3Y8pGZ5WYUMJ7j+VyJGpLeLIXm5MJM=";
+ sha256 = "sha256-PIgzGikVIno2Gd+kSjF4kLHuUKgPrPHoIJxAGblI8RQ=";
};
nativeBuildInputs = [ installShellFiles pkg-config which ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/multus-cni/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/multus-cni/default.nix
index 3a8a26af3ff..ca1ef06a79e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/multus-cni/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/multus-cni/default.nix
@@ -1,25 +1,24 @@
-{ lib, fetchFromGitHub, buildGoModule }:
+{ lib, buildGoModule, fetchFromGitHub }:
buildGoModule rec {
pname = "multus-cni";
- version = "3.7.2";
+ version = "3.8";
src = fetchFromGitHub {
owner = "k8snetworkplumbingwg";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-eVYRbMijOEa+DNCm4w/+WVrTI9607NF9/l5YKkXJuFs=";
+ sha256 = "sha256-wG6SRts3+bmeMkfScyNorsBvRl/hxe+CUnL0rwfknpc=";
};
- ldflags = let
- multus = "gopkg.in/intel/multus-cni.v3/pkg/multus";
- commit = "f6298a3a294a79f9fbda0b8f175e521799d5f8d7";
- in [
- "-s" "-w" "-X ${multus}.version=v${version}" "-X ${multus}.commit=${commit}"
+ ldflags = [
+ "-s"
+ "-w"
+ "-X=gopkg.in/k8snetworkplumbingwg/multus-cni.v3/pkg/multus.version=${version}"
];
preInstall = ''
- mv $GOPATH/bin/cmd $GOPATH/bin/multus
+ mv $GOPATH/bin/cmd $GOPATH/bin/multus
'';
vendorSha256 = null;
@@ -28,10 +27,11 @@ buildGoModule rec {
doCheck = false;
meta = with lib; {
- description = "Multus CNI is a container network interface (CNI) plugin for Kubernetes that enables attaching multiple network interfaces to pods. ";
+ description = "Multus CNI is a container network interface (CNI) plugin for Kubernetes that enables attaching multiple network interfaces to pods";
homepage = "https://github.com/k8snetworkplumbingwg/multus-cni";
license = licenses.asl20;
platforms = platforms.linux;
maintainers = with maintainers; [ onixie ];
+ mainProgram = "multus";
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nerdctl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nerdctl/default.nix
index 344bf7c82da..2a6d642d0c9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nerdctl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nerdctl/default.nix
@@ -10,16 +10,16 @@
buildGoModule rec {
pname = "nerdctl";
- version = "0.11.1";
+ version = "0.12.1";
src = fetchFromGitHub {
owner = "containerd";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-r9VJQUmwe4UGCLmzxG2t9XHQ7KUeJxmEuAwxssPArcM=";
+ sha256 = "sha256-FRu1h6DT43rPaa9dcgz83w9K+xtzJgB4l/eTu+Fbb+c=";
};
- vendorSha256 = "sha256-KnXxp/6L09a34cnv4h7vpPhNO6EGmeEC6c1ydyYXkxU=";
+ vendorSha256 = "sha256-QFACe1/5MVbXKTknEyqjWclEQgJSZAJ/QljhLq/tWe4=";
nativeBuildInputs = [ makeWrapper installShellFiles ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nixops/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nixops/default.nix
index 6515885fd8e..4002f7478b3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nixops/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nixops/default.nix
@@ -1,4 +1,5 @@
-{ pkgs
+{ nixosTests
+, pkgs
, poetry2nix
, lib
, overrides ? (self: super: {})
@@ -17,6 +18,10 @@ let
nixops = super.nixops.overridePythonAttrs (
old: {
+ postPatch = ''
+ substituteInPlace nixops/args.py --subst-var version
+ '';
+
meta = old.meta // {
homepage = https://github.com/NixOS/nixops;
description = "NixOS cloud provisioning and deployment tool";
@@ -55,10 +60,17 @@ let
}
).python;
-in interpreter.pkgs.nixops.withPlugins(ps: [
- ps.nixops-encrypted-links
- ps.nixops-virtd
- ps.nixops-aws
- ps.nixops-gcp
- ps.nixopsvbox
-])
+ pkg = interpreter.pkgs.nixops.withPlugins(ps: [
+ ps.nixops-encrypted-links
+ ps.nixops-virtd
+ ps.nixops-aws
+ ps.nixops-gcp
+ ps.nixopsvbox
+ ]) // rec {
+ # Workaround for https://github.com/NixOS/nixpkgs/issues/119407
+ # TODO after #1199407: Use .overrideAttrs(pkg: old: { passthru.tests = .....; })
+ tests = nixosTests.nixops.unstable.override { nixopsPkg = pkg; };
+ # Not strictly necessary, but probably expected somewhere; part of the workaround:
+ passthru.tests = tests;
+ };
+in pkg
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nixops/poetry-git-overlay.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nixops/poetry-git-overlay.nix
index 749dac7546c..cc40395d6d9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nixops/poetry-git-overlay.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nixops/poetry-git-overlay.nix
@@ -5,8 +5,8 @@ self: super: {
_: {
src = pkgs.fetchgit {
url = "https://github.com/NixOS/nixops.git";
- rev = "45256745cef246dabe1ae8a7d109988f190cd7ef";
- sha256 = "0ni1v8ppg5cf35gq7nzd50kajxzp5zkbzhf022in0fgbjcprlzr2";
+ rev = "35ac02085169bc2372834d6be6cf4c1bdf820d09";
+ sha256 = "1jh0jrxyywjqhac2dvpj7r7isjv68ynbg7g6f6rj55raxcqc7r3j";
};
}
);
@@ -15,8 +15,8 @@ self: super: {
_: {
src = pkgs.fetchgit {
url = "https://github.com/NixOS/nixops-aws.git";
- rev = "3f66ee06f689021cd4c985b9b49697bdda64d961";
- sha256 = "17vn8bpy9kr259anmh3g5xwp08q69l9sz7s3nzn8sy5flqa87w50";
+ rev = "371aedeb7fd53b8978a60dd7c37d3a6c38101c48";
+ sha256 = "15jz9x3ra3hsh6xj4cbri1fvvjk2rplnnhnccz7qc6f176b5r01j";
};
}
);
@@ -35,8 +35,8 @@ self: super: {
_: {
src = pkgs.fetchgit {
url = "https://github.com/nix-community/nixops-gce.git";
- rev = "fed6aadace9a9e914425589c065bb969d53f2309";
- sha256 = "096ic1kzlcv8cx51hnhlq37pkg4pis2rk5kri14dwp3865si1mdw";
+ rev = "712453027486e62e087b9c91e4a8a171eebb6ddd";
+ sha256 = "0siw2silxvbxdfgb2dcymn11nqdf8an7q43wcq1lyg1ac07w7dwh";
};
}
);
@@ -45,8 +45,8 @@ self: super: {
_: {
src = pkgs.fetchgit {
url = "https://github.com/nix-community/nixops-libvirtd.git";
- rev = "af6cf5b2ced57b7b6d36b5df7dd27a14e0a5cfb6";
- sha256 = "1j75yg8a44dlbig38mf7n7p71mdzff6ii1z1pdp32i4ivk3l0hy6";
+ rev = "1245280d97e0adc4643d02d1cf62ddd582c73e49";
+ sha256 = "1z3zsx54585rcyabj6hrbwbd1c783rrlfj53np1sa3i7m93vmxzc";
};
}
);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nixops/poetry.lock b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nixops/poetry.lock
index 237683661a9..08e6b714982 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nixops/poetry.lock
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nixops/poetry.lock
@@ -19,7 +19,7 @@ requests = ">=2.5.0"
[[package]]
name = "babel"
-version = "2.9.0"
+version = "2.9.1"
description = "Internationalization utilities"
category = "dev"
optional = false
@@ -38,24 +38,27 @@ python-versions = "*"
[[package]]
name = "boto3"
-version = "1.17.35"
+version = "1.18.60"
description = "The AWS SDK for Python"
category = "main"
optional = false
-python-versions = ">= 2.7, !=3.0.*, !=3.1.*, !=3.2.*, !=3.3.*, !=3.4.*, !=3.5.*"
+python-versions = ">= 3.6"
[package.dependencies]
-botocore = ">=1.20.35,<1.21.0"
+botocore = ">=1.21.60,<1.22.0"
jmespath = ">=0.7.1,<1.0.0"
-s3transfer = ">=0.3.0,<0.4.0"
+s3transfer = ">=0.5.0,<0.6.0"
+
+[package.extras]
+crt = ["botocore[crt] (>=1.21.0,<2.0a0)"]
[[package]]
name = "botocore"
-version = "1.20.35"
+version = "1.21.60"
description = "Low-level, data-driven core of boto 3."
category = "main"
optional = false
-python-versions = ">= 2.7, !=3.0.*, !=3.1.*, !=3.2.*, !=3.3.*, !=3.4.*, !=3.5.*"
+python-versions = ">= 3.6"
[package.dependencies]
jmespath = ">=0.7.1,<1.0.0"
@@ -63,11 +66,11 @@ python-dateutil = ">=2.1,<3.0.0"
urllib3 = ">=1.25.4,<1.27"
[package.extras]
-crt = ["awscrt (==0.10.8)"]
+crt = ["awscrt (==0.11.24)"]
[[package]]
name = "certifi"
-version = "2020.12.5"
+version = "2021.10.8"
description = "Python package for providing Mozilla's CA Bundle."
category = "main"
optional = false
@@ -75,7 +78,7 @@ python-versions = "*"
[[package]]
name = "cffi"
-version = "1.14.5"
+version = "1.14.6"
description = "Foreign Function Interface for Python calling C code."
category = "main"
optional = false
@@ -85,12 +88,15 @@ python-versions = "*"
pycparser = "*"
[[package]]
-name = "chardet"
-version = "4.0.0"
-description = "Universal encoding detector for Python 2 and 3"
+name = "charset-normalizer"
+version = "2.0.7"
+description = "The Real First Universal Charset Detector. Open, modern and actively maintained alternative to Chardet."
category = "main"
optional = false
-python-versions = ">=2.7, !=3.0.*, !=3.1.*, !=3.2.*, !=3.3.*, !=3.4.*"
+python-versions = ">=3.5.0"
+
+[package.extras]
+unicode_backport = ["unicodedata2"]
[[package]]
name = "colorama"
@@ -102,7 +108,7 @@ python-versions = ">=2.7, !=3.0.*, !=3.1.*, !=3.2.*, !=3.3.*, !=3.4.*"
[[package]]
name = "cryptography"
-version = "3.4.6"
+version = "3.4.8"
description = "cryptography is a package which provides cryptographic recipes and primitives to Python developers."
category = "main"
optional = false
@@ -129,11 +135,11 @@ python-versions = ">=2.7, !=3.0.*, !=3.1.*, !=3.2.*, !=3.3.*, !=3.4.*"
[[package]]
name = "idna"
-version = "2.10"
+version = "3.2"
description = "Internationalized Domain Names in Applications (IDNA)"
category = "main"
optional = false
-python-versions = ">=2.7, !=3.0.*, !=3.1.*, !=3.2.*, !=3.3.*"
+python-versions = ">=3.5"
[[package]]
name = "imagesize"
@@ -145,17 +151,17 @@ python-versions = ">=2.7, !=3.0.*, !=3.1.*, !=3.2.*, !=3.3.*"
[[package]]
name = "jinja2"
-version = "2.11.3"
+version = "3.0.2"
description = "A very fast and expressive template engine."
category = "dev"
optional = false
-python-versions = ">=2.7, !=3.0.*, !=3.1.*, !=3.2.*, !=3.3.*, !=3.4.*"
+python-versions = ">=3.6"
[package.dependencies]
-MarkupSafe = ">=0.23"
+MarkupSafe = ">=2.0"
[package.extras]
-i18n = ["Babel (>=0.8)"]
+i18n = ["Babel (>=2.7)"]
[[package]]
name = "jmespath"
@@ -167,7 +173,7 @@ python-versions = ">=2.6, !=3.0.*, !=3.1.*, !=3.2.*"
[[package]]
name = "libvirt-python"
-version = "6.10.0"
+version = "7.8.0"
description = "The libvirt virtualization API python binding"
category = "main"
optional = false
@@ -175,11 +181,11 @@ python-versions = "*"
[[package]]
name = "markupsafe"
-version = "1.1.1"
+version = "2.0.1"
description = "Safely add untrusted strings to HTML/XML markup."
category = "dev"
optional = false
-python-versions = ">=2.7,!=3.0.*,!=3.1.*,!=3.2.*,!=3.3.*"
+python-versions = ">=3.6"
[[package]]
name = "nixops"
@@ -200,7 +206,7 @@ typing-extensions = "^3.7.4"
type = "git"
url = "https://github.com/NixOS/nixops.git"
reference = "master"
-resolved_reference = "45256745cef246dabe1ae8a7d109988f190cd7ef"
+resolved_reference = "35ac02085169bc2372834d6be6cf4c1bdf820d09"
[[package]]
name = "nixops-aws"
@@ -214,15 +220,15 @@ develop = false
[package.dependencies]
boto = "^2.49.0"
boto3 = "^1.13.7"
-nixops = "rev master"
-nixos-modules-contrib = "rev master"
+nixops = {git = "https://github.com/NixOS/nixops.git", rev = "master"}
+nixos-modules-contrib = {git = "https://github.com/nix-community/nixos-modules-contrib.git", rev = "master"}
typing-extensions = "^3.7.4"
[package.source]
type = "git"
url = "https://github.com/NixOS/nixops-aws.git"
reference = "master"
-resolved_reference = "3f66ee06f689021cd4c985b9b49697bdda64d961"
+resolved_reference = "371aedeb7fd53b8978a60dd7c37d3a6c38101c48"
[[package]]
name = "nixops-encrypted-links"
@@ -234,7 +240,7 @@ python-versions = "^3.7"
develop = false
[package.dependencies]
-nixops = "branch master"
+nixops = {git = "https://github.com/NixOS/nixops.git", branch = "master"}
[package.source]
type = "git"
@@ -254,14 +260,14 @@ develop = false
[package.dependencies]
apache-libcloud = "^3.2.0"
cryptography = "^3.1.1"
-nixops = "branch master"
-nixos-modules-contrib = "branch master"
+nixops = {git = "https://github.com/NixOS/nixops.git", rev = "master"}
+nixos-modules-contrib = {git = "https://github.com/nix-community/nixos-modules-contrib.git", rev = "master"}
[package.source]
type = "git"
url = "https://github.com/nix-community/nixops-gce.git"
reference = "master"
-resolved_reference = "fed6aadace9a9e914425589c065bb969d53f2309"
+resolved_reference = "712453027486e62e087b9c91e4a8a171eebb6ddd"
[[package]]
name = "nixops-virtd"
@@ -273,14 +279,14 @@ python-versions = "^3.7"
develop = false
[package.dependencies]
-libvirt-python = "^6.1"
-nixops = "branch master"
+libvirt-python = "^7.0"
+nixops = {git = "https://github.com/NixOS/nixops.git", branch = "master"}
[package.source]
type = "git"
url = "https://github.com/nix-community/nixops-libvirtd.git"
reference = "master"
-resolved_reference = "af6cf5b2ced57b7b6d36b5df7dd27a14e0a5cfb6"
+resolved_reference = "1245280d97e0adc4643d02d1cf62ddd582c73e49"
[[package]]
name = "nixopsvbox"
@@ -292,7 +298,7 @@ python-versions = "^3.7"
develop = false
[package.dependencies]
-nixops = "rev master"
+nixops = {git = "https://github.com/NixOS/nixops.git", rev = "master"}
[package.source]
type = "git"
@@ -310,7 +316,7 @@ python-versions = "^3.7"
develop = false
[package.dependencies]
-nixops = "rev master"
+nixops = {git = "https://github.com/NixOS/nixops.git", rev = "master"}
[package.source]
type = "git"
@@ -320,11 +326,11 @@ resolved_reference = "81a1c2ef424dcf596a97b2e46a58ca73a1dd1ff8"
[[package]]
name = "packaging"
-version = "20.9"
+version = "21.0"
description = "Core utilities for Python packages"
category = "dev"
optional = false
-python-versions = ">=2.7, !=3.0.*, !=3.1.*, !=3.2.*, !=3.3.*"
+python-versions = ">=3.6"
[package.dependencies]
pyparsing = ">=2.0.2"
@@ -358,7 +364,7 @@ python-versions = ">=2.7, !=3.0.*, !=3.1.*, !=3.2.*, !=3.3.*"
[[package]]
name = "pygments"
-version = "2.8.1"
+version = "2.10.0"
description = "Pygments is a syntax highlighting package written in Python."
category = "dev"
optional = false
@@ -374,7 +380,7 @@ python-versions = ">=2.6, !=3.0.*, !=3.1.*, !=3.2.*"
[[package]]
name = "python-dateutil"
-version = "2.8.1"
+version = "2.8.2"
description = "Extensions to the standard Python datetime module"
category = "main"
optional = false
@@ -385,7 +391,7 @@ six = ">=1.5"
[[package]]
name = "pytz"
-version = "2021.1"
+version = "2021.3"
description = "World timezone definitions, modern and historical"
category = "dev"
optional = false
@@ -393,36 +399,39 @@ python-versions = "*"
[[package]]
name = "requests"
-version = "2.25.1"
+version = "2.26.0"
description = "Python HTTP for Humans."
category = "main"
optional = false
-python-versions = ">=2.7, !=3.0.*, !=3.1.*, !=3.2.*, !=3.3.*, !=3.4.*"
+python-versions = ">=2.7, !=3.0.*, !=3.1.*, !=3.2.*, !=3.3.*, !=3.4.*, !=3.5.*"
[package.dependencies]
certifi = ">=2017.4.17"
-chardet = ">=3.0.2,<5"
-idna = ">=2.5,<3"
+charset-normalizer = {version = ">=2.0.0,<2.1.0", markers = "python_version >= \"3\""}
+idna = {version = ">=2.5,<4", markers = "python_version >= \"3\""}
urllib3 = ">=1.21.1,<1.27"
[package.extras]
-security = ["pyOpenSSL (>=0.14)", "cryptography (>=1.3.4)"]
socks = ["PySocks (>=1.5.6,!=1.5.7)", "win-inet-pton"]
+use_chardet_on_py3 = ["chardet (>=3.0.2,<5)"]
[[package]]
name = "s3transfer"
-version = "0.3.6"
+version = "0.5.0"
description = "An Amazon S3 Transfer Manager"
category = "main"
optional = false
-python-versions = "*"
+python-versions = ">= 3.6"
[package.dependencies]
botocore = ">=1.12.36,<2.0a.0"
+[package.extras]
+crt = ["botocore[crt] (>=1.20.29,<2.0a.0)"]
+
[[package]]
name = "six"
-version = "1.15.0"
+version = "1.16.0"
description = "Python 2 and 3 compatibility utilities"
category = "main"
optional = false
@@ -438,7 +447,7 @@ python-versions = "*"
[[package]]
name = "sphinx"
-version = "3.5.3"
+version = "3.5.4"
description = "Python documentation generator"
category = "dev"
optional = false
@@ -448,7 +457,7 @@ python-versions = ">=3.5"
alabaster = ">=0.7,<0.8"
babel = ">=1.3"
colorama = {version = ">=0.3.5", markers = "sys_platform == \"win32\""}
-docutils = ">=0.12"
+docutils = ">=0.12,<0.17"
imagesize = "*"
Jinja2 = ">=2.3"
packaging = "*"
@@ -493,11 +502,11 @@ test = ["pytest"]
[[package]]
name = "sphinxcontrib-htmlhelp"
-version = "1.0.3"
+version = "2.0.0"
description = "sphinxcontrib-htmlhelp is a sphinx extension which renders HTML help files"
category = "dev"
optional = false
-python-versions = ">=3.5"
+python-versions = ">=3.6"
[package.extras]
lint = ["flake8", "mypy", "docutils-stubs"]
@@ -528,7 +537,7 @@ test = ["pytest"]
[[package]]
name = "sphinxcontrib-serializinghtml"
-version = "1.1.4"
+version = "1.1.5"
description = "sphinxcontrib-serializinghtml is a sphinx extension which outputs \"serialized\" HTML files (json and pickle)."
category = "dev"
optional = false
@@ -540,7 +549,7 @@ test = ["pytest"]
[[package]]
name = "typeguard"
-version = "2.11.1"
+version = "2.13.0"
description = "Run-time type checker for Python"
category = "main"
optional = false
@@ -552,7 +561,7 @@ test = ["pytest", "typing-extensions", "mypy"]
[[package]]
name = "typing-extensions"
-version = "3.7.4.3"
+version = "3.10.0.2"
description = "Backported and Experimental Type Hints for Python 3.5+"
category = "main"
optional = false
@@ -560,16 +569,16 @@ python-versions = "*"
[[package]]
name = "urllib3"
-version = "1.26.4"
+version = "1.26.7"
description = "HTTP library with thread-safe connection pooling, file post, and more."
category = "main"
optional = false
python-versions = ">=2.7, !=3.0.*, !=3.1.*, !=3.2.*, !=3.3.*, !=3.4.*, <4"
[package.extras]
+brotli = ["brotlipy (>=0.6.0)"]
secure = ["pyOpenSSL (>=0.14)", "cryptography (>=1.3.4)", "idna (>=2.0.0)", "certifi", "ipaddress"]
socks = ["PySocks (>=1.5.6,!=1.5.7,<2.0)"]
-brotli = ["brotlipy (>=0.6.0)"]
[metadata]
lock-version = "1.1"
@@ -586,157 +595,179 @@ apache-libcloud = [
{file = "apache_libcloud-3.3.1-py2.py3-none-any.whl", hash = "sha256:c3722c4dd58b0ee4beaf4e615e0ba82505d9a915e55319dc84383687166a3eed"},
]
babel = [
- {file = "Babel-2.9.0-py2.py3-none-any.whl", hash = "sha256:9d35c22fcc79893c3ecc85ac4a56cde1ecf3f19c540bba0922308a6c06ca6fa5"},
- {file = "Babel-2.9.0.tar.gz", hash = "sha256:da031ab54472314f210b0adcff1588ee5d1d1d0ba4dbd07b94dba82bde791e05"},
+ {file = "Babel-2.9.1-py2.py3-none-any.whl", hash = "sha256:ab49e12b91d937cd11f0b67cb259a57ab4ad2b59ac7a3b41d6c06c0ac5b0def9"},
+ {file = "Babel-2.9.1.tar.gz", hash = "sha256:bc0c176f9f6a994582230df350aa6e05ba2ebe4b3ac317eab29d9be5d2768da0"},
]
boto = [
{file = "boto-2.49.0-py2.py3-none-any.whl", hash = "sha256:147758d41ae7240dc989f0039f27da8ca0d53734be0eb869ef16e3adcfa462e8"},
{file = "boto-2.49.0.tar.gz", hash = "sha256:ea0d3b40a2d852767be77ca343b58a9e3a4b00d9db440efb8da74b4e58025e5a"},
]
boto3 = [
- {file = "boto3-1.17.35-py2.py3-none-any.whl", hash = "sha256:1e6e06b2f1eee5a76acdde1e7b4f57c93c1bf2905341207d74f2a140ce060cd8"},
- {file = "boto3-1.17.35.tar.gz", hash = "sha256:40e84a5f7888924db74a2710dbe48d066b51fe1f5549efaffe90e6efe813f37b"},
+ {file = "boto3-1.18.60-py3-none-any.whl", hash = "sha256:8f3face72d2ac6ad36bd7724410548891ce338b350e6f98574890a7b1d425d78"},
+ {file = "boto3-1.18.60.tar.gz", hash = "sha256:45709a04ec5fb67ce5a8eaade3eb0ab24d6eb08d9a9ca6bdb2153047896197fc"},
]
botocore = [
- {file = "botocore-1.20.35-py2.py3-none-any.whl", hash = "sha256:e34bbb7d7de154c2ff2a73ae0691c601a69c5bda887374c8a6a23072380b07a4"},
- {file = "botocore-1.20.35.tar.gz", hash = "sha256:9119ffb231145ffadd55391c9356dcdb18e3de65c3a7c82844634e949f0ca5a0"},
+ {file = "botocore-1.21.60-py3-none-any.whl", hash = "sha256:890a5835ac00415ff78f1c7118a774aae83c0c70742284b68abd1176f9d05761"},
+ {file = "botocore-1.21.60.tar.gz", hash = "sha256:3e746ca75fb7539ba3f001169264fa54dfaded2477ffc8bd979ce1e1df200620"},
]
certifi = [
- {file = "certifi-2020.12.5-py2.py3-none-any.whl", hash = "sha256:719a74fb9e33b9bd44cc7f3a8d94bc35e4049deebe19ba7d8e108280cfd59830"},
- {file = "certifi-2020.12.5.tar.gz", hash = "sha256:1a4995114262bffbc2413b159f2a1a480c969de6e6eb13ee966d470af86af59c"},
+ {file = "certifi-2021.10.8-py2.py3-none-any.whl", hash = "sha256:d62a0163eb4c2344ac042ab2bdf75399a71a2d8c7d47eac2e2ee91b9d6339569"},
+ {file = "certifi-2021.10.8.tar.gz", hash = "sha256:78884e7c1d4b00ce3cea67b44566851c4343c120abd683433ce934a68ea58872"},
]
cffi = [
- {file = "cffi-1.14.5-cp27-cp27m-macosx_10_9_x86_64.whl", hash = "sha256:bb89f306e5da99f4d922728ddcd6f7fcebb3241fc40edebcb7284d7514741991"},
- {file = "cffi-1.14.5-cp27-cp27m-manylinux1_i686.whl", hash = "sha256:34eff4b97f3d982fb93e2831e6750127d1355a923ebaeeb565407b3d2f8d41a1"},
- {file = "cffi-1.14.5-cp27-cp27m-manylinux1_x86_64.whl", hash = "sha256:99cd03ae7988a93dd00bcd9d0b75e1f6c426063d6f03d2f90b89e29b25b82dfa"},
- {file = "cffi-1.14.5-cp27-cp27m-win32.whl", hash = "sha256:65fa59693c62cf06e45ddbb822165394a288edce9e276647f0046e1ec26920f3"},
- {file = "cffi-1.14.5-cp27-cp27m-win_amd64.whl", hash = "sha256:51182f8927c5af975fece87b1b369f722c570fe169f9880764b1ee3bca8347b5"},
- {file = "cffi-1.14.5-cp27-cp27mu-manylinux1_i686.whl", hash = "sha256:43e0b9d9e2c9e5d152946b9c5fe062c151614b262fda2e7b201204de0b99e482"},
- {file = "cffi-1.14.5-cp27-cp27mu-manylinux1_x86_64.whl", hash = "sha256:cbde590d4faaa07c72bf979734738f328d239913ba3e043b1e98fe9a39f8b2b6"},
- {file = "cffi-1.14.5-cp35-cp35m-macosx_10_9_x86_64.whl", hash = "sha256:5de7970188bb46b7bf9858eb6890aad302577a5f6f75091fd7cdd3ef13ef3045"},
- {file = "cffi-1.14.5-cp35-cp35m-manylinux1_i686.whl", hash = "sha256:a465da611f6fa124963b91bf432d960a555563efe4ed1cc403ba5077b15370aa"},
- {file = "cffi-1.14.5-cp35-cp35m-manylinux1_x86_64.whl", hash = "sha256:d42b11d692e11b6634f7613ad8df5d6d5f8875f5d48939520d351007b3c13406"},
- {file = "cffi-1.14.5-cp35-cp35m-win32.whl", hash = "sha256:72d8d3ef52c208ee1c7b2e341f7d71c6fd3157138abf1a95166e6165dd5d4369"},
- {file = "cffi-1.14.5-cp35-cp35m-win_amd64.whl", hash = "sha256:29314480e958fd8aab22e4a58b355b629c59bf5f2ac2492b61e3dc06d8c7a315"},
- {file = "cffi-1.14.5-cp36-cp36m-macosx_10_9_x86_64.whl", hash = "sha256:3d3dd4c9e559eb172ecf00a2a7517e97d1e96de2a5e610bd9b68cea3925b4892"},
- {file = "cffi-1.14.5-cp36-cp36m-manylinux1_i686.whl", hash = "sha256:48e1c69bbacfc3d932221851b39d49e81567a4d4aac3b21258d9c24578280058"},
- {file = "cffi-1.14.5-cp36-cp36m-manylinux1_x86_64.whl", hash = "sha256:69e395c24fc60aad6bb4fa7e583698ea6cc684648e1ffb7fe85e3c1ca131a7d5"},
- {file = "cffi-1.14.5-cp36-cp36m-manylinux2014_aarch64.whl", hash = "sha256:9e93e79c2551ff263400e1e4be085a1210e12073a31c2011dbbda14bda0c6132"},
- {file = "cffi-1.14.5-cp36-cp36m-win32.whl", hash = "sha256:58e3f59d583d413809d60779492342801d6e82fefb89c86a38e040c16883be53"},
- {file = "cffi-1.14.5-cp36-cp36m-win_amd64.whl", hash = "sha256:005a36f41773e148deac64b08f233873a4d0c18b053d37da83f6af4d9087b813"},
- {file = "cffi-1.14.5-cp37-cp37m-macosx_10_9_x86_64.whl", hash = "sha256:2894f2df484ff56d717bead0a5c2abb6b9d2bf26d6960c4604d5c48bbc30ee73"},
- {file = "cffi-1.14.5-cp37-cp37m-manylinux1_i686.whl", hash = "sha256:0857f0ae312d855239a55c81ef453ee8fd24136eaba8e87a2eceba644c0d4c06"},
- {file = "cffi-1.14.5-cp37-cp37m-manylinux1_x86_64.whl", hash = "sha256:cd2868886d547469123fadc46eac7ea5253ea7fcb139f12e1dfc2bbd406427d1"},
- {file = "cffi-1.14.5-cp37-cp37m-manylinux2014_aarch64.whl", hash = "sha256:35f27e6eb43380fa080dccf676dece30bef72e4a67617ffda586641cd4508d49"},
- {file = "cffi-1.14.5-cp37-cp37m-win32.whl", hash = "sha256:9ff227395193126d82e60319a673a037d5de84633f11279e336f9c0f189ecc62"},
- {file = "cffi-1.14.5-cp37-cp37m-win_amd64.whl", hash = "sha256:9cf8022fb8d07a97c178b02327b284521c7708d7c71a9c9c355c178ac4bbd3d4"},
- {file = "cffi-1.14.5-cp38-cp38-macosx_10_9_x86_64.whl", hash = "sha256:8b198cec6c72df5289c05b05b8b0969819783f9418e0409865dac47288d2a053"},
- {file = "cffi-1.14.5-cp38-cp38-manylinux1_i686.whl", hash = "sha256:ad17025d226ee5beec591b52800c11680fca3df50b8b29fe51d882576e039ee0"},
- {file = "cffi-1.14.5-cp38-cp38-manylinux1_x86_64.whl", hash = "sha256:6c97d7350133666fbb5cf4abdc1178c812cb205dc6f41d174a7b0f18fb93337e"},
- {file = "cffi-1.14.5-cp38-cp38-manylinux2014_aarch64.whl", hash = "sha256:8ae6299f6c68de06f136f1f9e69458eae58f1dacf10af5c17353eae03aa0d827"},
- {file = "cffi-1.14.5-cp38-cp38-win32.whl", hash = "sha256:b85eb46a81787c50650f2392b9b4ef23e1f126313b9e0e9013b35c15e4288e2e"},
- {file = "cffi-1.14.5-cp38-cp38-win_amd64.whl", hash = "sha256:1f436816fc868b098b0d63b8920de7d208c90a67212546d02f84fe78a9c26396"},
- {file = "cffi-1.14.5-cp39-cp39-macosx_10_9_x86_64.whl", hash = "sha256:1071534bbbf8cbb31b498d5d9db0f274f2f7a865adca4ae429e147ba40f73dea"},
- {file = "cffi-1.14.5-cp39-cp39-manylinux1_i686.whl", hash = "sha256:9de2e279153a443c656f2defd67769e6d1e4163952b3c622dcea5b08a6405322"},
- {file = "cffi-1.14.5-cp39-cp39-manylinux1_x86_64.whl", hash = "sha256:6e4714cc64f474e4d6e37cfff31a814b509a35cb17de4fb1999907575684479c"},
- {file = "cffi-1.14.5-cp39-cp39-manylinux2014_aarch64.whl", hash = "sha256:158d0d15119b4b7ff6b926536763dc0714313aa59e320ddf787502c70c4d4bee"},
- {file = "cffi-1.14.5-cp39-cp39-win32.whl", hash = "sha256:afb29c1ba2e5a3736f1c301d9d0abe3ec8b86957d04ddfa9d7a6a42b9367e396"},
- {file = "cffi-1.14.5-cp39-cp39-win_amd64.whl", hash = "sha256:f2d45f97ab6bb54753eab54fffe75aaf3de4ff2341c9daee1987ee1837636f1d"},
- {file = "cffi-1.14.5.tar.gz", hash = "sha256:fd78e5fee591709f32ef6edb9a015b4aa1a5022598e36227500c8f4e02328d9c"},
-]
-chardet = [
- {file = "chardet-4.0.0-py2.py3-none-any.whl", hash = "sha256:f864054d66fd9118f2e67044ac8981a54775ec5b67aed0441892edb553d21da5"},
- {file = "chardet-4.0.0.tar.gz", hash = "sha256:0d6f53a15db4120f2b08c94f11e7d93d2c911ee118b6b30a04ec3ee8310179fa"},
+ {file = "cffi-1.14.6-cp27-cp27m-macosx_10_9_x86_64.whl", hash = "sha256:22b9c3c320171c108e903d61a3723b51e37aaa8c81255b5e7ce102775bd01e2c"},
+ {file = "cffi-1.14.6-cp27-cp27m-manylinux1_i686.whl", hash = "sha256:f0c5d1acbfca6ebdd6b1e3eded8d261affb6ddcf2186205518f1428b8569bb99"},
+ {file = "cffi-1.14.6-cp27-cp27m-manylinux1_x86_64.whl", hash = "sha256:99f27fefe34c37ba9875f224a8f36e31d744d8083e00f520f133cab79ad5e819"},
+ {file = "cffi-1.14.6-cp27-cp27m-win32.whl", hash = "sha256:55af55e32ae468e9946f741a5d51f9896da6b9bf0bbdd326843fec05c730eb20"},
+ {file = "cffi-1.14.6-cp27-cp27m-win_amd64.whl", hash = "sha256:7bcac9a2b4fdbed2c16fa5681356d7121ecabf041f18d97ed5b8e0dd38a80224"},
+ {file = "cffi-1.14.6-cp27-cp27mu-manylinux1_i686.whl", hash = "sha256:ed38b924ce794e505647f7c331b22a693bee1538fdf46b0222c4717b42f744e7"},
+ {file = "cffi-1.14.6-cp27-cp27mu-manylinux1_x86_64.whl", hash = "sha256:e22dcb48709fc51a7b58a927391b23ab37eb3737a98ac4338e2448bef8559b33"},
+ {file = "cffi-1.14.6-cp35-cp35m-macosx_10_9_x86_64.whl", hash = "sha256:aedb15f0a5a5949ecb129a82b72b19df97bbbca024081ed2ef88bd5c0a610534"},
+ {file = "cffi-1.14.6-cp35-cp35m-manylinux1_i686.whl", hash = "sha256:48916e459c54c4a70e52745639f1db524542140433599e13911b2f329834276a"},
+ {file = "cffi-1.14.6-cp35-cp35m-manylinux1_x86_64.whl", hash = "sha256:f627688813d0a4140153ff532537fbe4afea5a3dffce1f9deb7f91f848a832b5"},
+ {file = "cffi-1.14.6-cp35-cp35m-win32.whl", hash = "sha256:f0010c6f9d1a4011e429109fda55a225921e3206e7f62a0c22a35344bfd13cca"},
+ {file = "cffi-1.14.6-cp35-cp35m-win_amd64.whl", hash = "sha256:57e555a9feb4a8460415f1aac331a2dc833b1115284f7ded7278b54afc5bd218"},
+ {file = "cffi-1.14.6-cp36-cp36m-macosx_10_9_x86_64.whl", hash = "sha256:e8c6a99be100371dbb046880e7a282152aa5d6127ae01783e37662ef73850d8f"},
+ {file = "cffi-1.14.6-cp36-cp36m-manylinux1_i686.whl", hash = "sha256:19ca0dbdeda3b2615421d54bef8985f72af6e0c47082a8d26122adac81a95872"},
+ {file = "cffi-1.14.6-cp36-cp36m-manylinux1_x86_64.whl", hash = "sha256:d950695ae4381ecd856bcaf2b1e866720e4ab9a1498cba61c602e56630ca7195"},
+ {file = "cffi-1.14.6-cp36-cp36m-manylinux_2_17_aarch64.manylinux2014_aarch64.whl", hash = "sha256:e9dc245e3ac69c92ee4c167fbdd7428ec1956d4e754223124991ef29eb57a09d"},
+ {file = "cffi-1.14.6-cp36-cp36m-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl", hash = "sha256:a8661b2ce9694ca01c529bfa204dbb144b275a31685a075ce123f12331be790b"},
+ {file = "cffi-1.14.6-cp36-cp36m-manylinux_2_17_s390x.manylinux2014_s390x.whl", hash = "sha256:b315d709717a99f4b27b59b021e6207c64620790ca3e0bde636a6c7f14618abb"},
+ {file = "cffi-1.14.6-cp36-cp36m-win32.whl", hash = "sha256:80b06212075346b5546b0417b9f2bf467fea3bfe7352f781ffc05a8ab24ba14a"},
+ {file = "cffi-1.14.6-cp36-cp36m-win_amd64.whl", hash = "sha256:a9da7010cec5a12193d1af9872a00888f396aba3dc79186604a09ea3ee7c029e"},
+ {file = "cffi-1.14.6-cp37-cp37m-macosx_10_9_x86_64.whl", hash = "sha256:4373612d59c404baeb7cbd788a18b2b2a8331abcc84c3ba40051fcd18b17a4d5"},
+ {file = "cffi-1.14.6-cp37-cp37m-manylinux1_i686.whl", hash = "sha256:f10afb1004f102c7868ebfe91c28f4a712227fe4cb24974350ace1f90e1febbf"},
+ {file = "cffi-1.14.6-cp37-cp37m-manylinux1_x86_64.whl", hash = "sha256:fd4305f86f53dfd8cd3522269ed7fc34856a8ee3709a5e28b2836b2db9d4cd69"},
+ {file = "cffi-1.14.6-cp37-cp37m-manylinux_2_17_aarch64.manylinux2014_aarch64.whl", hash = "sha256:6d6169cb3c6c2ad50db5b868db6491a790300ade1ed5d1da29289d73bbe40b56"},
+ {file = "cffi-1.14.6-cp37-cp37m-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl", hash = "sha256:5d4b68e216fc65e9fe4f524c177b54964af043dde734807586cf5435af84045c"},
+ {file = "cffi-1.14.6-cp37-cp37m-manylinux_2_17_s390x.manylinux2014_s390x.whl", hash = "sha256:33791e8a2dc2953f28b8d8d300dde42dd929ac28f974c4b4c6272cb2955cb762"},
+ {file = "cffi-1.14.6-cp37-cp37m-win32.whl", hash = "sha256:0c0591bee64e438883b0c92a7bed78f6290d40bf02e54c5bf0978eaf36061771"},
+ {file = "cffi-1.14.6-cp37-cp37m-win_amd64.whl", hash = "sha256:8eb687582ed7cd8c4bdbff3df6c0da443eb89c3c72e6e5dcdd9c81729712791a"},
+ {file = "cffi-1.14.6-cp38-cp38-macosx_10_9_x86_64.whl", hash = "sha256:ba6f2b3f452e150945d58f4badd92310449876c4c954836cfb1803bdd7b422f0"},
+ {file = "cffi-1.14.6-cp38-cp38-manylinux1_i686.whl", hash = "sha256:64fda793737bc4037521d4899be780534b9aea552eb673b9833b01f945904c2e"},
+ {file = "cffi-1.14.6-cp38-cp38-manylinux1_x86_64.whl", hash = "sha256:9f3e33c28cd39d1b655ed1ba7247133b6f7fc16fa16887b120c0c670e35ce346"},
+ {file = "cffi-1.14.6-cp38-cp38-manylinux_2_17_aarch64.manylinux2014_aarch64.whl", hash = "sha256:26bb2549b72708c833f5abe62b756176022a7b9a7f689b571e74c8478ead51dc"},
+ {file = "cffi-1.14.6-cp38-cp38-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl", hash = "sha256:eb687a11f0a7a1839719edd80f41e459cc5366857ecbed383ff376c4e3cc6afd"},
+ {file = "cffi-1.14.6-cp38-cp38-manylinux_2_17_s390x.manylinux2014_s390x.whl", hash = "sha256:d2ad4d668a5c0645d281dcd17aff2be3212bc109b33814bbb15c4939f44181cc"},
+ {file = "cffi-1.14.6-cp38-cp38-win32.whl", hash = "sha256:487d63e1454627c8e47dd230025780e91869cfba4c753a74fda196a1f6ad6548"},
+ {file = "cffi-1.14.6-cp38-cp38-win_amd64.whl", hash = "sha256:c33d18eb6e6bc36f09d793c0dc58b0211fccc6ae5149b808da4a62660678b156"},
+ {file = "cffi-1.14.6-cp39-cp39-macosx_10_9_x86_64.whl", hash = "sha256:06c54a68935738d206570b20da5ef2b6b6d92b38ef3ec45c5422c0ebaf338d4d"},
+ {file = "cffi-1.14.6-cp39-cp39-manylinux1_i686.whl", hash = "sha256:f174135f5609428cc6e1b9090f9268f5c8935fddb1b25ccb8255a2d50de6789e"},
+ {file = "cffi-1.14.6-cp39-cp39-manylinux1_x86_64.whl", hash = "sha256:f3ebe6e73c319340830a9b2825d32eb6d8475c1dac020b4f0aa774ee3b898d1c"},
+ {file = "cffi-1.14.6-cp39-cp39-manylinux_2_17_aarch64.manylinux2014_aarch64.whl", hash = "sha256:3c8d896becff2fa653dc4438b54a5a25a971d1f4110b32bd3068db3722c80202"},
+ {file = "cffi-1.14.6-cp39-cp39-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl", hash = "sha256:4922cd707b25e623b902c86188aca466d3620892db76c0bdd7b99a3d5e61d35f"},
+ {file = "cffi-1.14.6-cp39-cp39-manylinux_2_17_s390x.manylinux2014_s390x.whl", hash = "sha256:c9e005e9bd57bc987764c32a1bee4364c44fdc11a3cc20a40b93b444984f2b87"},
+ {file = "cffi-1.14.6-cp39-cp39-win32.whl", hash = "sha256:eb9e2a346c5238a30a746893f23a9535e700f8192a68c07c0258e7ece6ff3728"},
+ {file = "cffi-1.14.6-cp39-cp39-win_amd64.whl", hash = "sha256:818014c754cd3dba7229c0f5884396264d51ffb87ec86e927ef0be140bfdb0d2"},
+ {file = "cffi-1.14.6.tar.gz", hash = "sha256:c9a875ce9d7fe32887784274dd533c57909b7b1dcadcc128a2ac21331a9765dd"},
+]
+charset-normalizer = [
+ {file = "charset-normalizer-2.0.7.tar.gz", hash = "sha256:e019de665e2bcf9c2b64e2e5aa025fa991da8720daa3c1138cadd2fd1856aed0"},
+ {file = "charset_normalizer-2.0.7-py3-none-any.whl", hash = "sha256:f7af805c321bfa1ce6714c51f254e0d5bb5e5834039bc17db7ebe3a4cec9492b"},
]
colorama = [
{file = "colorama-0.4.4-py2.py3-none-any.whl", hash = "sha256:9f47eda37229f68eee03b24b9748937c7dc3868f906e8ba69fbcbdd3bc5dc3e2"},
{file = "colorama-0.4.4.tar.gz", hash = "sha256:5941b2b48a20143d2267e95b1c2a7603ce057ee39fd88e7329b0c292aa16869b"},
]
cryptography = [
- {file = "cryptography-3.4.6-cp36-abi3-macosx_10_10_x86_64.whl", hash = "sha256:57ad77d32917bc55299b16d3b996ffa42a1c73c6cfa829b14043c561288d2799"},
- {file = "cryptography-3.4.6-cp36-abi3-manylinux2010_x86_64.whl", hash = "sha256:93cfe5b7ff006de13e1e89830810ecbd014791b042cbe5eec253be11ac2b28f3"},
- {file = "cryptography-3.4.6-cp36-abi3-manylinux2014_aarch64.whl", hash = "sha256:5ecf2bcb34d17415e89b546dbb44e73080f747e504273e4d4987630493cded1b"},
- {file = "cryptography-3.4.6-cp36-abi3-manylinux2014_x86_64.whl", hash = "sha256:fec7fb46b10da10d9e1d078d1ff8ed9e05ae14f431fdbd11145edd0550b9a964"},
- {file = "cryptography-3.4.6-cp36-abi3-win32.whl", hash = "sha256:df186fcbf86dc1ce56305becb8434e4b6b7504bc724b71ad7a3239e0c9d14ef2"},
- {file = "cryptography-3.4.6-cp36-abi3-win_amd64.whl", hash = "sha256:66b57a9ca4b3221d51b237094b0303843b914b7d5afd4349970bb26518e350b0"},
- {file = "cryptography-3.4.6.tar.gz", hash = "sha256:2d32223e5b0ee02943f32b19245b61a62db83a882f0e76cc564e1cec60d48f87"},
+ {file = "cryptography-3.4.8-cp36-abi3-macosx_10_10_x86_64.whl", hash = "sha256:a00cf305f07b26c351d8d4e1af84ad7501eca8a342dedf24a7acb0e7b7406e14"},
+ {file = "cryptography-3.4.8-cp36-abi3-macosx_11_0_arm64.whl", hash = "sha256:f44d141b8c4ea5eb4dbc9b3ad992d45580c1d22bf5e24363f2fbf50c2d7ae8a7"},
+ {file = "cryptography-3.4.8-cp36-abi3-manylinux_2_12_x86_64.manylinux2010_x86_64.whl", hash = "sha256:0a7dcbcd3f1913f664aca35d47c1331fce738d44ec34b7be8b9d332151b0b01e"},
+ {file = "cryptography-3.4.8-cp36-abi3-manylinux_2_17_aarch64.manylinux2014_aarch64.whl", hash = "sha256:34dae04a0dce5730d8eb7894eab617d8a70d0c97da76b905de9efb7128ad7085"},
+ {file = "cryptography-3.4.8-cp36-abi3-manylinux_2_17_x86_64.manylinux2014_x86_64.whl", hash = "sha256:1eb7bb0df6f6f583dd8e054689def236255161ebbcf62b226454ab9ec663746b"},
+ {file = "cryptography-3.4.8-cp36-abi3-manylinux_2_24_x86_64.whl", hash = "sha256:9965c46c674ba8cc572bc09a03f4c649292ee73e1b683adb1ce81e82e9a6a0fb"},
+ {file = "cryptography-3.4.8-cp36-abi3-musllinux_1_1_aarch64.whl", hash = "sha256:3c4129fc3fdc0fa8e40861b5ac0c673315b3c902bbdc05fc176764815b43dd1d"},
+ {file = "cryptography-3.4.8-cp36-abi3-musllinux_1_1_x86_64.whl", hash = "sha256:695104a9223a7239d155d7627ad912953b540929ef97ae0c34c7b8bf30857e89"},
+ {file = "cryptography-3.4.8-cp36-abi3-win32.whl", hash = "sha256:21ca464b3a4b8d8e86ba0ee5045e103a1fcfac3b39319727bc0fc58c09c6aff7"},
+ {file = "cryptography-3.4.8-cp36-abi3-win_amd64.whl", hash = "sha256:3520667fda779eb788ea00080124875be18f2d8f0848ec00733c0ec3bb8219fc"},
+ {file = "cryptography-3.4.8-pp36-pypy36_pp73-manylinux_2_12_x86_64.manylinux2010_x86_64.whl", hash = "sha256:d2a6e5ef66503da51d2110edf6c403dc6b494cc0082f85db12f54e9c5d4c3ec5"},
+ {file = "cryptography-3.4.8-pp36-pypy36_pp73-manylinux_2_17_x86_64.manylinux2014_x86_64.whl", hash = "sha256:a305600e7a6b7b855cd798e00278161b681ad6e9b7eca94c721d5f588ab212af"},
+ {file = "cryptography-3.4.8-pp36-pypy36_pp73-manylinux_2_24_x86_64.whl", hash = "sha256:3fa3a7ccf96e826affdf1a0a9432be74dc73423125c8f96a909e3835a5ef194a"},
+ {file = "cryptography-3.4.8-pp37-pypy37_pp73-macosx_10_10_x86_64.whl", hash = "sha256:d9ec0e67a14f9d1d48dd87a2531009a9b251c02ea42851c060b25c782516ff06"},
+ {file = "cryptography-3.4.8-pp37-pypy37_pp73-manylinux_2_12_x86_64.manylinux2010_x86_64.whl", hash = "sha256:5b0fbfae7ff7febdb74b574055c7466da334a5371f253732d7e2e7525d570498"},
+ {file = "cryptography-3.4.8-pp37-pypy37_pp73-manylinux_2_17_x86_64.manylinux2014_x86_64.whl", hash = "sha256:94fff993ee9bc1b2440d3b7243d488c6a3d9724cc2b09cdb297f6a886d040ef7"},
+ {file = "cryptography-3.4.8-pp37-pypy37_pp73-manylinux_2_24_x86_64.whl", hash = "sha256:8695456444f277af73a4877db9fc979849cd3ee74c198d04fc0776ebc3db52b9"},
+ {file = "cryptography-3.4.8-pp37-pypy37_pp73-win_amd64.whl", hash = "sha256:cd65b60cfe004790c795cc35f272e41a3df4631e2fb6b35aa7ac6ef2859d554e"},
+ {file = "cryptography-3.4.8.tar.gz", hash = "sha256:94cc5ed4ceaefcbe5bf38c8fba6a21fc1d365bb8fb826ea1688e3370b2e24a1c"},
]
docutils = [
{file = "docutils-0.16-py2.py3-none-any.whl", hash = "sha256:0c5b78adfbf7762415433f5515cd5c9e762339e23369dbe8000d84a4bf4ab3af"},
{file = "docutils-0.16.tar.gz", hash = "sha256:c2de3a60e9e7d07be26b7f2b00ca0309c207e06c100f9cc2a94931fc75a478fc"},
]
idna = [
- {file = "idna-2.10-py2.py3-none-any.whl", hash = "sha256:b97d804b1e9b523befed77c48dacec60e6dcb0b5391d57af6a65a312a90648c0"},
- {file = "idna-2.10.tar.gz", hash = "sha256:b307872f855b18632ce0c21c5e45be78c0ea7ae4c15c828c20788b26921eb3f6"},
+ {file = "idna-3.2-py3-none-any.whl", hash = "sha256:14475042e284991034cb48e06f6851428fb14c4dc953acd9be9a5e95c7b6dd7a"},
+ {file = "idna-3.2.tar.gz", hash = "sha256:467fbad99067910785144ce333826c71fb0e63a425657295239737f7ecd125f3"},
]
imagesize = [
{file = "imagesize-1.2.0-py2.py3-none-any.whl", hash = "sha256:6965f19a6a2039c7d48bca7dba2473069ff854c36ae6f19d2cde309d998228a1"},
{file = "imagesize-1.2.0.tar.gz", hash = "sha256:b1f6b5a4eab1f73479a50fb79fcf729514a900c341d8503d62a62dbc4127a2b1"},
]
jinja2 = [
- {file = "Jinja2-2.11.3-py2.py3-none-any.whl", hash = "sha256:03e47ad063331dd6a3f04a43eddca8a966a26ba0c5b7207a9a9e4e08f1b29419"},
- {file = "Jinja2-2.11.3.tar.gz", hash = "sha256:a6d58433de0ae800347cab1fa3043cebbabe8baa9d29e668f1c768cb87a333c6"},
+ {file = "Jinja2-3.0.2-py3-none-any.whl", hash = "sha256:8569982d3f0889eed11dd620c706d39b60c36d6d25843961f33f77fb6bc6b20c"},
+ {file = "Jinja2-3.0.2.tar.gz", hash = "sha256:827a0e32839ab1600d4eb1c4c33ec5a8edfbc5cb42dafa13b81f182f97784b45"},
]
jmespath = [
{file = "jmespath-0.10.0-py2.py3-none-any.whl", hash = "sha256:cdf6525904cc597730141d61b36f2e4b8ecc257c420fa2f4549bac2c2d0cb72f"},
{file = "jmespath-0.10.0.tar.gz", hash = "sha256:b85d0567b8666149a93172712e68920734333c0ce7e89b78b3e987f71e5ed4f9"},
]
libvirt-python = [
- {file = "libvirt-python-6.10.0.tar.gz", hash = "sha256:47a8e90d9f49bc0296d2817f6009e18dbb69844ce10b81c2a2672bccd6f49fd5"},
+ {file = "libvirt-python-7.8.0.tar.gz", hash = "sha256:9d07416d66805bf1a17f34491b3ced2ac6c42b6a012ddf9177e0e3ae1b103fd5"},
]
markupsafe = [
- {file = "MarkupSafe-1.1.1-cp27-cp27m-macosx_10_6_intel.whl", hash = "sha256:09027a7803a62ca78792ad89403b1b7a73a01c8cb65909cd876f7fcebd79b161"},
- {file = "MarkupSafe-1.1.1-cp27-cp27m-manylinux1_i686.whl", hash = "sha256:e249096428b3ae81b08327a63a485ad0878de3fb939049038579ac0ef61e17e7"},
- {file = "MarkupSafe-1.1.1-cp27-cp27m-manylinux1_x86_64.whl", hash = "sha256:500d4957e52ddc3351cabf489e79c91c17f6e0899158447047588650b5e69183"},
- {file = "MarkupSafe-1.1.1-cp27-cp27m-win32.whl", hash = "sha256:b2051432115498d3562c084a49bba65d97cf251f5a331c64a12ee7e04dacc51b"},
- {file = "MarkupSafe-1.1.1-cp27-cp27m-win_amd64.whl", hash = "sha256:98c7086708b163d425c67c7a91bad6e466bb99d797aa64f965e9d25c12111a5e"},
- {file = "MarkupSafe-1.1.1-cp27-cp27mu-manylinux1_i686.whl", hash = "sha256:cd5df75523866410809ca100dc9681e301e3c27567cf498077e8551b6d20e42f"},
- {file = "MarkupSafe-1.1.1-cp27-cp27mu-manylinux1_x86_64.whl", hash = "sha256:43a55c2930bbc139570ac2452adf3d70cdbb3cfe5912c71cdce1c2c6bbd9c5d1"},
- {file = "MarkupSafe-1.1.1-cp34-cp34m-macosx_10_6_intel.whl", hash = "sha256:1027c282dad077d0bae18be6794e6b6b8c91d58ed8a8d89a89d59693b9131db5"},
- {file = "MarkupSafe-1.1.1-cp34-cp34m-manylinux1_i686.whl", hash = "sha256:62fe6c95e3ec8a7fad637b7f3d372c15ec1caa01ab47926cfdf7a75b40e0eac1"},
- {file = "MarkupSafe-1.1.1-cp34-cp34m-manylinux1_x86_64.whl", hash = "sha256:88e5fcfb52ee7b911e8bb6d6aa2fd21fbecc674eadd44118a9cc3863f938e735"},
- {file = "MarkupSafe-1.1.1-cp34-cp34m-win32.whl", hash = "sha256:ade5e387d2ad0d7ebf59146cc00c8044acbd863725f887353a10df825fc8ae21"},
- {file = "MarkupSafe-1.1.1-cp34-cp34m-win_amd64.whl", hash = "sha256:09c4b7f37d6c648cb13f9230d847adf22f8171b1ccc4d5682398e77f40309235"},
- {file = "MarkupSafe-1.1.1-cp35-cp35m-macosx_10_6_intel.whl", hash = "sha256:79855e1c5b8da654cf486b830bd42c06e8780cea587384cf6545b7d9ac013a0b"},
- {file = "MarkupSafe-1.1.1-cp35-cp35m-manylinux1_i686.whl", hash = "sha256:c8716a48d94b06bb3b2524c2b77e055fb313aeb4ea620c8dd03a105574ba704f"},
- {file = "MarkupSafe-1.1.1-cp35-cp35m-manylinux1_x86_64.whl", hash = "sha256:7c1699dfe0cf8ff607dbdcc1e9b9af1755371f92a68f706051cc8c37d447c905"},
- {file = "MarkupSafe-1.1.1-cp35-cp35m-win32.whl", hash = "sha256:6dd73240d2af64df90aa7c4e7481e23825ea70af4b4922f8ede5b9e35f78a3b1"},
- {file = "MarkupSafe-1.1.1-cp35-cp35m-win_amd64.whl", hash = "sha256:9add70b36c5666a2ed02b43b335fe19002ee5235efd4b8a89bfcf9005bebac0d"},
- {file = "MarkupSafe-1.1.1-cp36-cp36m-macosx_10_6_intel.whl", hash = "sha256:24982cc2533820871eba85ba648cd53d8623687ff11cbb805be4ff7b4c971aff"},
- {file = "MarkupSafe-1.1.1-cp36-cp36m-macosx_10_9_x86_64.whl", hash = "sha256:d53bc011414228441014aa71dbec320c66468c1030aae3a6e29778a3382d96e5"},
- {file = "MarkupSafe-1.1.1-cp36-cp36m-manylinux1_i686.whl", hash = "sha256:00bc623926325b26bb9605ae9eae8a215691f33cae5df11ca5424f06f2d1f473"},
- {file = "MarkupSafe-1.1.1-cp36-cp36m-manylinux1_x86_64.whl", hash = "sha256:717ba8fe3ae9cc0006d7c451f0bb265ee07739daf76355d06366154ee68d221e"},
- {file = "MarkupSafe-1.1.1-cp36-cp36m-manylinux2010_i686.whl", hash = "sha256:3b8a6499709d29c2e2399569d96719a1b21dcd94410a586a18526b143ec8470f"},
- {file = "MarkupSafe-1.1.1-cp36-cp36m-manylinux2010_x86_64.whl", hash = "sha256:84dee80c15f1b560d55bcfe6d47b27d070b4681c699c572af2e3c7cc90a3b8e0"},
- {file = "MarkupSafe-1.1.1-cp36-cp36m-manylinux2014_aarch64.whl", hash = "sha256:b1dba4527182c95a0db8b6060cc98ac49b9e2f5e64320e2b56e47cb2831978c7"},
- {file = "MarkupSafe-1.1.1-cp36-cp36m-win32.whl", hash = "sha256:535f6fc4d397c1563d08b88e485c3496cf5784e927af890fb3c3aac7f933ec66"},
- {file = "MarkupSafe-1.1.1-cp36-cp36m-win_amd64.whl", hash = "sha256:b1282f8c00509d99fef04d8ba936b156d419be841854fe901d8ae224c59f0be5"},
- {file = "MarkupSafe-1.1.1-cp37-cp37m-macosx_10_6_intel.whl", hash = "sha256:8defac2f2ccd6805ebf65f5eeb132adcf2ab57aa11fdf4c0dd5169a004710e7d"},
- {file = "MarkupSafe-1.1.1-cp37-cp37m-macosx_10_9_x86_64.whl", hash = "sha256:bf5aa3cbcfdf57fa2ee9cd1822c862ef23037f5c832ad09cfea57fa846dec193"},
- {file = "MarkupSafe-1.1.1-cp37-cp37m-manylinux1_i686.whl", hash = "sha256:46c99d2de99945ec5cb54f23c8cd5689f6d7177305ebff350a58ce5f8de1669e"},
- {file = "MarkupSafe-1.1.1-cp37-cp37m-manylinux1_x86_64.whl", hash = "sha256:ba59edeaa2fc6114428f1637ffff42da1e311e29382d81b339c1817d37ec93c6"},
- {file = "MarkupSafe-1.1.1-cp37-cp37m-manylinux2010_i686.whl", hash = "sha256:6fffc775d90dcc9aed1b89219549b329a9250d918fd0b8fa8d93d154918422e1"},
- {file = "MarkupSafe-1.1.1-cp37-cp37m-manylinux2010_x86_64.whl", hash = "sha256:a6a744282b7718a2a62d2ed9d993cad6f5f585605ad352c11de459f4108df0a1"},
- {file = "MarkupSafe-1.1.1-cp37-cp37m-manylinux2014_aarch64.whl", hash = "sha256:195d7d2c4fbb0ee8139a6cf67194f3973a6b3042d742ebe0a9ed36d8b6f0c07f"},
- {file = "MarkupSafe-1.1.1-cp37-cp37m-win32.whl", hash = "sha256:b00c1de48212e4cc9603895652c5c410df699856a2853135b3967591e4beebc2"},
- {file = "MarkupSafe-1.1.1-cp37-cp37m-win_amd64.whl", hash = "sha256:9bf40443012702a1d2070043cb6291650a0841ece432556f784f004937f0f32c"},
- {file = "MarkupSafe-1.1.1-cp38-cp38-macosx_10_9_x86_64.whl", hash = "sha256:6788b695d50a51edb699cb55e35487e430fa21f1ed838122d722e0ff0ac5ba15"},
- {file = "MarkupSafe-1.1.1-cp38-cp38-manylinux1_i686.whl", hash = "sha256:cdb132fc825c38e1aeec2c8aa9338310d29d337bebbd7baa06889d09a60a1fa2"},
- {file = "MarkupSafe-1.1.1-cp38-cp38-manylinux1_x86_64.whl", hash = "sha256:13d3144e1e340870b25e7b10b98d779608c02016d5184cfb9927a9f10c689f42"},
- {file = "MarkupSafe-1.1.1-cp38-cp38-manylinux2010_i686.whl", hash = "sha256:acf08ac40292838b3cbbb06cfe9b2cb9ec78fce8baca31ddb87aaac2e2dc3bc2"},
- {file = "MarkupSafe-1.1.1-cp38-cp38-manylinux2010_x86_64.whl", hash = "sha256:d9be0ba6c527163cbed5e0857c451fcd092ce83947944d6c14bc95441203f032"},
- {file = "MarkupSafe-1.1.1-cp38-cp38-manylinux2014_aarch64.whl", hash = "sha256:caabedc8323f1e93231b52fc32bdcde6db817623d33e100708d9a68e1f53b26b"},
- {file = "MarkupSafe-1.1.1-cp38-cp38-win32.whl", hash = "sha256:596510de112c685489095da617b5bcbbac7dd6384aeebeda4df6025d0256a81b"},
- {file = "MarkupSafe-1.1.1-cp38-cp38-win_amd64.whl", hash = "sha256:e8313f01ba26fbbe36c7be1966a7b7424942f670f38e666995b88d012765b9be"},
- {file = "MarkupSafe-1.1.1-cp39-cp39-macosx_10_9_x86_64.whl", hash = "sha256:d73a845f227b0bfe8a7455ee623525ee656a9e2e749e4742706d80a6065d5e2c"},
- {file = "MarkupSafe-1.1.1-cp39-cp39-manylinux1_i686.whl", hash = "sha256:98bae9582248d6cf62321dcb52aaf5d9adf0bad3b40582925ef7c7f0ed85fceb"},
- {file = "MarkupSafe-1.1.1-cp39-cp39-manylinux1_x86_64.whl", hash = "sha256:2beec1e0de6924ea551859edb9e7679da6e4870d32cb766240ce17e0a0ba2014"},
- {file = "MarkupSafe-1.1.1-cp39-cp39-manylinux2010_i686.whl", hash = "sha256:7fed13866cf14bba33e7176717346713881f56d9d2bcebab207f7a036f41b850"},
- {file = "MarkupSafe-1.1.1-cp39-cp39-manylinux2010_x86_64.whl", hash = "sha256:6f1e273a344928347c1290119b493a1f0303c52f5a5eae5f16d74f48c15d4a85"},
- {file = "MarkupSafe-1.1.1-cp39-cp39-manylinux2014_aarch64.whl", hash = "sha256:feb7b34d6325451ef96bc0e36e1a6c0c1c64bc1fbec4b854f4529e51887b1621"},
- {file = "MarkupSafe-1.1.1-cp39-cp39-win32.whl", hash = "sha256:22c178a091fc6630d0d045bdb5992d2dfe14e3259760e713c490da5323866c39"},
- {file = "MarkupSafe-1.1.1-cp39-cp39-win_amd64.whl", hash = "sha256:b7d644ddb4dbd407d31ffb699f1d140bc35478da613b441c582aeb7c43838dd8"},
- {file = "MarkupSafe-1.1.1.tar.gz", hash = "sha256:29872e92839765e546828bb7754a68c418d927cd064fd4708fab9fe9c8bb116b"},
+ {file = "MarkupSafe-2.0.1-cp310-cp310-macosx_10_9_universal2.whl", hash = "sha256:d8446c54dc28c01e5a2dbac5a25f071f6653e6e40f3a8818e8b45d790fe6ef53"},
+ {file = "MarkupSafe-2.0.1-cp310-cp310-macosx_10_9_x86_64.whl", hash = "sha256:36bc903cbb393720fad60fc28c10de6acf10dc6cc883f3e24ee4012371399a38"},
+ {file = "MarkupSafe-2.0.1-cp310-cp310-manylinux_2_17_aarch64.manylinux2014_aarch64.whl", hash = "sha256:2d7d807855b419fc2ed3e631034685db6079889a1f01d5d9dac950f764da3dad"},
+ {file = "MarkupSafe-2.0.1-cp310-cp310-manylinux_2_5_i686.manylinux1_i686.manylinux_2_12_i686.manylinux2010_i686.whl", hash = "sha256:add36cb2dbb8b736611303cd3bfcee00afd96471b09cda130da3581cbdc56a6d"},
+ {file = "MarkupSafe-2.0.1-cp310-cp310-manylinux_2_5_x86_64.manylinux1_x86_64.manylinux_2_12_x86_64.manylinux2010_x86_64.whl", hash = "sha256:168cd0a3642de83558a5153c8bd34f175a9a6e7f6dc6384b9655d2697312a646"},
+ {file = "MarkupSafe-2.0.1-cp310-cp310-win32.whl", hash = "sha256:99df47edb6bda1249d3e80fdabb1dab8c08ef3975f69aed437cb69d0a5de1e28"},
+ {file = "MarkupSafe-2.0.1-cp310-cp310-win_amd64.whl", hash = "sha256:e0f138900af21926a02425cf736db95be9f4af72ba1bb21453432a07f6082134"},
+ {file = "MarkupSafe-2.0.1-cp36-cp36m-macosx_10_9_x86_64.whl", hash = "sha256:f9081981fe268bd86831e5c75f7de206ef275defcb82bc70740ae6dc507aee51"},
+ {file = "MarkupSafe-2.0.1-cp36-cp36m-manylinux1_i686.whl", hash = "sha256:0955295dd5eec6cb6cc2fe1698f4c6d84af2e92de33fbcac4111913cd100a6ff"},
+ {file = "MarkupSafe-2.0.1-cp36-cp36m-manylinux1_x86_64.whl", hash = "sha256:0446679737af14f45767963a1a9ef7620189912317d095f2d9ffa183a4d25d2b"},
+ {file = "MarkupSafe-2.0.1-cp36-cp36m-manylinux2010_i686.whl", hash = "sha256:f826e31d18b516f653fe296d967d700fddad5901ae07c622bb3705955e1faa94"},
+ {file = "MarkupSafe-2.0.1-cp36-cp36m-manylinux2010_x86_64.whl", hash = "sha256:fa130dd50c57d53368c9d59395cb5526eda596d3ffe36666cd81a44d56e48872"},
+ {file = "MarkupSafe-2.0.1-cp36-cp36m-manylinux2014_aarch64.whl", hash = "sha256:905fec760bd2fa1388bb5b489ee8ee5f7291d692638ea5f67982d968366bef9f"},
+ {file = "MarkupSafe-2.0.1-cp36-cp36m-manylinux_2_17_aarch64.manylinux2014_aarch64.whl", hash = "sha256:bf5d821ffabf0ef3533c39c518f3357b171a1651c1ff6827325e4489b0e46c3c"},
+ {file = "MarkupSafe-2.0.1-cp36-cp36m-manylinux_2_5_i686.manylinux1_i686.manylinux_2_12_i686.manylinux2010_i686.whl", hash = "sha256:0d4b31cc67ab36e3392bbf3862cfbadac3db12bdd8b02a2731f509ed5b829724"},
+ {file = "MarkupSafe-2.0.1-cp36-cp36m-manylinux_2_5_x86_64.manylinux1_x86_64.manylinux_2_12_x86_64.manylinux2010_x86_64.whl", hash = "sha256:baa1a4e8f868845af802979fcdbf0bb11f94f1cb7ced4c4b8a351bb60d108145"},
+ {file = "MarkupSafe-2.0.1-cp36-cp36m-win32.whl", hash = "sha256:6c4ca60fa24e85fe25b912b01e62cb969d69a23a5d5867682dd3e80b5b02581d"},
+ {file = "MarkupSafe-2.0.1-cp36-cp36m-win_amd64.whl", hash = "sha256:b2f4bf27480f5e5e8ce285a8c8fd176c0b03e93dcc6646477d4630e83440c6a9"},
+ {file = "MarkupSafe-2.0.1-cp37-cp37m-macosx_10_9_x86_64.whl", hash = "sha256:0717a7390a68be14b8c793ba258e075c6f4ca819f15edfc2a3a027c823718567"},
+ {file = "MarkupSafe-2.0.1-cp37-cp37m-manylinux1_i686.whl", hash = "sha256:6557b31b5e2c9ddf0de32a691f2312a32f77cd7681d8af66c2692efdbef84c18"},
+ {file = "MarkupSafe-2.0.1-cp37-cp37m-manylinux1_x86_64.whl", hash = "sha256:49e3ceeabbfb9d66c3aef5af3a60cc43b85c33df25ce03d0031a608b0a8b2e3f"},
+ {file = "MarkupSafe-2.0.1-cp37-cp37m-manylinux2010_i686.whl", hash = "sha256:d7f9850398e85aba693bb640262d3611788b1f29a79f0c93c565694658f4071f"},
+ {file = "MarkupSafe-2.0.1-cp37-cp37m-manylinux2010_x86_64.whl", hash = "sha256:6a7fae0dd14cf60ad5ff42baa2e95727c3d81ded453457771d02b7d2b3f9c0c2"},
+ {file = "MarkupSafe-2.0.1-cp37-cp37m-manylinux2014_aarch64.whl", hash = "sha256:b7f2d075102dc8c794cbde1947378051c4e5180d52d276987b8d28a3bd58c17d"},
+ {file = "MarkupSafe-2.0.1-cp37-cp37m-manylinux_2_17_aarch64.manylinux2014_aarch64.whl", hash = "sha256:e9936f0b261d4df76ad22f8fee3ae83b60d7c3e871292cd42f40b81b70afae85"},
+ {file = "MarkupSafe-2.0.1-cp37-cp37m-manylinux_2_5_i686.manylinux1_i686.manylinux_2_12_i686.manylinux2010_i686.whl", hash = "sha256:2a7d351cbd8cfeb19ca00de495e224dea7e7d919659c2841bbb7f420ad03e2d6"},
+ {file = "MarkupSafe-2.0.1-cp37-cp37m-manylinux_2_5_x86_64.manylinux1_x86_64.manylinux_2_12_x86_64.manylinux2010_x86_64.whl", hash = "sha256:60bf42e36abfaf9aff1f50f52644b336d4f0a3fd6d8a60ca0d054ac9f713a864"},
+ {file = "MarkupSafe-2.0.1-cp37-cp37m-win32.whl", hash = "sha256:a30e67a65b53ea0a5e62fe23682cfe22712e01f453b95233b25502f7c61cb415"},
+ {file = "MarkupSafe-2.0.1-cp37-cp37m-win_amd64.whl", hash = "sha256:611d1ad9a4288cf3e3c16014564df047fe08410e628f89805e475368bd304914"},
+ {file = "MarkupSafe-2.0.1-cp38-cp38-macosx_10_9_universal2.whl", hash = "sha256:5bb28c636d87e840583ee3adeb78172efc47c8b26127267f54a9c0ec251d41a9"},
+ {file = "MarkupSafe-2.0.1-cp38-cp38-macosx_10_9_x86_64.whl", hash = "sha256:be98f628055368795d818ebf93da628541e10b75b41c559fdf36d104c5787066"},
+ {file = "MarkupSafe-2.0.1-cp38-cp38-manylinux1_i686.whl", hash = "sha256:1d609f577dc6e1aa17d746f8bd3c31aa4d258f4070d61b2aa5c4166c1539de35"},
+ {file = "MarkupSafe-2.0.1-cp38-cp38-manylinux1_x86_64.whl", hash = "sha256:7d91275b0245b1da4d4cfa07e0faedd5b0812efc15b702576d103293e252af1b"},
+ {file = "MarkupSafe-2.0.1-cp38-cp38-manylinux2010_i686.whl", hash = "sha256:01a9b8ea66f1658938f65b93a85ebe8bc016e6769611be228d797c9d998dd298"},
+ {file = "MarkupSafe-2.0.1-cp38-cp38-manylinux2010_x86_64.whl", hash = "sha256:47ab1e7b91c098ab893b828deafa1203de86d0bc6ab587b160f78fe6c4011f75"},
+ {file = "MarkupSafe-2.0.1-cp38-cp38-manylinux2014_aarch64.whl", hash = "sha256:97383d78eb34da7e1fa37dd273c20ad4320929af65d156e35a5e2d89566d9dfb"},
+ {file = "MarkupSafe-2.0.1-cp38-cp38-manylinux_2_17_aarch64.manylinux2014_aarch64.whl", hash = "sha256:6fcf051089389abe060c9cd7caa212c707e58153afa2c649f00346ce6d260f1b"},
+ {file = "MarkupSafe-2.0.1-cp38-cp38-manylinux_2_5_i686.manylinux1_i686.manylinux_2_12_i686.manylinux2010_i686.whl", hash = "sha256:5855f8438a7d1d458206a2466bf82b0f104a3724bf96a1c781ab731e4201731a"},
+ {file = "MarkupSafe-2.0.1-cp38-cp38-manylinux_2_5_x86_64.manylinux1_x86_64.manylinux_2_12_x86_64.manylinux2010_x86_64.whl", hash = "sha256:3dd007d54ee88b46be476e293f48c85048603f5f516008bee124ddd891398ed6"},
+ {file = "MarkupSafe-2.0.1-cp38-cp38-win32.whl", hash = "sha256:023cb26ec21ece8dc3907c0e8320058b2e0cb3c55cf9564da612bc325bed5e64"},
+ {file = "MarkupSafe-2.0.1-cp38-cp38-win_amd64.whl", hash = "sha256:984d76483eb32f1bcb536dc27e4ad56bba4baa70be32fa87152832cdd9db0833"},
+ {file = "MarkupSafe-2.0.1-cp39-cp39-macosx_10_9_universal2.whl", hash = "sha256:2ef54abee730b502252bcdf31b10dacb0a416229b72c18b19e24a4509f273d26"},
+ {file = "MarkupSafe-2.0.1-cp39-cp39-macosx_10_9_x86_64.whl", hash = "sha256:3c112550557578c26af18a1ccc9e090bfe03832ae994343cfdacd287db6a6ae7"},
+ {file = "MarkupSafe-2.0.1-cp39-cp39-manylinux1_i686.whl", hash = "sha256:53edb4da6925ad13c07b6d26c2a852bd81e364f95301c66e930ab2aef5b5ddd8"},
+ {file = "MarkupSafe-2.0.1-cp39-cp39-manylinux1_x86_64.whl", hash = "sha256:f5653a225f31e113b152e56f154ccbe59eeb1c7487b39b9d9f9cdb58e6c79dc5"},
+ {file = "MarkupSafe-2.0.1-cp39-cp39-manylinux2010_i686.whl", hash = "sha256:4efca8f86c54b22348a5467704e3fec767b2db12fc39c6d963168ab1d3fc9135"},
+ {file = "MarkupSafe-2.0.1-cp39-cp39-manylinux2010_x86_64.whl", hash = "sha256:ab3ef638ace319fa26553db0624c4699e31a28bb2a835c5faca8f8acf6a5a902"},
+ {file = "MarkupSafe-2.0.1-cp39-cp39-manylinux2014_aarch64.whl", hash = "sha256:f8ba0e8349a38d3001fae7eadded3f6606f0da5d748ee53cc1dab1d6527b9509"},
+ {file = "MarkupSafe-2.0.1-cp39-cp39-manylinux_2_17_aarch64.manylinux2014_aarch64.whl", hash = "sha256:c47adbc92fc1bb2b3274c4b3a43ae0e4573d9fbff4f54cd484555edbf030baf1"},
+ {file = "MarkupSafe-2.0.1-cp39-cp39-manylinux_2_5_i686.manylinux1_i686.manylinux_2_12_i686.manylinux2010_i686.whl", hash = "sha256:37205cac2a79194e3750b0af2a5720d95f786a55ce7df90c3af697bfa100eaac"},
+ {file = "MarkupSafe-2.0.1-cp39-cp39-manylinux_2_5_x86_64.manylinux1_x86_64.manylinux_2_12_x86_64.manylinux2010_x86_64.whl", hash = "sha256:1f2ade76b9903f39aa442b4aadd2177decb66525062db244b35d71d0ee8599b6"},
+ {file = "MarkupSafe-2.0.1-cp39-cp39-win32.whl", hash = "sha256:10f82115e21dc0dfec9ab5c0223652f7197feb168c940f3ef61563fc2d6beb74"},
+ {file = "MarkupSafe-2.0.1-cp39-cp39-win_amd64.whl", hash = "sha256:693ce3f9e70a6cf7d2fb9e6c9d8b204b6b39897a2c4a1aa65728d5ac97dcc1d8"},
+ {file = "MarkupSafe-2.0.1.tar.gz", hash = "sha256:594c67807fb16238b30c44bdf74f36c02cdf22d1c8cda91ef8a0ed8dabf5620a"},
]
nixops = []
nixops-aws = []
@@ -746,8 +777,8 @@ nixops-virtd = []
nixopsvbox = []
nixos-modules-contrib = []
packaging = [
- {file = "packaging-20.9-py2.py3-none-any.whl", hash = "sha256:67714da7f7bc052e064859c05c595155bd1ee9f69f76557e21f051443c20947a"},
- {file = "packaging-20.9.tar.gz", hash = "sha256:5b327ac1320dc863dca72f4514ecc086f31186744b84a230374cc1fd776feae5"},
+ {file = "packaging-21.0-py3-none-any.whl", hash = "sha256:c86254f9220d55e31cc94d69bade760f0847da8000def4dfe1c6b872fd14ff14"},
+ {file = "packaging-21.0.tar.gz", hash = "sha256:7dc96269f53a4ccec5c0670940a4281106dd0bb343f47b7471f779df49c2fbe7"},
]
pluggy = [
{file = "pluggy-0.13.1-py2.py3-none-any.whl", hash = "sha256:966c145cd83c96502c3c3868f50408687b38434af77734af1e9ca461a4081d2d"},
@@ -763,40 +794,40 @@ pycparser = [
{file = "pycparser-2.20.tar.gz", hash = "sha256:2d475327684562c3a96cc71adf7dc8c4f0565175cf86b6d7a404ff4c771f15f0"},
]
pygments = [
- {file = "Pygments-2.8.1-py3-none-any.whl", hash = "sha256:534ef71d539ae97d4c3a4cf7d6f110f214b0e687e92f9cb9d2a3b0d3101289c8"},
- {file = "Pygments-2.8.1.tar.gz", hash = "sha256:2656e1a6edcdabf4275f9a3640db59fd5de107d88e8663c5d4e9a0fa62f77f94"},
+ {file = "Pygments-2.10.0-py3-none-any.whl", hash = "sha256:b8e67fe6af78f492b3c4b3e2970c0624cbf08beb1e493b2c99b9fa1b67a20380"},
+ {file = "Pygments-2.10.0.tar.gz", hash = "sha256:f398865f7eb6874156579fdf36bc840a03cab64d1cde9e93d68f46a425ec52c6"},
]
pyparsing = [
{file = "pyparsing-2.4.7-py2.py3-none-any.whl", hash = "sha256:ef9d7589ef3c200abe66653d3f1ab1033c3c419ae9b9bdb1240a85b024efc88b"},
{file = "pyparsing-2.4.7.tar.gz", hash = "sha256:c203ec8783bf771a155b207279b9bccb8dea02d8f0c9e5f8ead507bc3246ecc1"},
]
python-dateutil = [
- {file = "python-dateutil-2.8.1.tar.gz", hash = "sha256:73ebfe9dbf22e832286dafa60473e4cd239f8592f699aa5adaf10050e6e1823c"},
- {file = "python_dateutil-2.8.1-py2.py3-none-any.whl", hash = "sha256:75bb3f31ea686f1197762692a9ee6a7550b59fc6ca3a1f4b5d7e32fb98e2da2a"},
+ {file = "python-dateutil-2.8.2.tar.gz", hash = "sha256:0123cacc1627ae19ddf3c27a5de5bd67ee4586fbdd6440d9748f8abb483d3e86"},
+ {file = "python_dateutil-2.8.2-py2.py3-none-any.whl", hash = "sha256:961d03dc3453ebbc59dbdea9e4e11c5651520a876d0f4db161e8674aae935da9"},
]
pytz = [
- {file = "pytz-2021.1-py2.py3-none-any.whl", hash = "sha256:eb10ce3e7736052ed3623d49975ce333bcd712c7bb19a58b9e2089d4057d0798"},
- {file = "pytz-2021.1.tar.gz", hash = "sha256:83a4a90894bf38e243cf052c8b58f381bfe9a7a483f6a9cab140bc7f702ac4da"},
+ {file = "pytz-2021.3-py2.py3-none-any.whl", hash = "sha256:3672058bc3453457b622aab7a1c3bfd5ab0bdae451512f6cf25f64ed37f5b87c"},
+ {file = "pytz-2021.3.tar.gz", hash = "sha256:acad2d8b20a1af07d4e4c9d2e9285c5ed9104354062f275f3fcd88dcef4f1326"},
]
requests = [
- {file = "requests-2.25.1-py2.py3-none-any.whl", hash = "sha256:c210084e36a42ae6b9219e00e48287def368a26d03a048ddad7bfee44f75871e"},
- {file = "requests-2.25.1.tar.gz", hash = "sha256:27973dd4a904a4f13b263a19c866c13b92a39ed1c964655f025f3f8d3d75b804"},
+ {file = "requests-2.26.0-py2.py3-none-any.whl", hash = "sha256:6c1246513ecd5ecd4528a0906f910e8f0f9c6b8ec72030dc9fd154dc1a6efd24"},
+ {file = "requests-2.26.0.tar.gz", hash = "sha256:b8aa58f8cf793ffd8782d3d8cb19e66ef36f7aba4353eec859e74678b01b07a7"},
]
s3transfer = [
- {file = "s3transfer-0.3.6-py2.py3-none-any.whl", hash = "sha256:5d48b1fd2232141a9d5fb279709117aaba506cacea7f86f11bc392f06bfa8fc2"},
- {file = "s3transfer-0.3.6.tar.gz", hash = "sha256:c5dadf598762899d8cfaecf68eba649cd25b0ce93b6c954b156aaa3eed160547"},
+ {file = "s3transfer-0.5.0-py3-none-any.whl", hash = "sha256:9c1dc369814391a6bda20ebbf4b70a0f34630592c9aa520856bf384916af2803"},
+ {file = "s3transfer-0.5.0.tar.gz", hash = "sha256:50ed823e1dc5868ad40c8dc92072f757aa0e653a192845c94a3b676f4a62da4c"},
]
six = [
- {file = "six-1.15.0-py2.py3-none-any.whl", hash = "sha256:8b74bedcbbbaca38ff6d7491d76f2b06b3592611af620f8426e82dddb04a5ced"},
- {file = "six-1.15.0.tar.gz", hash = "sha256:30639c035cdb23534cd4aa2dd52c3bf48f06e5f4a941509c8bafd8ce11080259"},
+ {file = "six-1.16.0-py2.py3-none-any.whl", hash = "sha256:8abb2f1d86890a2dfb989f9a77cfcfd3e47c2a354b01111771326f8aa26e0254"},
+ {file = "six-1.16.0.tar.gz", hash = "sha256:1e61c37477a1626458e36f7b1d82aa5c9b094fa4802892072e49de9c60c4c926"},
]
snowballstemmer = [
{file = "snowballstemmer-2.1.0-py2.py3-none-any.whl", hash = "sha256:b51b447bea85f9968c13b650126a888aabd4cb4463fca868ec596826325dedc2"},
{file = "snowballstemmer-2.1.0.tar.gz", hash = "sha256:e997baa4f2e9139951b6f4c631bad912dfd3c792467e2f03d7239464af90e914"},
]
sphinx = [
- {file = "Sphinx-3.5.3-py3-none-any.whl", hash = "sha256:3f01732296465648da43dec8fb40dc451ba79eb3e2cc5c6d79005fd98197107d"},
- {file = "Sphinx-3.5.3.tar.gz", hash = "sha256:ce9c228456131bab09a3d7d10ae58474de562a6f79abb3dc811ae401cf8c1abc"},
+ {file = "Sphinx-3.5.4-py3-none-any.whl", hash = "sha256:2320d4e994a191f4b4be27da514e46b3d6b420f2ff895d064f52415d342461e8"},
+ {file = "Sphinx-3.5.4.tar.gz", hash = "sha256:19010b7b9fa0dc7756a6e105b2aacd3a80f798af3c25c273be64d7beeb482cb1"},
]
sphinxcontrib-applehelp = [
{file = "sphinxcontrib-applehelp-1.0.2.tar.gz", hash = "sha256:a072735ec80e7675e3f432fcae8610ecf509c5f1869d17e2eecff44389cdbc58"},
@@ -807,8 +838,8 @@ sphinxcontrib-devhelp = [
{file = "sphinxcontrib_devhelp-1.0.2-py2.py3-none-any.whl", hash = "sha256:8165223f9a335cc1af7ffe1ed31d2871f325254c0423bc0c4c7cd1c1e4734a2e"},
]
sphinxcontrib-htmlhelp = [
- {file = "sphinxcontrib-htmlhelp-1.0.3.tar.gz", hash = "sha256:e8f5bb7e31b2dbb25b9cc435c8ab7a79787ebf7f906155729338f3156d93659b"},
- {file = "sphinxcontrib_htmlhelp-1.0.3-py2.py3-none-any.whl", hash = "sha256:3c0bc24a2c41e340ac37c85ced6dafc879ab485c095b1d65d2461ac2f7cca86f"},
+ {file = "sphinxcontrib-htmlhelp-2.0.0.tar.gz", hash = "sha256:f5f8bb2d0d629f398bf47d0d69c07bc13b65f75a81ad9e2f71a63d4b7a2f6db2"},
+ {file = "sphinxcontrib_htmlhelp-2.0.0-py2.py3-none-any.whl", hash = "sha256:d412243dfb797ae3ec2b59eca0e52dac12e75a241bf0e4eb861e450d06c6ed07"},
]
sphinxcontrib-jsmath = [
{file = "sphinxcontrib-jsmath-1.0.1.tar.gz", hash = "sha256:a9925e4a4587247ed2191a22df5f6970656cb8ca2bd6284309578f2153e0c4b8"},
@@ -819,19 +850,19 @@ sphinxcontrib-qthelp = [
{file = "sphinxcontrib_qthelp-1.0.3-py2.py3-none-any.whl", hash = "sha256:bd9fc24bcb748a8d51fd4ecaade681350aa63009a347a8c14e637895444dfab6"},
]
sphinxcontrib-serializinghtml = [
- {file = "sphinxcontrib-serializinghtml-1.1.4.tar.gz", hash = "sha256:eaa0eccc86e982a9b939b2b82d12cc5d013385ba5eadcc7e4fed23f4405f77bc"},
- {file = "sphinxcontrib_serializinghtml-1.1.4-py2.py3-none-any.whl", hash = "sha256:f242a81d423f59617a8e5cf16f5d4d74e28ee9a66f9e5b637a18082991db5a9a"},
+ {file = "sphinxcontrib-serializinghtml-1.1.5.tar.gz", hash = "sha256:aa5f6de5dfdf809ef505c4895e51ef5c9eac17d0f287933eb49ec495280b6952"},
+ {file = "sphinxcontrib_serializinghtml-1.1.5-py2.py3-none-any.whl", hash = "sha256:352a9a00ae864471d3a7ead8d7d79f5fc0b57e8b3f95e9867eb9eb28999b92fd"},
]
typeguard = [
- {file = "typeguard-2.11.1-py3-none-any.whl", hash = "sha256:c62706201ec6c14962162fa67d70bd2762753247533d70ff2442e5ac08f94fa2"},
- {file = "typeguard-2.11.1.tar.gz", hash = "sha256:33243c1cbfcb9736a06c6db22dd08876b5f297e6344aa272a2862c0f8e669f64"},
+ {file = "typeguard-2.13.0-py3-none-any.whl", hash = "sha256:0bc44d1ff865b522eda969627868b0e001c8329296ce50aededbea03febc79ee"},
+ {file = "typeguard-2.13.0.tar.gz", hash = "sha256:04e38f92eb59410c9375d3be23df65e0a7643f2e8bcbd421423d808d2f9e99df"},
]
typing-extensions = [
- {file = "typing_extensions-3.7.4.3-py2-none-any.whl", hash = "sha256:dafc7639cde7f1b6e1acc0f457842a83e722ccca8eef5270af2d74792619a89f"},
- {file = "typing_extensions-3.7.4.3-py3-none-any.whl", hash = "sha256:7cb407020f00f7bfc3cb3e7881628838e69d8f3fcab2f64742a5e76b2f841918"},
- {file = "typing_extensions-3.7.4.3.tar.gz", hash = "sha256:99d4073b617d30288f569d3f13d2bd7548c3a7e4c8de87db09a9d29bb3a4a60c"},
+ {file = "typing_extensions-3.10.0.2-py2-none-any.whl", hash = "sha256:d8226d10bc02a29bcc81df19a26e56a9647f8b0a6d4a83924139f4a8b01f17b7"},
+ {file = "typing_extensions-3.10.0.2-py3-none-any.whl", hash = "sha256:f1d25edafde516b146ecd0613dabcc61409817af4766fbbcfb8d1ad4ec441a34"},
+ {file = "typing_extensions-3.10.0.2.tar.gz", hash = "sha256:49f75d16ff11f1cd258e1b988ccff82a3ca5570217d7ad8c5f48205dd99a677e"},
]
urllib3 = [
- {file = "urllib3-1.26.4-py2.py3-none-any.whl", hash = "sha256:2f4da4594db7e1e110a944bb1b551fdf4e6c136ad42e4234131391e21eb5b0df"},
- {file = "urllib3-1.26.4.tar.gz", hash = "sha256:e7b021f7241115872f92f43c6508082facffbd1c048e3c6e2bb9c2a157e28937"},
+ {file = "urllib3-1.26.7-py2.py3-none-any.whl", hash = "sha256:c4fdf4019605b6e5423637e01bc9fe4daef873709a7973e195ceba0a62bbc844"},
+ {file = "urllib3-1.26.7.tar.gz", hash = "sha256:4987c65554f7a2dbf30c18fd48778ef124af6fab771a377103da0585e2336ece"},
]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/node-problem-detector/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/node-problem-detector/default.nix
index f89e9f9ff3b..a584fee3b4c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/node-problem-detector/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/node-problem-detector/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "node-problem-detector";
- version = "0.8.9";
+ version = "0.8.10";
src = fetchFromGitHub {
owner = "kubernetes";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-P7niTGe0uzg2R1UHrPWbU4tOhOA1OwlP3dslZPwuF0A=";
+ sha256 = "sha256-phuXsioSLO/jl1l5dwV/emoirJfgGXQSmeQHSImxm2U=";
};
vendorSha256 = null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nomad/1.0.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nomad/1.0.nix
index 4966c42b9a4..53430cdf94e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nomad/1.0.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nomad/1.0.nix
@@ -6,6 +6,6 @@
callPackage ./generic.nix {
inherit buildGoPackage nvidia_x11 nvidiaGpuSupport;
- version = "1.0.9";
- sha256 = "0ml6l5xq1310ib5zqfdwlxmsmhpc5ybd05z7pc6zgxbma1brxdv4";
+ version = "1.0.12";
+ sha256 = "04fqliz7y4zzs4xraid54mqxwgrzh138nmfcs876vp534slvikpi";
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nomad/1.1.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nomad/1.1.nix
index e9c4ff8c967..b8c58e9c347 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nomad/1.1.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/nomad/1.1.nix
@@ -6,7 +6,7 @@
callPackage ./genericModule.nix {
inherit buildGoModule nvidia_x11 nvidiaGpuSupport;
- version = "1.1.3";
- sha256 = "0jpc8ff56k9q2kv9l86y3p8h3gqbvx6amvs0cw8sp4i7dqd2ihz2";
- vendorSha256 = "0az4gr7292lfr5wrwbkdknrigqm15lkbnf5mh517hl3yzv4pb8yr";
+ version = "1.1.6";
+ sha256 = "1q6fqay1s9qwimjwlldc8hr6009cgx3ghz142mdx36jjv9isj9ln";
+ vendorSha256 = "0rfd22rf76mwj489zhswah4g3dhhz6davm336xgm9dbnyaz9d8r0";
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/octant/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/octant/default.nix
index fabdc7d5ab5..257c37ff328 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/octant/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/octant/default.nix
@@ -2,7 +2,7 @@
stdenv.mkDerivation rec {
pname = "octant";
- version = "0.23.0";
+ version = "0.24.0";
src =
let
@@ -19,10 +19,10 @@ stdenv.mkDerivation rec {
};
in
fetchsrc version {
- x86_64-linux = "sha256-wnm4Zprlao+zbWVJNY4BsAT1fFMft7luyDaW2HfNotg=";
- aarch64-linux = "sha256-eYvfLUelSrmdY9dbgAlPm7en88hsdCnejJGq2Gz4DN0=";
- x86_64-darwin = "sha256-NXMQ4mbYfIK+arGWZVhQk5iAw6AZM9PAGJ9CEC/rmgA=";
- aarch64-darwin = "sha256-WqlbiDV2CuBYr6IXQ8vaESxKNZGp9vBj683igazcmwM=";
+ x86_64-linux = "sha256-fvGVcp6SpHY0UuWurRuypDXbWEs565bK1Peg0Q4Y0m8=";
+ aarch64-linux = "sha256-7h8l4Pm34UCZ5NhD1scM1c5sM4ePGLDRGAQBfI5vSHI=";
+ x86_64-darwin = "sha256-2S+D5Gg98GEL5L6bC8ZUSEJXFs74ZaZlNkYHYJYZvqw=";
+ aarch64-darwin = "sha256-rEhMX+v2sjsmc1p22uscjIyhcnpv2fWjgEnU+lUq9RE=";
};
dontConfigure = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/octant/plugins/starboard-octant-plugin.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/octant/plugins/starboard-octant-plugin.nix
index 9679f5bd2e0..4d3662ea875 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/octant/plugins/starboard-octant-plugin.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/octant/plugins/starboard-octant-plugin.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "starboard-octant-plugin";
- version = "0.11.0";
+ version = "0.12.0";
src = fetchFromGitHub {
owner = "aquasecurity";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-XHc/1rqTEVOjCm0kFniUmmjVeRsr9Npt0OpQ6Oy7Rxo=";
+ sha256 = "sha256-JTSZtIRVFdUjhQsp2EMukeoVIo6nNx4xofq+3iOZUIk=";
};
- vendorSha256 = "sha256-EM0lPwwWJuLD+aqZWshz1ILaeEtUU4wJ0Puwv1Ikgf4=";
+ vendorSha256 = "sha256-1zrB+CobUBgdpBHRJPpfDYCD6oVWY4j4Met9EqNQQbE=";
ldflags = [
"-s" "-w"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/pgo-client/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/pgo-client/default.nix
index 8c74602465c..87bde3c2edd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/pgo-client/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/pgo-client/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "pgo-client";
- version = "4.7.2";
+ version = "4.7.3";
src = fetchFromGitHub {
owner = "CrunchyData";
repo = "postgres-operator";
rev = "v${version}";
- sha256 = "sha256-SUv5896Ao+EQEM3Mb//rTDVXJgbK/cOGKBeazF/USfQ=";
+ sha256 = "sha256-nIflJLHhzEMq4RZUHjZYvBW+cxsi/gc9ZnMoGCesbrc=";
};
vendorSha256 = "sha256-m8b6Lh6it67A6cppdBDX4X0u7Kde4GQz9wln/TrHVwI=";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/qbec/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/qbec/default.nix
index f5fb6ee234c..a83bf805d87 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/qbec/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/qbec/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "qbec";
- version = "0.14.6";
+ version = "0.14.8";
src = fetchFromGitHub {
owner = "splunk";
repo = "qbec";
rev = "v${version}";
- sha256 = "sha256-zsabEYmbWW6lwqyqpPIgCmA4PE6F5Byb8KT/PlLSlvY=";
+ sha256 = "sha256-awuYmazBx7zv/WuDsePzdWNRcpAzLK7lf4L2W2Jbt3A=";
};
vendorSha256 = "sha256-VOBRQJzATaY9DNRhZvYTRpoISikbzUAwS/1hUfce/44=";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/rancher/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/rancher/default.nix
new file mode 100644
index 00000000000..665b2091edc
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/rancher/default.nix
@@ -0,0 +1,41 @@
+{ lib, buildGoModule, fetchFromGitHub }:
+
+buildGoModule rec {
+ pname = "rancher-cli";
+ version = "2.4.13";
+
+ src = fetchFromGitHub {
+ owner = "rancher";
+ repo = "cli";
+ rev = "v${version}";
+ sha256 = "sha256-tkAnbQP35P+ZEE/WTpjgjdmvt0eJ0esKJ+I21cWraEI=";
+ };
+
+ ldflags = [
+ "-w"
+ "-s"
+ "-X main.VERSION=${version}"
+ "-extldflags"
+ "-static"
+ ];
+
+ vendorSha256 = "sha256-agXztvvrMEoa6bo/bQr3qhinOSj7bFnZ4kzTx4F0VxQ=";
+
+ postInstall = ''
+ mv $out/bin/cli $out/bin/rancher
+ '';
+
+ doCheck = true;
+
+ doInstallCheck = true;
+ installCheckPhase = ''
+ $out/bin/rancher | grep ${version} > /dev/null
+ '';
+
+ meta = with lib; {
+ description = "The Rancher Command Line Interface (CLI) is a unified tool for interacting with your Rancher Server";
+ homepage = "https://github.com/rancher/cli";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ bryanasdev000 ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/spark/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/spark/default.nix
index 76230b8e100..af194afafa9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/spark/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/spark/default.nix
@@ -1,56 +1,75 @@
-{ lib, stdenv, fetchzip, makeWrapper, jre, pythonPackages, coreutils, hadoop
+{ lib, stdenv, fetchzip, makeWrapper, jdk8, python3Packages, extraPythonPackages ? [], coreutils, hadoop
, RSupport? true, R
}:
with lib;
-stdenv.mkDerivation rec {
+let
+ spark = { pname, version, src }:
+ stdenv.mkDerivation rec {
+ inherit pname version src;
+ nativeBuildInputs = [ makeWrapper ];
+ buildInputs = [ jdk8 python3Packages.python ]
+ ++ extraPythonPackages
+ ++ optional RSupport R;
- pname = "spark";
- version = "2.4.4";
+ untarDir = "${pname}-${version}";
+ installPhase = ''
+ mkdir -p $out/{lib/${untarDir}/conf,bin,/share/java}
+ mv * $out/lib/${untarDir}
- src = fetchzip {
- url = "mirror://apache/spark/${pname}-${version}/${pname}-${version}-bin-without-hadoop.tgz";
- sha256 = "1a9w5k0207fysgpxx6db3a00fs5hdc2ncx99x4ccy2s0v5ndc66g";
+ cp $out/lib/${untarDir}/conf/log4j.properties{.template,}
+
+ cat > $out/lib/${untarDir}/conf/spark-env.sh <<- EOF
+ export JAVA_HOME="${jdk8}"
+ export SPARK_HOME="$out/lib/${untarDir}"
+ export SPARK_DIST_CLASSPATH=$(${hadoop}/bin/hadoop classpath)
+ export PYSPARK_PYTHON="${python3Packages.python}/bin/${python3Packages.python.executable}"
+ export PYTHONPATH="\$PYTHONPATH:$PYTHONPATH"
+ ${optionalString RSupport ''
+ export SPARKR_R_SHELL="${R}/bin/R"
+ export PATH="\$PATH:${R}/bin"''}
+ EOF
+
+ for n in $(find $out/lib/${untarDir}/bin -type f ! -name "*.*"); do
+ makeWrapper "$n" "$out/bin/$(basename $n)"
+ substituteInPlace "$n" --replace dirname ${coreutils.out}/bin/dirname
+ done
+ for n in $(find $out/lib/${untarDir}/sbin -type f); do
+ # Spark deprecated scripts with "slave" in the name.
+ # This line adds forward compatibility with the nixos spark module for
+ # older versions of spark that don't have the new "worker" scripts.
+ ln -s "$n" $(echo "$n" | sed -r 's/slave(s?).sh$/worker\1.sh/g') || true
+ done
+ ln -s $out/lib/${untarDir}/lib/spark-assembly-*.jar $out/share/java
+ '';
+
+ meta = {
+ description = "Apache Spark is a fast and general engine for large-scale data processing";
+ homepage = "http://spark.apache.org";
+ license = lib.licenses.asl20;
+ platforms = lib.platforms.all;
+ maintainers = with maintainers; [ thoughtpolice offline kamilchm illustris ];
+ repositories.git = "git://git.apache.org/spark.git";
+ };
+ };
+in {
+ spark3 = spark rec {
+ pname = "spark";
+ version = "3.1.2";
+
+ src = fetchzip {
+ url = "mirror://apache/spark/${pname}-${version}/${pname}-${version}-bin-without-hadoop.tgz";
+ sha256 = "1bgh2y6jm7wqy6yc40rx68xkki31i3jiri2yixb1bm0i9pvsj9yf";
+ };
};
+ spark2 = spark rec {
+ pname = "spark";
+ version = "2.4.8";
- nativeBuildInputs = [ makeWrapper ];
- buildInputs = [ jre pythonPackages.python pythonPackages.numpy ]
- ++ optional RSupport R;
-
- untarDir = "${pname}-${version}-bin-without-hadoop";
- installPhase = ''
- mkdir -p $out/{lib/${untarDir}/conf,bin,/share/java}
- mv * $out/lib/${untarDir}
-
- sed -e 's/INFO, console/WARN, console/' < \
- $out/lib/${untarDir}/conf/log4j.properties.template > \
- $out/lib/${untarDir}/conf/log4j.properties
-
- cat > $out/lib/${untarDir}/conf/spark-env.sh <<- EOF
- export JAVA_HOME="${jre}"
- export SPARK_HOME="$out/lib/${untarDir}"
- export SPARK_DIST_CLASSPATH=$(${hadoop}/bin/hadoop classpath)
- export PYSPARK_PYTHON="${pythonPackages.python}/bin/${pythonPackages.python.executable}"
- export PYTHONPATH="\$PYTHONPATH:$PYTHONPATH"
- ${optionalString RSupport
- ''export SPARKR_R_SHELL="${R}/bin/R"
- export PATH=$PATH:"${R}/bin/R"''}
- EOF
-
- for n in $(find $out/lib/${untarDir}/bin -type f ! -name "*.*"); do
- makeWrapper "$n" "$out/bin/$(basename $n)"
- substituteInPlace "$n" --replace dirname ${coreutils.out}/bin/dirname
- done
- ln -s $out/lib/${untarDir}/lib/spark-assembly-*.jar $out/share/java
- '';
-
- meta = {
- description = "Apache Spark is a fast and general engine for large-scale data processing";
- homepage = "http://spark.apache.org";
- license = lib.licenses.asl20;
- platforms = lib.platforms.all;
- maintainers = with maintainers; [ thoughtpolice offline kamilchm ];
- repositories.git = "git://git.apache.org/spark.git";
+ src = fetchzip {
+ url = "mirror://apache/spark/${pname}-${version}/${pname}-${version}-bin-without-hadoop.tgz";
+ sha256 = "1mkyq0gz9fiav25vr0dba5ivp0wh0mh7kswwnx8pvsmb6wbwyfxv";
+ };
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/ssm-session-manager-plugin/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/ssm-session-manager-plugin/default.nix
index 15a3b0ac24c..089f0b7bd97 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/ssm-session-manager-plugin/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/ssm-session-manager-plugin/default.nix
@@ -1,17 +1,14 @@
{ stdenv, lib, fetchurl, autoPatchelfHook, dpkg, awscli, unzip }:
let
- ver = "1.2.7.0";
- source = if stdenv.isDarwin then {
- url =
- "https://s3.amazonaws.com/session-manager-downloads/plugin/${ver}/mac/sessionmanager-bundle.zip";
- sha256 = "sha256-HP+opNjS53zR9eUxpNUHGD9rZN1z7lDc6+nONR8fa/s=";
- } else {
- url =
- "https://s3.amazonaws.com/session-manager-downloads/plugin/${ver}/ubuntu_64bit/session-manager-plugin.deb";
- sha256 = "sha256-EZ9ncj1YYlod1RLfXOpZFijnKjLYWYVBb+C6yd42l34=";
- };
- platformBuildInput = if stdenv.isDarwin then [ unzip ] else [ dpkg ];
- unpackCmd = if stdenv.isDarwin then "unzip $src" else "dpkg-deb -x $src .";
+ ver = "1.2.54.0";
+ source =
+ if stdenv.isDarwin then {
+ url = "https://s3.amazonaws.com/session-manager-downloads/plugin/${ver}/mac/sessionmanager-bundle.zip";
+ sha256 = "kgxoQrtu2tsV5t/3oA+Z2juY24hPOznPGjlQMsqOIZg=";
+ } else {
+ url = "https://s3.amazonaws.com/session-manager-downloads/plugin/${ver}/ubuntu_64bit/session-manager-plugin.deb";
+ sha256 = "uug1cT4yRxNQcf+zWz0mi72G4EGa3eZHVuG36INSqrM=";
+ };
archivePath = if stdenv.isDarwin then "sessionmanager-bundle" else "usr/local/sessionmanagerplugin";
in
stdenv.mkDerivation rec {
@@ -20,13 +17,19 @@ stdenv.mkDerivation rec {
src = fetchurl source;
- nativeBuildInputs = [ autoPatchelfHook ] ++ platformBuildInput;
+ nativeBuildInputs = [ autoPatchelfHook ] ++ (if stdenv.isDarwin then [ unzip ] else [ dpkg ]);
buildInputs = [ awscli ];
- unpackPhase = unpackCmd;
+ unpackPhase = if stdenv.isDarwin then "unzip $src" else "dpkg-deb -x $src .";
+
+ installPhase = ''
+ runHook preInstall
+
+ install -m755 -D ${archivePath}/bin/session-manager-plugin $out/bin/session-manager-plugin
- installPhase = "install -m755 -D ${archivePath}/bin/session-manager-plugin $out/bin/session-manager-plugin";
+ runHook postInstall
+ '';
meta = with lib; {
homepage =
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/starboard/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/starboard/default.nix
index 066b70e8e25..6c22203eaaf 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/starboard/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/starboard/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "starboard";
- version = "0.11.0";
+ version = "0.12.0";
src = fetchFromGitHub {
owner = "aquasecurity";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-NV37K5JUfGPK8TwCi/4XY7MQUvp76vzdxsHUNPlYpYk=";
+ sha256 = "sha256-6QIQsxqTKERo5x2Knv4IBeNt5KjvfoW0ryFJLlALqrA=";
};
- vendorSha256 = "sha256-4CmAf1s+tK7cKxwetgv0YewLLROsZ5g1Zd30FCep5k8=";
+ vendorSha256 = "sha256-r6wMSeW5Et6hYwoEKufmcOmucuHlYuBDOMuXXMT4W2Y=";
# Don't build and check the integration tests
excludedPackages = "itest";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/stern/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/stern/default.nix
index 74508a73227..959c40637fb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/stern/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/stern/default.nix
@@ -4,16 +4,16 @@ let isCrossBuild = stdenv.hostPlatform != stdenv.buildPlatform;
in
buildGoModule rec {
pname = "stern";
- version = "1.20.0";
+ version = "1.20.1";
src = fetchFromGitHub {
owner = "stern";
repo = "stern";
rev = "v${version}";
- sha256 = "sha256-y8FkQBZHg4LYC8CmwQSg2oZjIrlY30tL/OkfnT+XsMM=";
+ sha256 = "sha256-JredVk2hjnhoaJ9bT7D5k35skBNjcEBLa6GgO8dB2+U=";
};
- vendorSha256 = "sha256-217OKXT072hpq4a6JEev4rSR8uUoPdDbOR7KUkhpM9E=";
+ vendorSha256 = "sha256-ybsDB54kkbONi3b9URtNUusHIdUfzymOpYlNHJz4i2A=";
subPackages = [ "." ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/tanka/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/tanka/default.nix
index 6aee37c57ed..e1ea0a690c9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/tanka/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/tanka/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "tanka";
- version = "0.17.0";
+ version = "0.17.3";
src = fetchFromGitHub {
owner = "grafana";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-9UfSKMyapmDyikRqs7UiA4YYcvj/Tin9pRqC9iFLPWE=";
+ sha256 = "sha256-Khu6ovtcXkqqt3W4OoJ09INgv80tw/6uDcJS+jt3y0Y=";
};
vendorSha256 = "sha256-vpm2y/CxRNWkz6+AOMmmZH5AjRQWAa6WD5Fnx5lqJYw=";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/temporal/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/temporal/default.nix
index 064bd453301..60fdc690232 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/temporal/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/temporal/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "temporal";
- version = "1.11.4";
+ version = "1.12.0";
src = fetchFromGitHub {
owner = "temporalio";
repo = "temporal";
rev = "v${version}";
- sha256 = "sha256-JVwFNhWAoZk3ZRl180KgE94DQPbowRya9irwwJQyN3g=";
+ sha256 = "1gdks7pzaqrsdihh2m3v597x0dw2qww95jlznj0h112jgicanimj";
};
- vendorSha256 = "sha256-eO/23MQpdXQNPCIzMC9nxvrgUFuEPABJ7vkBZKv+XZI=";
+ vendorSha256 = "sha256-dGmd6tCUKoK4uwhB5kXGOpXemtLn0VssabDE4iQWEAw=";
# Errors:
# > === RUN TestNamespaceHandlerGlobalNamespaceDisabledSuite
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terraform-providers/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terraform-providers/default.nix
index 48923330afe..54959e45831 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terraform-providers/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terraform-providers/default.nix
@@ -39,25 +39,28 @@ let
passthru = data;
};
- # These providers are managed with the ./update-all script
- automated-providers = lib.mapAttrs (_: attrs:
+ # Our generic constructor to build new providers
+ mkProvider = attrs:
(if (lib.hasAttr "vendorSha256" attrs) then buildWithGoModule else buildWithGoPackage)
- attrs) list;
+ attrs;
+
+ # These providers are managed with the ./update-all script
+ automated-providers = lib.mapAttrs (_: attrs: mkProvider attrs) list;
# These are the providers that don't fall in line with the default model
special-providers = {
# Packages that don't fit the default model
- ansible = callPackage ./ansible {};
- cloudfoundry = callPackage ./cloudfoundry {};
- gandi = callPackage ./gandi {};
- hcloud = callPackage ./hcloud {};
- libvirt = callPackage ./libvirt {};
- linuxbox = callPackage ./linuxbox {};
- lxd = callPackage ./lxd {};
- vpsadmin = callPackage ./vpsadmin {};
- vercel = callPackage ./vercel {};
+ ansible = callPackage ./ansible { };
+ cloudfoundry = callPackage ./cloudfoundry { };
+ gandi = callPackage ./gandi { };
+ hcloud = callPackage ./hcloud { };
+ libvirt = callPackage ./libvirt { };
+ linuxbox = callPackage ./linuxbox { };
+ lxd = callPackage ./lxd { };
+ vpsadmin = callPackage ./vpsadmin { };
+ vercel = callPackage ./vercel { };
} // (lib.optionalAttrs (config.allowAliases or false) {
kubernetes-alpha = throw "This has been merged as beta into the kubernetes provider. See https://www.hashicorp.com/blog/beta-support-for-crds-in-the-terraform-provider-for-kubernetes for details";
});
in
- automated-providers // special-providers
+automated-providers // special-providers // { inherit mkProvider; }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terraform-providers/providers.json b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terraform-providers/providers.json
index 3779472fdf1..7be9c9cc742 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terraform-providers/providers.json
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terraform-providers/providers.json
@@ -563,10 +563,10 @@
"owner": "hashicorp",
"provider-source-address": "registry.terraform.io/hashicorp/kubernetes",
"repo": "terraform-provider-kubernetes",
- "rev": "v2.4.1",
- "sha256": "0mk0f12yy58gjkki7xpf9bjfw9h9zdgby2b4bddqp5csq11payhd",
+ "rev": "v2.5.0",
+ "sha256": "1hp3bwhlfiwf1a4l6xfldwdxmyjs4nq3n8g343grjya7ibbhh4sg",
"vendorSha256": null,
- "version": "2.4.1"
+ "version": "2.5.0"
},
"launchdarkly": {
"owner": "terraform-providers",
@@ -664,11 +664,13 @@
"version": "1.2.0"
},
"netlify": {
- "owner": "terraform-providers",
+ "owner": "AegirHealth",
+ "provider-source-address": "registry.terraform.io/AegirHealth/netlify",
"repo": "terraform-provider-netlify",
- "rev": "v0.4.0",
- "sha256": "07xds84k2vgpvn2cy3id7hmzg57sz2603zs4msn3ysxmi28lmqyg",
- "version": "0.4.0"
+ "rev": "v0.6.12",
+ "sha256": "0h3ff1ligjvvlmhghx9g92an79b26nyyq5sq4cdsf6psvwfa2kzd",
+ "vendorSha256": null,
+ "version": "0.6.12"
},
"newrelic": {
"owner": "terraform-providers",
@@ -766,11 +768,13 @@
"version": "0.1.1"
},
"openstack": {
- "owner": "terraform-providers",
+ "owner": "terraform-provider-openstack",
+ "provider-source-address": "registry.terraform.io/terraform-provider-openstack/openstack",
"repo": "terraform-provider-openstack",
- "rev": "v1.28.0",
- "sha256": "1g2nxv312ddvkgpph17m9sh4zmy5ddj8gqwnfb3frbfbbamrgar6",
- "version": "1.28.0"
+ "rev": "v1.43.1",
+ "sha256": "0n6r88p3a6p8p0gjys2r1kcgkwq450jmyd741g45lxmaf3jz2ynb",
+ "vendorSha256": "0k4srszs8xgf8gz4fa7ysqyww52d7kvqy6zf22f1gkcjyiks9pl7",
+ "version": "1.43.1"
},
"opentelekomcloud": {
"owner": "terraform-providers",
@@ -1091,12 +1095,13 @@
"version": "0.1.0"
},
"vault": {
- "owner": "terraform-providers",
+ "owner": "hashicorp",
"provider-source-address": "registry.terraform.io/hashicorp/vault",
"repo": "terraform-provider-vault",
- "rev": "v2.11.0",
- "sha256": "1yzakc7jp0rs9axnfdqw409asrbjhq0qa7xn4xzpi7m94g1ii12d",
- "version": "2.11.0"
+ "rev": "v2.24.1",
+ "sha256": "1xk14q06js774lqyylkbp53dnlsbgh3vi38mqqmndh80xigs6d99",
+ "version": "2.24.1",
+ "vendorSha256": "1ksla455qfgxpk2dmq3pg52nyyw3v0bg6fm5s60j6cb0lzvjbq48"
},
"vcd": {
"owner": "terraform-providers",
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terraform/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terraform/default.nix
index e230313f050..ba034056c95 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terraform/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terraform/default.nix
@@ -114,7 +114,7 @@ let
passthru = {
withPlugins = newplugins:
withPlugins (x: newplugins x ++ actualPlugins);
- full = withPlugins lib.attrValues;
+ full = withPlugins (p: lib.filter lib.isDerivation (lib.attrValues p));
# Ouch
overrideDerivation = f:
@@ -195,9 +195,9 @@ rec {
};
terraform_1_0 = mkTerraform {
- version = "1.0.5";
- sha256 = "0nhxrlnwg76iiqs9hj6ls54176df78ys3356nxmd9ip8jx9ix47v";
- vendorSha256 = "08fvp6w8xsv42jjgpr73kyah20g3979rf84ysrq5whvfmrbpzm2f";
+ version = "1.0.9";
+ sha256 = "0g97l53xrcafjrzz5inij4q4aaadibn5ilr5j39a6569pkvcvsh3";
+ vendorSha256 = "00cl42w1mzsi9qd09wydfvp5f2h7lxaay6s2dv0mf47k6h7prf42";
patches = [ ./provider-path-0_15.patch ];
passthru = { inherit plugins; };
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terragrunt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terragrunt/default.nix
index 214d3bfe344..e07b9b88ddc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terragrunt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/terragrunt/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "terragrunt";
- version = "0.31.7";
+ version = "0.35.1";
src = fetchFromGitHub {
owner = "gruntwork-io";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-PCBKuTJ0IbYma584qIPrxGfwOIzHszWH+bW8iy0OUvo=";
+ sha256 = "sha256-DCum3vCrN530Z0VW0WEoLtjN+kre/mU9O+sJxckZgfc=";
};
vendorSha256 = "sha256-y84EFmoJS4SeA5YFIVFU0iWa5NnjU5yvOj7OFE+jGN0=";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/tilt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/tilt/default.nix
index b4e44a05d82..eee449de53e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/tilt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/tilt/default.nix
@@ -5,13 +5,13 @@ buildGoModule rec {
/* Do not use "dev" as a version. If you do, Tilt will consider itself
running in development environment and try to serve assets from the
source tree, which is not there once build completes. */
- version = "0.20.5";
+ version = "0.22.9";
src = fetchFromGitHub {
owner = "tilt-dev";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-pUKKHrShED7yp5WSmHSbS+eiYs22Nm2/ouc2a8WYc38=";
+ sha256 = "sha256-h19Cj4ztgypT/j1gB+PbvgDJ7jlJ3CYPEbK62tJeMss=";
};
vendorSha256 = null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/velero/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/velero/default.nix
index 76babadc023..ecef3650683 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/velero/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cluster/velero/default.nix
@@ -3,15 +3,15 @@
buildGoModule rec {
pname = "velero";
# When updating, change the commit underneath
- version = "1.6.3";
- commit = "8c9cdb9603446760452979dc77f93b17054ea1cc";
+ version = "1.7.0";
+ commit = "9e52260568430ecb77ac38a677ce74267a8c2176";
src = fetchFromGitHub {
- rev = "v${version}";
owner = "vmware-tanzu";
repo = "velero";
- sha256 = "sha256-oFDTjpcwlvSiAROG/EKYRCD+qKyZXu1gKotBcD0dfvk=";
+ rev = "v${version}";
+ sha256 = "sha256-n5Rk+Fyb6yAI5sRZi+WE1KyQZyGryZSP4yd/gmmsQxw=";
};
ldflags = [
@@ -21,9 +21,9 @@ buildGoModule rec {
"-X github.com/vmware-tanzu/velero/pkg/buildinfo.GitTreeState=clean"
];
- vendorSha256 = "sha256-ypgrdv6nVW+AAwyVsiROXs6jGgDTodGrGqiT2s5elOU=";
+ vendorSha256 = "sha256-qsRbwLKNnuQRIsx0+sfOfR2OQ0+el0vptxz7mMew7zY=";
- excludedPackages = [ "issue-template-gen" "crd-gen" "release-tools" "velero-restic-restore-helper" ];
+ excludedPackages = [ "issue-template-gen" "crd-gen" "release-tools" "velero-restic-restore-helper" "v1" "v1beta1" ];
doCheck = false; # Tests expect a running cluster see https://github.com/vmware-tanzu/velero/tree/main/test/e2e
doInstallCheck = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cozy-drive/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cozy-drive/default.nix
new file mode 100644
index 00000000000..57a791d9db4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/cozy-drive/default.nix
@@ -0,0 +1,35 @@
+{ lib
+, fetchurl
+, appimageTools
+}:
+
+let
+ pname = "cozydrive";
+ version = "3.30.1";
+ name = "${pname}-${version}";
+
+ src = fetchurl {
+ url = "https://github.com/cozy-labs/cozy-desktop/releases/download/v${version}/Cozy-Drive-${version}-x86_64.AppImage";
+ sha256 = "06w305l5iadd4k70jvrvw2scwlfxycign2nz0f2vrwwhqy8bpfqs";
+ };
+ appimageContents = appimageTools.extract { inherit name src; };
+
+in
+appimageTools.wrapType2 {
+ inherit name src;
+ extraInstallCommands = ''
+ mv $out/bin/${name} $out/bin/${pname}
+ install -m 444 -D ${appimageContents}/cozydrive.desktop -t $out/share/applications
+ substituteInPlace $out/share/applications/cozydrive.desktop \
+ --replace 'Exec=AppRun' 'Exec=${pname}'
+ cp -r ${appimageContents}/usr/share/icons $out/share
+ '';
+
+ meta = with lib; {
+ description = "Cozy Drive is a synchronization tool for your files and folders with Cozy Cloud.";
+ homepage = "https://cozy.io";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ simarra ];
+ platforms = [ "x86_64-linux" ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/dnscontrol/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/dnscontrol/default.nix
index d767a381ea4..ef956c4f8b8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/dnscontrol/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/dnscontrol/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "dnscontrol";
- version = "3.11.0";
+ version = "3.12.0";
src = fetchFromGitHub {
owner = "StackExchange";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-ExpwJ4lMrYy1WztYo+RYa9jb8slIa3IJk/SUKA1fBKI=";
+ sha256 = "sha256-g3Yb0LAa9Ukp32p0OoXxjmw9RQwyVpi0KXQBIpKunbU=";
};
- vendorSha256 = "sha256-IXA4YNdWR6DWIH4ceif2XcAdwnMr2kCuG3ozagtzsgo=";
+ vendorSha256 = "sha256-RBe9XzvdgE5XWBTUhvAokElNwARgwVhkMwPmdKUroC0=";
subPackages = [ "." ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/feedreaders/newsflash/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/feedreaders/newsflash/default.nix
index 24ec320cc43..012d00026cf 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/feedreaders/newsflash/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/feedreaders/newsflash/default.nix
@@ -21,19 +21,19 @@
stdenv.mkDerivation rec {
pname = "newsflash";
- version = "1.4.2";
+ version = "1.4.3";
src = fetchFromGitLab {
owner = "news-flash";
repo = "news_flash_gtk";
- rev = version;
- hash = "sha256-8W158GrvVGu5b3TG5bomK+hAF6jttZuImkmtcZOl91o=";
+ rev = "v.${version}";
+ hash = "sha256-c/zT+FNRDu7jdooNTEYbeG9jLrL+9txe+aC7nSy4bB0=";
};
cargoDeps = rustPlatform.fetchCargoTarball {
inherit src;
name = "${pname}-${version}";
- hash = "sha256-zHtD3NVWYQ5njg17Z2YmEttiK2oiq01OiAXIZofIqKI=";
+ hash = "sha256-yTElaPSoTiJpfIGzuNJCWxVzdWBzim5rt0N2r0ARhvM=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/feedreaders/rssguard/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/feedreaders/rssguard/default.nix
index b313e0f87cb..7fd2a9684ae 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/feedreaders/rssguard/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/feedreaders/rssguard/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "rssguard";
- version = "3.9.2";
+ version = "4.0.2";
src = fetchFromGitHub {
owner = "martinrotter";
repo = pname;
rev = version;
- sha256 = "sha256-vWKPIm8iqgjeC7BEBzd5wyFRkLstmdqEtdsror+HUgU=";
+ sha256 = "sha256-Q2yVprIB8YeB8bCAau1H2m8QOidX1RrMIRET/fXyNi4=";
};
buildInputs = [ qtwebengine qttools ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/flexget/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/flexget/default.nix
index edf467d5120..96ed5a86a16 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/flexget/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/flexget/default.nix
@@ -2,14 +2,14 @@
python3Packages.buildPythonApplication rec {
pname = "flexget";
- version = "3.1.135";
+ version = "3.1.140";
# Fetch from GitHub in order to use `requirements.in`
src = fetchFromGitHub {
owner = "flexget";
repo = "flexget";
rev = "v${version}";
- sha256 = "01qj9pp3b7qxpv1yzak4ql1d95dq6611crpp4y5z44mg5gmbql7g";
+ sha256 = "15ngmpqqx902l7gxg2lb6h8q8vmjk247jbqhc92l1apr1imjqcc5";
};
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/gmailctl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/gmailctl/default.nix
index 0042e5417df..3e0a20a371c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/gmailctl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/gmailctl/default.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "gmailctl";
- version = "0.8.0";
+ version = "0.9.0";
src = fetchFromGitHub {
owner = "mbrt";
repo = "gmailctl";
rev = "v${version}";
- sha256 = "sha256-UZzpecW4vW1JYUDCcwDIJXCGjw80fgZC4wvCh0DdE98=";
+ sha256 = "sha256-1gOixuOvPHEjnnDNNda9sktnhffovOfeG4XDrLRRMlE=";
};
- vendorSha256 = "sha256-5oVr1qazTzsSNVLvcAsAN8UyrJOeqLjSVinImLOyJlk=";
+ vendorSha256 = "sha256-Yv3OGHFOmenst/ujUgvCaSEjwwBf3W9n+55ztVhuWjo=";
doCheck = false;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/gnome-network-displays/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/gnome-network-displays/default.nix
index 8456720cb7a..6b8ddf9c4f5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/gnome-network-displays/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/gnome-network-displays/default.nix
@@ -21,11 +21,11 @@
stdenv.mkDerivation rec {
pname = "gnome-network-displays";
- version = "0.90.4";
+ version = "0.90.5";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "04snnfz5jxxpjkrwa7dchc2h4shszi8mq9g3ihvsvipgzjw3d498";
+ sha256 = "sha256-2SBVQK4fJeK8Y2UrrL0g5vQIerDdGE1nhFc6ke4oIpI=";
};
patches = [
@@ -36,11 +36,6 @@ stdenv.mkDerivation rec {
url = "https://gitlab.gnome.org/GNOME/gnome-network-displays/-/commit/ef3f3ff565acd8238da46de604a1e750d4f02f07.diff";
sha256 = "1ljiwgqia6am4lansg70qnwkch9mp1fr6bga98s5fwyiaw6b6f4p";
})
- # Fixes an upstream bug: https://gitlab.gnome.org/GNOME/gnome-network-displays/-/issues/147
- (fetchpatch {
- url = "https://gitlab.gnome.org/GNOME/gnome-network-displays/-/commit/23164b58f4d5dd59de988525906d6e5e82c5a63c.patch";
- sha256 = "0x32dvkzv9m04q41aicscpf4aspghx81a65462kjqnsavi64lga5";
- })
];
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ids/zeek/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ids/zeek/default.nix
index e70d6c187c2..20623ac3182 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ids/zeek/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ids/zeek/default.nix
@@ -21,11 +21,11 @@
stdenv.mkDerivation rec {
pname = "zeek";
- version = "4.1.0";
+ version = "4.1.1";
src = fetchurl {
url = "https://download.zeek.org/zeek-${version}.tar.gz";
- sha256 = "165kva8dgf152ahizqdk0g2y466ij2gyxja5fjxlkxcxr5p357pj";
+ sha256 = "0wq3kjc3zc5ikzwix7k7gr92v75rg6283kx5fzvc3lcdkaczq2lc";
};
nativeBuildInputs = [ cmake flex bison file ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/bitlbee/plugins.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/bitlbee/plugins.nix
index ad68fcb4b79..997882bbc8b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/bitlbee/plugins.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/bitlbee/plugins.nix
@@ -1,20 +1,15 @@
-{ lib, stdenv, bitlbee }:
+{ lib, runCommandLocal, bitlbee }:
with lib;
-plugins:
-
-stdenv.mkDerivation {
- inherit bitlbee plugins;
- name = "bitlbee-plugins";
+plugins: runCommandLocal "bitlbee-plugins" {
+ inherit plugins;
buildInputs = [ bitlbee plugins ];
- phases = [ "installPhase" ];
- installPhase = ''
- mkdir -p $out/lib/bitlbee
- for plugin in $plugins; do
- for thing in $(ls $plugin/lib/bitlbee); do
- ln -s $plugin/lib/bitlbee/$thing $out/lib/bitlbee/
- done
+} ''
+ mkdir -p $out/lib/bitlbee
+ for plugin in $plugins; do
+ for thing in $(ls $plugin/lib/bitlbee); do
+ ln -s $plugin/lib/bitlbee/$thing $out/lib/bitlbee/
done
- '';
-}
+ done
+''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/bluejeans/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/bluejeans/default.nix
index bca1a1affc0..bb87bd38dc6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/bluejeans/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/bluejeans/default.nix
@@ -44,11 +44,11 @@ in
stdenv.mkDerivation rec {
pname = "bluejeans";
- version = "2.23.0.39";
+ version = "2.24.0.89";
src = fetchurl {
url = "https://swdl.bluejeans.com/desktop-app/linux/${getFirst 3 version}/BlueJeans_${version}.rpm";
- sha256 = "sha256-LGg14KJ/hEnSaSrdTltY9YXv7Nekkfo66uLkxjMx8AI=";
+ sha256 = "sha256-rneX8ys/oKfVLavAZk5RJouOZkVsp+9BIAReSeYiKJc=";
};
nativeBuildInputs = [ rpmextract makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/bluejeans/update.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/bluejeans/update.sh
index 2c527462003..3bb7d309e87 100755
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/bluejeans/update.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/bluejeans/update.sh
@@ -3,10 +3,10 @@
set -eu -o pipefail
-version="$(curl -Ls https://www.bluejeans.com/download | \
- pup 'a[aria-label~="Linux"] attr{href}' | \
- #output contains *.deb and *.rpm
- grep "\.rpm" | \
+version="$(curl -Ls https://www.bluejeans.com/downloads | \
+ pup 'a[href$=".rpm"] attr{href}' | \
+ # output contains app and events
+ grep "desktop-app" | \
awk -F'[ ._ ]' '{printf $6"."$7"."$8"."$9"\n"}')"
update-source-version bluejeans-gui "$version"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/chatterino2/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/chatterino2/default.nix
index 075fd5b3a20..99e3a90b60b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/chatterino2/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/chatterino2/default.nix
@@ -2,12 +2,12 @@
mkDerivation rec {
pname = "chatterino2";
- version = "2.3.0";
+ version = "2.3.4";
src = fetchFromGitHub {
owner = "Chatterino";
repo = pname;
rev = "v${version}";
- sha256 = "0x12zcrbkxn2nn0hqkj1amrxv4q032id282cajzsx7by970r1shd";
+ sha256 = "sha256-ZmUM56+YNH98J3XE/mWOOIfb0qBld2n4iuHpImbrU4o=";
fetchSubmodules = true;
};
nativeBuildInputs = [ qmake pkg-config wrapQtAppsHook ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/chatty/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/chatty/default.nix
new file mode 100644
index 00000000000..fe7881de995
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/chatty/default.nix
@@ -0,0 +1,85 @@
+{ lib
+, stdenv
+, fetchFromGitLab
+, appstream-glib
+, desktop-file-utils
+, meson
+, ninja
+, pkg-config
+, python3
+, wrapGAppsHook
+, evolution-data-server
+, feedbackd
+, glibmm
+, gspell
+, gtk3
+, json-glib
+, libgcrypt
+, libhandy
+, libphonenumber
+, modemmanager
+, olm
+, pidgin
+, protobuf
+, sqlite
+, plugins ? [ ]
+}:
+
+stdenv.mkDerivation rec {
+ pname = "chatty";
+ version = "0.4.0";
+
+ src = fetchFromGitLab {
+ domain = "source.puri.sm";
+ owner = "Librem5";
+ repo = "chatty";
+ rev = "v${version}";
+ sha256 = "12k1a5xrwd6zk4x0m53hbzggk695z3bpbzy1wcikzy0jvch7h13d";
+ };
+
+ postPatch = ''
+ patchShebangs build-aux/meson
+ '';
+
+ nativeBuildInputs = [
+ appstream-glib
+ desktop-file-utils
+ meson
+ ninja
+ pkg-config
+ python3
+ wrapGAppsHook
+ ];
+
+ buildInputs = [
+ evolution-data-server
+ feedbackd
+ glibmm
+ gspell
+ gtk3
+ json-glib
+ libgcrypt
+ libhandy
+ libphonenumber
+ modemmanager
+ olm
+ pidgin
+ protobuf
+ sqlite
+ ];
+
+ preFixup = ''
+ gappsWrapperArgs+=(
+ --prefix PURPLE_PLUGIN_PATH : ${pidgin.makePluginPath plugins}
+ ${lib.concatMapStringsSep " " (p: p.wrapArgs or "") plugins}
+ )
+ '';
+
+ meta = with lib; {
+ description = "XMPP and SMS messaging via libpurple and ModemManager";
+ homepage = "https://source.puri.sm/Librem5/chatty";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ dotlambda tomfitzhenry ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/deltachat-desktop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/deltachat-desktop/default.nix
index 41c7470a26d..a359f0a4ac0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/deltachat-desktop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/deltachat-desktop/default.nix
@@ -14,33 +14,19 @@
}:
let
- libdeltachat' = libdeltachat.overrideAttrs (old: rec {
- version = "1.56.0";
- src = fetchFromGitHub {
- owner = "deltachat";
- repo = "deltachat-core-rust";
- rev = version;
- sha256 = "07vcwbvpzcnvpls0hmpapi7v1npca8ydbx2i235k26xym8il89b7";
- };
- cargoDeps = rustPlatform.fetchCargoTarball {
- inherit src;
- name = "${old.pname}-${version}";
- sha256 = "0pb1rcv45xa95ziqap94yy52fy02vh401iqsgi18nm1j6iyyngc8";
- };
- });
electronExec = if stdenv.isDarwin then
"${electron}/Applications/Electron.app/Contents/MacOS/Electron"
else
"${electron}/bin/electron";
in nodePackages.deltachat-desktop.override rec {
pname = "deltachat-desktop";
- version = "unstable-2021-08-04";
+ version = "1.22.2";
src = fetchFromGitHub {
owner = "deltachat";
repo = "deltachat-desktop";
- rev = "2c47d6b7e46f4f68c7eb45508ab9e145af489ea1";
- sha256 = "03b6j3cj2yanvsargh6q57bf1llg17yrqgmd14lp0wkam767kkfa";
+ rev = "v${version}";
+ sha256 = "0in6w2vl4ypgjb9gfhyh77vg05ni5p3z24lah7wvvhywcpv1jp2n";
};
nativeBuildInputs = [
@@ -52,7 +38,7 @@ in nodePackages.deltachat-desktop.override rec {
];
buildInputs = [
- libdeltachat'
+ libdeltachat
] ++ lib.optionals stdenv.isDarwin [
CoreServices
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/deltachat-desktop/package.json b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/deltachat-desktop/package.json
index f332352e4b6..41bcdeca186 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/deltachat-desktop/package.json
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/deltachat-desktop/package.json
@@ -1,20 +1,18 @@
{
"name": "deltachat-desktop",
- "version": "1.20.3",
+ "version": "1.22.2",
"dependencies": {
"@blueprintjs/core": "^3.22.3",
"@mapbox/geojson-extent": "^1.0.0",
"application-config": "^1.0.1",
- "array-differ": "^3.0.0",
"classnames": "^2.3.1",
"debounce": "^1.2.0",
- "deltachat-node": "1.56.2",
+ "deltachat-node": "1.60.0",
"emoji-js-clean": "^4.0.0",
"emoji-mart": "^3.0.0",
"emoji-regex": "^9.2.2",
"error-stack-parser": "^2.0.6",
"filesize": "^6.1.0",
- "fs-extra": "^8.1.0",
"mapbox-gl": "^1.12.0",
"mime-types": "^2.1.31",
"moment": "^2.27.0",
@@ -27,7 +25,9 @@
"react-qr-reader": "^2.2.1",
"react-qr-svg": "^2.1.0",
"react-string-replace": "^0.4.4",
- "react-virtualized": "^9.21.2",
+ "react-virtualized-auto-sizer": "^1.0.5",
+ "react-window": "^1.8.6",
+ "react-window-infinite-loader": "^1.0.7",
"simple-markdown": "^0.7.1",
"source-map-support": "^0.5.19",
"tempy": "^0.3.0",
@@ -38,7 +38,6 @@
"@babel/preset-env": "^7.7.7",
"@babel/preset-react": "^7.7.4",
"@types/emoji-mart": "^3.0.2",
- "@types/fs-extra": "^8.0.1",
"@types/mapbox-gl": "^0.54.5",
"@types/mime-types": "^2.1.0",
"@types/node": "^14.14.20",
@@ -47,7 +46,8 @@
"@types/rc": "^1.1.0",
"@types/react": "^16.9.17",
"@types/react-dom": "^16.9.4",
- "@types/react-virtualized": "^9.21.10",
+ "@types/react-window": "^1.8.4",
+ "@types/react-window-infinite-loader": "^1.0.4",
"@types/url-parse": "^1.4.3",
"electron": "^13.1.6",
"glob-watcher": "^5.0.5",
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/dino/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/dino/default.nix
index 7f0127c28fd..d6d97b8e344 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/dino/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/dino/default.nix
@@ -17,13 +17,13 @@
stdenv.mkDerivation rec {
pname = "dino";
- version = "0.2.1";
+ version = "0.2.2";
src = fetchFromGitHub {
owner = "dino";
repo = "dino";
rev = "v${version}";
- sha256 = "11m38syqzb1z92wmdaf45gryl6gjxwbcnk32j4p984ipqj2vdzd8";
+ sha256 = "sha256-uYP3D2uyvfRP91fq/1jKOaKgp/+How0SUwmxSrLLH4c=";
};
nativeBuildInputs = [
@@ -81,7 +81,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Modern Jabber/XMPP Client using GTK/Vala";
homepage = "https://github.com/dino/dino";
- license = licenses.gpl3;
+ license = licenses.gpl3Plus;
platforms = platforms.linux ++ platforms.darwin;
maintainers = with maintainers; [ mic92 qyliss ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/discord/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/discord/default.nix
index d9d9d640a22..b499f01d88f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/discord/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/discord/default.nix
@@ -1,5 +1,4 @@
{ branch ? "stable", pkgs }:
-# Generated by ./update-discord.sh
let
inherit (pkgs) callPackage fetchurl;
in {
@@ -7,30 +6,30 @@ in {
pname = "discord";
binaryName = "Discord";
desktopName = "Discord";
- version = "0.0.15";
+ version = "0.0.16";
src = fetchurl {
url = "https://dl.discordapp.net/apps/linux/${version}/discord-${version}.tar.gz";
- sha256 = "0pn2qczim79hqk2limgh88fsn93sa8wvana74mpdk5n6x5afkvdd";
+ sha256 = "UTVKjs/i7C/m8141bXBsakQRFd/c//EmqqhKhkr1OOk=";
};
};
ptb = callPackage ./base.nix rec {
pname = "discord-ptb";
binaryName = "DiscordPTB";
desktopName = "Discord PTB";
- version = "0.0.25";
+ version = "0.0.26";
src = fetchurl {
url = "https://dl-ptb.discordapp.net/apps/linux/${version}/discord-ptb-${version}.tar.gz";
- sha256 = "082ygmsycicddpkv5s03vw3rjkrk4lgprq29z8b1hdjifvw93b21";
+ sha256 = "1rlj76yhxjwwfmdln3azjr69hvfx1bjqdg9jhdn4fp6mlirkrcq4";
};
};
canary = callPackage ./base.nix rec {
pname = "discord-canary";
binaryName = "DiscordCanary";
desktopName = "Discord Canary";
- version = "0.0.128";
+ version = "0.0.131";
src = fetchurl {
url = "https://dl-canary.discordapp.net/apps/linux/${version}/discord-canary-${version}.tar.gz";
- sha256 = "sha256-cw0YBMlapk4QLKiU8ErzzyDaPIXkosUSu7ycRV8VraM=";
+ sha256 = "087rzyivk0grhc73v7ldxxghks0n16ifrvpmk95vzaw99l9xv0v5";
};
};
}.${branch}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-desktop-package.json b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-desktop-package.json
index 4dd59aa5eee..9cca8b95b82 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-desktop-package.json
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-desktop-package.json
@@ -2,7 +2,7 @@
"name": "element-desktop",
"productName": "Element",
"main": "lib/electron-main.js",
- "version": "1.8.1",
+ "version": "1.9.2",
"description": "A feature-rich client for Matrix.org",
"author": "Element",
"repository": {
@@ -54,10 +54,10 @@
"@types/minimist": "^1.2.1",
"@typescript-eslint/eslint-plugin": "^4.17.0",
"@typescript-eslint/parser": "^4.17.0",
- "allchange": "^1.0.0",
+ "allchange": "^1.0.2",
"asar": "^2.0.1",
"chokidar": "^3.5.2",
- "electron": "^13.1.7",
+ "electron": "13.5",
"electron-builder": "22.11.4",
"electron-builder-squirrel-windows": "22.11.4",
"electron-devtools-installer": "^3.1.1",
@@ -83,7 +83,7 @@
},
"build": {
"appId": "im.riot.app",
- "electronVersion": "13.1.6",
+ "electronVersion": "13.5.1",
"files": [
"package.json",
{
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-desktop-yarndeps.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-desktop-yarndeps.nix
deleted file mode 100644
index 7af8cc7dc2f..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-desktop-yarndeps.nix
+++ /dev/null
@@ -1,5517 +0,0 @@
-{ fetchurl, fetchgit, linkFarm, runCommand, gnutar }: rec {
- offline_cache = linkFarm "offline" packages;
- packages = [
- {
- name = "7zip_bin___7zip_bin_5.1.1.tgz";
- path = fetchurl {
- name = "7zip_bin___7zip_bin_5.1.1.tgz";
- url = "https://registry.yarnpkg.com/7zip-bin/-/7zip-bin-5.1.1.tgz";
- sha1 = "9274ec7460652f9c632c59addf24efb1684ef876";
- };
- }
- {
- name = "_actions_core___core_1.4.0.tgz";
- path = fetchurl {
- name = "_actions_core___core_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/@actions/core/-/core-1.4.0.tgz";
- sha1 = "cf2e6ee317e314b03886adfeb20e448d50d6e524";
- };
- }
- {
- name = "_actions_github___github_5.0.0.tgz";
- path = fetchurl {
- name = "_actions_github___github_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/@actions/github/-/github-5.0.0.tgz";
- sha1 = "1754127976c50bd88b2e905f10d204d76d1472f8";
- };
- }
- {
- name = "_actions_http_client___http_client_1.0.11.tgz";
- path = fetchurl {
- name = "_actions_http_client___http_client_1.0.11.tgz";
- url = "https://registry.yarnpkg.com/@actions/http-client/-/http-client-1.0.11.tgz";
- sha1 = "c58b12e9aa8b159ee39e7dd6cbd0e91d905633c0";
- };
- }
- {
- name = "_babel_code_frame___code_frame_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_code_frame___code_frame_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/code-frame/-/code-frame-7.14.5.tgz";
- sha1 = "23b08d740e83f49c5e59945fbf1b43e80bbf4edb";
- };
- }
- {
- name = "_babel_generator___generator_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_generator___generator_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/generator/-/generator-7.14.8.tgz";
- sha1 = "bf86fd6af96cf3b74395a8ca409515f89423e070";
- };
- }
- {
- name = "_babel_helper_function_name___helper_function_name_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_function_name___helper_function_name_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-function-name/-/helper-function-name-7.14.5.tgz";
- sha1 = "89e2c474972f15d8e233b52ee8c480e2cfcd50c4";
- };
- }
- {
- name = "_babel_helper_get_function_arity___helper_get_function_arity_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_get_function_arity___helper_get_function_arity_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-get-function-arity/-/helper-get-function-arity-7.14.5.tgz";
- sha1 = "25fbfa579b0937eee1f3b805ece4ce398c431815";
- };
- }
- {
- name = "_babel_helper_hoist_variables___helper_hoist_variables_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_hoist_variables___helper_hoist_variables_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-hoist-variables/-/helper-hoist-variables-7.14.5.tgz";
- sha1 = "e0dd27c33a78e577d7c8884916a3e7ef1f7c7f8d";
- };
- }
- {
- name = "_babel_helper_split_export_declaration___helper_split_export_declaration_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_split_export_declaration___helper_split_export_declaration_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-split-export-declaration/-/helper-split-export-declaration-7.14.5.tgz";
- sha1 = "22b23a54ef51c2b7605d851930c1976dd0bc693a";
- };
- }
- {
- name = "_babel_helper_validator_identifier___helper_validator_identifier_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_helper_validator_identifier___helper_validator_identifier_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-validator-identifier/-/helper-validator-identifier-7.14.8.tgz";
- sha1 = "32be33a756f29e278a0d644fa08a2c9e0f88a34c";
- };
- }
- {
- name = "_babel_highlight___highlight_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_highlight___highlight_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/highlight/-/highlight-7.14.5.tgz";
- sha1 = "6861a52f03966405001f6aa534a01a24d99e8cd9";
- };
- }
- {
- name = "_babel_parser___parser_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_parser___parser_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/parser/-/parser-7.14.8.tgz";
- sha1 = "66fd41666b2d7b840bd5ace7f7416d5ac60208d4";
- };
- }
- {
- name = "_babel_runtime___runtime_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_runtime___runtime_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/runtime/-/runtime-7.14.8.tgz";
- sha1 = "7119a56f421018852694290b9f9148097391b446";
- };
- }
- {
- name = "_babel_template___template_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_template___template_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/template/-/template-7.14.5.tgz";
- sha1 = "a9bc9d8b33354ff6e55a9c60d1109200a68974f4";
- };
- }
- {
- name = "_babel_traverse___traverse_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_traverse___traverse_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/traverse/-/traverse-7.14.8.tgz";
- sha1 = "c0253f02677c5de1a8ff9df6b0aacbec7da1a8ce";
- };
- }
- {
- name = "_babel_types___types_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_types___types_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/types/-/types-7.14.8.tgz";
- sha1 = "38109de8fcadc06415fbd9b74df0065d4d41c728";
- };
- }
- {
- name = "_develar_schema_utils___schema_utils_2.6.5.tgz";
- path = fetchurl {
- name = "_develar_schema_utils___schema_utils_2.6.5.tgz";
- url = "https://registry.yarnpkg.com/@develar/schema-utils/-/schema-utils-2.6.5.tgz";
- sha1 = "3ece22c5838402419a6e0425f85742b961d9b6c6";
- };
- }
- {
- name = "_electron_get___get_1.12.4.tgz";
- path = fetchurl {
- name = "_electron_get___get_1.12.4.tgz";
- url = "https://registry.yarnpkg.com/@electron/get/-/get-1.12.4.tgz";
- sha1 = "a5971113fc1bf8fa12a8789dc20152a7359f06ab";
- };
- }
- {
- name = "_electron_universal___universal_1.0.5.tgz";
- path = fetchurl {
- name = "_electron_universal___universal_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/@electron/universal/-/universal-1.0.5.tgz";
- sha1 = "b812340e4ef21da2b3ee77b2b4d35c9b86defe37";
- };
- }
- {
- name = "_eslint_eslintrc___eslintrc_0.3.0.tgz";
- path = fetchurl {
- name = "_eslint_eslintrc___eslintrc_0.3.0.tgz";
- url = "https://registry.yarnpkg.com/@eslint/eslintrc/-/eslintrc-0.3.0.tgz";
- sha1 = "d736d6963d7003b6514e6324bec9c602ac340318";
- };
- }
- {
- name = "_jimp_bmp___bmp_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_bmp___bmp_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/bmp/-/bmp-0.16.1.tgz";
- sha1 = "6e2da655b2ba22e721df0795423f34e92ef13768";
- };
- }
- {
- name = "_jimp_core___core_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_core___core_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/core/-/core-0.16.1.tgz";
- sha1 = "68c4288f6ef7f31a0f6b859ba3fb28dae930d39d";
- };
- }
- {
- name = "_jimp_custom___custom_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_custom___custom_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/custom/-/custom-0.16.1.tgz";
- sha1 = "28b659c59e20a1d75a0c46067bd3f4bd302cf9c5";
- };
- }
- {
- name = "_jimp_gif___gif_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_gif___gif_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/gif/-/gif-0.16.1.tgz";
- sha1 = "d1f7c3a58f4666482750933af8b8f4666414f3ca";
- };
- }
- {
- name = "_jimp_jpeg___jpeg_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_jpeg___jpeg_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/jpeg/-/jpeg-0.16.1.tgz";
- sha1 = "3b7bb08a4173f2f6d81f3049b251df3ee2ac8175";
- };
- }
- {
- name = "_jimp_plugin_blit___plugin_blit_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_blit___plugin_blit_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-blit/-/plugin-blit-0.16.1.tgz";
- sha1 = "09ea919f9d326de3b9c2826fe4155da37dde8edb";
- };
- }
- {
- name = "_jimp_plugin_blur___plugin_blur_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_blur___plugin_blur_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-blur/-/plugin-blur-0.16.1.tgz";
- sha1 = "e614fa002797dcd662e705d4cea376e7db968bf5";
- };
- }
- {
- name = "_jimp_plugin_circle___plugin_circle_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_circle___plugin_circle_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-circle/-/plugin-circle-0.16.1.tgz";
- sha1 = "20e3194a67ca29740aba2630fd4d0a89afa27491";
- };
- }
- {
- name = "_jimp_plugin_color___plugin_color_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_color___plugin_color_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-color/-/plugin-color-0.16.1.tgz";
- sha1 = "0f298ba74dee818b663834cd80d53e56f3755233";
- };
- }
- {
- name = "_jimp_plugin_contain___plugin_contain_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_contain___plugin_contain_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-contain/-/plugin-contain-0.16.1.tgz";
- sha1 = "3c5f5c495fd9bb08a970739d83694934f58123f2";
- };
- }
- {
- name = "_jimp_plugin_cover___plugin_cover_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_cover___plugin_cover_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-cover/-/plugin-cover-0.16.1.tgz";
- sha1 = "0e8caec16a40abe15b1b32e5383a603a3306dc41";
- };
- }
- {
- name = "_jimp_plugin_crop___plugin_crop_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_crop___plugin_crop_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-crop/-/plugin-crop-0.16.1.tgz";
- sha1 = "b362497c873043fe47ba881ab08604bf7226f50f";
- };
- }
- {
- name = "_jimp_plugin_displace___plugin_displace_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_displace___plugin_displace_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-displace/-/plugin-displace-0.16.1.tgz";
- sha1 = "4dd9db518c3e78de9d723f86a234bf98922afe8d";
- };
- }
- {
- name = "_jimp_plugin_dither___plugin_dither_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_dither___plugin_dither_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-dither/-/plugin-dither-0.16.1.tgz";
- sha1 = "b47de2c0bb09608bed228b41c3cd01a85ec2d45b";
- };
- }
- {
- name = "_jimp_plugin_fisheye___plugin_fisheye_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_fisheye___plugin_fisheye_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-fisheye/-/plugin-fisheye-0.16.1.tgz";
- sha1 = "f625047b6cdbe1b83b89e9030fd025ab19cdb1a4";
- };
- }
- {
- name = "_jimp_plugin_flip___plugin_flip_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_flip___plugin_flip_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-flip/-/plugin-flip-0.16.1.tgz";
- sha1 = "7a99ea22bde802641017ed0f2615870c144329bb";
- };
- }
- {
- name = "_jimp_plugin_gaussian___plugin_gaussian_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_gaussian___plugin_gaussian_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-gaussian/-/plugin-gaussian-0.16.1.tgz";
- sha1 = "0845e314085ccd52e34fad9a83949bc0d81a68e8";
- };
- }
- {
- name = "_jimp_plugin_invert___plugin_invert_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_invert___plugin_invert_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-invert/-/plugin-invert-0.16.1.tgz";
- sha1 = "7e6f5a15707256f3778d06921675bbcf18545c97";
- };
- }
- {
- name = "_jimp_plugin_mask___plugin_mask_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_mask___plugin_mask_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-mask/-/plugin-mask-0.16.1.tgz";
- sha1 = "e7f2460e05c3cda7af5e76f33ccb0579f66f90df";
- };
- }
- {
- name = "_jimp_plugin_normalize___plugin_normalize_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_normalize___plugin_normalize_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-normalize/-/plugin-normalize-0.16.1.tgz";
- sha1 = "032dfd88eefbc4dedc8b1b2d243832e4f3af30c8";
- };
- }
- {
- name = "_jimp_plugin_print___plugin_print_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_print___plugin_print_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-print/-/plugin-print-0.16.1.tgz";
- sha1 = "66b803563f9d109825970714466e6ab9ae639ff6";
- };
- }
- {
- name = "_jimp_plugin_resize___plugin_resize_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_resize___plugin_resize_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-resize/-/plugin-resize-0.16.1.tgz";
- sha1 = "65e39d848ed13ba2d6c6faf81d5d590396571d10";
- };
- }
- {
- name = "_jimp_plugin_rotate___plugin_rotate_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_rotate___plugin_rotate_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-rotate/-/plugin-rotate-0.16.1.tgz";
- sha1 = "53fb5d51a4b3d05af9c91c2a8fffe5d7a1a47c8c";
- };
- }
- {
- name = "_jimp_plugin_scale___plugin_scale_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_scale___plugin_scale_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-scale/-/plugin-scale-0.16.1.tgz";
- sha1 = "89f6ba59feed3429847ed226aebda33a240cc647";
- };
- }
- {
- name = "_jimp_plugin_shadow___plugin_shadow_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_shadow___plugin_shadow_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-shadow/-/plugin-shadow-0.16.1.tgz";
- sha1 = "a7af892a740febf41211e10a5467c3c5c521a04c";
- };
- }
- {
- name = "_jimp_plugin_threshold___plugin_threshold_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugin_threshold___plugin_threshold_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugin-threshold/-/plugin-threshold-0.16.1.tgz";
- sha1 = "34f3078f9965145b7ae26c53a32ad74b1195bbf5";
- };
- }
- {
- name = "_jimp_plugins___plugins_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_plugins___plugins_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/plugins/-/plugins-0.16.1.tgz";
- sha1 = "9f08544c97226d6460a16ced79f57e85bec3257b";
- };
- }
- {
- name = "_jimp_png___png_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_png___png_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/png/-/png-0.16.1.tgz";
- sha1 = "f24cfc31529900b13a2dd9d4fdb4460c1e4d814e";
- };
- }
- {
- name = "_jimp_tiff___tiff_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_tiff___tiff_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/tiff/-/tiff-0.16.1.tgz";
- sha1 = "0e8756695687d7574b6bc73efab0acd4260b7a12";
- };
- }
- {
- name = "_jimp_types___types_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_types___types_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/types/-/types-0.16.1.tgz";
- sha1 = "0dbab37b3202315c91010f16c31766d35a2322cc";
- };
- }
- {
- name = "_jimp_utils___utils_0.16.1.tgz";
- path = fetchurl {
- name = "_jimp_utils___utils_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/@jimp/utils/-/utils-0.16.1.tgz";
- sha1 = "2f51e6f14ff8307c4aa83d5e1a277da14a9fe3f7";
- };
- }
- {
- name = "_malept_cross_spawn_promise___cross_spawn_promise_1.1.1.tgz";
- path = fetchurl {
- name = "_malept_cross_spawn_promise___cross_spawn_promise_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/@malept/cross-spawn-promise/-/cross-spawn-promise-1.1.1.tgz";
- sha1 = "504af200af6b98e198bce768bc1730c6936ae01d";
- };
- }
- {
- name = "_malept_flatpak_bundler___flatpak_bundler_0.4.0.tgz";
- path = fetchurl {
- name = "_malept_flatpak_bundler___flatpak_bundler_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/@malept/flatpak-bundler/-/flatpak-bundler-0.4.0.tgz";
- sha1 = "e8a32c30a95d20c2b1bb635cc580981a06389858";
- };
- }
- {
- name = "_nodelib_fs.scandir___fs.scandir_2.1.5.tgz";
- path = fetchurl {
- name = "_nodelib_fs.scandir___fs.scandir_2.1.5.tgz";
- url = "https://registry.yarnpkg.com/@nodelib/fs.scandir/-/fs.scandir-2.1.5.tgz";
- sha1 = "7619c2eb21b25483f6d167548b4cfd5a7488c3d5";
- };
- }
- {
- name = "_nodelib_fs.stat___fs.stat_2.0.5.tgz";
- path = fetchurl {
- name = "_nodelib_fs.stat___fs.stat_2.0.5.tgz";
- url = "https://registry.yarnpkg.com/@nodelib/fs.stat/-/fs.stat-2.0.5.tgz";
- sha1 = "5bd262af94e9d25bd1e71b05deed44876a222e8b";
- };
- }
- {
- name = "_nodelib_fs.walk___fs.walk_1.2.8.tgz";
- path = fetchurl {
- name = "_nodelib_fs.walk___fs.walk_1.2.8.tgz";
- url = "https://registry.yarnpkg.com/@nodelib/fs.walk/-/fs.walk-1.2.8.tgz";
- sha1 = "e95737e8bb6746ddedf69c556953494f196fe69a";
- };
- }
- {
- name = "_npmcli_git___git_2.1.0.tgz";
- path = fetchurl {
- name = "_npmcli_git___git_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/@npmcli/git/-/git-2.1.0.tgz";
- sha1 = "2fbd77e147530247d37f325930d457b3ebe894f6";
- };
- }
- {
- name = "_npmcli_installed_package_contents___installed_package_contents_1.0.7.tgz";
- path = fetchurl {
- name = "_npmcli_installed_package_contents___installed_package_contents_1.0.7.tgz";
- url = "https://registry.yarnpkg.com/@npmcli/installed-package-contents/-/installed-package-contents-1.0.7.tgz";
- sha1 = "ab7408c6147911b970a8abe261ce512232a3f4fa";
- };
- }
- {
- name = "_npmcli_move_file___move_file_1.1.2.tgz";
- path = fetchurl {
- name = "_npmcli_move_file___move_file_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/@npmcli/move-file/-/move-file-1.1.2.tgz";
- sha1 = "1a82c3e372f7cae9253eb66d72543d6b8685c674";
- };
- }
- {
- name = "_npmcli_node_gyp___node_gyp_1.0.2.tgz";
- path = fetchurl {
- name = "_npmcli_node_gyp___node_gyp_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/@npmcli/node-gyp/-/node-gyp-1.0.2.tgz";
- sha1 = "3cdc1f30e9736dbc417373ed803b42b1a0a29ede";
- };
- }
- {
- name = "_npmcli_promise_spawn___promise_spawn_1.3.2.tgz";
- path = fetchurl {
- name = "_npmcli_promise_spawn___promise_spawn_1.3.2.tgz";
- url = "https://registry.yarnpkg.com/@npmcli/promise-spawn/-/promise-spawn-1.3.2.tgz";
- sha1 = "42d4e56a8e9274fba180dabc0aea6e38f29274f5";
- };
- }
- {
- name = "_npmcli_run_script___run_script_1.8.5.tgz";
- path = fetchurl {
- name = "_npmcli_run_script___run_script_1.8.5.tgz";
- url = "https://registry.yarnpkg.com/@npmcli/run-script/-/run-script-1.8.5.tgz";
- sha1 = "f250a0c5e1a08a792d775a315d0ff42fc3a51e1d";
- };
- }
- {
- name = "_octokit_auth_token___auth_token_2.4.5.tgz";
- path = fetchurl {
- name = "_octokit_auth_token___auth_token_2.4.5.tgz";
- url = "https://registry.yarnpkg.com/@octokit/auth-token/-/auth-token-2.4.5.tgz";
- sha1 = "568ccfb8cb46f36441fac094ce34f7a875b197f3";
- };
- }
- {
- name = "_octokit_core___core_3.5.1.tgz";
- path = fetchurl {
- name = "_octokit_core___core_3.5.1.tgz";
- url = "https://registry.yarnpkg.com/@octokit/core/-/core-3.5.1.tgz";
- sha1 = "8601ceeb1ec0e1b1b8217b960a413ed8e947809b";
- };
- }
- {
- name = "_octokit_endpoint___endpoint_6.0.12.tgz";
- path = fetchurl {
- name = "_octokit_endpoint___endpoint_6.0.12.tgz";
- url = "https://registry.yarnpkg.com/@octokit/endpoint/-/endpoint-6.0.12.tgz";
- sha1 = "3b4d47a4b0e79b1027fb8d75d4221928b2d05658";
- };
- }
- {
- name = "_octokit_graphql___graphql_4.6.4.tgz";
- path = fetchurl {
- name = "_octokit_graphql___graphql_4.6.4.tgz";
- url = "https://registry.yarnpkg.com/@octokit/graphql/-/graphql-4.6.4.tgz";
- sha1 = "0c3f5bed440822182e972317122acb65d311a5ed";
- };
- }
- {
- name = "_octokit_openapi_types___openapi_types_9.3.0.tgz";
- path = fetchurl {
- name = "_octokit_openapi_types___openapi_types_9.3.0.tgz";
- url = "https://registry.yarnpkg.com/@octokit/openapi-types/-/openapi-types-9.3.0.tgz";
- sha1 = "160347858d727527901c6aae7f7d5c2414cc1f2e";
- };
- }
- {
- name = "_octokit_openapi_types___openapi_types_9.7.0.tgz";
- path = fetchurl {
- name = "_octokit_openapi_types___openapi_types_9.7.0.tgz";
- url = "https://registry.yarnpkg.com/@octokit/openapi-types/-/openapi-types-9.7.0.tgz";
- sha1 = "9897cdefd629cd88af67b8dbe2e5fb19c63426b2";
- };
- }
- {
- name = "_octokit_plugin_paginate_rest___plugin_paginate_rest_2.15.1.tgz";
- path = fetchurl {
- name = "_octokit_plugin_paginate_rest___plugin_paginate_rest_2.15.1.tgz";
- url = "https://registry.yarnpkg.com/@octokit/plugin-paginate-rest/-/plugin-paginate-rest-2.15.1.tgz";
- sha1 = "264189dd3ce881c6c33758824aac05a4002e056a";
- };
- }
- {
- name = "_octokit_plugin_paginate_rest___plugin_paginate_rest_2.15.0.tgz";
- path = fetchurl {
- name = "_octokit_plugin_paginate_rest___plugin_paginate_rest_2.15.0.tgz";
- url = "https://registry.yarnpkg.com/@octokit/plugin-paginate-rest/-/plugin-paginate-rest-2.15.0.tgz";
- sha1 = "9c956c3710b2bd786eb3814eaf5a2b17392c150d";
- };
- }
- {
- name = "_octokit_plugin_request_log___plugin_request_log_1.0.4.tgz";
- path = fetchurl {
- name = "_octokit_plugin_request_log___plugin_request_log_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/@octokit/plugin-request-log/-/plugin-request-log-1.0.4.tgz";
- sha1 = "5e50ed7083a613816b1e4a28aeec5fb7f1462e85";
- };
- }
- {
- name = "_octokit_plugin_rest_endpoint_methods___plugin_rest_endpoint_methods_5.6.0.tgz";
- path = fetchurl {
- name = "_octokit_plugin_rest_endpoint_methods___plugin_rest_endpoint_methods_5.6.0.tgz";
- url = "https://registry.yarnpkg.com/@octokit/plugin-rest-endpoint-methods/-/plugin-rest-endpoint-methods-5.6.0.tgz";
- sha1 = "c28833b88d0f07bf94093405d02d43d73c7de99b";
- };
- }
- {
- name = "_octokit_plugin_rest_endpoint_methods___plugin_rest_endpoint_methods_5.8.0.tgz";
- path = fetchurl {
- name = "_octokit_plugin_rest_endpoint_methods___plugin_rest_endpoint_methods_5.8.0.tgz";
- url = "https://registry.yarnpkg.com/@octokit/plugin-rest-endpoint-methods/-/plugin-rest-endpoint-methods-5.8.0.tgz";
- sha1 = "33b342fe41f2603fdf8b958e6652103bb3ea3f3b";
- };
- }
- {
- name = "_octokit_request_error___request_error_2.1.0.tgz";
- path = fetchurl {
- name = "_octokit_request_error___request_error_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/@octokit/request-error/-/request-error-2.1.0.tgz";
- sha1 = "9e150357831bfc788d13a4fd4b1913d60c74d677";
- };
- }
- {
- name = "_octokit_request___request_5.6.0.tgz";
- path = fetchurl {
- name = "_octokit_request___request_5.6.0.tgz";
- url = "https://registry.yarnpkg.com/@octokit/request/-/request-5.6.0.tgz";
- sha1 = "6084861b6e4fa21dc40c8e2a739ec5eff597e672";
- };
- }
- {
- name = "_octokit_rest___rest_18.8.0.tgz";
- path = fetchurl {
- name = "_octokit_rest___rest_18.8.0.tgz";
- url = "https://registry.yarnpkg.com/@octokit/rest/-/rest-18.8.0.tgz";
- sha1 = "ba24f7ba554f015a7ae2b7cc2aecef5386ddfea5";
- };
- }
- {
- name = "_octokit_types___types_6.23.0.tgz";
- path = fetchurl {
- name = "_octokit_types___types_6.23.0.tgz";
- url = "https://registry.yarnpkg.com/@octokit/types/-/types-6.23.0.tgz";
- sha1 = "b39f242b20036e89fa8f34f7962b4e9b7ff8f65b";
- };
- }
- {
- name = "_octokit_types___types_6.25.0.tgz";
- path = fetchurl {
- name = "_octokit_types___types_6.25.0.tgz";
- url = "https://registry.yarnpkg.com/@octokit/types/-/types-6.25.0.tgz";
- sha1 = "c8e37e69dbe7ce55ed98ee63f75054e7e808bf1a";
- };
- }
- {
- name = "_sindresorhus_is___is_0.14.0.tgz";
- path = fetchurl {
- name = "_sindresorhus_is___is_0.14.0.tgz";
- url = "https://registry.yarnpkg.com/@sindresorhus/is/-/is-0.14.0.tgz";
- sha1 = "9fb3a3cf3132328151f353de4632e01e52102bea";
- };
- }
- {
- name = "_szmarczak_http_timer___http_timer_1.1.2.tgz";
- path = fetchurl {
- name = "_szmarczak_http_timer___http_timer_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/@szmarczak/http-timer/-/http-timer-1.1.2.tgz";
- sha1 = "b1665e2c461a2cd92f4c1bbf50d5454de0d4b421";
- };
- }
- {
- name = "_tootallnate_once___once_1.1.2.tgz";
- path = fetchurl {
- name = "_tootallnate_once___once_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/@tootallnate/once/-/once-1.1.2.tgz";
- sha1 = "ccb91445360179a04e7fe6aff78c00ffc1eeaf82";
- };
- }
- {
- name = "_types_auto_launch___auto_launch_5.0.2.tgz";
- path = fetchurl {
- name = "_types_auto_launch___auto_launch_5.0.2.tgz";
- url = "https://registry.yarnpkg.com/@types/auto-launch/-/auto-launch-5.0.2.tgz";
- sha1 = "4970f01e5dd27572489b7fe77590204a19f86bd0";
- };
- }
- {
- name = "_types_counterpart___counterpart_0.18.1.tgz";
- path = fetchurl {
- name = "_types_counterpart___counterpart_0.18.1.tgz";
- url = "https://registry.yarnpkg.com/@types/counterpart/-/counterpart-0.18.1.tgz";
- sha1 = "b1b784d9e54d9879f0a8cb12f2caedab65430fe8";
- };
- }
- {
- name = "_types_debug___debug_4.1.6.tgz";
- path = fetchurl {
- name = "_types_debug___debug_4.1.6.tgz";
- url = "https://registry.yarnpkg.com/@types/debug/-/debug-4.1.6.tgz";
- sha1 = "0b7018723084918a865eff99249c490505df2163";
- };
- }
- {
- name = "_types_fs_extra___fs_extra_9.0.12.tgz";
- path = fetchurl {
- name = "_types_fs_extra___fs_extra_9.0.12.tgz";
- url = "https://registry.yarnpkg.com/@types/fs-extra/-/fs-extra-9.0.12.tgz";
- sha1 = "9b8f27973df8a7a3920e8461517ebf8a7d4fdfaf";
- };
- }
- {
- name = "_types_glob___glob_7.1.4.tgz";
- path = fetchurl {
- name = "_types_glob___glob_7.1.4.tgz";
- url = "https://registry.yarnpkg.com/@types/glob/-/glob-7.1.4.tgz";
- sha1 = "ea59e21d2ee5c517914cb4bc8e4153b99e566672";
- };
- }
- {
- name = "_types_json_schema___json_schema_7.0.8.tgz";
- path = fetchurl {
- name = "_types_json_schema___json_schema_7.0.8.tgz";
- url = "https://registry.yarnpkg.com/@types/json-schema/-/json-schema-7.0.8.tgz";
- sha1 = "edf1bf1dbf4e04413ca8e5b17b3b7d7d54b59818";
- };
- }
- {
- name = "_types_minimatch___minimatch_3.0.5.tgz";
- path = fetchurl {
- name = "_types_minimatch___minimatch_3.0.5.tgz";
- url = "https://registry.yarnpkg.com/@types/minimatch/-/minimatch-3.0.5.tgz";
- sha1 = "1001cc5e6a3704b83c236027e77f2f58ea010f40";
- };
- }
- {
- name = "_types_minimist___minimist_1.2.2.tgz";
- path = fetchurl {
- name = "_types_minimist___minimist_1.2.2.tgz";
- url = "https://registry.yarnpkg.com/@types/minimist/-/minimist-1.2.2.tgz";
- sha1 = "ee771e2ba4b3dc5b372935d549fd9617bf345b8c";
- };
- }
- {
- name = "_types_node___node_16.4.0.tgz";
- path = fetchurl {
- name = "_types_node___node_16.4.0.tgz";
- url = "https://registry.yarnpkg.com/@types/node/-/node-16.4.0.tgz";
- sha1 = "2c219eaa3b8d1e4d04f4dd6e40bc68c7467d5272";
- };
- }
- {
- name = "_types_node___node_14.17.5.tgz";
- path = fetchurl {
- name = "_types_node___node_14.17.5.tgz";
- url = "https://registry.yarnpkg.com/@types/node/-/node-14.17.5.tgz";
- sha1 = "b59daf6a7ffa461b5648456ca59050ba8e40ed54";
- };
- }
- {
- name = "_types_plist___plist_3.0.2.tgz";
- path = fetchurl {
- name = "_types_plist___plist_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/@types/plist/-/plist-3.0.2.tgz";
- sha1 = "61b3727bba0f5c462fe333542534a0c3e19ccb01";
- };
- }
- {
- name = "_types_verror___verror_1.10.5.tgz";
- path = fetchurl {
- name = "_types_verror___verror_1.10.5.tgz";
- url = "https://registry.yarnpkg.com/@types/verror/-/verror-1.10.5.tgz";
- sha1 = "2a1413aded46e67a1fe2386800e291123ed75eb1";
- };
- }
- {
- name = "_types_yargs_parser___yargs_parser_20.2.1.tgz";
- path = fetchurl {
- name = "_types_yargs_parser___yargs_parser_20.2.1.tgz";
- url = "https://registry.yarnpkg.com/@types/yargs-parser/-/yargs-parser-20.2.1.tgz";
- sha1 = "3b9ce2489919d9e4fea439b76916abc34b2df129";
- };
- }
- {
- name = "_types_yargs___yargs_16.0.4.tgz";
- path = fetchurl {
- name = "_types_yargs___yargs_16.0.4.tgz";
- url = "https://registry.yarnpkg.com/@types/yargs/-/yargs-16.0.4.tgz";
- sha1 = "26aad98dd2c2a38e421086ea9ad42b9e51642977";
- };
- }
- {
- name = "_typescript_eslint_eslint_plugin___eslint_plugin_4.28.4.tgz";
- path = fetchurl {
- name = "_typescript_eslint_eslint_plugin___eslint_plugin_4.28.4.tgz";
- url = "https://registry.yarnpkg.com/@typescript-eslint/eslint-plugin/-/eslint-plugin-4.28.4.tgz";
- sha1 = "e73c8cabbf3f08dee0e1bda65ed4e622ae8f8921";
- };
- }
- {
- name = "_typescript_eslint_experimental_utils___experimental_utils_4.28.4.tgz";
- path = fetchurl {
- name = "_typescript_eslint_experimental_utils___experimental_utils_4.28.4.tgz";
- url = "https://registry.yarnpkg.com/@typescript-eslint/experimental-utils/-/experimental-utils-4.28.4.tgz";
- sha1 = "9c70c35ebed087a5c70fb0ecd90979547b7fec96";
- };
- }
- {
- name = "_typescript_eslint_parser___parser_4.28.4.tgz";
- path = fetchurl {
- name = "_typescript_eslint_parser___parser_4.28.4.tgz";
- url = "https://registry.yarnpkg.com/@typescript-eslint/parser/-/parser-4.28.4.tgz";
- sha1 = "bc462dc2779afeefdcf49082516afdc3e7b96fab";
- };
- }
- {
- name = "_typescript_eslint_scope_manager___scope_manager_4.28.4.tgz";
- path = fetchurl {
- name = "_typescript_eslint_scope_manager___scope_manager_4.28.4.tgz";
- url = "https://registry.yarnpkg.com/@typescript-eslint/scope-manager/-/scope-manager-4.28.4.tgz";
- sha1 = "bdbce9b6a644e34f767bd68bc17bb14353b9fe7f";
- };
- }
- {
- name = "_typescript_eslint_types___types_4.28.4.tgz";
- path = fetchurl {
- name = "_typescript_eslint_types___types_4.28.4.tgz";
- url = "https://registry.yarnpkg.com/@typescript-eslint/types/-/types-4.28.4.tgz";
- sha1 = "41acbd79b5816b7c0dd7530a43d97d020d3aeb42";
- };
- }
- {
- name = "_typescript_eslint_typescript_estree___typescript_estree_4.28.4.tgz";
- path = fetchurl {
- name = "_typescript_eslint_typescript_estree___typescript_estree_4.28.4.tgz";
- url = "https://registry.yarnpkg.com/@typescript-eslint/typescript-estree/-/typescript-estree-4.28.4.tgz";
- sha1 = "252e6863278dc0727244be9e371eb35241c46d00";
- };
- }
- {
- name = "_typescript_eslint_visitor_keys___visitor_keys_4.28.4.tgz";
- path = fetchurl {
- name = "_typescript_eslint_visitor_keys___visitor_keys_4.28.4.tgz";
- url = "https://registry.yarnpkg.com/@typescript-eslint/visitor-keys/-/visitor-keys-4.28.4.tgz";
- sha1 = "92dacfefccd6751cbb0a964f06683bfd72d0c4d3";
- };
- }
- {
- name = "abbrev___abbrev_1.1.1.tgz";
- path = fetchurl {
- name = "abbrev___abbrev_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/abbrev/-/abbrev-1.1.1.tgz";
- sha1 = "f8f2c887ad10bf67f634f005b6987fed3179aac8";
- };
- }
- {
- name = "acorn_jsx___acorn_jsx_5.3.2.tgz";
- path = fetchurl {
- name = "acorn_jsx___acorn_jsx_5.3.2.tgz";
- url = "https://registry.yarnpkg.com/acorn-jsx/-/acorn-jsx-5.3.2.tgz";
- sha1 = "7ed5bb55908b3b2f1bc55c6af1653bada7f07937";
- };
- }
- {
- name = "acorn___acorn_7.4.1.tgz";
- path = fetchurl {
- name = "acorn___acorn_7.4.1.tgz";
- url = "https://registry.yarnpkg.com/acorn/-/acorn-7.4.1.tgz";
- sha1 = "feaed255973d2e77555b83dbc08851a6c63520fa";
- };
- }
- {
- name = "agent_base___agent_base_6.0.2.tgz";
- path = fetchurl {
- name = "agent_base___agent_base_6.0.2.tgz";
- url = "https://registry.yarnpkg.com/agent-base/-/agent-base-6.0.2.tgz";
- sha1 = "49fff58577cfee3f37176feab4c22e00f86d7f77";
- };
- }
- {
- name = "agentkeepalive___agentkeepalive_4.1.4.tgz";
- path = fetchurl {
- name = "agentkeepalive___agentkeepalive_4.1.4.tgz";
- url = "https://registry.yarnpkg.com/agentkeepalive/-/agentkeepalive-4.1.4.tgz";
- sha1 = "d928028a4862cb11718e55227872e842a44c945b";
- };
- }
- {
- name = "aggregate_error___aggregate_error_3.1.0.tgz";
- path = fetchurl {
- name = "aggregate_error___aggregate_error_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/aggregate-error/-/aggregate-error-3.1.0.tgz";
- sha1 = "92670ff50f5359bdb7a3e0d40d0ec30c5737687a";
- };
- }
- {
- name = "ajv_keywords___ajv_keywords_3.5.2.tgz";
- path = fetchurl {
- name = "ajv_keywords___ajv_keywords_3.5.2.tgz";
- url = "https://registry.yarnpkg.com/ajv-keywords/-/ajv-keywords-3.5.2.tgz";
- sha1 = "31f29da5ab6e00d1c2d329acf7b5929614d5014d";
- };
- }
- {
- name = "ajv___ajv_6.12.6.tgz";
- path = fetchurl {
- name = "ajv___ajv_6.12.6.tgz";
- url = "https://registry.yarnpkg.com/ajv/-/ajv-6.12.6.tgz";
- sha1 = "baf5a62e802b07d977034586f8c3baf5adf26df4";
- };
- }
- {
- name = "ajv___ajv_8.6.2.tgz";
- path = fetchurl {
- name = "ajv___ajv_8.6.2.tgz";
- url = "https://registry.yarnpkg.com/ajv/-/ajv-8.6.2.tgz";
- sha1 = "2fb45e0e5fcbc0813326c1c3da535d1881bb0571";
- };
- }
- {
- name = "allchange___allchange_1.0.0.tgz";
- path = fetchurl {
- name = "allchange___allchange_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/allchange/-/allchange-1.0.0.tgz";
- sha1 = "f5177b7d97f8e97a2d059a1524db9a72d94dc6d2";
- };
- }
- {
- name = "ansi_align___ansi_align_3.0.0.tgz";
- path = fetchurl {
- name = "ansi_align___ansi_align_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-align/-/ansi-align-3.0.0.tgz";
- sha1 = "b536b371cf687caaef236c18d3e21fe3797467cb";
- };
- }
- {
- name = "ansi_colors___ansi_colors_4.1.1.tgz";
- path = fetchurl {
- name = "ansi_colors___ansi_colors_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/ansi-colors/-/ansi-colors-4.1.1.tgz";
- sha1 = "cbb9ae256bf750af1eab344f229aa27fe94ba348";
- };
- }
- {
- name = "ansi_regex___ansi_regex_2.1.1.tgz";
- path = fetchurl {
- name = "ansi_regex___ansi_regex_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-2.1.1.tgz";
- sha1 = "c3b33ab5ee360d86e0e628f0468ae7ef27d654df";
- };
- }
- {
- name = "ansi_regex___ansi_regex_3.0.0.tgz";
- path = fetchurl {
- name = "ansi_regex___ansi_regex_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-3.0.0.tgz";
- sha1 = "ed0317c322064f79466c02966bddb605ab37d998";
- };
- }
- {
- name = "ansi_regex___ansi_regex_4.1.0.tgz";
- path = fetchurl {
- name = "ansi_regex___ansi_regex_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-4.1.0.tgz";
- sha1 = "8b9f8f08cf1acb843756a839ca8c7e3168c51997";
- };
- }
- {
- name = "ansi_regex___ansi_regex_5.0.0.tgz";
- path = fetchurl {
- name = "ansi_regex___ansi_regex_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-5.0.0.tgz";
- sha1 = "388539f55179bf39339c81af30a654d69f87cb75";
- };
- }
- {
- name = "ansi_styles___ansi_styles_3.2.1.tgz";
- path = fetchurl {
- name = "ansi_styles___ansi_styles_3.2.1.tgz";
- url = "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-3.2.1.tgz";
- sha1 = "41fbb20243e50b12be0f04b8dedbf07520ce841d";
- };
- }
- {
- name = "ansi_styles___ansi_styles_4.3.0.tgz";
- path = fetchurl {
- name = "ansi_styles___ansi_styles_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-4.3.0.tgz";
- sha1 = "edd803628ae71c04c85ae7a0906edad34b648937";
- };
- }
- {
- name = "any_base___any_base_1.1.0.tgz";
- path = fetchurl {
- name = "any_base___any_base_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/any-base/-/any-base-1.1.0.tgz";
- sha1 = "ae101a62bc08a597b4c9ab5b7089d456630549fe";
- };
- }
- {
- name = "anymatch___anymatch_3.1.2.tgz";
- path = fetchurl {
- name = "anymatch___anymatch_3.1.2.tgz";
- url = "https://registry.yarnpkg.com/anymatch/-/anymatch-3.1.2.tgz";
- sha1 = "c0557c096af32f106198f4f4e2a383537e378716";
- };
- }
- {
- name = "app_builder_bin___app_builder_bin_3.5.13.tgz";
- path = fetchurl {
- name = "app_builder_bin___app_builder_bin_3.5.13.tgz";
- url = "https://registry.yarnpkg.com/app-builder-bin/-/app-builder-bin-3.5.13.tgz";
- sha1 = "6dd7f4de34a4e408806f99b8c7d6ef1601305b7e";
- };
- }
- {
- name = "app_builder_lib___app_builder_lib_22.11.4.tgz";
- path = fetchurl {
- name = "app_builder_lib___app_builder_lib_22.11.4.tgz";
- url = "https://registry.yarnpkg.com/app-builder-lib/-/app-builder-lib-22.11.4.tgz";
- sha1 = "f476e8f1c843d2bcce0348d60e2deae3a71b3474";
- };
- }
- {
- name = "applescript___applescript_1.0.0.tgz";
- path = fetchurl {
- name = "applescript___applescript_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/applescript/-/applescript-1.0.0.tgz";
- sha1 = "bb87af568cad034a4e48c4bdaf6067a3a2701317";
- };
- }
- {
- name = "aproba___aproba_1.2.0.tgz";
- path = fetchurl {
- name = "aproba___aproba_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/aproba/-/aproba-1.2.0.tgz";
- sha1 = "6802e6264efd18c790a1b0d517f0f2627bf2c94a";
- };
- }
- {
- name = "archiver_utils___archiver_utils_2.1.0.tgz";
- path = fetchurl {
- name = "archiver_utils___archiver_utils_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/archiver-utils/-/archiver-utils-2.1.0.tgz";
- sha1 = "e8a460e94b693c3e3da182a098ca6285ba9249e2";
- };
- }
- {
- name = "archiver___archiver_5.3.0.tgz";
- path = fetchurl {
- name = "archiver___archiver_5.3.0.tgz";
- url = "https://registry.yarnpkg.com/archiver/-/archiver-5.3.0.tgz";
- sha1 = "dd3e097624481741df626267564f7dd8640a45ba";
- };
- }
- {
- name = "are_we_there_yet___are_we_there_yet_1.1.5.tgz";
- path = fetchurl {
- name = "are_we_there_yet___are_we_there_yet_1.1.5.tgz";
- url = "https://registry.yarnpkg.com/are-we-there-yet/-/are-we-there-yet-1.1.5.tgz";
- sha1 = "4b35c2944f062a8bfcda66410760350fe9ddfc21";
- };
- }
- {
- name = "argparse___argparse_1.0.10.tgz";
- path = fetchurl {
- name = "argparse___argparse_1.0.10.tgz";
- url = "https://registry.yarnpkg.com/argparse/-/argparse-1.0.10.tgz";
- sha1 = "bcd6791ea5ae09725e17e5ad988134cd40b3d911";
- };
- }
- {
- name = "argparse___argparse_2.0.1.tgz";
- path = fetchurl {
- name = "argparse___argparse_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/argparse/-/argparse-2.0.1.tgz";
- sha1 = "246f50f3ca78a3240f6c997e8a9bd1eac49e4b38";
- };
- }
- {
- name = "array_union___array_union_2.1.0.tgz";
- path = fetchurl {
- name = "array_union___array_union_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/array-union/-/array-union-2.1.0.tgz";
- sha1 = "b798420adbeb1de828d84acd8a2e23d3efe85e8d";
- };
- }
- {
- name = "asar___asar_2.1.0.tgz";
- path = fetchurl {
- name = "asar___asar_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/asar/-/asar-2.1.0.tgz";
- sha1 = "97c6a570408c4e38a18d4a3fb748a621b5a7844e";
- };
- }
- {
- name = "asar___asar_3.0.3.tgz";
- path = fetchurl {
- name = "asar___asar_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/asar/-/asar-3.0.3.tgz";
- sha1 = "1fef03c2d6d2de0cbad138788e4f7ae03b129c7b";
- };
- }
- {
- name = "asn1___asn1_0.2.4.tgz";
- path = fetchurl {
- name = "asn1___asn1_0.2.4.tgz";
- url = "https://registry.yarnpkg.com/asn1/-/asn1-0.2.4.tgz";
- sha1 = "8d2475dfab553bb33e77b54e59e880bb8ce23136";
- };
- }
- {
- name = "assert_plus___assert_plus_1.0.0.tgz";
- path = fetchurl {
- name = "assert_plus___assert_plus_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/assert-plus/-/assert-plus-1.0.0.tgz";
- sha1 = "f12e0f3c5d77b0b1cdd9146942e4e96c1e4dd525";
- };
- }
- {
- name = "astral_regex___astral_regex_2.0.0.tgz";
- path = fetchurl {
- name = "astral_regex___astral_regex_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/astral-regex/-/astral-regex-2.0.0.tgz";
- sha1 = "483143c567aeed4785759c0865786dc77d7d2e31";
- };
- }
- {
- name = "async_exit_hook___async_exit_hook_2.0.1.tgz";
- path = fetchurl {
- name = "async_exit_hook___async_exit_hook_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/async-exit-hook/-/async-exit-hook-2.0.1.tgz";
- sha1 = "8bd8b024b0ec9b1c01cccb9af9db29bd717dfaf3";
- };
- }
- {
- name = "async___async_0.9.2.tgz";
- path = fetchurl {
- name = "async___async_0.9.2.tgz";
- url = "https://registry.yarnpkg.com/async/-/async-0.9.2.tgz";
- sha1 = "aea74d5e61c1f899613bf64bda66d4c78f2fd17d";
- };
- }
- {
- name = "async___async_3.2.0.tgz";
- path = fetchurl {
- name = "async___async_3.2.0.tgz";
- url = "https://registry.yarnpkg.com/async/-/async-3.2.0.tgz";
- sha1 = "b3a2685c5ebb641d3de02d161002c60fc9f85720";
- };
- }
- {
- name = "asynckit___asynckit_0.4.0.tgz";
- path = fetchurl {
- name = "asynckit___asynckit_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/asynckit/-/asynckit-0.4.0.tgz";
- sha1 = "c79ed97f7f34cb8f2ba1bc9790bcc366474b4b79";
- };
- }
- {
- name = "at_least_node___at_least_node_1.0.0.tgz";
- path = fetchurl {
- name = "at_least_node___at_least_node_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/at-least-node/-/at-least-node-1.0.0.tgz";
- sha1 = "602cd4b46e844ad4effc92a8011a3c46e0238dc2";
- };
- }
- {
- name = "atomically___atomically_1.7.0.tgz";
- path = fetchurl {
- name = "atomically___atomically_1.7.0.tgz";
- url = "https://registry.yarnpkg.com/atomically/-/atomically-1.7.0.tgz";
- sha1 = "c07a0458432ea6dbc9a3506fffa424b48bccaafe";
- };
- }
- {
- name = "auto_launch___auto_launch_5.0.5.tgz";
- path = fetchurl {
- name = "auto_launch___auto_launch_5.0.5.tgz";
- url = "https://registry.yarnpkg.com/auto-launch/-/auto-launch-5.0.5.tgz";
- sha1 = "d14bd002b1ef642f85e991a6195ff5300c8ad3c0";
- };
- }
- {
- name = "aws_sign2___aws_sign2_0.7.0.tgz";
- path = fetchurl {
- name = "aws_sign2___aws_sign2_0.7.0.tgz";
- url = "https://registry.yarnpkg.com/aws-sign2/-/aws-sign2-0.7.0.tgz";
- sha1 = "b46e890934a9591f2d2f6f86d7e6a9f1b3fe76a8";
- };
- }
- {
- name = "aws4___aws4_1.11.0.tgz";
- path = fetchurl {
- name = "aws4___aws4_1.11.0.tgz";
- url = "https://registry.yarnpkg.com/aws4/-/aws4-1.11.0.tgz";
- sha1 = "d61f46d83b2519250e2784daf5b09479a8b41c59";
- };
- }
- {
- name = "balanced_match___balanced_match_1.0.2.tgz";
- path = fetchurl {
- name = "balanced_match___balanced_match_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/balanced-match/-/balanced-match-1.0.2.tgz";
- sha1 = "e83e3a7e3f300b34cb9d87f615fa0cbf357690ee";
- };
- }
- {
- name = "base64_js___base64_js_1.5.1.tgz";
- path = fetchurl {
- name = "base64_js___base64_js_1.5.1.tgz";
- url = "https://registry.yarnpkg.com/base64-js/-/base64-js-1.5.1.tgz";
- sha1 = "1b1b440160a5bf7ad40b650f095963481903930a";
- };
- }
- {
- name = "bcrypt_pbkdf___bcrypt_pbkdf_1.0.2.tgz";
- path = fetchurl {
- name = "bcrypt_pbkdf___bcrypt_pbkdf_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/bcrypt-pbkdf/-/bcrypt-pbkdf-1.0.2.tgz";
- sha1 = "a4301d389b6a43f9b67ff3ca11a3f6637e360e9e";
- };
- }
- {
- name = "before_after_hook___before_after_hook_2.2.2.tgz";
- path = fetchurl {
- name = "before_after_hook___before_after_hook_2.2.2.tgz";
- url = "https://registry.yarnpkg.com/before-after-hook/-/before-after-hook-2.2.2.tgz";
- sha1 = "a6e8ca41028d90ee2c24222f201c90956091613e";
- };
- }
- {
- name = "binary_extensions___binary_extensions_2.2.0.tgz";
- path = fetchurl {
- name = "binary_extensions___binary_extensions_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/binary-extensions/-/binary-extensions-2.2.0.tgz";
- sha1 = "75f502eeaf9ffde42fc98829645be4ea76bd9e2d";
- };
- }
- {
- name = "bl___bl_4.1.0.tgz";
- path = fetchurl {
- name = "bl___bl_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/bl/-/bl-4.1.0.tgz";
- sha1 = "451535264182bec2fbbc83a62ab98cf11d9f7b3a";
- };
- }
- {
- name = "bluebird_lst___bluebird_lst_1.0.9.tgz";
- path = fetchurl {
- name = "bluebird_lst___bluebird_lst_1.0.9.tgz";
- url = "https://registry.yarnpkg.com/bluebird-lst/-/bluebird-lst-1.0.9.tgz";
- sha1 = "a64a0e4365658b9ab5fe875eb9dfb694189bb41c";
- };
- }
- {
- name = "bluebird___bluebird_3.7.2.tgz";
- path = fetchurl {
- name = "bluebird___bluebird_3.7.2.tgz";
- url = "https://registry.yarnpkg.com/bluebird/-/bluebird-3.7.2.tgz";
- sha1 = "9f229c15be272454ffa973ace0dbee79a1b0c36f";
- };
- }
- {
- name = "bmp_js___bmp_js_0.1.0.tgz";
- path = fetchurl {
- name = "bmp_js___bmp_js_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/bmp-js/-/bmp-js-0.1.0.tgz";
- sha1 = "e05a63f796a6c1ff25f4771ec7adadc148c07233";
- };
- }
- {
- name = "boolean___boolean_3.1.2.tgz";
- path = fetchurl {
- name = "boolean___boolean_3.1.2.tgz";
- url = "https://registry.yarnpkg.com/boolean/-/boolean-3.1.2.tgz";
- sha1 = "e30f210a26b02458482a8cc353ab06f262a780c2";
- };
- }
- {
- name = "boxen___boxen_5.0.1.tgz";
- path = fetchurl {
- name = "boxen___boxen_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/boxen/-/boxen-5.0.1.tgz";
- sha1 = "657528bdd3f59a772b8279b831f27ec2c744664b";
- };
- }
- {
- name = "brace_expansion___brace_expansion_1.1.11.tgz";
- path = fetchurl {
- name = "brace_expansion___brace_expansion_1.1.11.tgz";
- url = "https://registry.yarnpkg.com/brace-expansion/-/brace-expansion-1.1.11.tgz";
- sha1 = "3c7fcbf529d87226f3d2f52b966ff5271eb441dd";
- };
- }
- {
- name = "braces___braces_3.0.2.tgz";
- path = fetchurl {
- name = "braces___braces_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/braces/-/braces-3.0.2.tgz";
- sha1 = "3454e1a462ee8d599e236df336cd9ea4f8afe107";
- };
- }
- {
- name = "buffer_crc32___buffer_crc32_0.2.13.tgz";
- path = fetchurl {
- name = "buffer_crc32___buffer_crc32_0.2.13.tgz";
- url = "https://registry.yarnpkg.com/buffer-crc32/-/buffer-crc32-0.2.13.tgz";
- sha1 = "0d333e3f00eac50aa1454abd30ef8c2a5d9a7242";
- };
- }
- {
- name = "buffer_equal___buffer_equal_0.0.1.tgz";
- path = fetchurl {
- name = "buffer_equal___buffer_equal_0.0.1.tgz";
- url = "https://registry.yarnpkg.com/buffer-equal/-/buffer-equal-0.0.1.tgz";
- sha1 = "91bc74b11ea405bc916bc6aa908faafa5b4aac4b";
- };
- }
- {
- name = "buffer_equal___buffer_equal_1.0.0.tgz";
- path = fetchurl {
- name = "buffer_equal___buffer_equal_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/buffer-equal/-/buffer-equal-1.0.0.tgz";
- sha1 = "59616b498304d556abd466966b22eeda3eca5fbe";
- };
- }
- {
- name = "buffer_from___buffer_from_1.1.1.tgz";
- path = fetchurl {
- name = "buffer_from___buffer_from_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/buffer-from/-/buffer-from-1.1.1.tgz";
- sha1 = "32713bc028f75c02fdb710d7c7bcec1f2c6070ef";
- };
- }
- {
- name = "buffer___buffer_5.7.1.tgz";
- path = fetchurl {
- name = "buffer___buffer_5.7.1.tgz";
- url = "https://registry.yarnpkg.com/buffer/-/buffer-5.7.1.tgz";
- sha1 = "ba62e7c13133053582197160851a8f648e99eed0";
- };
- }
- {
- name = "builder_util_runtime___builder_util_runtime_8.7.5.tgz";
- path = fetchurl {
- name = "builder_util_runtime___builder_util_runtime_8.7.5.tgz";
- url = "https://registry.yarnpkg.com/builder-util-runtime/-/builder-util-runtime-8.7.5.tgz";
- sha1 = "fbe59e274818885e0d2e358d5b7017c34ae6b0f5";
- };
- }
- {
- name = "builder_util___builder_util_22.11.4.tgz";
- path = fetchurl {
- name = "builder_util___builder_util_22.11.4.tgz";
- url = "https://registry.yarnpkg.com/builder-util/-/builder-util-22.11.4.tgz";
- sha1 = "5deee8e067d6e3248791977ce2928b98fe514342";
- };
- }
- {
- name = "builtins___builtins_1.0.3.tgz";
- path = fetchurl {
- name = "builtins___builtins_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/builtins/-/builtins-1.0.3.tgz";
- sha1 = "cb94faeb61c8696451db36534e1422f94f0aee88";
- };
- }
- {
- name = "cacache___cacache_15.2.0.tgz";
- path = fetchurl {
- name = "cacache___cacache_15.2.0.tgz";
- url = "https://registry.yarnpkg.com/cacache/-/cacache-15.2.0.tgz";
- sha1 = "73af75f77c58e72d8c630a7a2858cb18ef523389";
- };
- }
- {
- name = "cacheable_request___cacheable_request_6.1.0.tgz";
- path = fetchurl {
- name = "cacheable_request___cacheable_request_6.1.0.tgz";
- url = "https://registry.yarnpkg.com/cacheable-request/-/cacheable-request-6.1.0.tgz";
- sha1 = "20ffb8bd162ba4be11e9567d823db651052ca912";
- };
- }
- {
- name = "callsites___callsites_3.1.0.tgz";
- path = fetchurl {
- name = "callsites___callsites_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/callsites/-/callsites-3.1.0.tgz";
- sha1 = "b3630abd8943432f54b3f0519238e33cd7df2f73";
- };
- }
- {
- name = "camelcase___camelcase_6.2.0.tgz";
- path = fetchurl {
- name = "camelcase___camelcase_6.2.0.tgz";
- url = "https://registry.yarnpkg.com/camelcase/-/camelcase-6.2.0.tgz";
- sha1 = "924af881c9d525ac9d87f40d964e5cea982a1809";
- };
- }
- {
- name = "caseless___caseless_0.12.0.tgz";
- path = fetchurl {
- name = "caseless___caseless_0.12.0.tgz";
- url = "https://registry.yarnpkg.com/caseless/-/caseless-0.12.0.tgz";
- sha1 = "1b681c21ff84033c826543090689420d187151dc";
- };
- }
- {
- name = "chalk___chalk_2.4.2.tgz";
- path = fetchurl {
- name = "chalk___chalk_2.4.2.tgz";
- url = "https://registry.yarnpkg.com/chalk/-/chalk-2.4.2.tgz";
- sha1 = "cd42541677a54333cf541a49108c1432b44c9424";
- };
- }
- {
- name = "chalk___chalk_4.1.1.tgz";
- path = fetchurl {
- name = "chalk___chalk_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/chalk/-/chalk-4.1.1.tgz";
- sha1 = "c80b3fab28bf6371e6863325eee67e618b77e6ad";
- };
- }
- {
- name = "chokidar___chokidar_3.5.2.tgz";
- path = fetchurl {
- name = "chokidar___chokidar_3.5.2.tgz";
- url = "https://registry.yarnpkg.com/chokidar/-/chokidar-3.5.2.tgz";
- sha1 = "dba3976fcadb016f66fd365021d91600d01c1e75";
- };
- }
- {
- name = "chownr___chownr_1.1.4.tgz";
- path = fetchurl {
- name = "chownr___chownr_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/chownr/-/chownr-1.1.4.tgz";
- sha1 = "6fc9d7b42d32a583596337666e7d08084da2cc6b";
- };
- }
- {
- name = "chownr___chownr_2.0.0.tgz";
- path = fetchurl {
- name = "chownr___chownr_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/chownr/-/chownr-2.0.0.tgz";
- sha1 = "15bfbe53d2eab4cf70f18a8cd68ebe5b3cb1dece";
- };
- }
- {
- name = "chromium_pickle_js___chromium_pickle_js_0.2.0.tgz";
- path = fetchurl {
- name = "chromium_pickle_js___chromium_pickle_js_0.2.0.tgz";
- url = "https://registry.yarnpkg.com/chromium-pickle-js/-/chromium-pickle-js-0.2.0.tgz";
- sha1 = "04a106672c18b085ab774d983dfa3ea138f22205";
- };
- }
- {
- name = "ci_info___ci_info_2.0.0.tgz";
- path = fetchurl {
- name = "ci_info___ci_info_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/ci-info/-/ci-info-2.0.0.tgz";
- sha1 = "67a9e964be31a51e15e5010d58e6f12834002f46";
- };
- }
- {
- name = "ci_info___ci_info_3.2.0.tgz";
- path = fetchurl {
- name = "ci_info___ci_info_3.2.0.tgz";
- url = "https://registry.yarnpkg.com/ci-info/-/ci-info-3.2.0.tgz";
- sha1 = "2876cb948a498797b5236f0095bc057d0dca38b6";
- };
- }
- {
- name = "clean_stack___clean_stack_2.2.0.tgz";
- path = fetchurl {
- name = "clean_stack___clean_stack_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/clean-stack/-/clean-stack-2.2.0.tgz";
- sha1 = "ee8472dbb129e727b31e8a10a427dee9dfe4008b";
- };
- }
- {
- name = "cli_boxes___cli_boxes_2.2.1.tgz";
- path = fetchurl {
- name = "cli_boxes___cli_boxes_2.2.1.tgz";
- url = "https://registry.yarnpkg.com/cli-boxes/-/cli-boxes-2.2.1.tgz";
- sha1 = "ddd5035d25094fce220e9cab40a45840a440318f";
- };
- }
- {
- name = "cli_color___cli_color_2.0.0.tgz";
- path = fetchurl {
- name = "cli_color___cli_color_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/cli-color/-/cli-color-2.0.0.tgz";
- sha1 = "11ecfb58a79278cf6035a60c54e338f9d837897c";
- };
- }
- {
- name = "cli_truncate___cli_truncate_1.1.0.tgz";
- path = fetchurl {
- name = "cli_truncate___cli_truncate_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/cli-truncate/-/cli-truncate-1.1.0.tgz";
- sha1 = "2b2dfd83c53cfd3572b87fc4d430a808afb04086";
- };
- }
- {
- name = "cliui___cliui_7.0.4.tgz";
- path = fetchurl {
- name = "cliui___cliui_7.0.4.tgz";
- url = "https://registry.yarnpkg.com/cliui/-/cliui-7.0.4.tgz";
- sha1 = "a0265ee655476fc807aea9df3df8df7783808b4f";
- };
- }
- {
- name = "clone_response___clone_response_1.0.2.tgz";
- path = fetchurl {
- name = "clone_response___clone_response_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/clone-response/-/clone-response-1.0.2.tgz";
- sha1 = "d1dc973920314df67fbeb94223b4ee350239e96b";
- };
- }
- {
- name = "code_point_at___code_point_at_1.1.0.tgz";
- path = fetchurl {
- name = "code_point_at___code_point_at_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/code-point-at/-/code-point-at-1.1.0.tgz";
- sha1 = "0d070b4d043a5bea33a2f1a40e2edb3d9a4ccf77";
- };
- }
- {
- name = "color_convert___color_convert_1.9.3.tgz";
- path = fetchurl {
- name = "color_convert___color_convert_1.9.3.tgz";
- url = "https://registry.yarnpkg.com/color-convert/-/color-convert-1.9.3.tgz";
- sha1 = "bb71850690e1f136567de629d2d5471deda4c1e8";
- };
- }
- {
- name = "color_convert___color_convert_2.0.1.tgz";
- path = fetchurl {
- name = "color_convert___color_convert_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/color-convert/-/color-convert-2.0.1.tgz";
- sha1 = "72d3a68d598c9bdb3af2ad1e84f21d896abd4de3";
- };
- }
- {
- name = "color_name___color_name_1.1.3.tgz";
- path = fetchurl {
- name = "color_name___color_name_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/color-name/-/color-name-1.1.3.tgz";
- sha1 = "a7d0558bd89c42f795dd42328f740831ca53bc25";
- };
- }
- {
- name = "color_name___color_name_1.1.4.tgz";
- path = fetchurl {
- name = "color_name___color_name_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/color-name/-/color-name-1.1.4.tgz";
- sha1 = "c2a09a87acbde69543de6f63fa3995c826c536a2";
- };
- }
- {
- name = "colors___colors_1.0.3.tgz";
- path = fetchurl {
- name = "colors___colors_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/colors/-/colors-1.0.3.tgz";
- sha1 = "0433f44d809680fdeb60ed260f1b0c262e82a40b";
- };
- }
- {
- name = "combined_stream___combined_stream_1.0.8.tgz";
- path = fetchurl {
- name = "combined_stream___combined_stream_1.0.8.tgz";
- url = "https://registry.yarnpkg.com/combined-stream/-/combined-stream-1.0.8.tgz";
- sha1 = "c3d45a8b34fd730631a110a8a2520682b31d5a7f";
- };
- }
- {
- name = "commander___commander_2.9.0.tgz";
- path = fetchurl {
- name = "commander___commander_2.9.0.tgz";
- url = "https://registry.yarnpkg.com/commander/-/commander-2.9.0.tgz";
- sha1 = "9c99094176e12240cb22d6c5146098400fe0f7d4";
- };
- }
- {
- name = "commander___commander_2.20.3.tgz";
- path = fetchurl {
- name = "commander___commander_2.20.3.tgz";
- url = "https://registry.yarnpkg.com/commander/-/commander-2.20.3.tgz";
- sha1 = "fd485e84c03eb4881c20722ba48035e8531aeb33";
- };
- }
- {
- name = "commander___commander_5.1.0.tgz";
- path = fetchurl {
- name = "commander___commander_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/commander/-/commander-5.1.0.tgz";
- sha1 = "46abbd1652f8e059bddaef99bbdcb2ad9cf179ae";
- };
- }
- {
- name = "compress_commons___compress_commons_4.1.1.tgz";
- path = fetchurl {
- name = "compress_commons___compress_commons_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/compress-commons/-/compress-commons-4.1.1.tgz";
- sha1 = "df2a09a7ed17447642bad10a85cc9a19e5c42a7d";
- };
- }
- {
- name = "concat_map___concat_map_0.0.1.tgz";
- path = fetchurl {
- name = "concat_map___concat_map_0.0.1.tgz";
- url = "https://registry.yarnpkg.com/concat-map/-/concat-map-0.0.1.tgz";
- sha1 = "d8a96bd77fd68df7793a73036a3ba0d5405d477b";
- };
- }
- {
- name = "concat_stream___concat_stream_1.6.2.tgz";
- path = fetchurl {
- name = "concat_stream___concat_stream_1.6.2.tgz";
- url = "https://registry.yarnpkg.com/concat-stream/-/concat-stream-1.6.2.tgz";
- sha1 = "904bdf194cd3122fc675c77fc4ac3d4ff0fd1a34";
- };
- }
- {
- name = "conf___conf_7.1.2.tgz";
- path = fetchurl {
- name = "conf___conf_7.1.2.tgz";
- url = "https://registry.yarnpkg.com/conf/-/conf-7.1.2.tgz";
- sha1 = "d9678a9d8f04de8bf5cd475105da8fdae49c2ec4";
- };
- }
- {
- name = "config_chain___config_chain_1.1.13.tgz";
- path = fetchurl {
- name = "config_chain___config_chain_1.1.13.tgz";
- url = "https://registry.yarnpkg.com/config-chain/-/config-chain-1.1.13.tgz";
- sha1 = "fad0795aa6a6cdaff9ed1b68e9dff94372c232f4";
- };
- }
- {
- name = "configstore___configstore_5.0.1.tgz";
- path = fetchurl {
- name = "configstore___configstore_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/configstore/-/configstore-5.0.1.tgz";
- sha1 = "d365021b5df4b98cdd187d6a3b0e3f6a7cc5ed96";
- };
- }
- {
- name = "console_control_strings___console_control_strings_1.1.0.tgz";
- path = fetchurl {
- name = "console_control_strings___console_control_strings_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/console-control-strings/-/console-control-strings-1.1.0.tgz";
- sha1 = "3d7cf4464db6446ea644bf4b39507f9851008e8e";
- };
- }
- {
- name = "core_js___core_js_3.15.2.tgz";
- path = fetchurl {
- name = "core_js___core_js_3.15.2.tgz";
- url = "https://registry.yarnpkg.com/core-js/-/core-js-3.15.2.tgz";
- sha1 = "740660d2ff55ef34ce664d7e2455119c5bdd3d61";
- };
- }
- {
- name = "core_util_is___core_util_is_1.0.2.tgz";
- path = fetchurl {
- name = "core_util_is___core_util_is_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/core-util-is/-/core-util-is-1.0.2.tgz";
- sha1 = "b5fd54220aa2bc5ab57aab7140c940754503c1a7";
- };
- }
- {
- name = "counterpart___counterpart_0.18.6.tgz";
- path = fetchurl {
- name = "counterpart___counterpart_0.18.6.tgz";
- url = "https://registry.yarnpkg.com/counterpart/-/counterpart-0.18.6.tgz";
- sha1 = "cf6b60d8ef99a4b44b8bf6445fa99b4bd1b2f9dd";
- };
- }
- {
- name = "crc_32___crc_32_1.2.0.tgz";
- path = fetchurl {
- name = "crc_32___crc_32_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/crc-32/-/crc-32-1.2.0.tgz";
- sha1 = "cb2db6e29b88508e32d9dd0ec1693e7b41a18208";
- };
- }
- {
- name = "crc32_stream___crc32_stream_4.0.2.tgz";
- path = fetchurl {
- name = "crc32_stream___crc32_stream_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/crc32-stream/-/crc32-stream-4.0.2.tgz";
- sha1 = "c922ad22b38395abe9d3870f02fa8134ed709007";
- };
- }
- {
- name = "crc___crc_3.8.0.tgz";
- path = fetchurl {
- name = "crc___crc_3.8.0.tgz";
- url = "https://registry.yarnpkg.com/crc/-/crc-3.8.0.tgz";
- sha1 = "ad60269c2c856f8c299e2c4cc0de4556914056c6";
- };
- }
- {
- name = "cross_spawn___cross_spawn_7.0.3.tgz";
- path = fetchurl {
- name = "cross_spawn___cross_spawn_7.0.3.tgz";
- url = "https://registry.yarnpkg.com/cross-spawn/-/cross-spawn-7.0.3.tgz";
- sha1 = "f73a85b9d5d41d045551c177e2882d4ac85728a6";
- };
- }
- {
- name = "crypto_random_string___crypto_random_string_2.0.0.tgz";
- path = fetchurl {
- name = "crypto_random_string___crypto_random_string_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/crypto-random-string/-/crypto-random-string-2.0.0.tgz";
- sha1 = "ef2a7a966ec11083388369baa02ebead229b30d5";
- };
- }
- {
- name = "cuint___cuint_0.2.2.tgz";
- path = fetchurl {
- name = "cuint___cuint_0.2.2.tgz";
- url = "https://registry.yarnpkg.com/cuint/-/cuint-0.2.2.tgz";
- sha1 = "408086d409550c2631155619e9fa7bcadc3b991b";
- };
- }
- {
- name = "d___d_1.0.1.tgz";
- path = fetchurl {
- name = "d___d_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/d/-/d-1.0.1.tgz";
- sha1 = "8698095372d58dbee346ffd0c7093f99f8f9eb5a";
- };
- }
- {
- name = "dashdash___dashdash_1.14.1.tgz";
- path = fetchurl {
- name = "dashdash___dashdash_1.14.1.tgz";
- url = "https://registry.yarnpkg.com/dashdash/-/dashdash-1.14.1.tgz";
- sha1 = "853cfa0f7cbe2fed5de20326b8dd581035f6e2f0";
- };
- }
- {
- name = "date_names___date_names_0.1.13.tgz";
- path = fetchurl {
- name = "date_names___date_names_0.1.13.tgz";
- url = "https://registry.yarnpkg.com/date-names/-/date-names-0.1.13.tgz";
- sha1 = "c4358f6f77c8056e2f5ea68fdbb05f0bf1e53bd0";
- };
- }
- {
- name = "debounce_fn___debounce_fn_4.0.0.tgz";
- path = fetchurl {
- name = "debounce_fn___debounce_fn_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/debounce-fn/-/debounce-fn-4.0.0.tgz";
- sha1 = "ed76d206d8a50e60de0dd66d494d82835ffe61c7";
- };
- }
- {
- name = "debug___debug_4.3.2.tgz";
- path = fetchurl {
- name = "debug___debug_4.3.2.tgz";
- url = "https://registry.yarnpkg.com/debug/-/debug-4.3.2.tgz";
- sha1 = "f0a49c18ac8779e31d4a0c6029dfb76873c7428b";
- };
- }
- {
- name = "debug___debug_2.6.9.tgz";
- path = fetchurl {
- name = "debug___debug_2.6.9.tgz";
- url = "https://registry.yarnpkg.com/debug/-/debug-2.6.9.tgz";
- sha1 = "5d128515df134ff327e90a4c93f4e077a536341f";
- };
- }
- {
- name = "debug___debug_3.2.7.tgz";
- path = fetchurl {
- name = "debug___debug_3.2.7.tgz";
- url = "https://registry.yarnpkg.com/debug/-/debug-3.2.7.tgz";
- sha1 = "72580b7e9145fb39b6676f9c5e5fb100b934179a";
- };
- }
- {
- name = "decompress_response___decompress_response_3.3.0.tgz";
- path = fetchurl {
- name = "decompress_response___decompress_response_3.3.0.tgz";
- url = "https://registry.yarnpkg.com/decompress-response/-/decompress-response-3.3.0.tgz";
- sha1 = "80a4dd323748384bfa248083622aedec982adff3";
- };
- }
- {
- name = "deep_extend___deep_extend_0.6.0.tgz";
- path = fetchurl {
- name = "deep_extend___deep_extend_0.6.0.tgz";
- url = "https://registry.yarnpkg.com/deep-extend/-/deep-extend-0.6.0.tgz";
- sha1 = "c4fa7c95404a17a9c3e8ca7e1537312b736330ac";
- };
- }
- {
- name = "deep_is___deep_is_0.1.3.tgz";
- path = fetchurl {
- name = "deep_is___deep_is_0.1.3.tgz";
- url = "https://registry.yarnpkg.com/deep-is/-/deep-is-0.1.3.tgz";
- sha1 = "b369d6fb5dbc13eecf524f91b070feedc357cf34";
- };
- }
- {
- name = "defer_to_connect___defer_to_connect_1.1.3.tgz";
- path = fetchurl {
- name = "defer_to_connect___defer_to_connect_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/defer-to-connect/-/defer-to-connect-1.1.3.tgz";
- sha1 = "331ae050c08dcf789f8c83a7b81f0ed94f4ac591";
- };
- }
- {
- name = "define_properties___define_properties_1.1.3.tgz";
- path = fetchurl {
- name = "define_properties___define_properties_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/define-properties/-/define-properties-1.1.3.tgz";
- sha1 = "cf88da6cbee26fe6db7094f61d870cbd84cee9f1";
- };
- }
- {
- name = "delayed_stream___delayed_stream_1.0.0.tgz";
- path = fetchurl {
- name = "delayed_stream___delayed_stream_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/delayed-stream/-/delayed-stream-1.0.0.tgz";
- sha1 = "df3ae199acadfb7d440aaae0b29e2272b24ec619";
- };
- }
- {
- name = "delegates___delegates_1.0.0.tgz";
- path = fetchurl {
- name = "delegates___delegates_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/delegates/-/delegates-1.0.0.tgz";
- sha1 = "84c6e159b81904fdca59a0ef44cd870d31250f9a";
- };
- }
- {
- name = "depd___depd_1.1.2.tgz";
- path = fetchurl {
- name = "depd___depd_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/depd/-/depd-1.1.2.tgz";
- sha1 = "9bcd52e14c097763e749b274c4346ed2e560b5a9";
- };
- }
- {
- name = "deprecation___deprecation_2.3.1.tgz";
- path = fetchurl {
- name = "deprecation___deprecation_2.3.1.tgz";
- url = "https://registry.yarnpkg.com/deprecation/-/deprecation-2.3.1.tgz";
- sha1 = "6368cbdb40abf3373b525ac87e4a260c3a700919";
- };
- }
- {
- name = "detect_libc___detect_libc_1.0.3.tgz";
- path = fetchurl {
- name = "detect_libc___detect_libc_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/detect-libc/-/detect-libc-1.0.3.tgz";
- sha1 = "fa137c4bd698edf55cd5cd02ac559f91a4c4ba9b";
- };
- }
- {
- name = "detect_node___detect_node_2.1.0.tgz";
- path = fetchurl {
- name = "detect_node___detect_node_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/detect-node/-/detect-node-2.1.0.tgz";
- sha1 = "c9c70775a49c3d03bc2c06d9a73be550f978f8b1";
- };
- }
- {
- name = "dir_compare___dir_compare_2.4.0.tgz";
- path = fetchurl {
- name = "dir_compare___dir_compare_2.4.0.tgz";
- url = "https://registry.yarnpkg.com/dir-compare/-/dir-compare-2.4.0.tgz";
- sha1 = "785c41dc5f645b34343a4eafc50b79bac7f11631";
- };
- }
- {
- name = "dir_glob___dir_glob_3.0.1.tgz";
- path = fetchurl {
- name = "dir_glob___dir_glob_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/dir-glob/-/dir-glob-3.0.1.tgz";
- sha1 = "56dbf73d992a4a93ba1584f4534063fd2e41717f";
- };
- }
- {
- name = "dmg_builder___dmg_builder_22.11.4.tgz";
- path = fetchurl {
- name = "dmg_builder___dmg_builder_22.11.4.tgz";
- url = "https://registry.yarnpkg.com/dmg-builder/-/dmg-builder-22.11.4.tgz";
- sha1 = "8e3a31bd835d51d3b686d1a6be6c0d08d3e7b1f4";
- };
- }
- {
- name = "dmg_license___dmg_license_1.0.9.tgz";
- path = fetchurl {
- name = "dmg_license___dmg_license_1.0.9.tgz";
- url = "https://registry.yarnpkg.com/dmg-license/-/dmg-license-1.0.9.tgz";
- sha1 = "a2fb8d692af0e30b0730b5afc91ed9edc2d9cb4f";
- };
- }
- {
- name = "doctrine___doctrine_3.0.0.tgz";
- path = fetchurl {
- name = "doctrine___doctrine_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/doctrine/-/doctrine-3.0.0.tgz";
- sha1 = "addebead72a6574db783639dc87a121773973961";
- };
- }
- {
- name = "dom_walk___dom_walk_0.1.2.tgz";
- path = fetchurl {
- name = "dom_walk___dom_walk_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/dom-walk/-/dom-walk-0.1.2.tgz";
- sha1 = "0c548bef048f4d1f2a97249002236060daa3fd84";
- };
- }
- {
- name = "dot_prop___dot_prop_5.3.0.tgz";
- path = fetchurl {
- name = "dot_prop___dot_prop_5.3.0.tgz";
- url = "https://registry.yarnpkg.com/dot-prop/-/dot-prop-5.3.0.tgz";
- sha1 = "90ccce708cd9cd82cc4dc8c3ddd9abdd55b20e88";
- };
- }
- {
- name = "dotenv_expand___dotenv_expand_5.1.0.tgz";
- path = fetchurl {
- name = "dotenv_expand___dotenv_expand_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/dotenv-expand/-/dotenv-expand-5.1.0.tgz";
- sha1 = "3fbaf020bfd794884072ea26b1e9791d45a629f0";
- };
- }
- {
- name = "dotenv___dotenv_9.0.2.tgz";
- path = fetchurl {
- name = "dotenv___dotenv_9.0.2.tgz";
- url = "https://registry.yarnpkg.com/dotenv/-/dotenv-9.0.2.tgz";
- sha1 = "dacc20160935a37dea6364aa1bef819fb9b6ab05";
- };
- }
- {
- name = "duplexer3___duplexer3_0.1.4.tgz";
- path = fetchurl {
- name = "duplexer3___duplexer3_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/duplexer3/-/duplexer3-0.1.4.tgz";
- sha1 = "ee01dd1cac0ed3cbc7fdbea37dc0a8f1ce002ce2";
- };
- }
- {
- name = "ecc_jsbn___ecc_jsbn_0.1.2.tgz";
- path = fetchurl {
- name = "ecc_jsbn___ecc_jsbn_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/ecc-jsbn/-/ecc-jsbn-0.1.2.tgz";
- sha1 = "3a83a904e54353287874c564b7549386849a98c9";
- };
- }
- {
- name = "ejs___ejs_3.1.6.tgz";
- path = fetchurl {
- name = "ejs___ejs_3.1.6.tgz";
- url = "https://registry.yarnpkg.com/ejs/-/ejs-3.1.6.tgz";
- sha1 = "5bfd0a0689743bb5268b3550cceeebbc1702822a";
- };
- }
- {
- name = "electron_builder_squirrel_windows___electron_builder_squirrel_windows_22.11.4.tgz";
- path = fetchurl {
- name = "electron_builder_squirrel_windows___electron_builder_squirrel_windows_22.11.4.tgz";
- url = "https://registry.yarnpkg.com/electron-builder-squirrel-windows/-/electron-builder-squirrel-windows-22.11.4.tgz";
- sha1 = "6dc50a26396d813f58a4d8e5b90ee3cedb56f4d8";
- };
- }
- {
- name = "electron_builder___electron_builder_22.11.4.tgz";
- path = fetchurl {
- name = "electron_builder___electron_builder_22.11.4.tgz";
- url = "https://registry.yarnpkg.com/electron-builder/-/electron-builder-22.11.4.tgz";
- sha1 = "aadb57a4fc90863e82ebdbc66131400fc3bb4c82";
- };
- }
- {
- name = "electron_devtools_installer___electron_devtools_installer_3.2.0.tgz";
- path = fetchurl {
- name = "electron_devtools_installer___electron_devtools_installer_3.2.0.tgz";
- url = "https://registry.yarnpkg.com/electron-devtools-installer/-/electron-devtools-installer-3.2.0.tgz";
- sha1 = "acc48d24eb7033fe5af284a19667e73b78d406d0";
- };
- }
- {
- name = "electron_notarize___electron_notarize_1.0.0.tgz";
- path = fetchurl {
- name = "electron_notarize___electron_notarize_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/electron-notarize/-/electron-notarize-1.0.0.tgz";
- sha1 = "bc925b1ccc3f79e58e029e8c4706572b01a9fd8f";
- };
- }
- {
- name = "electron_publish___electron_publish_22.11.4.tgz";
- path = fetchurl {
- name = "electron_publish___electron_publish_22.11.4.tgz";
- url = "https://registry.yarnpkg.com/electron-publish/-/electron-publish-22.11.4.tgz";
- sha1 = "0f526edb7e0c3f0155103ff3b8a2e363a3a392f1";
- };
- }
- {
- name = "electron_store___electron_store_6.0.1.tgz";
- path = fetchurl {
- name = "electron_store___electron_store_6.0.1.tgz";
- url = "https://registry.yarnpkg.com/electron-store/-/electron-store-6.0.1.tgz";
- sha1 = "2178b9dc37aeb749d99cf9d1d1bc090890b922dc";
- };
- }
- {
- name = "electron_window_state___electron_window_state_5.0.3.tgz";
- path = fetchurl {
- name = "electron_window_state___electron_window_state_5.0.3.tgz";
- url = "https://registry.yarnpkg.com/electron-window-state/-/electron-window-state-5.0.3.tgz";
- sha1 = "4f36d09e3f953d87aff103bf010f460056050aa8";
- };
- }
- {
- name = "electron___electron_13.1.7.tgz";
- path = fetchurl {
- name = "electron___electron_13.1.7.tgz";
- url = "https://registry.yarnpkg.com/electron/-/electron-13.1.7.tgz";
- sha1 = "7e17f5c93a8d182a2a486884fed3dc34ab101be9";
- };
- }
- {
- name = "emoji_regex___emoji_regex_7.0.3.tgz";
- path = fetchurl {
- name = "emoji_regex___emoji_regex_7.0.3.tgz";
- url = "https://registry.yarnpkg.com/emoji-regex/-/emoji-regex-7.0.3.tgz";
- sha1 = "933a04052860c85e83c122479c4748a8e4c72156";
- };
- }
- {
- name = "emoji_regex___emoji_regex_8.0.0.tgz";
- path = fetchurl {
- name = "emoji_regex___emoji_regex_8.0.0.tgz";
- url = "https://registry.yarnpkg.com/emoji-regex/-/emoji-regex-8.0.0.tgz";
- sha1 = "e818fd69ce5ccfcb404594f842963bf53164cc37";
- };
- }
- {
- name = "encodeurl___encodeurl_1.0.2.tgz";
- path = fetchurl {
- name = "encodeurl___encodeurl_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/encodeurl/-/encodeurl-1.0.2.tgz";
- sha1 = "ad3ff4c86ec2d029322f5a02c3a9a606c95b3f59";
- };
- }
- {
- name = "encoding___encoding_0.1.13.tgz";
- path = fetchurl {
- name = "encoding___encoding_0.1.13.tgz";
- url = "https://registry.yarnpkg.com/encoding/-/encoding-0.1.13.tgz";
- sha1 = "56574afdd791f54a8e9b2785c0582a2d26210fa9";
- };
- }
- {
- name = "end_of_stream___end_of_stream_1.4.4.tgz";
- path = fetchurl {
- name = "end_of_stream___end_of_stream_1.4.4.tgz";
- url = "https://registry.yarnpkg.com/end-of-stream/-/end-of-stream-1.4.4.tgz";
- sha1 = "5ae64a5f45057baf3626ec14da0ca5e4b2431eb0";
- };
- }
- {
- name = "enquirer___enquirer_2.3.6.tgz";
- path = fetchurl {
- name = "enquirer___enquirer_2.3.6.tgz";
- url = "https://registry.yarnpkg.com/enquirer/-/enquirer-2.3.6.tgz";
- sha1 = "2a7fe5dd634a1e4125a975ec994ff5456dc3734d";
- };
- }
- {
- name = "env_paths___env_paths_2.2.1.tgz";
- path = fetchurl {
- name = "env_paths___env_paths_2.2.1.tgz";
- url = "https://registry.yarnpkg.com/env-paths/-/env-paths-2.2.1.tgz";
- sha1 = "420399d416ce1fbe9bc0a07c62fa68d67fd0f8f2";
- };
- }
- {
- name = "err_code___err_code_2.0.3.tgz";
- path = fetchurl {
- name = "err_code___err_code_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/err-code/-/err-code-2.0.3.tgz";
- sha1 = "23c2f3b756ffdfc608d30e27c9a941024807e7f9";
- };
- }
- {
- name = "es5_ext___es5_ext_0.10.53.tgz";
- path = fetchurl {
- name = "es5_ext___es5_ext_0.10.53.tgz";
- url = "https://registry.yarnpkg.com/es5-ext/-/es5-ext-0.10.53.tgz";
- sha1 = "93c5a3acfdbef275220ad72644ad02ee18368de1";
- };
- }
- {
- name = "es6_error___es6_error_4.1.1.tgz";
- path = fetchurl {
- name = "es6_error___es6_error_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/es6-error/-/es6-error-4.1.1.tgz";
- sha1 = "9e3af407459deed47e9a91f9b885a84eb05c561d";
- };
- }
- {
- name = "es6_iterator___es6_iterator_2.0.3.tgz";
- path = fetchurl {
- name = "es6_iterator___es6_iterator_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/es6-iterator/-/es6-iterator-2.0.3.tgz";
- sha1 = "a7de889141a05a94b0854403b2d0a0fbfa98f3b7";
- };
- }
- {
- name = "es6_symbol___es6_symbol_3.1.3.tgz";
- path = fetchurl {
- name = "es6_symbol___es6_symbol_3.1.3.tgz";
- url = "https://registry.yarnpkg.com/es6-symbol/-/es6-symbol-3.1.3.tgz";
- sha1 = "bad5d3c1bcdac28269f4cb331e431c78ac705d18";
- };
- }
- {
- name = "es6_weak_map___es6_weak_map_2.0.3.tgz";
- path = fetchurl {
- name = "es6_weak_map___es6_weak_map_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/es6-weak-map/-/es6-weak-map-2.0.3.tgz";
- sha1 = "b6da1f16cc2cc0d9be43e6bdbfc5e7dfcdf31d53";
- };
- }
- {
- name = "escalade___escalade_3.1.1.tgz";
- path = fetchurl {
- name = "escalade___escalade_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/escalade/-/escalade-3.1.1.tgz";
- sha1 = "d8cfdc7000965c5a0174b4a82eaa5c0552742e40";
- };
- }
- {
- name = "escape_goat___escape_goat_2.1.1.tgz";
- path = fetchurl {
- name = "escape_goat___escape_goat_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/escape-goat/-/escape-goat-2.1.1.tgz";
- sha1 = "1b2dc77003676c457ec760b2dc68edb648188675";
- };
- }
- {
- name = "escape_string_regexp___escape_string_regexp_1.0.5.tgz";
- path = fetchurl {
- name = "escape_string_regexp___escape_string_regexp_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-1.0.5.tgz";
- sha1 = "1b61c0562190a8dff6ae3bb2cf0200ca130b86d4";
- };
- }
- {
- name = "escape_string_regexp___escape_string_regexp_4.0.0.tgz";
- path = fetchurl {
- name = "escape_string_regexp___escape_string_regexp_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-4.0.0.tgz";
- sha1 = "14ba83a5d373e3d311e5afca29cf5bfad965bf34";
- };
- }
- {
- name = "eslint_config_google___eslint_config_google_0.14.0.tgz";
- path = fetchurl {
- name = "eslint_config_google___eslint_config_google_0.14.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-config-google/-/eslint-config-google-0.14.0.tgz";
- sha1 = "4f5f8759ba6e11b424294a219dbfa18c508bcc1a";
- };
- }
- {
- name = "2306b3d4da4eba908b256014b979f1d3d43d2945";
- path = fetchurl {
- name = "2306b3d4da4eba908b256014b979f1d3d43d2945";
- url = "https://codeload.github.com/matrix-org/eslint-plugin-matrix-org/tar.gz/2306b3d4da4eba908b256014b979f1d3d43d2945";
- sha1 = "e82e07e6163d15ee5243d8df073947540bf0efc9";
- };
- }
- {
- name = "eslint_scope___eslint_scope_5.1.1.tgz";
- path = fetchurl {
- name = "eslint_scope___eslint_scope_5.1.1.tgz";
- url = "https://registry.yarnpkg.com/eslint-scope/-/eslint-scope-5.1.1.tgz";
- sha1 = "e786e59a66cb92b3f6c1fb0d508aab174848f48c";
- };
- }
- {
- name = "eslint_utils___eslint_utils_2.1.0.tgz";
- path = fetchurl {
- name = "eslint_utils___eslint_utils_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-utils/-/eslint-utils-2.1.0.tgz";
- sha1 = "d2de5e03424e707dc10c74068ddedae708741b27";
- };
- }
- {
- name = "eslint_utils___eslint_utils_3.0.0.tgz";
- path = fetchurl {
- name = "eslint_utils___eslint_utils_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-utils/-/eslint-utils-3.0.0.tgz";
- sha1 = "8aebaface7345bb33559db0a1f13a1d2d48c3672";
- };
- }
- {
- name = "eslint_visitor_keys___eslint_visitor_keys_1.3.0.tgz";
- path = fetchurl {
- name = "eslint_visitor_keys___eslint_visitor_keys_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-visitor-keys/-/eslint-visitor-keys-1.3.0.tgz";
- sha1 = "30ebd1ef7c2fdff01c3a4f151044af25fab0523e";
- };
- }
- {
- name = "eslint_visitor_keys___eslint_visitor_keys_2.1.0.tgz";
- path = fetchurl {
- name = "eslint_visitor_keys___eslint_visitor_keys_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-visitor-keys/-/eslint-visitor-keys-2.1.0.tgz";
- sha1 = "f65328259305927392c938ed44eb0a5c9b2bd303";
- };
- }
- {
- name = "eslint___eslint_7.18.0.tgz";
- path = fetchurl {
- name = "eslint___eslint_7.18.0.tgz";
- url = "https://registry.yarnpkg.com/eslint/-/eslint-7.18.0.tgz";
- sha1 = "7fdcd2f3715a41fe6295a16234bd69aed2c75e67";
- };
- }
- {
- name = "espree___espree_7.3.1.tgz";
- path = fetchurl {
- name = "espree___espree_7.3.1.tgz";
- url = "https://registry.yarnpkg.com/espree/-/espree-7.3.1.tgz";
- sha1 = "f2df330b752c6f55019f8bd89b7660039c1bbbb6";
- };
- }
- {
- name = "esprima___esprima_4.0.1.tgz";
- path = fetchurl {
- name = "esprima___esprima_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/esprima/-/esprima-4.0.1.tgz";
- sha1 = "13b04cdb3e6c5d19df91ab6987a8695619b0aa71";
- };
- }
- {
- name = "esquery___esquery_1.4.0.tgz";
- path = fetchurl {
- name = "esquery___esquery_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/esquery/-/esquery-1.4.0.tgz";
- sha1 = "2148ffc38b82e8c7057dfed48425b3e61f0f24a5";
- };
- }
- {
- name = "esrecurse___esrecurse_4.3.0.tgz";
- path = fetchurl {
- name = "esrecurse___esrecurse_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/esrecurse/-/esrecurse-4.3.0.tgz";
- sha1 = "7ad7964d679abb28bee72cec63758b1c5d2c9921";
- };
- }
- {
- name = "estraverse___estraverse_4.3.0.tgz";
- path = fetchurl {
- name = "estraverse___estraverse_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/estraverse/-/estraverse-4.3.0.tgz";
- sha1 = "398ad3f3c5a24948be7725e83d11a7de28cdbd1d";
- };
- }
- {
- name = "estraverse___estraverse_5.2.0.tgz";
- path = fetchurl {
- name = "estraverse___estraverse_5.2.0.tgz";
- url = "https://registry.yarnpkg.com/estraverse/-/estraverse-5.2.0.tgz";
- sha1 = "307df42547e6cc7324d3cf03c155d5cdb8c53880";
- };
- }
- {
- name = "esutils___esutils_2.0.3.tgz";
- path = fetchurl {
- name = "esutils___esutils_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/esutils/-/esutils-2.0.3.tgz";
- sha1 = "74d2eb4de0b8da1293711910d50775b9b710ef64";
- };
- }
- {
- name = "event_emitter___event_emitter_0.3.5.tgz";
- path = fetchurl {
- name = "event_emitter___event_emitter_0.3.5.tgz";
- url = "https://registry.yarnpkg.com/event-emitter/-/event-emitter-0.3.5.tgz";
- sha1 = "df8c69eef1647923c7157b9ce83840610b02cc39";
- };
- }
- {
- name = "except___except_0.1.3.tgz";
- path = fetchurl {
- name = "except___except_0.1.3.tgz";
- url = "https://registry.yarnpkg.com/except/-/except-0.1.3.tgz";
- sha1 = "98261c91958551536b44482238e9783fb73d292a";
- };
- }
- {
- name = "exif_parser___exif_parser_0.1.12.tgz";
- path = fetchurl {
- name = "exif_parser___exif_parser_0.1.12.tgz";
- url = "https://registry.yarnpkg.com/exif-parser/-/exif-parser-0.1.12.tgz";
- sha1 = "58a9d2d72c02c1f6f02a0ef4a9166272b7760922";
- };
- }
- {
- name = "exit_on_epipe___exit_on_epipe_1.0.1.tgz";
- path = fetchurl {
- name = "exit_on_epipe___exit_on_epipe_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/exit-on-epipe/-/exit-on-epipe-1.0.1.tgz";
- sha1 = "0bdd92e87d5285d267daa8171d0eb06159689692";
- };
- }
- {
- name = "ext___ext_1.4.0.tgz";
- path = fetchurl {
- name = "ext___ext_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/ext/-/ext-1.4.0.tgz";
- sha1 = "89ae7a07158f79d35517882904324077e4379244";
- };
- }
- {
- name = "extend___extend_3.0.2.tgz";
- path = fetchurl {
- name = "extend___extend_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/extend/-/extend-3.0.2.tgz";
- sha1 = "f8b1136b4071fbd8eb140aff858b1019ec2915fa";
- };
- }
- {
- name = "extract_zip___extract_zip_1.7.0.tgz";
- path = fetchurl {
- name = "extract_zip___extract_zip_1.7.0.tgz";
- url = "https://registry.yarnpkg.com/extract-zip/-/extract-zip-1.7.0.tgz";
- sha1 = "556cc3ae9df7f452c493a0cfb51cc30277940927";
- };
- }
- {
- name = "extsprintf___extsprintf_1.3.0.tgz";
- path = fetchurl {
- name = "extsprintf___extsprintf_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/extsprintf/-/extsprintf-1.3.0.tgz";
- sha1 = "96918440e3041a7a414f8c52e3c574eb3c3e1e05";
- };
- }
- {
- name = "extsprintf___extsprintf_1.4.0.tgz";
- path = fetchurl {
- name = "extsprintf___extsprintf_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/extsprintf/-/extsprintf-1.4.0.tgz";
- sha1 = "e2689f8f356fad62cca65a3a91c5df5f9551692f";
- };
- }
- {
- name = "fast_deep_equal___fast_deep_equal_3.1.3.tgz";
- path = fetchurl {
- name = "fast_deep_equal___fast_deep_equal_3.1.3.tgz";
- url = "https://registry.yarnpkg.com/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz";
- sha1 = "3a7d56b559d6cbc3eb512325244e619a65c6c525";
- };
- }
- {
- name = "fast_glob___fast_glob_3.2.7.tgz";
- path = fetchurl {
- name = "fast_glob___fast_glob_3.2.7.tgz";
- url = "https://registry.yarnpkg.com/fast-glob/-/fast-glob-3.2.7.tgz";
- sha1 = "fd6cb7a2d7e9aa7a7846111e85a196d6b2f766a1";
- };
- }
- {
- name = "fast_json_stable_stringify___fast_json_stable_stringify_2.1.0.tgz";
- path = fetchurl {
- name = "fast_json_stable_stringify___fast_json_stable_stringify_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/fast-json-stable-stringify/-/fast-json-stable-stringify-2.1.0.tgz";
- sha1 = "874bf69c6f404c2b5d99c481341399fd55892633";
- };
- }
- {
- name = "fast_levenshtein___fast_levenshtein_2.0.6.tgz";
- path = fetchurl {
- name = "fast_levenshtein___fast_levenshtein_2.0.6.tgz";
- url = "https://registry.yarnpkg.com/fast-levenshtein/-/fast-levenshtein-2.0.6.tgz";
- sha1 = "3d8a5c66883a16a30ca8643e851f19baa7797917";
- };
- }
- {
- name = "fastq___fastq_1.11.1.tgz";
- path = fetchurl {
- name = "fastq___fastq_1.11.1.tgz";
- url = "https://registry.yarnpkg.com/fastq/-/fastq-1.11.1.tgz";
- sha1 = "5d8175aae17db61947f8b162cfc7f63264d22807";
- };
- }
- {
- name = "fd_slicer___fd_slicer_1.1.0.tgz";
- path = fetchurl {
- name = "fd_slicer___fd_slicer_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/fd-slicer/-/fd-slicer-1.1.0.tgz";
- sha1 = "25c7c89cb1f9077f8891bbe61d8f390eae256f1e";
- };
- }
- {
- name = "file_entry_cache___file_entry_cache_6.0.1.tgz";
- path = fetchurl {
- name = "file_entry_cache___file_entry_cache_6.0.1.tgz";
- url = "https://registry.yarnpkg.com/file-entry-cache/-/file-entry-cache-6.0.1.tgz";
- sha1 = "211b2dd9659cb0394b073e7323ac3c933d522027";
- };
- }
- {
- name = "file_type___file_type_9.0.0.tgz";
- path = fetchurl {
- name = "file_type___file_type_9.0.0.tgz";
- url = "https://registry.yarnpkg.com/file-type/-/file-type-9.0.0.tgz";
- sha1 = "a68d5ad07f486414dfb2c8866f73161946714a18";
- };
- }
- {
- name = "filelist___filelist_1.0.2.tgz";
- path = fetchurl {
- name = "filelist___filelist_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/filelist/-/filelist-1.0.2.tgz";
- sha1 = "80202f21462d4d1c2e214119b1807c1bc0380e5b";
- };
- }
- {
- name = "fill_range___fill_range_7.0.1.tgz";
- path = fetchurl {
- name = "fill_range___fill_range_7.0.1.tgz";
- url = "https://registry.yarnpkg.com/fill-range/-/fill-range-7.0.1.tgz";
- sha1 = "1919a6a7c75fe38b2c7c77e5198535da9acdda40";
- };
- }
- {
- name = "find_npm_prefix___find_npm_prefix_1.0.2.tgz";
- path = fetchurl {
- name = "find_npm_prefix___find_npm_prefix_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/find-npm-prefix/-/find-npm-prefix-1.0.2.tgz";
- sha1 = "8d8ce2c78b3b4b9e66c8acc6a37c231eb841cfdf";
- };
- }
- {
- name = "find_up___find_up_3.0.0.tgz";
- path = fetchurl {
- name = "find_up___find_up_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/find-up/-/find-up-3.0.0.tgz";
- sha1 = "49169f1d7993430646da61ecc5ae355c21c97b73";
- };
- }
- {
- name = "flat_cache___flat_cache_3.0.4.tgz";
- path = fetchurl {
- name = "flat_cache___flat_cache_3.0.4.tgz";
- url = "https://registry.yarnpkg.com/flat-cache/-/flat-cache-3.0.4.tgz";
- sha1 = "61b0338302b2fe9f957dcc32fc2a87f1c3048b11";
- };
- }
- {
- name = "flatted___flatted_3.2.1.tgz";
- path = fetchurl {
- name = "flatted___flatted_3.2.1.tgz";
- url = "https://registry.yarnpkg.com/flatted/-/flatted-3.2.1.tgz";
- sha1 = "bbef080d95fca6709362c73044a1634f7c6e7d05";
- };
- }
- {
- name = "foreachasync___foreachasync_3.0.0.tgz";
- path = fetchurl {
- name = "foreachasync___foreachasync_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/foreachasync/-/foreachasync-3.0.0.tgz";
- sha1 = "5502987dc8714be3392097f32e0071c9dee07cf6";
- };
- }
- {
- name = "forever_agent___forever_agent_0.6.1.tgz";
- path = fetchurl {
- name = "forever_agent___forever_agent_0.6.1.tgz";
- url = "https://registry.yarnpkg.com/forever-agent/-/forever-agent-0.6.1.tgz";
- sha1 = "fbc71f0c41adeb37f96c577ad1ed42d8fdacca91";
- };
- }
- {
- name = "form_data___form_data_2.3.3.tgz";
- path = fetchurl {
- name = "form_data___form_data_2.3.3.tgz";
- url = "https://registry.yarnpkg.com/form-data/-/form-data-2.3.3.tgz";
- sha1 = "dcce52c05f644f298c6a7ab936bd724ceffbf3a6";
- };
- }
- {
- name = "fs_constants___fs_constants_1.0.0.tgz";
- path = fetchurl {
- name = "fs_constants___fs_constants_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/fs-constants/-/fs-constants-1.0.0.tgz";
- sha1 = "6be0de9be998ce16af8afc24497b9ee9b7ccd9ad";
- };
- }
- {
- name = "fs_extra___fs_extra_10.0.0.tgz";
- path = fetchurl {
- name = "fs_extra___fs_extra_10.0.0.tgz";
- url = "https://registry.yarnpkg.com/fs-extra/-/fs-extra-10.0.0.tgz";
- sha1 = "9ff61b655dde53fb34a82df84bb214ce802e17c1";
- };
- }
- {
- name = "fs_extra___fs_extra_8.1.0.tgz";
- path = fetchurl {
- name = "fs_extra___fs_extra_8.1.0.tgz";
- url = "https://registry.yarnpkg.com/fs-extra/-/fs-extra-8.1.0.tgz";
- sha1 = "49d43c45a88cd9677668cb7be1b46efdb8d2e1c0";
- };
- }
- {
- name = "fs_extra___fs_extra_9.1.0.tgz";
- path = fetchurl {
- name = "fs_extra___fs_extra_9.1.0.tgz";
- url = "https://registry.yarnpkg.com/fs-extra/-/fs-extra-9.1.0.tgz";
- sha1 = "5954460c764a8da2094ba3554bf839e6b9a7c86d";
- };
- }
- {
- name = "fs_minipass___fs_minipass_1.2.7.tgz";
- path = fetchurl {
- name = "fs_minipass___fs_minipass_1.2.7.tgz";
- url = "https://registry.yarnpkg.com/fs-minipass/-/fs-minipass-1.2.7.tgz";
- sha1 = "ccff8570841e7fe4265693da88936c55aed7f7c7";
- };
- }
- {
- name = "fs_minipass___fs_minipass_2.1.0.tgz";
- path = fetchurl {
- name = "fs_minipass___fs_minipass_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/fs-minipass/-/fs-minipass-2.1.0.tgz";
- sha1 = "7f5036fdbf12c63c169190cbe4199c852271f9fb";
- };
- }
- {
- name = "fs.realpath___fs.realpath_1.0.0.tgz";
- path = fetchurl {
- name = "fs.realpath___fs.realpath_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/fs.realpath/-/fs.realpath-1.0.0.tgz";
- sha1 = "1504ad2523158caa40db4a2787cb01411994ea4f";
- };
- }
- {
- name = "fsevents___fsevents_2.3.2.tgz";
- path = fetchurl {
- name = "fsevents___fsevents_2.3.2.tgz";
- url = "https://registry.yarnpkg.com/fsevents/-/fsevents-2.3.2.tgz";
- sha1 = "8a526f78b8fdf4623b709e0b975c52c24c02fd1a";
- };
- }
- {
- name = "functional_red_black_tree___functional_red_black_tree_1.0.1.tgz";
- path = fetchurl {
- name = "functional_red_black_tree___functional_red_black_tree_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/functional-red-black-tree/-/functional-red-black-tree-1.0.1.tgz";
- sha1 = "1b0ab3bd553b2a0d6399d29c0e3ea0b252078327";
- };
- }
- {
- name = "gauge___gauge_2.7.4.tgz";
- path = fetchurl {
- name = "gauge___gauge_2.7.4.tgz";
- url = "https://registry.yarnpkg.com/gauge/-/gauge-2.7.4.tgz";
- sha1 = "2c03405c7538c39d7eb37b317022e325fb018bf7";
- };
- }
- {
- name = "get_caller_file___get_caller_file_2.0.5.tgz";
- path = fetchurl {
- name = "get_caller_file___get_caller_file_2.0.5.tgz";
- url = "https://registry.yarnpkg.com/get-caller-file/-/get-caller-file-2.0.5.tgz";
- sha1 = "4f94412a82db32f36e3b0b9741f8a97feb031f7e";
- };
- }
- {
- name = "get_stream___get_stream_4.1.0.tgz";
- path = fetchurl {
- name = "get_stream___get_stream_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/get-stream/-/get-stream-4.1.0.tgz";
- sha1 = "c1b255575f3dc21d59bfc79cd3d2b46b1c3a54b5";
- };
- }
- {
- name = "get_stream___get_stream_5.2.0.tgz";
- path = fetchurl {
- name = "get_stream___get_stream_5.2.0.tgz";
- url = "https://registry.yarnpkg.com/get-stream/-/get-stream-5.2.0.tgz";
- sha1 = "4966a1795ee5ace65e706c4b7beb71257d6e22d3";
- };
- }
- {
- name = "getpass___getpass_0.1.7.tgz";
- path = fetchurl {
- name = "getpass___getpass_0.1.7.tgz";
- url = "https://registry.yarnpkg.com/getpass/-/getpass-0.1.7.tgz";
- sha1 = "5eff8e3e684d569ae4cb2b1282604e8ba62149fa";
- };
- }
- {
- name = "gifwrap___gifwrap_0.9.2.tgz";
- path = fetchurl {
- name = "gifwrap___gifwrap_0.9.2.tgz";
- url = "https://registry.yarnpkg.com/gifwrap/-/gifwrap-0.9.2.tgz";
- sha1 = "348e286e67d7cf57942172e1e6f05a71cee78489";
- };
- }
- {
- name = "glob_parent___glob_parent_5.1.2.tgz";
- path = fetchurl {
- name = "glob_parent___glob_parent_5.1.2.tgz";
- url = "https://registry.yarnpkg.com/glob-parent/-/glob-parent-5.1.2.tgz";
- sha1 = "869832c58034fe68a4093c17dc15e8340d8401c4";
- };
- }
- {
- name = "glob___glob_7.1.7.tgz";
- path = fetchurl {
- name = "glob___glob_7.1.7.tgz";
- url = "https://registry.yarnpkg.com/glob/-/glob-7.1.7.tgz";
- sha1 = "3b193e9233f01d42d0b3f78294bbeeb418f94a90";
- };
- }
- {
- name = "global_agent___global_agent_2.2.0.tgz";
- path = fetchurl {
- name = "global_agent___global_agent_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/global-agent/-/global-agent-2.2.0.tgz";
- sha1 = "566331b0646e6bf79429a16877685c4a1fbf76dc";
- };
- }
- {
- name = "global_dirs___global_dirs_3.0.0.tgz";
- path = fetchurl {
- name = "global_dirs___global_dirs_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/global-dirs/-/global-dirs-3.0.0.tgz";
- sha1 = "70a76fe84ea315ab37b1f5576cbde7d48ef72686";
- };
- }
- {
- name = "global_tunnel_ng___global_tunnel_ng_2.7.1.tgz";
- path = fetchurl {
- name = "global_tunnel_ng___global_tunnel_ng_2.7.1.tgz";
- url = "https://registry.yarnpkg.com/global-tunnel-ng/-/global-tunnel-ng-2.7.1.tgz";
- sha1 = "d03b5102dfde3a69914f5ee7d86761ca35d57d8f";
- };
- }
- {
- name = "global___global_4.4.0.tgz";
- path = fetchurl {
- name = "global___global_4.4.0.tgz";
- url = "https://registry.yarnpkg.com/global/-/global-4.4.0.tgz";
- sha1 = "3e7b105179006a323ed71aafca3e9c57a5cc6406";
- };
- }
- {
- name = "globals___globals_11.12.0.tgz";
- path = fetchurl {
- name = "globals___globals_11.12.0.tgz";
- url = "https://registry.yarnpkg.com/globals/-/globals-11.12.0.tgz";
- sha1 = "ab8795338868a0babd8525758018c2a7eb95c42e";
- };
- }
- {
- name = "globals___globals_12.4.0.tgz";
- path = fetchurl {
- name = "globals___globals_12.4.0.tgz";
- url = "https://registry.yarnpkg.com/globals/-/globals-12.4.0.tgz";
- sha1 = "a18813576a41b00a24a97e7f815918c2e19925f8";
- };
- }
- {
- name = "globalthis___globalthis_1.0.2.tgz";
- path = fetchurl {
- name = "globalthis___globalthis_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/globalthis/-/globalthis-1.0.2.tgz";
- sha1 = "2a235d34f4d8036219f7e34929b5de9e18166b8b";
- };
- }
- {
- name = "globby___globby_11.0.4.tgz";
- path = fetchurl {
- name = "globby___globby_11.0.4.tgz";
- url = "https://registry.yarnpkg.com/globby/-/globby-11.0.4.tgz";
- sha1 = "2cbaff77c2f2a62e71e9b2813a67b97a3a3001a5";
- };
- }
- {
- name = "got___got_9.6.0.tgz";
- path = fetchurl {
- name = "got___got_9.6.0.tgz";
- url = "https://registry.yarnpkg.com/got/-/got-9.6.0.tgz";
- sha1 = "edf45e7d67f99545705de1f7bbeeeb121765ed85";
- };
- }
- {
- name = "graceful_fs___graceful_fs_4.2.6.tgz";
- path = fetchurl {
- name = "graceful_fs___graceful_fs_4.2.6.tgz";
- url = "https://registry.yarnpkg.com/graceful-fs/-/graceful-fs-4.2.6.tgz";
- sha1 = "ff040b2b0853b23c3d31027523706f1885d76bee";
- };
- }
- {
- name = "graceful_readlink___graceful_readlink_1.0.1.tgz";
- path = fetchurl {
- name = "graceful_readlink___graceful_readlink_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/graceful-readlink/-/graceful-readlink-1.0.1.tgz";
- sha1 = "4cafad76bc62f02fa039b2f94e9a3dd3a391a725";
- };
- }
- {
- name = "har_schema___har_schema_2.0.0.tgz";
- path = fetchurl {
- name = "har_schema___har_schema_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/har-schema/-/har-schema-2.0.0.tgz";
- sha1 = "a94c2224ebcac04782a0d9035521f24735b7ec92";
- };
- }
- {
- name = "har_validator___har_validator_5.1.5.tgz";
- path = fetchurl {
- name = "har_validator___har_validator_5.1.5.tgz";
- url = "https://registry.yarnpkg.com/har-validator/-/har-validator-5.1.5.tgz";
- sha1 = "1f0803b9f8cb20c0fa13822df1ecddb36bde1efd";
- };
- }
- {
- name = "has_flag___has_flag_3.0.0.tgz";
- path = fetchurl {
- name = "has_flag___has_flag_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/has-flag/-/has-flag-3.0.0.tgz";
- sha1 = "b5d454dc2199ae225699f3467e5a07f3b955bafd";
- };
- }
- {
- name = "has_flag___has_flag_4.0.0.tgz";
- path = fetchurl {
- name = "has_flag___has_flag_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/has-flag/-/has-flag-4.0.0.tgz";
- sha1 = "944771fd9c81c81265c4d6941860da06bb59479b";
- };
- }
- {
- name = "has_unicode___has_unicode_2.0.1.tgz";
- path = fetchurl {
- name = "has_unicode___has_unicode_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/has-unicode/-/has-unicode-2.0.1.tgz";
- sha1 = "e0e6fe6a28cf51138855e086d1691e771de2a8b9";
- };
- }
- {
- name = "has_yarn___has_yarn_2.1.0.tgz";
- path = fetchurl {
- name = "has_yarn___has_yarn_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/has-yarn/-/has-yarn-2.1.0.tgz";
- sha1 = "137e11354a7b5bf11aa5cb649cf0c6f3ff2b2e77";
- };
- }
- {
- name = "hosted_git_info___hosted_git_info_4.0.2.tgz";
- path = fetchurl {
- name = "hosted_git_info___hosted_git_info_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/hosted-git-info/-/hosted-git-info-4.0.2.tgz";
- sha1 = "5e425507eede4fea846b7262f0838456c4209961";
- };
- }
- {
- name = "http_cache_semantics___http_cache_semantics_4.1.0.tgz";
- path = fetchurl {
- name = "http_cache_semantics___http_cache_semantics_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/http-cache-semantics/-/http-cache-semantics-4.1.0.tgz";
- sha1 = "49e91c5cbf36c9b94bcfcd71c23d5249ec74e390";
- };
- }
- {
- name = "http_proxy_agent___http_proxy_agent_4.0.1.tgz";
- path = fetchurl {
- name = "http_proxy_agent___http_proxy_agent_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/http-proxy-agent/-/http-proxy-agent-4.0.1.tgz";
- sha1 = "8a8c8ef7f5932ccf953c296ca8291b95aa74aa3a";
- };
- }
- {
- name = "http_signature___http_signature_1.2.0.tgz";
- path = fetchurl {
- name = "http_signature___http_signature_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/http-signature/-/http-signature-1.2.0.tgz";
- sha1 = "9aecd925114772f3d95b65a60abb8f7c18fbace1";
- };
- }
- {
- name = "https_proxy_agent___https_proxy_agent_5.0.0.tgz";
- path = fetchurl {
- name = "https_proxy_agent___https_proxy_agent_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/https-proxy-agent/-/https-proxy-agent-5.0.0.tgz";
- sha1 = "e2a90542abb68a762e0a0850f6c9edadfd8506b2";
- };
- }
- {
- name = "humanize_ms___humanize_ms_1.2.1.tgz";
- path = fetchurl {
- name = "humanize_ms___humanize_ms_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/humanize-ms/-/humanize-ms-1.2.1.tgz";
- sha1 = "c46e3159a293f6b896da29316d8b6fe8bb79bbed";
- };
- }
- {
- name = "iconv_corefoundation___iconv_corefoundation_1.1.6.tgz";
- path = fetchurl {
- name = "iconv_corefoundation___iconv_corefoundation_1.1.6.tgz";
- url = "https://registry.yarnpkg.com/iconv-corefoundation/-/iconv-corefoundation-1.1.6.tgz";
- sha1 = "27c135470237f6f8d13462fa1f5eaf250523c29a";
- };
- }
- {
- name = "iconv_lite___iconv_lite_0.4.24.tgz";
- path = fetchurl {
- name = "iconv_lite___iconv_lite_0.4.24.tgz";
- url = "https://registry.yarnpkg.com/iconv-lite/-/iconv-lite-0.4.24.tgz";
- sha1 = "2022b4b25fbddc21d2f524974a474aafe733908b";
- };
- }
- {
- name = "iconv_lite___iconv_lite_0.6.3.tgz";
- path = fetchurl {
- name = "iconv_lite___iconv_lite_0.6.3.tgz";
- url = "https://registry.yarnpkg.com/iconv-lite/-/iconv-lite-0.6.3.tgz";
- sha1 = "a52f80bf38da1952eb5c681790719871a1a72501";
- };
- }
- {
- name = "ieee754___ieee754_1.2.1.tgz";
- path = fetchurl {
- name = "ieee754___ieee754_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/ieee754/-/ieee754-1.2.1.tgz";
- sha1 = "8eb7a10a63fff25d15a57b001586d177d1b0d352";
- };
- }
- {
- name = "ignore_walk___ignore_walk_3.0.4.tgz";
- path = fetchurl {
- name = "ignore_walk___ignore_walk_3.0.4.tgz";
- url = "https://registry.yarnpkg.com/ignore-walk/-/ignore-walk-3.0.4.tgz";
- sha1 = "c9a09f69b7c7b479a5d74ac1a3c0d4236d2a6335";
- };
- }
- {
- name = "ignore___ignore_4.0.6.tgz";
- path = fetchurl {
- name = "ignore___ignore_4.0.6.tgz";
- url = "https://registry.yarnpkg.com/ignore/-/ignore-4.0.6.tgz";
- sha1 = "750e3db5862087b4737ebac8207ffd1ef27b25fc";
- };
- }
- {
- name = "ignore___ignore_5.1.8.tgz";
- path = fetchurl {
- name = "ignore___ignore_5.1.8.tgz";
- url = "https://registry.yarnpkg.com/ignore/-/ignore-5.1.8.tgz";
- sha1 = "f150a8b50a34289b33e22f5889abd4d8016f0e57";
- };
- }
- {
- name = "image_q___image_q_1.1.1.tgz";
- path = fetchurl {
- name = "image_q___image_q_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/image-q/-/image-q-1.1.1.tgz";
- sha1 = "fc84099664460b90ca862d9300b6bfbbbfbf8056";
- };
- }
- {
- name = "immediate___immediate_3.0.6.tgz";
- path = fetchurl {
- name = "immediate___immediate_3.0.6.tgz";
- url = "https://registry.yarnpkg.com/immediate/-/immediate-3.0.6.tgz";
- sha1 = "9db1dbd0faf8de6fbe0f5dd5e56bb606280de69b";
- };
- }
- {
- name = "import_fresh___import_fresh_3.3.0.tgz";
- path = fetchurl {
- name = "import_fresh___import_fresh_3.3.0.tgz";
- url = "https://registry.yarnpkg.com/import-fresh/-/import-fresh-3.3.0.tgz";
- sha1 = "37162c25fcb9ebaa2e6e53d5b4d88ce17d9e0c2b";
- };
- }
- {
- name = "import_lazy___import_lazy_2.1.0.tgz";
- path = fetchurl {
- name = "import_lazy___import_lazy_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/import-lazy/-/import-lazy-2.1.0.tgz";
- sha1 = "05698e3d45c88e8d7e9d92cb0584e77f096f3e43";
- };
- }
- {
- name = "imurmurhash___imurmurhash_0.1.4.tgz";
- path = fetchurl {
- name = "imurmurhash___imurmurhash_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/imurmurhash/-/imurmurhash-0.1.4.tgz";
- sha1 = "9218b9b2b928a238b13dc4fb6b6d576f231453ea";
- };
- }
- {
- name = "indent_string___indent_string_4.0.0.tgz";
- path = fetchurl {
- name = "indent_string___indent_string_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/indent-string/-/indent-string-4.0.0.tgz";
- sha1 = "624f8f4497d619b2d9768531d58f4122854d7251";
- };
- }
- {
- name = "indexof___indexof_0.0.1.tgz";
- path = fetchurl {
- name = "indexof___indexof_0.0.1.tgz";
- url = "https://registry.yarnpkg.com/indexof/-/indexof-0.0.1.tgz";
- sha1 = "82dc336d232b9062179d05ab3293a66059fd435d";
- };
- }
- {
- name = "infer_owner___infer_owner_1.0.4.tgz";
- path = fetchurl {
- name = "infer_owner___infer_owner_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/infer-owner/-/infer-owner-1.0.4.tgz";
- sha1 = "c4cefcaa8e51051c2a40ba2ce8a3d27295af9467";
- };
- }
- {
- name = "inflight___inflight_1.0.6.tgz";
- path = fetchurl {
- name = "inflight___inflight_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/inflight/-/inflight-1.0.6.tgz";
- sha1 = "49bd6331d7d02d0c09bc910a1075ba8165b56df9";
- };
- }
- {
- name = "inherits___inherits_2.0.4.tgz";
- path = fetchurl {
- name = "inherits___inherits_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/inherits/-/inherits-2.0.4.tgz";
- sha1 = "0fa2c64f932917c3433a0ded55363aae37416b7c";
- };
- }
- {
- name = "ini___ini_2.0.0.tgz";
- path = fetchurl {
- name = "ini___ini_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/ini/-/ini-2.0.0.tgz";
- sha1 = "e5fd556ecdd5726be978fa1001862eacb0a94bc5";
- };
- }
- {
- name = "ini___ini_1.3.8.tgz";
- path = fetchurl {
- name = "ini___ini_1.3.8.tgz";
- url = "https://registry.yarnpkg.com/ini/-/ini-1.3.8.tgz";
- sha1 = "a29da425b48806f34767a4efce397269af28432c";
- };
- }
- {
- name = "ip___ip_1.1.5.tgz";
- path = fetchurl {
- name = "ip___ip_1.1.5.tgz";
- url = "https://registry.yarnpkg.com/ip/-/ip-1.1.5.tgz";
- sha1 = "bdded70114290828c0a039e72ef25f5aaec4354a";
- };
- }
- {
- name = "is_binary_path___is_binary_path_2.1.0.tgz";
- path = fetchurl {
- name = "is_binary_path___is_binary_path_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-binary-path/-/is-binary-path-2.1.0.tgz";
- sha1 = "ea1f7f3b80f064236e83470f86c09c254fb45b09";
- };
- }
- {
- name = "is_ci___is_ci_2.0.0.tgz";
- path = fetchurl {
- name = "is_ci___is_ci_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-ci/-/is-ci-2.0.0.tgz";
- sha1 = "6bc6334181810e04b5c22b3d589fdca55026404c";
- };
- }
- {
- name = "is_ci___is_ci_3.0.0.tgz";
- path = fetchurl {
- name = "is_ci___is_ci_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-ci/-/is-ci-3.0.0.tgz";
- sha1 = "c7e7be3c9d8eef7d0fa144390bd1e4b88dc4c994";
- };
- }
- {
- name = "is_extglob___is_extglob_2.1.1.tgz";
- path = fetchurl {
- name = "is_extglob___is_extglob_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/is-extglob/-/is-extglob-2.1.1.tgz";
- sha1 = "a88c02535791f02ed37c76a1b9ea9773c833f8c2";
- };
- }
- {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_1.0.0.tgz";
- path = fetchurl {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-1.0.0.tgz";
- sha1 = "ef9e31386f031a7f0d643af82fde50c457ef00cb";
- };
- }
- {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_2.0.0.tgz";
- path = fetchurl {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-2.0.0.tgz";
- sha1 = "a3b30a5c4f199183167aaab93beefae3ddfb654f";
- };
- }
- {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_3.0.0.tgz";
- path = fetchurl {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz";
- sha1 = "f116f8064fe90b3f7844a38997c0b75051269f1d";
- };
- }
- {
- name = "is_function___is_function_1.0.2.tgz";
- path = fetchurl {
- name = "is_function___is_function_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/is-function/-/is-function-1.0.2.tgz";
- sha1 = "4f097f30abf6efadac9833b17ca5dc03f8144e08";
- };
- }
- {
- name = "is_glob___is_glob_4.0.1.tgz";
- path = fetchurl {
- name = "is_glob___is_glob_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/is-glob/-/is-glob-4.0.1.tgz";
- sha1 = "7567dbe9f2f5e2467bc77ab83c4a29482407a5dc";
- };
- }
- {
- name = "is_installed_globally___is_installed_globally_0.4.0.tgz";
- path = fetchurl {
- name = "is_installed_globally___is_installed_globally_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/is-installed-globally/-/is-installed-globally-0.4.0.tgz";
- sha1 = "9a0fd407949c30f86eb6959ef1b7994ed0b7b520";
- };
- }
- {
- name = "is_lambda___is_lambda_1.0.1.tgz";
- path = fetchurl {
- name = "is_lambda___is_lambda_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/is-lambda/-/is-lambda-1.0.1.tgz";
- sha1 = "3d9877899e6a53efc0160504cde15f82e6f061d5";
- };
- }
- {
- name = "is_npm___is_npm_5.0.0.tgz";
- path = fetchurl {
- name = "is_npm___is_npm_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-npm/-/is-npm-5.0.0.tgz";
- sha1 = "43e8d65cc56e1b67f8d47262cf667099193f45a8";
- };
- }
- {
- name = "is_number___is_number_7.0.0.tgz";
- path = fetchurl {
- name = "is_number___is_number_7.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-number/-/is-number-7.0.0.tgz";
- sha1 = "7535345b896734d5f80c4d06c50955527a14f12b";
- };
- }
- {
- name = "is_obj___is_obj_2.0.0.tgz";
- path = fetchurl {
- name = "is_obj___is_obj_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-obj/-/is-obj-2.0.0.tgz";
- sha1 = "473fb05d973705e3fd9620545018ca8e22ef4982";
- };
- }
- {
- name = "is_path_inside___is_path_inside_3.0.3.tgz";
- path = fetchurl {
- name = "is_path_inside___is_path_inside_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/is-path-inside/-/is-path-inside-3.0.3.tgz";
- sha1 = "d231362e53a07ff2b0e0ea7fed049161ffd16283";
- };
- }
- {
- name = "is_plain_object___is_plain_object_5.0.0.tgz";
- path = fetchurl {
- name = "is_plain_object___is_plain_object_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-plain-object/-/is-plain-object-5.0.0.tgz";
- sha1 = "4427f50ab3429e9025ea7d52e9043a9ef4159344";
- };
- }
- {
- name = "is_promise___is_promise_2.2.2.tgz";
- path = fetchurl {
- name = "is_promise___is_promise_2.2.2.tgz";
- url = "https://registry.yarnpkg.com/is-promise/-/is-promise-2.2.2.tgz";
- sha1 = "39ab959ccbf9a774cf079f7b40c7a26f763135f1";
- };
- }
- {
- name = "is_typedarray___is_typedarray_1.0.0.tgz";
- path = fetchurl {
- name = "is_typedarray___is_typedarray_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-typedarray/-/is-typedarray-1.0.0.tgz";
- sha1 = "e479c80858df0c1b11ddda6940f96011fcda4a9a";
- };
- }
- {
- name = "is_yarn_global___is_yarn_global_0.3.0.tgz";
- path = fetchurl {
- name = "is_yarn_global___is_yarn_global_0.3.0.tgz";
- url = "https://registry.yarnpkg.com/is-yarn-global/-/is-yarn-global-0.3.0.tgz";
- sha1 = "d502d3382590ea3004893746754c89139973e232";
- };
- }
- {
- name = "isarray___isarray_1.0.0.tgz";
- path = fetchurl {
- name = "isarray___isarray_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/isarray/-/isarray-1.0.0.tgz";
- sha1 = "bb935d48582cba168c06834957a54a3e07124f11";
- };
- }
- {
- name = "isbinaryfile___isbinaryfile_4.0.8.tgz";
- path = fetchurl {
- name = "isbinaryfile___isbinaryfile_4.0.8.tgz";
- url = "https://registry.yarnpkg.com/isbinaryfile/-/isbinaryfile-4.0.8.tgz";
- sha1 = "5d34b94865bd4946633ecc78a026fc76c5b11fcf";
- };
- }
- {
- name = "isexe___isexe_2.0.0.tgz";
- path = fetchurl {
- name = "isexe___isexe_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/isexe/-/isexe-2.0.0.tgz";
- sha1 = "e8fbf374dc556ff8947a10dcb0572d633f2cfa10";
- };
- }
- {
- name = "isstream___isstream_0.1.2.tgz";
- path = fetchurl {
- name = "isstream___isstream_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/isstream/-/isstream-0.1.2.tgz";
- sha1 = "47e63f7af55afa6f92e1500e690eb8b8529c099a";
- };
- }
- {
- name = "jake___jake_10.8.2.tgz";
- path = fetchurl {
- name = "jake___jake_10.8.2.tgz";
- url = "https://registry.yarnpkg.com/jake/-/jake-10.8.2.tgz";
- sha1 = "ebc9de8558160a66d82d0eadc6a2e58fbc500a7b";
- };
- }
- {
- name = "jimp___jimp_0.16.1.tgz";
- path = fetchurl {
- name = "jimp___jimp_0.16.1.tgz";
- url = "https://registry.yarnpkg.com/jimp/-/jimp-0.16.1.tgz";
- sha1 = "192f851a30e5ca11112a3d0aa53137659a78ca7a";
- };
- }
- {
- name = "jpeg_js___jpeg_js_0.4.2.tgz";
- path = fetchurl {
- name = "jpeg_js___jpeg_js_0.4.2.tgz";
- url = "https://registry.yarnpkg.com/jpeg-js/-/jpeg-js-0.4.2.tgz";
- sha1 = "8b345b1ae4abde64c2da2fe67ea216a114ac279d";
- };
- }
- {
- name = "js_tokens___js_tokens_4.0.0.tgz";
- path = fetchurl {
- name = "js_tokens___js_tokens_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/js-tokens/-/js-tokens-4.0.0.tgz";
- sha1 = "19203fb59991df98e3a287050d4647cdeaf32499";
- };
- }
- {
- name = "js_yaml___js_yaml_3.14.1.tgz";
- path = fetchurl {
- name = "js_yaml___js_yaml_3.14.1.tgz";
- url = "https://registry.yarnpkg.com/js-yaml/-/js-yaml-3.14.1.tgz";
- sha1 = "dae812fdb3825fa306609a8717383c50c36a0537";
- };
- }
- {
- name = "js_yaml___js_yaml_4.1.0.tgz";
- path = fetchurl {
- name = "js_yaml___js_yaml_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/js-yaml/-/js-yaml-4.1.0.tgz";
- sha1 = "c1fb65f8f5017901cdd2c951864ba18458a10602";
- };
- }
- {
- name = "jsbn___jsbn_0.1.1.tgz";
- path = fetchurl {
- name = "jsbn___jsbn_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/jsbn/-/jsbn-0.1.1.tgz";
- sha1 = "a5e654c2e5a2deb5f201d96cefbca80c0ef2f513";
- };
- }
- {
- name = "jsesc___jsesc_2.5.2.tgz";
- path = fetchurl {
- name = "jsesc___jsesc_2.5.2.tgz";
- url = "https://registry.yarnpkg.com/jsesc/-/jsesc-2.5.2.tgz";
- sha1 = "80564d2e483dacf6e8ef209650a67df3f0c283a4";
- };
- }
- {
- name = "json_buffer___json_buffer_3.0.0.tgz";
- path = fetchurl {
- name = "json_buffer___json_buffer_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/json-buffer/-/json-buffer-3.0.0.tgz";
- sha1 = "5b1f397afc75d677bde8bcfc0e47e1f9a3d9a898";
- };
- }
- {
- name = "json_parse_even_better_errors___json_parse_even_better_errors_2.3.1.tgz";
- path = fetchurl {
- name = "json_parse_even_better_errors___json_parse_even_better_errors_2.3.1.tgz";
- url = "https://registry.yarnpkg.com/json-parse-even-better-errors/-/json-parse-even-better-errors-2.3.1.tgz";
- sha1 = "7c47805a94319928e05777405dc12e1f7a4ee02d";
- };
- }
- {
- name = "json_schema_traverse___json_schema_traverse_0.4.1.tgz";
- path = fetchurl {
- name = "json_schema_traverse___json_schema_traverse_0.4.1.tgz";
- url = "https://registry.yarnpkg.com/json-schema-traverse/-/json-schema-traverse-0.4.1.tgz";
- sha1 = "69f6a87d9513ab8bb8fe63bdb0979c448e684660";
- };
- }
- {
- name = "json_schema_traverse___json_schema_traverse_1.0.0.tgz";
- path = fetchurl {
- name = "json_schema_traverse___json_schema_traverse_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/json-schema-traverse/-/json-schema-traverse-1.0.0.tgz";
- sha1 = "ae7bcb3656ab77a73ba5c49bf654f38e6b6860e2";
- };
- }
- {
- name = "json_schema_typed___json_schema_typed_7.0.3.tgz";
- path = fetchurl {
- name = "json_schema_typed___json_schema_typed_7.0.3.tgz";
- url = "https://registry.yarnpkg.com/json-schema-typed/-/json-schema-typed-7.0.3.tgz";
- sha1 = "23ff481b8b4eebcd2ca123b4fa0409e66469a2d9";
- };
- }
- {
- name = "json_schema___json_schema_0.2.3.tgz";
- path = fetchurl {
- name = "json_schema___json_schema_0.2.3.tgz";
- url = "https://registry.yarnpkg.com/json-schema/-/json-schema-0.2.3.tgz";
- sha1 = "b480c892e59a2f05954ce727bd3f2a4e882f9e13";
- };
- }
- {
- name = "json_stable_stringify_without_jsonify___json_stable_stringify_without_jsonify_1.0.1.tgz";
- path = fetchurl {
- name = "json_stable_stringify_without_jsonify___json_stable_stringify_without_jsonify_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/json-stable-stringify-without-jsonify/-/json-stable-stringify-without-jsonify-1.0.1.tgz";
- sha1 = "9db7b59496ad3f3cfef30a75142d2d930ad72651";
- };
- }
- {
- name = "json_stringify_safe___json_stringify_safe_5.0.1.tgz";
- path = fetchurl {
- name = "json_stringify_safe___json_stringify_safe_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/json-stringify-safe/-/json-stringify-safe-5.0.1.tgz";
- sha1 = "1296a2d58fd45f19a0f6ce01d65701e2c735b6eb";
- };
- }
- {
- name = "json5___json5_2.2.0.tgz";
- path = fetchurl {
- name = "json5___json5_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/json5/-/json5-2.2.0.tgz";
- sha1 = "2dfefe720c6ba525d9ebd909950f0515316c89a3";
- };
- }
- {
- name = "jsonfile___jsonfile_4.0.0.tgz";
- path = fetchurl {
- name = "jsonfile___jsonfile_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/jsonfile/-/jsonfile-4.0.0.tgz";
- sha1 = "8771aae0799b64076b76640fca058f9c10e33ecb";
- };
- }
- {
- name = "jsonfile___jsonfile_6.1.0.tgz";
- path = fetchurl {
- name = "jsonfile___jsonfile_6.1.0.tgz";
- url = "https://registry.yarnpkg.com/jsonfile/-/jsonfile-6.1.0.tgz";
- sha1 = "bc55b2634793c679ec6403094eb13698a6ec0aae";
- };
- }
- {
- name = "jsonparse___jsonparse_1.3.1.tgz";
- path = fetchurl {
- name = "jsonparse___jsonparse_1.3.1.tgz";
- url = "https://registry.yarnpkg.com/jsonparse/-/jsonparse-1.3.1.tgz";
- sha1 = "3f4dae4a91fac315f71062f8521cc239f1366280";
- };
- }
- {
- name = "jsprim___jsprim_1.4.1.tgz";
- path = fetchurl {
- name = "jsprim___jsprim_1.4.1.tgz";
- url = "https://registry.yarnpkg.com/jsprim/-/jsprim-1.4.1.tgz";
- sha1 = "313e66bc1e5cc06e438bc1b7499c2e5c56acb6a2";
- };
- }
- {
- name = "jszip___jszip_3.7.1.tgz";
- path = fetchurl {
- name = "jszip___jszip_3.7.1.tgz";
- url = "https://registry.yarnpkg.com/jszip/-/jszip-3.7.1.tgz";
- sha1 = "bd63401221c15625a1228c556ca8a68da6fda3d9";
- };
- }
- {
- name = "keyv___keyv_3.1.0.tgz";
- path = fetchurl {
- name = "keyv___keyv_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/keyv/-/keyv-3.1.0.tgz";
- sha1 = "ecc228486f69991e49e9476485a5be1e8fc5c4d9";
- };
- }
- {
- name = "latest_version___latest_version_5.1.0.tgz";
- path = fetchurl {
- name = "latest_version___latest_version_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/latest-version/-/latest-version-5.1.0.tgz";
- sha1 = "119dfe908fe38d15dfa43ecd13fa12ec8832face";
- };
- }
- {
- name = "lazy_val___lazy_val_1.0.5.tgz";
- path = fetchurl {
- name = "lazy_val___lazy_val_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/lazy-val/-/lazy-val-1.0.5.tgz";
- sha1 = "6cf3b9f5bc31cee7ee3e369c0832b7583dcd923d";
- };
- }
- {
- name = "lazystream___lazystream_1.0.0.tgz";
- path = fetchurl {
- name = "lazystream___lazystream_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/lazystream/-/lazystream-1.0.0.tgz";
- sha1 = "f6995fe0f820392f61396be89462407bb77168e4";
- };
- }
- {
- name = "levn___levn_0.4.1.tgz";
- path = fetchurl {
- name = "levn___levn_0.4.1.tgz";
- url = "https://registry.yarnpkg.com/levn/-/levn-0.4.1.tgz";
- sha1 = "ae4562c007473b932a6200d403268dd2fffc6ade";
- };
- }
- {
- name = "lie___lie_3.3.0.tgz";
- path = fetchurl {
- name = "lie___lie_3.3.0.tgz";
- url = "https://registry.yarnpkg.com/lie/-/lie-3.3.0.tgz";
- sha1 = "dcf82dee545f46074daf200c7c1c5a08e0f40f6a";
- };
- }
- {
- name = "load_bmfont___load_bmfont_1.4.1.tgz";
- path = fetchurl {
- name = "load_bmfont___load_bmfont_1.4.1.tgz";
- url = "https://registry.yarnpkg.com/load-bmfont/-/load-bmfont-1.4.1.tgz";
- sha1 = "c0f5f4711a1e2ccff725a7b6078087ccfcddd3e9";
- };
- }
- {
- name = "locate_path___locate_path_3.0.0.tgz";
- path = fetchurl {
- name = "locate_path___locate_path_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/locate-path/-/locate-path-3.0.0.tgz";
- sha1 = "dbec3b3ab759758071b58fe59fc41871af21400e";
- };
- }
- {
- name = "lodash.clonedeep___lodash.clonedeep_4.5.0.tgz";
- path = fetchurl {
- name = "lodash.clonedeep___lodash.clonedeep_4.5.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.clonedeep/-/lodash.clonedeep-4.5.0.tgz";
- sha1 = "e23f3f9c4f8fbdde872529c1071857a086e5ccef";
- };
- }
- {
- name = "lodash.defaults___lodash.defaults_4.2.0.tgz";
- path = fetchurl {
- name = "lodash.defaults___lodash.defaults_4.2.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.defaults/-/lodash.defaults-4.2.0.tgz";
- sha1 = "d09178716ffea4dde9e5fb7b37f6f0802274580c";
- };
- }
- {
- name = "lodash.difference___lodash.difference_4.5.0.tgz";
- path = fetchurl {
- name = "lodash.difference___lodash.difference_4.5.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.difference/-/lodash.difference-4.5.0.tgz";
- sha1 = "9ccb4e505d486b91651345772885a2df27fd017c";
- };
- }
- {
- name = "lodash.flatten___lodash.flatten_4.4.0.tgz";
- path = fetchurl {
- name = "lodash.flatten___lodash.flatten_4.4.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.flatten/-/lodash.flatten-4.4.0.tgz";
- sha1 = "f31c22225a9632d2bbf8e4addbef240aa765a61f";
- };
- }
- {
- name = "lodash.isplainobject___lodash.isplainobject_4.0.6.tgz";
- path = fetchurl {
- name = "lodash.isplainobject___lodash.isplainobject_4.0.6.tgz";
- url = "https://registry.yarnpkg.com/lodash.isplainobject/-/lodash.isplainobject-4.0.6.tgz";
- sha1 = "7c526a52d89b45c45cc690b88163be0497f550cb";
- };
- }
- {
- name = "lodash.truncate___lodash.truncate_4.4.2.tgz";
- path = fetchurl {
- name = "lodash.truncate___lodash.truncate_4.4.2.tgz";
- url = "https://registry.yarnpkg.com/lodash.truncate/-/lodash.truncate-4.4.2.tgz";
- sha1 = "5a350da0b1113b837ecfffd5812cbe58d6eae193";
- };
- }
- {
- name = "lodash.union___lodash.union_4.6.0.tgz";
- path = fetchurl {
- name = "lodash.union___lodash.union_4.6.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.union/-/lodash.union-4.6.0.tgz";
- sha1 = "48bb5088409f16f1821666641c44dd1aaae3cd88";
- };
- }
- {
- name = "lodash___lodash_4.17.21.tgz";
- path = fetchurl {
- name = "lodash___lodash_4.17.21.tgz";
- url = "https://registry.yarnpkg.com/lodash/-/lodash-4.17.21.tgz";
- sha1 = "679591c564c3bffaae8454cf0b3df370c3d6911c";
- };
- }
- {
- name = "loglevel___loglevel_1.7.1.tgz";
- path = fetchurl {
- name = "loglevel___loglevel_1.7.1.tgz";
- url = "https://registry.yarnpkg.com/loglevel/-/loglevel-1.7.1.tgz";
- sha1 = "005fde2f5e6e47068f935ff28573e125ef72f197";
- };
- }
- {
- name = "lowercase_keys___lowercase_keys_1.0.1.tgz";
- path = fetchurl {
- name = "lowercase_keys___lowercase_keys_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/lowercase-keys/-/lowercase-keys-1.0.1.tgz";
- sha1 = "6f9e30b47084d971a7c820ff15a6c5167b74c26f";
- };
- }
- {
- name = "lowercase_keys___lowercase_keys_2.0.0.tgz";
- path = fetchurl {
- name = "lowercase_keys___lowercase_keys_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/lowercase-keys/-/lowercase-keys-2.0.0.tgz";
- sha1 = "2603e78b7b4b0006cbca2fbcc8a3202558ac9479";
- };
- }
- {
- name = "lru_cache___lru_cache_6.0.0.tgz";
- path = fetchurl {
- name = "lru_cache___lru_cache_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/lru-cache/-/lru-cache-6.0.0.tgz";
- sha1 = "6d6fe6570ebd96aaf90fcad1dafa3b2566db3a94";
- };
- }
- {
- name = "lru_queue___lru_queue_0.1.0.tgz";
- path = fetchurl {
- name = "lru_queue___lru_queue_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/lru-queue/-/lru-queue-0.1.0.tgz";
- sha1 = "2738bd9f0d3cf4f84490c5736c48699ac632cda3";
- };
- }
- {
- name = "make_dir___make_dir_3.1.0.tgz";
- path = fetchurl {
- name = "make_dir___make_dir_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/make-dir/-/make-dir-3.1.0.tgz";
- sha1 = "415e967046b3a7f1d185277d84aa58203726a13f";
- };
- }
- {
- name = "make_fetch_happen___make_fetch_happen_9.0.4.tgz";
- path = fetchurl {
- name = "make_fetch_happen___make_fetch_happen_9.0.4.tgz";
- url = "https://registry.yarnpkg.com/make-fetch-happen/-/make-fetch-happen-9.0.4.tgz";
- sha1 = "ceaa100e60e0ef9e8d1ede94614bb2ba83c8bb24";
- };
- }
- {
- name = "matcher___matcher_3.0.0.tgz";
- path = fetchurl {
- name = "matcher___matcher_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/matcher/-/matcher-3.0.0.tgz";
- sha1 = "bd9060f4c5b70aa8041ccc6f80368760994f30ca";
- };
- }
- {
- name = "e5c7071e0cdf715de87ef39dc8260e11d7add2f8";
- path = fetchurl {
- name = "e5c7071e0cdf715de87ef39dc8260e11d7add2f8";
- url = "https://codeload.github.com/matrix-org/matrix-web-i18n/tar.gz/e5c7071e0cdf715de87ef39dc8260e11d7add2f8";
- sha1 = "efbc392e3523669d20b812a6dae2f6efb49b888d";
- };
- }
- {
- name = "memoizee___memoizee_0.4.15.tgz";
- path = fetchurl {
- name = "memoizee___memoizee_0.4.15.tgz";
- url = "https://registry.yarnpkg.com/memoizee/-/memoizee-0.4.15.tgz";
- sha1 = "e6f3d2da863f318d02225391829a6c5956555b72";
- };
- }
- {
- name = "merge2___merge2_1.4.1.tgz";
- path = fetchurl {
- name = "merge2___merge2_1.4.1.tgz";
- url = "https://registry.yarnpkg.com/merge2/-/merge2-1.4.1.tgz";
- sha1 = "4368892f885e907455a6fd7dc55c0c9d404990ae";
- };
- }
- {
- name = "micromatch___micromatch_4.0.4.tgz";
- path = fetchurl {
- name = "micromatch___micromatch_4.0.4.tgz";
- url = "https://registry.yarnpkg.com/micromatch/-/micromatch-4.0.4.tgz";
- sha1 = "896d519dfe9db25fce94ceb7a500919bf881ebf9";
- };
- }
- {
- name = "mime_db___mime_db_1.48.0.tgz";
- path = fetchurl {
- name = "mime_db___mime_db_1.48.0.tgz";
- url = "https://registry.yarnpkg.com/mime-db/-/mime-db-1.48.0.tgz";
- sha1 = "e35b31045dd7eada3aaad537ed88a33afbef2d1d";
- };
- }
- {
- name = "mime_types___mime_types_2.1.31.tgz";
- path = fetchurl {
- name = "mime_types___mime_types_2.1.31.tgz";
- url = "https://registry.yarnpkg.com/mime-types/-/mime-types-2.1.31.tgz";
- sha1 = "a00d76b74317c61f9c2db2218b8e9f8e9c5c9e6b";
- };
- }
- {
- name = "mime___mime_1.6.0.tgz";
- path = fetchurl {
- name = "mime___mime_1.6.0.tgz";
- url = "https://registry.yarnpkg.com/mime/-/mime-1.6.0.tgz";
- sha1 = "32cd9e5c64553bd58d19a568af452acff04981b1";
- };
- }
- {
- name = "mime___mime_2.5.2.tgz";
- path = fetchurl {
- name = "mime___mime_2.5.2.tgz";
- url = "https://registry.yarnpkg.com/mime/-/mime-2.5.2.tgz";
- sha1 = "6e3dc6cc2b9510643830e5f19d5cb753da5eeabe";
- };
- }
- {
- name = "mimic_fn___mimic_fn_2.1.0.tgz";
- path = fetchurl {
- name = "mimic_fn___mimic_fn_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/mimic-fn/-/mimic-fn-2.1.0.tgz";
- sha1 = "7ed2c2ccccaf84d3ffcb7a69b57711fc2083401b";
- };
- }
- {
- name = "mimic_fn___mimic_fn_3.1.0.tgz";
- path = fetchurl {
- name = "mimic_fn___mimic_fn_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/mimic-fn/-/mimic-fn-3.1.0.tgz";
- sha1 = "65755145bbf3e36954b949c16450427451d5ca74";
- };
- }
- {
- name = "mimic_response___mimic_response_1.0.1.tgz";
- path = fetchurl {
- name = "mimic_response___mimic_response_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/mimic-response/-/mimic-response-1.0.1.tgz";
- sha1 = "4923538878eef42063cb8a3e3b0798781487ab1b";
- };
- }
- {
- name = "min_document___min_document_2.19.0.tgz";
- path = fetchurl {
- name = "min_document___min_document_2.19.0.tgz";
- url = "https://registry.yarnpkg.com/min-document/-/min-document-2.19.0.tgz";
- sha1 = "7bd282e3f5842ed295bb748cdd9f1ffa2c824685";
- };
- }
- {
- name = "minimatch___minimatch_3.0.4.tgz";
- path = fetchurl {
- name = "minimatch___minimatch_3.0.4.tgz";
- url = "https://registry.yarnpkg.com/minimatch/-/minimatch-3.0.4.tgz";
- sha1 = "5166e286457f03306064be5497e8dbb0c3d32083";
- };
- }
- {
- name = "minimist___minimist_1.2.5.tgz";
- path = fetchurl {
- name = "minimist___minimist_1.2.5.tgz";
- url = "https://registry.yarnpkg.com/minimist/-/minimist-1.2.5.tgz";
- sha1 = "67d66014b66a6a8aaa0c083c5fd58df4e4e97602";
- };
- }
- {
- name = "minipass_collect___minipass_collect_1.0.2.tgz";
- path = fetchurl {
- name = "minipass_collect___minipass_collect_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/minipass-collect/-/minipass-collect-1.0.2.tgz";
- sha1 = "22b813bf745dc6edba2576b940022ad6edc8c617";
- };
- }
- {
- name = "minipass_fetch___minipass_fetch_1.3.4.tgz";
- path = fetchurl {
- name = "minipass_fetch___minipass_fetch_1.3.4.tgz";
- url = "https://registry.yarnpkg.com/minipass-fetch/-/minipass-fetch-1.3.4.tgz";
- sha1 = "63f5af868a38746ca7b33b03393ddf8c291244fe";
- };
- }
- {
- name = "minipass_flush___minipass_flush_1.0.5.tgz";
- path = fetchurl {
- name = "minipass_flush___minipass_flush_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/minipass-flush/-/minipass-flush-1.0.5.tgz";
- sha1 = "82e7135d7e89a50ffe64610a787953c4c4cbb373";
- };
- }
- {
- name = "minipass_json_stream___minipass_json_stream_1.0.1.tgz";
- path = fetchurl {
- name = "minipass_json_stream___minipass_json_stream_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/minipass-json-stream/-/minipass-json-stream-1.0.1.tgz";
- sha1 = "7edbb92588fbfc2ff1db2fc10397acb7b6b44aa7";
- };
- }
- {
- name = "minipass_pipeline___minipass_pipeline_1.2.4.tgz";
- path = fetchurl {
- name = "minipass_pipeline___minipass_pipeline_1.2.4.tgz";
- url = "https://registry.yarnpkg.com/minipass-pipeline/-/minipass-pipeline-1.2.4.tgz";
- sha1 = "68472f79711c084657c067c5c6ad93cddea8214c";
- };
- }
- {
- name = "minipass_sized___minipass_sized_1.0.3.tgz";
- path = fetchurl {
- name = "minipass_sized___minipass_sized_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/minipass-sized/-/minipass-sized-1.0.3.tgz";
- sha1 = "70ee5a7c5052070afacfbc22977ea79def353b70";
- };
- }
- {
- name = "minipass___minipass_2.9.0.tgz";
- path = fetchurl {
- name = "minipass___minipass_2.9.0.tgz";
- url = "https://registry.yarnpkg.com/minipass/-/minipass-2.9.0.tgz";
- sha1 = "e713762e7d3e32fed803115cf93e04bca9fcc9a6";
- };
- }
- {
- name = "minipass___minipass_3.1.3.tgz";
- path = fetchurl {
- name = "minipass___minipass_3.1.3.tgz";
- url = "https://registry.yarnpkg.com/minipass/-/minipass-3.1.3.tgz";
- sha1 = "7d42ff1f39635482e15f9cdb53184deebd5815fd";
- };
- }
- {
- name = "minizlib___minizlib_1.3.3.tgz";
- path = fetchurl {
- name = "minizlib___minizlib_1.3.3.tgz";
- url = "https://registry.yarnpkg.com/minizlib/-/minizlib-1.3.3.tgz";
- sha1 = "2290de96818a34c29551c8a8d301216bd65a861d";
- };
- }
- {
- name = "minizlib___minizlib_2.1.2.tgz";
- path = fetchurl {
- name = "minizlib___minizlib_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/minizlib/-/minizlib-2.1.2.tgz";
- sha1 = "e90d3466ba209b932451508a11ce3d3632145931";
- };
- }
- {
- name = "mkdirp___mkdirp_0.5.5.tgz";
- path = fetchurl {
- name = "mkdirp___mkdirp_0.5.5.tgz";
- url = "https://registry.yarnpkg.com/mkdirp/-/mkdirp-0.5.5.tgz";
- sha1 = "d91cefd62d1436ca0f41620e251288d420099def";
- };
- }
- {
- name = "mkdirp___mkdirp_1.0.4.tgz";
- path = fetchurl {
- name = "mkdirp___mkdirp_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/mkdirp/-/mkdirp-1.0.4.tgz";
- sha1 = "3eb5ed62622756d79a5f0e2a221dfebad75c2f7e";
- };
- }
- {
- name = "ms___ms_2.0.0.tgz";
- path = fetchurl {
- name = "ms___ms_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/ms/-/ms-2.0.0.tgz";
- sha1 = "5608aeadfc00be6c2901df5f9861788de0d597c8";
- };
- }
- {
- name = "ms___ms_2.1.2.tgz";
- path = fetchurl {
- name = "ms___ms_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/ms/-/ms-2.1.2.tgz";
- sha1 = "d09d1f357b443f493382a8eb3ccd183872ae6009";
- };
- }
- {
- name = "ms___ms_2.1.3.tgz";
- path = fetchurl {
- name = "ms___ms_2.1.3.tgz";
- url = "https://registry.yarnpkg.com/ms/-/ms-2.1.3.tgz";
- sha1 = "574c8138ce1d2b5861f0b44579dbadd60c6615b2";
- };
- }
- {
- name = "natural_compare___natural_compare_1.4.0.tgz";
- path = fetchurl {
- name = "natural_compare___natural_compare_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/natural-compare/-/natural-compare-1.4.0.tgz";
- sha1 = "4abebfeed7541f2c27acfb29bdbbd15c8d5ba4f7";
- };
- }
- {
- name = "needle___needle_2.8.0.tgz";
- path = fetchurl {
- name = "needle___needle_2.8.0.tgz";
- url = "https://registry.yarnpkg.com/needle/-/needle-2.8.0.tgz";
- sha1 = "1c8ef9c1a2c29dcc1e83d73809d7bc681c80a048";
- };
- }
- {
- name = "negotiator___negotiator_0.6.2.tgz";
- path = fetchurl {
- name = "negotiator___negotiator_0.6.2.tgz";
- url = "https://registry.yarnpkg.com/negotiator/-/negotiator-0.6.2.tgz";
- sha1 = "feacf7ccf525a77ae9634436a64883ffeca346fb";
- };
- }
- {
- name = "next_tick___next_tick_1.1.0.tgz";
- path = fetchurl {
- name = "next_tick___next_tick_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/next-tick/-/next-tick-1.1.0.tgz";
- sha1 = "1836ee30ad56d67ef281b22bd199f709449b35eb";
- };
- }
- {
- name = "next_tick___next_tick_1.0.0.tgz";
- path = fetchurl {
- name = "next_tick___next_tick_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/next-tick/-/next-tick-1.0.0.tgz";
- sha1 = "ca86d1fe8828169b0120208e3dc8424b9db8342c";
- };
- }
- {
- name = "node_addon_api___node_addon_api_1.7.2.tgz";
- path = fetchurl {
- name = "node_addon_api___node_addon_api_1.7.2.tgz";
- url = "https://registry.yarnpkg.com/node-addon-api/-/node-addon-api-1.7.2.tgz";
- sha1 = "3df30b95720b53c24e59948b49532b662444f54d";
- };
- }
- {
- name = "node_fetch___node_fetch_2.6.1.tgz";
- path = fetchurl {
- name = "node_fetch___node_fetch_2.6.1.tgz";
- url = "https://registry.yarnpkg.com/node-fetch/-/node-fetch-2.6.1.tgz";
- sha1 = "045bd323631f76ed2e2b55573394416b639a0052";
- };
- }
- {
- name = "node_gyp___node_gyp_7.1.2.tgz";
- path = fetchurl {
- name = "node_gyp___node_gyp_7.1.2.tgz";
- url = "https://registry.yarnpkg.com/node-gyp/-/node-gyp-7.1.2.tgz";
- sha1 = "21a810aebb187120251c3bcec979af1587b188ae";
- };
- }
- {
- name = "node_pre_gyp___node_pre_gyp_0.15.0.tgz";
- path = fetchurl {
- name = "node_pre_gyp___node_pre_gyp_0.15.0.tgz";
- url = "https://registry.yarnpkg.com/node-pre-gyp/-/node-pre-gyp-0.15.0.tgz";
- sha1 = "c2fc383276b74c7ffa842925241553e8b40f1087";
- };
- }
- {
- name = "nopt___nopt_4.0.3.tgz";
- path = fetchurl {
- name = "nopt___nopt_4.0.3.tgz";
- url = "https://registry.yarnpkg.com/nopt/-/nopt-4.0.3.tgz";
- sha1 = "a375cad9d02fd921278d954c2254d5aa57e15e48";
- };
- }
- {
- name = "nopt___nopt_5.0.0.tgz";
- path = fetchurl {
- name = "nopt___nopt_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/nopt/-/nopt-5.0.0.tgz";
- sha1 = "530942bb58a512fccafe53fe210f13a25355dc88";
- };
- }
- {
- name = "normalize_path___normalize_path_3.0.0.tgz";
- path = fetchurl {
- name = "normalize_path___normalize_path_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/normalize-path/-/normalize-path-3.0.0.tgz";
- sha1 = "0dcd69ff23a1c9b11fd0978316644a0388216a65";
- };
- }
- {
- name = "normalize_url___normalize_url_4.5.1.tgz";
- path = fetchurl {
- name = "normalize_url___normalize_url_4.5.1.tgz";
- url = "https://registry.yarnpkg.com/normalize-url/-/normalize-url-4.5.1.tgz";
- sha1 = "0dd90cf1288ee1d1313b87081c9a5932ee48518a";
- };
- }
- {
- name = "npm_bundled___npm_bundled_1.1.2.tgz";
- path = fetchurl {
- name = "npm_bundled___npm_bundled_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/npm-bundled/-/npm-bundled-1.1.2.tgz";
- sha1 = "944c78789bd739035b70baa2ca5cc32b8d860bc1";
- };
- }
- {
- name = "npm_conf___npm_conf_1.1.3.tgz";
- path = fetchurl {
- name = "npm_conf___npm_conf_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/npm-conf/-/npm-conf-1.1.3.tgz";
- sha1 = "256cc47bd0e218c259c4e9550bf413bc2192aff9";
- };
- }
- {
- name = "npm_install_checks___npm_install_checks_4.0.0.tgz";
- path = fetchurl {
- name = "npm_install_checks___npm_install_checks_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/npm-install-checks/-/npm-install-checks-4.0.0.tgz";
- sha1 = "a37facc763a2fde0497ef2c6d0ac7c3fbe00d7b4";
- };
- }
- {
- name = "npm_normalize_package_bin___npm_normalize_package_bin_1.0.1.tgz";
- path = fetchurl {
- name = "npm_normalize_package_bin___npm_normalize_package_bin_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/npm-normalize-package-bin/-/npm-normalize-package-bin-1.0.1.tgz";
- sha1 = "6e79a41f23fd235c0623218228da7d9c23b8f6e2";
- };
- }
- {
- name = "npm_package_arg___npm_package_arg_8.1.5.tgz";
- path = fetchurl {
- name = "npm_package_arg___npm_package_arg_8.1.5.tgz";
- url = "https://registry.yarnpkg.com/npm-package-arg/-/npm-package-arg-8.1.5.tgz";
- sha1 = "3369b2d5fe8fdc674baa7f1786514ddc15466e44";
- };
- }
- {
- name = "npm_packlist___npm_packlist_1.4.8.tgz";
- path = fetchurl {
- name = "npm_packlist___npm_packlist_1.4.8.tgz";
- url = "https://registry.yarnpkg.com/npm-packlist/-/npm-packlist-1.4.8.tgz";
- sha1 = "56ee6cc135b9f98ad3d51c1c95da22bbb9b2ef3e";
- };
- }
- {
- name = "npm_packlist___npm_packlist_2.2.2.tgz";
- path = fetchurl {
- name = "npm_packlist___npm_packlist_2.2.2.tgz";
- url = "https://registry.yarnpkg.com/npm-packlist/-/npm-packlist-2.2.2.tgz";
- sha1 = "076b97293fa620f632833186a7a8f65aaa6148c8";
- };
- }
- {
- name = "npm_pick_manifest___npm_pick_manifest_6.1.1.tgz";
- path = fetchurl {
- name = "npm_pick_manifest___npm_pick_manifest_6.1.1.tgz";
- url = "https://registry.yarnpkg.com/npm-pick-manifest/-/npm-pick-manifest-6.1.1.tgz";
- sha1 = "7b5484ca2c908565f43b7f27644f36bb816f5148";
- };
- }
- {
- name = "npm_registry_fetch___npm_registry_fetch_11.0.0.tgz";
- path = fetchurl {
- name = "npm_registry_fetch___npm_registry_fetch_11.0.0.tgz";
- url = "https://registry.yarnpkg.com/npm-registry-fetch/-/npm-registry-fetch-11.0.0.tgz";
- sha1 = "68c1bb810c46542760d62a6a965f85a702d43a76";
- };
- }
- {
- name = "npmlog___npmlog_4.1.2.tgz";
- path = fetchurl {
- name = "npmlog___npmlog_4.1.2.tgz";
- url = "https://registry.yarnpkg.com/npmlog/-/npmlog-4.1.2.tgz";
- sha1 = "08a7f2a8bf734604779a9efa4ad5cc717abb954b";
- };
- }
- {
- name = "number_is_nan___number_is_nan_1.0.1.tgz";
- path = fetchurl {
- name = "number_is_nan___number_is_nan_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/number-is-nan/-/number-is-nan-1.0.1.tgz";
- sha1 = "097b602b53422a522c1afb8790318336941a011d";
- };
- }
- {
- name = "oauth_sign___oauth_sign_0.9.0.tgz";
- path = fetchurl {
- name = "oauth_sign___oauth_sign_0.9.0.tgz";
- url = "https://registry.yarnpkg.com/oauth-sign/-/oauth-sign-0.9.0.tgz";
- sha1 = "47a7b016baa68b5fa0ecf3dee08a85c679ac6455";
- };
- }
- {
- name = "object_assign___object_assign_4.1.1.tgz";
- path = fetchurl {
- name = "object_assign___object_assign_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/object-assign/-/object-assign-4.1.1.tgz";
- sha1 = "2109adc7965887cfc05cbbd442cac8bfbb360863";
- };
- }
- {
- name = "object_keys___object_keys_1.1.1.tgz";
- path = fetchurl {
- name = "object_keys___object_keys_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/object-keys/-/object-keys-1.1.1.tgz";
- sha1 = "1c47f272df277f3b1daf061677d9c82e2322c60e";
- };
- }
- {
- name = "omggif___omggif_1.0.10.tgz";
- path = fetchurl {
- name = "omggif___omggif_1.0.10.tgz";
- url = "https://registry.yarnpkg.com/omggif/-/omggif-1.0.10.tgz";
- sha1 = "ddaaf90d4a42f532e9e7cb3a95ecdd47f17c7b19";
- };
- }
- {
- name = "once___once_1.4.0.tgz";
- path = fetchurl {
- name = "once___once_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/once/-/once-1.4.0.tgz";
- sha1 = "583b1aa775961d4b113ac17d9c50baef9dd76bd1";
- };
- }
- {
- name = "onetime___onetime_5.1.2.tgz";
- path = fetchurl {
- name = "onetime___onetime_5.1.2.tgz";
- url = "https://registry.yarnpkg.com/onetime/-/onetime-5.1.2.tgz";
- sha1 = "d0e96ebb56b07476df1dd9c4806e5237985ca45e";
- };
- }
- {
- name = "optionator___optionator_0.9.1.tgz";
- path = fetchurl {
- name = "optionator___optionator_0.9.1.tgz";
- url = "https://registry.yarnpkg.com/optionator/-/optionator-0.9.1.tgz";
- sha1 = "4f236a6373dae0566a6d43e1326674f50c291499";
- };
- }
- {
- name = "os_homedir___os_homedir_1.0.2.tgz";
- path = fetchurl {
- name = "os_homedir___os_homedir_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/os-homedir/-/os-homedir-1.0.2.tgz";
- sha1 = "ffbc4988336e0e833de0c168c7ef152121aa7fb3";
- };
- }
- {
- name = "os_tmpdir___os_tmpdir_1.0.2.tgz";
- path = fetchurl {
- name = "os_tmpdir___os_tmpdir_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/os-tmpdir/-/os-tmpdir-1.0.2.tgz";
- sha1 = "bbe67406c79aa85c5cfec766fe5734555dfa1274";
- };
- }
- {
- name = "osenv___osenv_0.1.5.tgz";
- path = fetchurl {
- name = "osenv___osenv_0.1.5.tgz";
- url = "https://registry.yarnpkg.com/osenv/-/osenv-0.1.5.tgz";
- sha1 = "85cdfafaeb28e8677f416e287592b5f3f49ea410";
- };
- }
- {
- name = "p_cancelable___p_cancelable_1.1.0.tgz";
- path = fetchurl {
- name = "p_cancelable___p_cancelable_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/p-cancelable/-/p-cancelable-1.1.0.tgz";
- sha1 = "d078d15a3af409220c886f1d9a0ca2e441ab26cc";
- };
- }
- {
- name = "p_limit___p_limit_2.3.0.tgz";
- path = fetchurl {
- name = "p_limit___p_limit_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/p-limit/-/p-limit-2.3.0.tgz";
- sha1 = "3dd33c647a214fdfffd835933eb086da0dc21db1";
- };
- }
- {
- name = "p_locate___p_locate_3.0.0.tgz";
- path = fetchurl {
- name = "p_locate___p_locate_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/p-locate/-/p-locate-3.0.0.tgz";
- sha1 = "322d69a05c0264b25997d9f40cd8a891ab0064a4";
- };
- }
- {
- name = "p_map___p_map_4.0.0.tgz";
- path = fetchurl {
- name = "p_map___p_map_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/p-map/-/p-map-4.0.0.tgz";
- sha1 = "bb2f95a5eda2ec168ec9274e06a747c3e2904d2b";
- };
- }
- {
- name = "p_try___p_try_2.2.0.tgz";
- path = fetchurl {
- name = "p_try___p_try_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/p-try/-/p-try-2.2.0.tgz";
- sha1 = "cb2868540e313d61de58fafbe35ce9004d5540e6";
- };
- }
- {
- name = "package_json___package_json_6.5.0.tgz";
- path = fetchurl {
- name = "package_json___package_json_6.5.0.tgz";
- url = "https://registry.yarnpkg.com/package-json/-/package-json-6.5.0.tgz";
- sha1 = "6feedaca35e75725876d0b0e64974697fed145b0";
- };
- }
- {
- name = "pacote___pacote_11.3.5.tgz";
- path = fetchurl {
- name = "pacote___pacote_11.3.5.tgz";
- url = "https://registry.yarnpkg.com/pacote/-/pacote-11.3.5.tgz";
- sha1 = "73cf1fc3772b533f575e39efa96c50be8c3dc9d2";
- };
- }
- {
- name = "pako___pako_1.0.11.tgz";
- path = fetchurl {
- name = "pako___pako_1.0.11.tgz";
- url = "https://registry.yarnpkg.com/pako/-/pako-1.0.11.tgz";
- sha1 = "6c9599d340d54dfd3946380252a35705a6b992bf";
- };
- }
- {
- name = "parent_module___parent_module_1.0.1.tgz";
- path = fetchurl {
- name = "parent_module___parent_module_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/parent-module/-/parent-module-1.0.1.tgz";
- sha1 = "691d2709e78c79fae3a156622452d00762caaaa2";
- };
- }
- {
- name = "parse_bmfont_ascii___parse_bmfont_ascii_1.0.6.tgz";
- path = fetchurl {
- name = "parse_bmfont_ascii___parse_bmfont_ascii_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/parse-bmfont-ascii/-/parse-bmfont-ascii-1.0.6.tgz";
- sha1 = "11ac3c3ff58f7c2020ab22769079108d4dfa0285";
- };
- }
- {
- name = "parse_bmfont_binary___parse_bmfont_binary_1.0.6.tgz";
- path = fetchurl {
- name = "parse_bmfont_binary___parse_bmfont_binary_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/parse-bmfont-binary/-/parse-bmfont-binary-1.0.6.tgz";
- sha1 = "d038b476d3e9dd9db1e11a0b0e53a22792b69006";
- };
- }
- {
- name = "parse_bmfont_xml___parse_bmfont_xml_1.1.4.tgz";
- path = fetchurl {
- name = "parse_bmfont_xml___parse_bmfont_xml_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/parse-bmfont-xml/-/parse-bmfont-xml-1.1.4.tgz";
- sha1 = "015319797e3e12f9e739c4d513872cd2fa35f389";
- };
- }
- {
- name = "parse_headers___parse_headers_2.0.3.tgz";
- path = fetchurl {
- name = "parse_headers___parse_headers_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/parse-headers/-/parse-headers-2.0.3.tgz";
- sha1 = "5e8e7512383d140ba02f0c7aa9f49b4399c92515";
- };
- }
- {
- name = "path_exists___path_exists_3.0.0.tgz";
- path = fetchurl {
- name = "path_exists___path_exists_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/path-exists/-/path-exists-3.0.0.tgz";
- sha1 = "ce0ebeaa5f78cb18925ea7d810d7b59b010fd515";
- };
- }
- {
- name = "path_is_absolute___path_is_absolute_1.0.1.tgz";
- path = fetchurl {
- name = "path_is_absolute___path_is_absolute_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/path-is-absolute/-/path-is-absolute-1.0.1.tgz";
- sha1 = "174b9268735534ffbc7ace6bf53a5a9e1b5c5f5f";
- };
- }
- {
- name = "path_key___path_key_3.1.1.tgz";
- path = fetchurl {
- name = "path_key___path_key_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/path-key/-/path-key-3.1.1.tgz";
- sha1 = "581f6ade658cbba65a0d3380de7753295054f375";
- };
- }
- {
- name = "path_type___path_type_4.0.0.tgz";
- path = fetchurl {
- name = "path_type___path_type_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/path-type/-/path-type-4.0.0.tgz";
- sha1 = "84ed01c0a7ba380afe09d90a8c180dcd9d03043b";
- };
- }
- {
- name = "pend___pend_1.2.0.tgz";
- path = fetchurl {
- name = "pend___pend_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/pend/-/pend-1.2.0.tgz";
- sha1 = "7a57eb550a6783f9115331fcf4663d5c8e007a50";
- };
- }
- {
- name = "performance_now___performance_now_2.1.0.tgz";
- path = fetchurl {
- name = "performance_now___performance_now_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/performance-now/-/performance-now-2.1.0.tgz";
- sha1 = "6309f4e0e5fa913ec1c69307ae364b4b377c9e7b";
- };
- }
- {
- name = "phin___phin_2.9.3.tgz";
- path = fetchurl {
- name = "phin___phin_2.9.3.tgz";
- url = "https://registry.yarnpkg.com/phin/-/phin-2.9.3.tgz";
- sha1 = "f9b6ac10a035636fb65dfc576aaaa17b8743125c";
- };
- }
- {
- name = "picomatch___picomatch_2.3.0.tgz";
- path = fetchurl {
- name = "picomatch___picomatch_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/picomatch/-/picomatch-2.3.0.tgz";
- sha1 = "f1f061de8f6a4bf022892e2d128234fb98302972";
- };
- }
- {
- name = "pify___pify_3.0.0.tgz";
- path = fetchurl {
- name = "pify___pify_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/pify/-/pify-3.0.0.tgz";
- sha1 = "e5a4acd2c101fdf3d9a4d07f0dbc4db49dd28176";
- };
- }
- {
- name = "pixelmatch___pixelmatch_4.0.2.tgz";
- path = fetchurl {
- name = "pixelmatch___pixelmatch_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/pixelmatch/-/pixelmatch-4.0.2.tgz";
- sha1 = "8f47dcec5011b477b67db03c243bc1f3085e8854";
- };
- }
- {
- name = "pkg_up___pkg_up_3.1.0.tgz";
- path = fetchurl {
- name = "pkg_up___pkg_up_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/pkg-up/-/pkg-up-3.1.0.tgz";
- sha1 = "100ec235cc150e4fd42519412596a28512a0def5";
- };
- }
- {
- name = "plist___plist_3.0.2.tgz";
- path = fetchurl {
- name = "plist___plist_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/plist/-/plist-3.0.2.tgz";
- sha1 = "74bbf011124b90421c22d15779cee60060ba95bc";
- };
- }
- {
- name = "pluralizers___pluralizers_0.1.7.tgz";
- path = fetchurl {
- name = "pluralizers___pluralizers_0.1.7.tgz";
- url = "https://registry.yarnpkg.com/pluralizers/-/pluralizers-0.1.7.tgz";
- sha1 = "8d38dd0a1b660e739b10ab2eab10b684c9d50142";
- };
- }
- {
- name = "png_to_ico___png_to_ico_2.1.2.tgz";
- path = fetchurl {
- name = "png_to_ico___png_to_ico_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/png-to-ico/-/png-to-ico-2.1.2.tgz";
- sha1 = "9787178b849f1a7b3aa3e5f2d57ac4cbfabc7c24";
- };
- }
- {
- name = "pngjs___pngjs_3.4.0.tgz";
- path = fetchurl {
- name = "pngjs___pngjs_3.4.0.tgz";
- url = "https://registry.yarnpkg.com/pngjs/-/pngjs-3.4.0.tgz";
- sha1 = "99ca7d725965fb655814eaf65f38f12bbdbf555f";
- };
- }
- {
- name = "prelude_ls___prelude_ls_1.2.1.tgz";
- path = fetchurl {
- name = "prelude_ls___prelude_ls_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/prelude-ls/-/prelude-ls-1.2.1.tgz";
- sha1 = "debc6489d7a6e6b0e7611888cec880337d316396";
- };
- }
- {
- name = "prepend_http___prepend_http_2.0.0.tgz";
- path = fetchurl {
- name = "prepend_http___prepend_http_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/prepend-http/-/prepend-http-2.0.0.tgz";
- sha1 = "e92434bfa5ea8c19f41cdfd401d741a3c819d897";
- };
- }
- {
- name = "printj___printj_1.1.2.tgz";
- path = fetchurl {
- name = "printj___printj_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/printj/-/printj-1.1.2.tgz";
- sha1 = "d90deb2975a8b9f600fb3a1c94e3f4c53c78a222";
- };
- }
- {
- name = "process_nextick_args___process_nextick_args_2.0.1.tgz";
- path = fetchurl {
- name = "process_nextick_args___process_nextick_args_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/process-nextick-args/-/process-nextick-args-2.0.1.tgz";
- sha1 = "7820d9b16120cc55ca9ae7792680ae7dba6d7fe2";
- };
- }
- {
- name = "process___process_0.11.10.tgz";
- path = fetchurl {
- name = "process___process_0.11.10.tgz";
- url = "https://registry.yarnpkg.com/process/-/process-0.11.10.tgz";
- sha1 = "7332300e840161bda3e69a1d1d91a7d4bc16f182";
- };
- }
- {
- name = "progress___progress_2.0.3.tgz";
- path = fetchurl {
- name = "progress___progress_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/progress/-/progress-2.0.3.tgz";
- sha1 = "7e8cf8d8f5b8f239c1bc68beb4eb78567d572ef8";
- };
- }
- {
- name = "promise_inflight___promise_inflight_1.0.1.tgz";
- path = fetchurl {
- name = "promise_inflight___promise_inflight_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/promise-inflight/-/promise-inflight-1.0.1.tgz";
- sha1 = "98472870bf228132fcbdd868129bad12c3c029e3";
- };
- }
- {
- name = "promise_retry___promise_retry_2.0.1.tgz";
- path = fetchurl {
- name = "promise_retry___promise_retry_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/promise-retry/-/promise-retry-2.0.1.tgz";
- sha1 = "ff747a13620ab57ba688f5fc67855410c370da22";
- };
- }
- {
- name = "proto_list___proto_list_1.2.4.tgz";
- path = fetchurl {
- name = "proto_list___proto_list_1.2.4.tgz";
- url = "https://registry.yarnpkg.com/proto-list/-/proto-list-1.2.4.tgz";
- sha1 = "212d5bfe1318306a420f6402b8e26ff39647a849";
- };
- }
- {
- name = "psl___psl_1.8.0.tgz";
- path = fetchurl {
- name = "psl___psl_1.8.0.tgz";
- url = "https://registry.yarnpkg.com/psl/-/psl-1.8.0.tgz";
- sha1 = "9326f8bcfb013adcc005fdff056acce020e51c24";
- };
- }
- {
- name = "pump___pump_3.0.0.tgz";
- path = fetchurl {
- name = "pump___pump_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/pump/-/pump-3.0.0.tgz";
- sha1 = "b4a2116815bde2f4e1ea602354e8c75565107a64";
- };
- }
- {
- name = "punycode___punycode_2.1.1.tgz";
- path = fetchurl {
- name = "punycode___punycode_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/punycode/-/punycode-2.1.1.tgz";
- sha1 = "b58b010ac40c22c5657616c8d2c2c02c7bf479ec";
- };
- }
- {
- name = "pupa___pupa_2.1.1.tgz";
- path = fetchurl {
- name = "pupa___pupa_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/pupa/-/pupa-2.1.1.tgz";
- sha1 = "f5e8fd4afc2c5d97828faa523549ed8744a20d62";
- };
- }
- {
- name = "qs___qs_6.5.2.tgz";
- path = fetchurl {
- name = "qs___qs_6.5.2.tgz";
- url = "https://registry.yarnpkg.com/qs/-/qs-6.5.2.tgz";
- sha1 = "cb3ae806e8740444584ef154ce8ee98d403f3e36";
- };
- }
- {
- name = "queue_microtask___queue_microtask_1.2.3.tgz";
- path = fetchurl {
- name = "queue_microtask___queue_microtask_1.2.3.tgz";
- url = "https://registry.yarnpkg.com/queue-microtask/-/queue-microtask-1.2.3.tgz";
- sha1 = "4929228bbc724dfac43e0efb058caf7b6cfb6243";
- };
- }
- {
- name = "rc___rc_1.2.8.tgz";
- path = fetchurl {
- name = "rc___rc_1.2.8.tgz";
- url = "https://registry.yarnpkg.com/rc/-/rc-1.2.8.tgz";
- sha1 = "cd924bf5200a075b83c188cd6b9e211b7fc0d3ed";
- };
- }
- {
- name = "read_config_file___read_config_file_6.2.0.tgz";
- path = fetchurl {
- name = "read_config_file___read_config_file_6.2.0.tgz";
- url = "https://registry.yarnpkg.com/read-config-file/-/read-config-file-6.2.0.tgz";
- sha1 = "71536072330bcd62ba814f91458b12add9fc7ade";
- };
- }
- {
- name = "read_package_json_fast___read_package_json_fast_2.0.2.tgz";
- path = fetchurl {
- name = "read_package_json_fast___read_package_json_fast_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/read-package-json-fast/-/read-package-json-fast-2.0.2.tgz";
- sha1 = "2dcb24d9e8dd50fb322042c8c35a954e6cc7ac9e";
- };
- }
- {
- name = "readable_stream___readable_stream_2.3.7.tgz";
- path = fetchurl {
- name = "readable_stream___readable_stream_2.3.7.tgz";
- url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-2.3.7.tgz";
- sha1 = "1eca1cf711aef814c04f62252a36a62f6cb23b57";
- };
- }
- {
- name = "readable_stream___readable_stream_3.6.0.tgz";
- path = fetchurl {
- name = "readable_stream___readable_stream_3.6.0.tgz";
- url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-3.6.0.tgz";
- sha1 = "337bbda3adc0706bd3e024426a286d4b4b2c9198";
- };
- }
- {
- name = "readdir_glob___readdir_glob_1.1.1.tgz";
- path = fetchurl {
- name = "readdir_glob___readdir_glob_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/readdir-glob/-/readdir-glob-1.1.1.tgz";
- sha1 = "f0e10bb7bf7bfa7e0add8baffdc54c3f7dbee6c4";
- };
- }
- {
- name = "readdirp___readdirp_3.6.0.tgz";
- path = fetchurl {
- name = "readdirp___readdirp_3.6.0.tgz";
- url = "https://registry.yarnpkg.com/readdirp/-/readdirp-3.6.0.tgz";
- sha1 = "74a370bd857116e245b29cc97340cd431a02a6c7";
- };
- }
- {
- name = "regenerator_runtime___regenerator_runtime_0.13.7.tgz";
- path = fetchurl {
- name = "regenerator_runtime___regenerator_runtime_0.13.7.tgz";
- url = "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.13.7.tgz";
- sha1 = "cac2dacc8a1ea675feaabaeb8ae833898ae46f55";
- };
- }
- {
- name = "regexpp___regexpp_3.2.0.tgz";
- path = fetchurl {
- name = "regexpp___regexpp_3.2.0.tgz";
- url = "https://registry.yarnpkg.com/regexpp/-/regexpp-3.2.0.tgz";
- sha1 = "0425a2768d8f23bad70ca4b90461fa2f1213e1b2";
- };
- }
- {
- name = "registry_auth_token___registry_auth_token_4.2.1.tgz";
- path = fetchurl {
- name = "registry_auth_token___registry_auth_token_4.2.1.tgz";
- url = "https://registry.yarnpkg.com/registry-auth-token/-/registry-auth-token-4.2.1.tgz";
- sha1 = "6d7b4006441918972ccd5fedcd41dc322c79b250";
- };
- }
- {
- name = "registry_url___registry_url_5.1.0.tgz";
- path = fetchurl {
- name = "registry_url___registry_url_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/registry-url/-/registry-url-5.1.0.tgz";
- sha1 = "e98334b50d5434b81136b44ec638d9c2009c5009";
- };
- }
- {
- name = "request___request_2.88.2.tgz";
- path = fetchurl {
- name = "request___request_2.88.2.tgz";
- url = "https://registry.yarnpkg.com/request/-/request-2.88.2.tgz";
- sha1 = "d73c918731cb5a87da047e207234146f664d12b3";
- };
- }
- {
- name = "require_directory___require_directory_2.1.1.tgz";
- path = fetchurl {
- name = "require_directory___require_directory_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/require-directory/-/require-directory-2.1.1.tgz";
- sha1 = "8c64ad5fd30dab1c976e2344ffe7f792a6a6df42";
- };
- }
- {
- name = "require_from_string___require_from_string_2.0.2.tgz";
- path = fetchurl {
- name = "require_from_string___require_from_string_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/require-from-string/-/require-from-string-2.0.2.tgz";
- sha1 = "89a7fdd938261267318eafe14f9c32e598c36909";
- };
- }
- {
- name = "resolve_from___resolve_from_4.0.0.tgz";
- path = fetchurl {
- name = "resolve_from___resolve_from_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/resolve-from/-/resolve-from-4.0.0.tgz";
- sha1 = "4abcd852ad32dd7baabfe9b40e00a36db5f392e6";
- };
- }
- {
- name = "responselike___responselike_1.0.2.tgz";
- path = fetchurl {
- name = "responselike___responselike_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/responselike/-/responselike-1.0.2.tgz";
- sha1 = "918720ef3b631c5642be068f15ade5a46f4ba1e7";
- };
- }
- {
- name = "retry___retry_0.12.0.tgz";
- path = fetchurl {
- name = "retry___retry_0.12.0.tgz";
- url = "https://registry.yarnpkg.com/retry/-/retry-0.12.0.tgz";
- sha1 = "1b42a6266a21f07421d1b0b54b7dc167b01c013b";
- };
- }
- {
- name = "reusify___reusify_1.0.4.tgz";
- path = fetchurl {
- name = "reusify___reusify_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/reusify/-/reusify-1.0.4.tgz";
- sha1 = "90da382b1e126efc02146e90845a88db12925d76";
- };
- }
- {
- name = "rimraf___rimraf_2.7.1.tgz";
- path = fetchurl {
- name = "rimraf___rimraf_2.7.1.tgz";
- url = "https://registry.yarnpkg.com/rimraf/-/rimraf-2.7.1.tgz";
- sha1 = "35797f13a7fdadc566142c29d4f07ccad483e3ec";
- };
- }
- {
- name = "rimraf___rimraf_3.0.2.tgz";
- path = fetchurl {
- name = "rimraf___rimraf_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/rimraf/-/rimraf-3.0.2.tgz";
- sha1 = "f1a5402ba6220ad52cc1282bac1ae3aa49fd061a";
- };
- }
- {
- name = "roarr___roarr_2.15.4.tgz";
- path = fetchurl {
- name = "roarr___roarr_2.15.4.tgz";
- url = "https://registry.yarnpkg.com/roarr/-/roarr-2.15.4.tgz";
- sha1 = "f5fe795b7b838ccfe35dc608e0282b9eba2e7afd";
- };
- }
- {
- name = "run_parallel___run_parallel_1.2.0.tgz";
- path = fetchurl {
- name = "run_parallel___run_parallel_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/run-parallel/-/run-parallel-1.2.0.tgz";
- sha1 = "66d1368da7bdf921eb9d95bd1a9229e7f21a43ee";
- };
- }
- {
- name = "safe_buffer___safe_buffer_5.2.1.tgz";
- path = fetchurl {
- name = "safe_buffer___safe_buffer_5.2.1.tgz";
- url = "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.2.1.tgz";
- sha1 = "1eaf9fa9bdb1fdd4ec75f58f9cdb4e6b7827eec6";
- };
- }
- {
- name = "safe_buffer___safe_buffer_5.1.2.tgz";
- path = fetchurl {
- name = "safe_buffer___safe_buffer_5.1.2.tgz";
- url = "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.1.2.tgz";
- sha1 = "991ec69d296e0313747d59bdfd2b745c35f8828d";
- };
- }
- {
- name = "safer_buffer___safer_buffer_2.1.2.tgz";
- path = fetchurl {
- name = "safer_buffer___safer_buffer_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/safer-buffer/-/safer-buffer-2.1.2.tgz";
- sha1 = "44fa161b0187b9549dd84bb91802f9bd8385cd6a";
- };
- }
- {
- name = "sanitize_filename___sanitize_filename_1.6.3.tgz";
- path = fetchurl {
- name = "sanitize_filename___sanitize_filename_1.6.3.tgz";
- url = "https://registry.yarnpkg.com/sanitize-filename/-/sanitize-filename-1.6.3.tgz";
- sha1 = "755ebd752045931977e30b2025d340d7c9090378";
- };
- }
- {
- name = "sax___sax_1.2.4.tgz";
- path = fetchurl {
- name = "sax___sax_1.2.4.tgz";
- url = "https://registry.yarnpkg.com/sax/-/sax-1.2.4.tgz";
- sha1 = "2816234e2378bddc4e5354fab5caa895df7100d9";
- };
- }
- {
- name = "semver_compare___semver_compare_1.0.0.tgz";
- path = fetchurl {
- name = "semver_compare___semver_compare_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/semver-compare/-/semver-compare-1.0.0.tgz";
- sha1 = "0dee216a1c941ab37e9efb1788f6afc5ff5537fc";
- };
- }
- {
- name = "semver_diff___semver_diff_3.1.1.tgz";
- path = fetchurl {
- name = "semver_diff___semver_diff_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/semver-diff/-/semver-diff-3.1.1.tgz";
- sha1 = "05f77ce59f325e00e2706afd67bb506ddb1ca32b";
- };
- }
- {
- name = "semver___semver_5.7.1.tgz";
- path = fetchurl {
- name = "semver___semver_5.7.1.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-5.7.1.tgz";
- sha1 = "a954f931aeba508d307bbf069eff0c01c96116f7";
- };
- }
- {
- name = "semver___semver_6.3.0.tgz";
- path = fetchurl {
- name = "semver___semver_6.3.0.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-6.3.0.tgz";
- sha1 = "ee0a64c8af5e8ceea67687b133761e1becbd1d3d";
- };
- }
- {
- name = "semver___semver_7.3.5.tgz";
- path = fetchurl {
- name = "semver___semver_7.3.5.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-7.3.5.tgz";
- sha1 = "0b621c879348d8998e4b0e4be94b3f12e6018ef7";
- };
- }
- {
- name = "serialize_error___serialize_error_7.0.1.tgz";
- path = fetchurl {
- name = "serialize_error___serialize_error_7.0.1.tgz";
- url = "https://registry.yarnpkg.com/serialize-error/-/serialize-error-7.0.1.tgz";
- sha1 = "f1360b0447f61ffb483ec4157c737fab7d778e18";
- };
- }
- {
- name = "set_blocking___set_blocking_2.0.0.tgz";
- path = fetchurl {
- name = "set_blocking___set_blocking_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/set-blocking/-/set-blocking-2.0.0.tgz";
- sha1 = "045f9782d011ae9a6803ddd382b24392b3d890f7";
- };
- }
- {
- name = "set_immediate_shim___set_immediate_shim_1.0.1.tgz";
- path = fetchurl {
- name = "set_immediate_shim___set_immediate_shim_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/set-immediate-shim/-/set-immediate-shim-1.0.1.tgz";
- sha1 = "4b2b1b27eb808a9f8dcc481a58e5e56f599f3f61";
- };
- }
- {
- name = "shebang_command___shebang_command_2.0.0.tgz";
- path = fetchurl {
- name = "shebang_command___shebang_command_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/shebang-command/-/shebang-command-2.0.0.tgz";
- sha1 = "ccd0af4f8835fbdc265b82461aaf0c36663f34ea";
- };
- }
- {
- name = "shebang_regex___shebang_regex_3.0.0.tgz";
- path = fetchurl {
- name = "shebang_regex___shebang_regex_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/shebang-regex/-/shebang-regex-3.0.0.tgz";
- sha1 = "ae16f1644d873ecad843b0307b143362d4c42172";
- };
- }
- {
- name = "signal_exit___signal_exit_3.0.3.tgz";
- path = fetchurl {
- name = "signal_exit___signal_exit_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/signal-exit/-/signal-exit-3.0.3.tgz";
- sha1 = "a1410c2edd8f077b08b4e253c8eacfcaf057461c";
- };
- }
- {
- name = "slash___slash_3.0.0.tgz";
- path = fetchurl {
- name = "slash___slash_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/slash/-/slash-3.0.0.tgz";
- sha1 = "6539be870c165adbd5240220dbe361f1bc4d4634";
- };
- }
- {
- name = "slice_ansi___slice_ansi_1.0.0.tgz";
- path = fetchurl {
- name = "slice_ansi___slice_ansi_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/slice-ansi/-/slice-ansi-1.0.0.tgz";
- sha1 = "044f1a49d8842ff307aad6b505ed178bd950134d";
- };
- }
- {
- name = "slice_ansi___slice_ansi_4.0.0.tgz";
- path = fetchurl {
- name = "slice_ansi___slice_ansi_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/slice-ansi/-/slice-ansi-4.0.0.tgz";
- sha1 = "500e8dd0fd55b05815086255b3195adf2a45fe6b";
- };
- }
- {
- name = "smart_buffer___smart_buffer_4.1.0.tgz";
- path = fetchurl {
- name = "smart_buffer___smart_buffer_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/smart-buffer/-/smart-buffer-4.1.0.tgz";
- sha1 = "91605c25d91652f4661ea69ccf45f1b331ca21ba";
- };
- }
- {
- name = "socks_proxy_agent___socks_proxy_agent_5.0.1.tgz";
- path = fetchurl {
- name = "socks_proxy_agent___socks_proxy_agent_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/socks-proxy-agent/-/socks-proxy-agent-5.0.1.tgz";
- sha1 = "032fb583048a29ebffec2e6a73fca0761f48177e";
- };
- }
- {
- name = "socks___socks_2.6.1.tgz";
- path = fetchurl {
- name = "socks___socks_2.6.1.tgz";
- url = "https://registry.yarnpkg.com/socks/-/socks-2.6.1.tgz";
- sha1 = "989e6534a07cf337deb1b1c94aaa44296520d30e";
- };
- }
- {
- name = "source_map_support___source_map_support_0.5.19.tgz";
- path = fetchurl {
- name = "source_map_support___source_map_support_0.5.19.tgz";
- url = "https://registry.yarnpkg.com/source-map-support/-/source-map-support-0.5.19.tgz";
- sha1 = "a98b62f86dcaf4f67399648c085291ab9e8fed61";
- };
- }
- {
- name = "source_map___source_map_0.5.7.tgz";
- path = fetchurl {
- name = "source_map___source_map_0.5.7.tgz";
- url = "https://registry.yarnpkg.com/source-map/-/source-map-0.5.7.tgz";
- sha1 = "8a039d2d1021d22d1ea14c80d8ea468ba2ef3fcc";
- };
- }
- {
- name = "source_map___source_map_0.6.1.tgz";
- path = fetchurl {
- name = "source_map___source_map_0.6.1.tgz";
- url = "https://registry.yarnpkg.com/source-map/-/source-map-0.6.1.tgz";
- sha1 = "74722af32e9614e9c287a8d0bbde48b5e2f1a263";
- };
- }
- {
- name = "sprintf_js___sprintf_js_1.1.2.tgz";
- path = fetchurl {
- name = "sprintf_js___sprintf_js_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/sprintf-js/-/sprintf-js-1.1.2.tgz";
- sha1 = "da1765262bf8c0f571749f2ad6c26300207ae673";
- };
- }
- {
- name = "sprintf_js___sprintf_js_1.0.3.tgz";
- path = fetchurl {
- name = "sprintf_js___sprintf_js_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/sprintf-js/-/sprintf-js-1.0.3.tgz";
- sha1 = "04e6926f662895354f3dd015203633b857297e2c";
- };
- }
- {
- name = "sshpk___sshpk_1.16.1.tgz";
- path = fetchurl {
- name = "sshpk___sshpk_1.16.1.tgz";
- url = "https://registry.yarnpkg.com/sshpk/-/sshpk-1.16.1.tgz";
- sha1 = "fb661c0bef29b39db40769ee39fa70093d6f6877";
- };
- }
- {
- name = "ssri___ssri_8.0.1.tgz";
- path = fetchurl {
- name = "ssri___ssri_8.0.1.tgz";
- url = "https://registry.yarnpkg.com/ssri/-/ssri-8.0.1.tgz";
- sha1 = "638e4e439e2ffbd2cd289776d5ca457c4f51a2af";
- };
- }
- {
- name = "stat_mode___stat_mode_1.0.0.tgz";
- path = fetchurl {
- name = "stat_mode___stat_mode_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/stat-mode/-/stat-mode-1.0.0.tgz";
- sha1 = "68b55cb61ea639ff57136f36b216a291800d1465";
- };
- }
- {
- name = "string_width___string_width_1.0.2.tgz";
- path = fetchurl {
- name = "string_width___string_width_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/string-width/-/string-width-1.0.2.tgz";
- sha1 = "118bdf5b8cdc51a2a7e70d211e07e2b0b9b107d3";
- };
- }
- {
- name = "string_width___string_width_2.1.1.tgz";
- path = fetchurl {
- name = "string_width___string_width_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/string-width/-/string-width-2.1.1.tgz";
- sha1 = "ab93f27a8dc13d28cac815c462143a6d9012ae9e";
- };
- }
- {
- name = "string_width___string_width_3.1.0.tgz";
- path = fetchurl {
- name = "string_width___string_width_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/string-width/-/string-width-3.1.0.tgz";
- sha1 = "22767be21b62af1081574306f69ac51b62203961";
- };
- }
- {
- name = "string_width___string_width_4.2.2.tgz";
- path = fetchurl {
- name = "string_width___string_width_4.2.2.tgz";
- url = "https://registry.yarnpkg.com/string-width/-/string-width-4.2.2.tgz";
- sha1 = "dafd4f9559a7585cfba529c6a0a4f73488ebd4c5";
- };
- }
- {
- name = "string_decoder___string_decoder_1.3.0.tgz";
- path = fetchurl {
- name = "string_decoder___string_decoder_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/string_decoder/-/string_decoder-1.3.0.tgz";
- sha1 = "42f114594a46cf1a8e30b0a84f56c78c3edac21e";
- };
- }
- {
- name = "string_decoder___string_decoder_1.1.1.tgz";
- path = fetchurl {
- name = "string_decoder___string_decoder_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/string_decoder/-/string_decoder-1.1.1.tgz";
- sha1 = "9cf1611ba62685d7030ae9e4ba34149c3af03fc8";
- };
- }
- {
- name = "strip_ansi___strip_ansi_3.0.1.tgz";
- path = fetchurl {
- name = "strip_ansi___strip_ansi_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-3.0.1.tgz";
- sha1 = "6a385fb8853d952d5ff05d0e8aaf94278dc63dcf";
- };
- }
- {
- name = "strip_ansi___strip_ansi_4.0.0.tgz";
- path = fetchurl {
- name = "strip_ansi___strip_ansi_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-4.0.0.tgz";
- sha1 = "a8479022eb1ac368a871389b635262c505ee368f";
- };
- }
- {
- name = "strip_ansi___strip_ansi_5.2.0.tgz";
- path = fetchurl {
- name = "strip_ansi___strip_ansi_5.2.0.tgz";
- url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-5.2.0.tgz";
- sha1 = "8c9a536feb6afc962bdfa5b104a5091c1ad9c0ae";
- };
- }
- {
- name = "strip_ansi___strip_ansi_6.0.0.tgz";
- path = fetchurl {
- name = "strip_ansi___strip_ansi_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-6.0.0.tgz";
- sha1 = "0b1571dd7669ccd4f3e06e14ef1eed26225ae532";
- };
- }
- {
- name = "strip_json_comments___strip_json_comments_3.1.1.tgz";
- path = fetchurl {
- name = "strip_json_comments___strip_json_comments_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/strip-json-comments/-/strip-json-comments-3.1.1.tgz";
- sha1 = "31f1281b3832630434831c310c01cccda8cbe006";
- };
- }
- {
- name = "strip_json_comments___strip_json_comments_2.0.1.tgz";
- path = fetchurl {
- name = "strip_json_comments___strip_json_comments_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/strip-json-comments/-/strip-json-comments-2.0.1.tgz";
- sha1 = "3c531942e908c2697c0ec344858c286c7ca0a60a";
- };
- }
- {
- name = "sumchecker___sumchecker_3.0.1.tgz";
- path = fetchurl {
- name = "sumchecker___sumchecker_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/sumchecker/-/sumchecker-3.0.1.tgz";
- sha1 = "6377e996795abb0b6d348e9b3e1dfb24345a8e42";
- };
- }
- {
- name = "supports_color___supports_color_5.5.0.tgz";
- path = fetchurl {
- name = "supports_color___supports_color_5.5.0.tgz";
- url = "https://registry.yarnpkg.com/supports-color/-/supports-color-5.5.0.tgz";
- sha1 = "e2e69a44ac8772f78a1ec0b35b689df6530efc8f";
- };
- }
- {
- name = "supports_color___supports_color_7.2.0.tgz";
- path = fetchurl {
- name = "supports_color___supports_color_7.2.0.tgz";
- url = "https://registry.yarnpkg.com/supports-color/-/supports-color-7.2.0.tgz";
- sha1 = "1b7dcdcb32b8138801b3e478ba6a51caa89648da";
- };
- }
- {
- name = "table___table_6.7.1.tgz";
- path = fetchurl {
- name = "table___table_6.7.1.tgz";
- url = "https://registry.yarnpkg.com/table/-/table-6.7.1.tgz";
- sha1 = "ee05592b7143831a8c94f3cee6aae4c1ccef33e2";
- };
- }
- {
- name = "tar_stream___tar_stream_2.2.0.tgz";
- path = fetchurl {
- name = "tar_stream___tar_stream_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/tar-stream/-/tar-stream-2.2.0.tgz";
- sha1 = "acad84c284136b060dc3faa64474aa9aebd77287";
- };
- }
- {
- name = "tar___tar_4.4.13.tgz";
- path = fetchurl {
- name = "tar___tar_4.4.13.tgz";
- url = "https://registry.yarnpkg.com/tar/-/tar-4.4.13.tgz";
- sha1 = "43b364bc52888d555298637b10d60790254ab525";
- };
- }
- {
- name = "tar___tar_6.1.2.tgz";
- path = fetchurl {
- name = "tar___tar_6.1.2.tgz";
- url = "https://registry.yarnpkg.com/tar/-/tar-6.1.2.tgz";
- sha1 = "1f045a90a6eb23557a603595f41a16c57d47adc6";
- };
- }
- {
- name = "temp_file___temp_file_3.4.0.tgz";
- path = fetchurl {
- name = "temp_file___temp_file_3.4.0.tgz";
- url = "https://registry.yarnpkg.com/temp-file/-/temp-file-3.4.0.tgz";
- sha1 = "766ea28911c683996c248ef1a20eea04d51652c7";
- };
- }
- {
- name = "text_table___text_table_0.2.0.tgz";
- path = fetchurl {
- name = "text_table___text_table_0.2.0.tgz";
- url = "https://registry.yarnpkg.com/text-table/-/text-table-0.2.0.tgz";
- sha1 = "7f5ee823ae805207c00af2df4a84ec3fcfa570b4";
- };
- }
- {
- name = "timers_ext___timers_ext_0.1.7.tgz";
- path = fetchurl {
- name = "timers_ext___timers_ext_0.1.7.tgz";
- url = "https://registry.yarnpkg.com/timers-ext/-/timers-ext-0.1.7.tgz";
- sha1 = "6f57ad8578e07a3fb9f91d9387d65647555e25c6";
- };
- }
- {
- name = "timm___timm_1.7.1.tgz";
- path = fetchurl {
- name = "timm___timm_1.7.1.tgz";
- url = "https://registry.yarnpkg.com/timm/-/timm-1.7.1.tgz";
- sha1 = "96bab60c7d45b5a10a8a4d0f0117c6b7e5aff76f";
- };
- }
- {
- name = "tinycolor2___tinycolor2_1.4.2.tgz";
- path = fetchurl {
- name = "tinycolor2___tinycolor2_1.4.2.tgz";
- url = "https://registry.yarnpkg.com/tinycolor2/-/tinycolor2-1.4.2.tgz";
- sha1 = "3f6a4d1071ad07676d7fa472e1fac40a719d8803";
- };
- }
- {
- name = "tmp_promise___tmp_promise_1.1.0.tgz";
- path = fetchurl {
- name = "tmp_promise___tmp_promise_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/tmp-promise/-/tmp-promise-1.1.0.tgz";
- sha1 = "bb924d239029157b9bc1d506a6aa341f8b13e64c";
- };
- }
- {
- name = "tmp_promise___tmp_promise_3.0.2.tgz";
- path = fetchurl {
- name = "tmp_promise___tmp_promise_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/tmp-promise/-/tmp-promise-3.0.2.tgz";
- sha1 = "6e933782abff8b00c3119d63589ca1fb9caaa62a";
- };
- }
- {
- name = "tmp___tmp_0.1.0.tgz";
- path = fetchurl {
- name = "tmp___tmp_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/tmp/-/tmp-0.1.0.tgz";
- sha1 = "ee434a4e22543082e294ba6201dcc6eafefa2877";
- };
- }
- {
- name = "tmp___tmp_0.2.1.tgz";
- path = fetchurl {
- name = "tmp___tmp_0.2.1.tgz";
- url = "https://registry.yarnpkg.com/tmp/-/tmp-0.2.1.tgz";
- sha1 = "8457fc3037dcf4719c251367a1af6500ee1ccf14";
- };
- }
- {
- name = "to_fast_properties___to_fast_properties_2.0.0.tgz";
- path = fetchurl {
- name = "to_fast_properties___to_fast_properties_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/to-fast-properties/-/to-fast-properties-2.0.0.tgz";
- sha1 = "dc5e698cbd079265bc73e0377681a4e4e83f616e";
- };
- }
- {
- name = "to_readable_stream___to_readable_stream_1.0.0.tgz";
- path = fetchurl {
- name = "to_readable_stream___to_readable_stream_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/to-readable-stream/-/to-readable-stream-1.0.0.tgz";
- sha1 = "ce0aa0c2f3df6adf852efb404a783e77c0475771";
- };
- }
- {
- name = "to_regex_range___to_regex_range_5.0.1.tgz";
- path = fetchurl {
- name = "to_regex_range___to_regex_range_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/to-regex-range/-/to-regex-range-5.0.1.tgz";
- sha1 = "1648c44aae7c8d988a326018ed72f5b4dd0392e4";
- };
- }
- {
- name = "tough_cookie___tough_cookie_2.5.0.tgz";
- path = fetchurl {
- name = "tough_cookie___tough_cookie_2.5.0.tgz";
- url = "https://registry.yarnpkg.com/tough-cookie/-/tough-cookie-2.5.0.tgz";
- sha1 = "cd9fb2a0aa1d5a12b473bd9fb96fa3dcff65ade2";
- };
- }
- {
- name = "truncate_utf8_bytes___truncate_utf8_bytes_1.0.2.tgz";
- path = fetchurl {
- name = "truncate_utf8_bytes___truncate_utf8_bytes_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/truncate-utf8-bytes/-/truncate-utf8-bytes-1.0.2.tgz";
- sha1 = "405923909592d56f78a5818434b0b78489ca5f2b";
- };
- }
- {
- name = "tslib___tslib_1.14.1.tgz";
- path = fetchurl {
- name = "tslib___tslib_1.14.1.tgz";
- url = "https://registry.yarnpkg.com/tslib/-/tslib-1.14.1.tgz";
- sha1 = "cf2d38bdc34a134bcaf1091c41f6619e2f672d00";
- };
- }
- {
- name = "tslib___tslib_2.3.0.tgz";
- path = fetchurl {
- name = "tslib___tslib_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/tslib/-/tslib-2.3.0.tgz";
- sha1 = "803b8cdab3e12ba581a4ca41c8839bbb0dacb09e";
- };
- }
- {
- name = "tsutils___tsutils_3.21.0.tgz";
- path = fetchurl {
- name = "tsutils___tsutils_3.21.0.tgz";
- url = "https://registry.yarnpkg.com/tsutils/-/tsutils-3.21.0.tgz";
- sha1 = "b48717d394cea6c1e096983eed58e9d61715b623";
- };
- }
- {
- name = "tunnel_agent___tunnel_agent_0.6.0.tgz";
- path = fetchurl {
- name = "tunnel_agent___tunnel_agent_0.6.0.tgz";
- url = "https://registry.yarnpkg.com/tunnel-agent/-/tunnel-agent-0.6.0.tgz";
- sha1 = "27a5dea06b36b04a0a9966774b290868f0fc40fd";
- };
- }
- {
- name = "tunnel___tunnel_0.0.6.tgz";
- path = fetchurl {
- name = "tunnel___tunnel_0.0.6.tgz";
- url = "https://registry.yarnpkg.com/tunnel/-/tunnel-0.0.6.tgz";
- sha1 = "72f1314b34a5b192db012324df2cc587ca47f92c";
- };
- }
- {
- name = "tweetnacl___tweetnacl_0.14.5.tgz";
- path = fetchurl {
- name = "tweetnacl___tweetnacl_0.14.5.tgz";
- url = "https://registry.yarnpkg.com/tweetnacl/-/tweetnacl-0.14.5.tgz";
- sha1 = "5ae68177f192d4456269d108afa93ff8743f4f64";
- };
- }
- {
- name = "type_check___type_check_0.4.0.tgz";
- path = fetchurl {
- name = "type_check___type_check_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/type-check/-/type-check-0.4.0.tgz";
- sha1 = "07b8203bfa7056c0657050e3ccd2c37730bab8f1";
- };
- }
- {
- name = "type_fest___type_fest_0.13.1.tgz";
- path = fetchurl {
- name = "type_fest___type_fest_0.13.1.tgz";
- url = "https://registry.yarnpkg.com/type-fest/-/type-fest-0.13.1.tgz";
- sha1 = "0172cb5bce80b0bd542ea348db50c7e21834d934";
- };
- }
- {
- name = "type_fest___type_fest_0.16.0.tgz";
- path = fetchurl {
- name = "type_fest___type_fest_0.16.0.tgz";
- url = "https://registry.yarnpkg.com/type-fest/-/type-fest-0.16.0.tgz";
- sha1 = "3240b891a78b0deae910dbeb86553e552a148860";
- };
- }
- {
- name = "type_fest___type_fest_0.20.2.tgz";
- path = fetchurl {
- name = "type_fest___type_fest_0.20.2.tgz";
- url = "https://registry.yarnpkg.com/type-fest/-/type-fest-0.20.2.tgz";
- sha1 = "1bf207f4b28f91583666cb5fbd327887301cd5f4";
- };
- }
- {
- name = "type_fest___type_fest_0.8.1.tgz";
- path = fetchurl {
- name = "type_fest___type_fest_0.8.1.tgz";
- url = "https://registry.yarnpkg.com/type-fest/-/type-fest-0.8.1.tgz";
- sha1 = "09e249ebde851d3b1e48d27c105444667f17b83d";
- };
- }
- {
- name = "type___type_1.2.0.tgz";
- path = fetchurl {
- name = "type___type_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/type/-/type-1.2.0.tgz";
- sha1 = "848dd7698dafa3e54a6c479e759c4bc3f18847a0";
- };
- }
- {
- name = "type___type_2.5.0.tgz";
- path = fetchurl {
- name = "type___type_2.5.0.tgz";
- url = "https://registry.yarnpkg.com/type/-/type-2.5.0.tgz";
- sha1 = "0a2e78c2e77907b252abe5f298c1b01c63f0db3d";
- };
- }
- {
- name = "typedarray_to_buffer___typedarray_to_buffer_3.1.5.tgz";
- path = fetchurl {
- name = "typedarray_to_buffer___typedarray_to_buffer_3.1.5.tgz";
- url = "https://registry.yarnpkg.com/typedarray-to-buffer/-/typedarray-to-buffer-3.1.5.tgz";
- sha1 = "a97ee7a9ff42691b9f783ff1bc5112fe3fca9080";
- };
- }
- {
- name = "typedarray___typedarray_0.0.6.tgz";
- path = fetchurl {
- name = "typedarray___typedarray_0.0.6.tgz";
- url = "https://registry.yarnpkg.com/typedarray/-/typedarray-0.0.6.tgz";
- sha1 = "867ac74e3864187b1d3d47d996a78ec5c8830777";
- };
- }
- {
- name = "typescript___typescript_4.3.5.tgz";
- path = fetchurl {
- name = "typescript___typescript_4.3.5.tgz";
- url = "https://registry.yarnpkg.com/typescript/-/typescript-4.3.5.tgz";
- sha1 = "4d1c37cc16e893973c45a06886b7113234f119f4";
- };
- }
- {
- name = "unique_filename___unique_filename_1.1.1.tgz";
- path = fetchurl {
- name = "unique_filename___unique_filename_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/unique-filename/-/unique-filename-1.1.1.tgz";
- sha1 = "1d69769369ada0583103a1e6ae87681b56573230";
- };
- }
- {
- name = "unique_slug___unique_slug_2.0.2.tgz";
- path = fetchurl {
- name = "unique_slug___unique_slug_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/unique-slug/-/unique-slug-2.0.2.tgz";
- sha1 = "baabce91083fc64e945b0f3ad613e264f7cd4e6c";
- };
- }
- {
- name = "unique_string___unique_string_2.0.0.tgz";
- path = fetchurl {
- name = "unique_string___unique_string_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/unique-string/-/unique-string-2.0.0.tgz";
- sha1 = "39c6451f81afb2749de2b233e3f7c5e8843bd89d";
- };
- }
- {
- name = "universal_user_agent___universal_user_agent_6.0.0.tgz";
- path = fetchurl {
- name = "universal_user_agent___universal_user_agent_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/universal-user-agent/-/universal-user-agent-6.0.0.tgz";
- sha1 = "3381f8503b251c0d9cd21bc1de939ec9df5480ee";
- };
- }
- {
- name = "universalify___universalify_0.1.2.tgz";
- path = fetchurl {
- name = "universalify___universalify_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/universalify/-/universalify-0.1.2.tgz";
- sha1 = "b646f69be3942dabcecc9d6639c80dc105efaa66";
- };
- }
- {
- name = "universalify___universalify_2.0.0.tgz";
- path = fetchurl {
- name = "universalify___universalify_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/universalify/-/universalify-2.0.0.tgz";
- sha1 = "75a4984efedc4b08975c5aeb73f530d02df25717";
- };
- }
- {
- name = "untildify___untildify_3.0.3.tgz";
- path = fetchurl {
- name = "untildify___untildify_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/untildify/-/untildify-3.0.3.tgz";
- sha1 = "1e7b42b140bcfd922b22e70ca1265bfe3634c7c9";
- };
- }
- {
- name = "unzip_crx_3___unzip_crx_3_0.2.0.tgz";
- path = fetchurl {
- name = "unzip_crx_3___unzip_crx_3_0.2.0.tgz";
- url = "https://registry.yarnpkg.com/unzip-crx-3/-/unzip-crx-3-0.2.0.tgz";
- sha1 = "d5324147b104a8aed9ae8639c95521f6f7cda292";
- };
- }
- {
- name = "update_notifier___update_notifier_5.1.0.tgz";
- path = fetchurl {
- name = "update_notifier___update_notifier_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/update-notifier/-/update-notifier-5.1.0.tgz";
- sha1 = "4ab0d7c7f36a231dd7316cf7729313f0214d9ad9";
- };
- }
- {
- name = "uri_js___uri_js_4.4.1.tgz";
- path = fetchurl {
- name = "uri_js___uri_js_4.4.1.tgz";
- url = "https://registry.yarnpkg.com/uri-js/-/uri-js-4.4.1.tgz";
- sha1 = "9b1a52595225859e55f669d928f88c6c57f2a77e";
- };
- }
- {
- name = "url_parse_lax___url_parse_lax_3.0.0.tgz";
- path = fetchurl {
- name = "url_parse_lax___url_parse_lax_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/url-parse-lax/-/url-parse-lax-3.0.0.tgz";
- sha1 = "16b5cafc07dbe3676c1b1999177823d6503acb0c";
- };
- }
- {
- name = "utf8_byte_length___utf8_byte_length_1.0.4.tgz";
- path = fetchurl {
- name = "utf8_byte_length___utf8_byte_length_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/utf8-byte-length/-/utf8-byte-length-1.0.4.tgz";
- sha1 = "f45f150c4c66eee968186505ab93fcbb8ad6bf61";
- };
- }
- {
- name = "utif___utif_2.0.1.tgz";
- path = fetchurl {
- name = "utif___utif_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/utif/-/utif-2.0.1.tgz";
- sha1 = "9e1582d9bbd20011a6588548ed3266298e711759";
- };
- }
- {
- name = "util_deprecate___util_deprecate_1.0.2.tgz";
- path = fetchurl {
- name = "util_deprecate___util_deprecate_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/util-deprecate/-/util-deprecate-1.0.2.tgz";
- sha1 = "450d4dc9fa70de732762fbd2d4a28981419a0ccf";
- };
- }
- {
- name = "uuid___uuid_3.4.0.tgz";
- path = fetchurl {
- name = "uuid___uuid_3.4.0.tgz";
- url = "https://registry.yarnpkg.com/uuid/-/uuid-3.4.0.tgz";
- sha1 = "b23e4358afa8a202fe7a100af1f5f883f02007ee";
- };
- }
- {
- name = "v8_compile_cache___v8_compile_cache_2.3.0.tgz";
- path = fetchurl {
- name = "v8_compile_cache___v8_compile_cache_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/v8-compile-cache/-/v8-compile-cache-2.3.0.tgz";
- sha1 = "2de19618c66dc247dcfb6f99338035d8245a2cee";
- };
- }
- {
- name = "validate_npm_package_name___validate_npm_package_name_3.0.0.tgz";
- path = fetchurl {
- name = "validate_npm_package_name___validate_npm_package_name_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/validate-npm-package-name/-/validate-npm-package-name-3.0.0.tgz";
- sha1 = "5fa912d81eb7d0c74afc140de7317f0ca7df437e";
- };
- }
- {
- name = "verror___verror_1.10.0.tgz";
- path = fetchurl {
- name = "verror___verror_1.10.0.tgz";
- url = "https://registry.yarnpkg.com/verror/-/verror-1.10.0.tgz";
- sha1 = "3a105ca17053af55d6e270c1f8288682e18da400";
- };
- }
- {
- name = "walk___walk_2.3.14.tgz";
- path = fetchurl {
- name = "walk___walk_2.3.14.tgz";
- url = "https://registry.yarnpkg.com/walk/-/walk-2.3.14.tgz";
- sha1 = "60ec8631cfd23276ae1e7363ce11d626452e1ef3";
- };
- }
- {
- name = "which___which_2.0.2.tgz";
- path = fetchurl {
- name = "which___which_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/which/-/which-2.0.2.tgz";
- sha1 = "7c6a8dd0a636a0327e10b59c9286eee93f3f51b1";
- };
- }
- {
- name = "wide_align___wide_align_1.1.3.tgz";
- path = fetchurl {
- name = "wide_align___wide_align_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/wide-align/-/wide-align-1.1.3.tgz";
- sha1 = "ae074e6bdc0c14a431e804e624549c633b000457";
- };
- }
- {
- name = "widest_line___widest_line_3.1.0.tgz";
- path = fetchurl {
- name = "widest_line___widest_line_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/widest-line/-/widest-line-3.1.0.tgz";
- sha1 = "8292333bbf66cb45ff0de1603b136b7ae1496eca";
- };
- }
- {
- name = "winreg___winreg_1.2.4.tgz";
- path = fetchurl {
- name = "winreg___winreg_1.2.4.tgz";
- url = "https://registry.yarnpkg.com/winreg/-/winreg-1.2.4.tgz";
- sha1 = "ba065629b7a925130e15779108cf540990e98d1b";
- };
- }
- {
- name = "word_wrap___word_wrap_1.2.3.tgz";
- path = fetchurl {
- name = "word_wrap___word_wrap_1.2.3.tgz";
- url = "https://registry.yarnpkg.com/word-wrap/-/word-wrap-1.2.3.tgz";
- sha1 = "610636f6b1f703891bd34771ccb17fb93b47079c";
- };
- }
- {
- name = "wrap_ansi___wrap_ansi_7.0.0.tgz";
- path = fetchurl {
- name = "wrap_ansi___wrap_ansi_7.0.0.tgz";
- url = "https://registry.yarnpkg.com/wrap-ansi/-/wrap-ansi-7.0.0.tgz";
- sha1 = "67e145cff510a6a6984bdf1152911d69d2eb9e43";
- };
- }
- {
- name = "wrappy___wrappy_1.0.2.tgz";
- path = fetchurl {
- name = "wrappy___wrappy_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/wrappy/-/wrappy-1.0.2.tgz";
- sha1 = "b5243d8f3ec1aa35f1364605bc0d1036e30ab69f";
- };
- }
- {
- name = "write_file_atomic___write_file_atomic_3.0.3.tgz";
- path = fetchurl {
- name = "write_file_atomic___write_file_atomic_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/write-file-atomic/-/write-file-atomic-3.0.3.tgz";
- sha1 = "56bd5c5a5c70481cd19c571bd39ab965a5de56e8";
- };
- }
- {
- name = "xdg_basedir___xdg_basedir_4.0.0.tgz";
- path = fetchurl {
- name = "xdg_basedir___xdg_basedir_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/xdg-basedir/-/xdg-basedir-4.0.0.tgz";
- sha1 = "4bc8d9984403696225ef83a1573cbbcb4e79db13";
- };
- }
- {
- name = "xhr___xhr_2.6.0.tgz";
- path = fetchurl {
- name = "xhr___xhr_2.6.0.tgz";
- url = "https://registry.yarnpkg.com/xhr/-/xhr-2.6.0.tgz";
- sha1 = "b69d4395e792b4173d6b7df077f0fc5e4e2b249d";
- };
- }
- {
- name = "xml_parse_from_string___xml_parse_from_string_1.0.1.tgz";
- path = fetchurl {
- name = "xml_parse_from_string___xml_parse_from_string_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/xml-parse-from-string/-/xml-parse-from-string-1.0.1.tgz";
- sha1 = "a9029e929d3dbcded169f3c6e28238d95a5d5a28";
- };
- }
- {
- name = "xml2js___xml2js_0.4.23.tgz";
- path = fetchurl {
- name = "xml2js___xml2js_0.4.23.tgz";
- url = "https://registry.yarnpkg.com/xml2js/-/xml2js-0.4.23.tgz";
- sha1 = "a0c69516752421eb2ac758ee4d4ccf58843eac66";
- };
- }
- {
- name = "xmlbuilder___xmlbuilder_15.1.1.tgz";
- path = fetchurl {
- name = "xmlbuilder___xmlbuilder_15.1.1.tgz";
- url = "https://registry.yarnpkg.com/xmlbuilder/-/xmlbuilder-15.1.1.tgz";
- sha1 = "9dcdce49eea66d8d10b42cae94a79c3c8d0c2ec5";
- };
- }
- {
- name = "xmlbuilder___xmlbuilder_9.0.7.tgz";
- path = fetchurl {
- name = "xmlbuilder___xmlbuilder_9.0.7.tgz";
- url = "https://registry.yarnpkg.com/xmlbuilder/-/xmlbuilder-9.0.7.tgz";
- sha1 = "132ee63d2ec5565c557e20f4c22df9aca686b10d";
- };
- }
- {
- name = "xmlbuilder___xmlbuilder_11.0.1.tgz";
- path = fetchurl {
- name = "xmlbuilder___xmlbuilder_11.0.1.tgz";
- url = "https://registry.yarnpkg.com/xmlbuilder/-/xmlbuilder-11.0.1.tgz";
- sha1 = "be9bae1c8a046e76b31127726347d0ad7002beb3";
- };
- }
- {
- name = "xmldom___xmldom_0.5.0.tgz";
- path = fetchurl {
- name = "xmldom___xmldom_0.5.0.tgz";
- url = "https://registry.yarnpkg.com/xmldom/-/xmldom-0.5.0.tgz";
- sha1 = "193cb96b84aa3486127ea6272c4596354cb4962e";
- };
- }
- {
- name = "xtend___xtend_4.0.2.tgz";
- path = fetchurl {
- name = "xtend___xtend_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/xtend/-/xtend-4.0.2.tgz";
- sha1 = "bb72779f5fa465186b1f438f674fa347fdb5db54";
- };
- }
- {
- name = "y18n___y18n_5.0.8.tgz";
- path = fetchurl {
- name = "y18n___y18n_5.0.8.tgz";
- url = "https://registry.yarnpkg.com/y18n/-/y18n-5.0.8.tgz";
- sha1 = "7f4934d0f7ca8c56f95314939ddcd2dd91ce1d55";
- };
- }
- {
- name = "yaku___yaku_0.16.7.tgz";
- path = fetchurl {
- name = "yaku___yaku_0.16.7.tgz";
- url = "https://registry.yarnpkg.com/yaku/-/yaku-0.16.7.tgz";
- sha1 = "1d195c78aa9b5bf8479c895b9504fd4f0847984e";
- };
- }
- {
- name = "yallist___yallist_3.1.1.tgz";
- path = fetchurl {
- name = "yallist___yallist_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/yallist/-/yallist-3.1.1.tgz";
- sha1 = "dbb7daf9bfd8bac9ab45ebf602b8cbad0d5d08fd";
- };
- }
- {
- name = "yallist___yallist_4.0.0.tgz";
- path = fetchurl {
- name = "yallist___yallist_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/yallist/-/yallist-4.0.0.tgz";
- sha1 = "9bb92790d9c0effec63be73519e11a35019a3a72";
- };
- }
- {
- name = "yargs_parser___yargs_parser_20.2.9.tgz";
- path = fetchurl {
- name = "yargs_parser___yargs_parser_20.2.9.tgz";
- url = "https://registry.yarnpkg.com/yargs-parser/-/yargs-parser-20.2.9.tgz";
- sha1 = "2eb7dc3b0289718fc295f362753845c41a0c94ee";
- };
- }
- {
- name = "yargs___yargs_17.0.1.tgz";
- path = fetchurl {
- name = "yargs___yargs_17.0.1.tgz";
- url = "https://registry.yarnpkg.com/yargs/-/yargs-17.0.1.tgz";
- sha1 = "6a1ced4ed5ee0b388010ba9fd67af83b9362e0bb";
- };
- }
- {
- name = "yauzl___yauzl_2.10.0.tgz";
- path = fetchurl {
- name = "yauzl___yauzl_2.10.0.tgz";
- url = "https://registry.yarnpkg.com/yauzl/-/yauzl-2.10.0.tgz";
- sha1 = "c7eb17c93e112cb1086fa6d8e51fb0667b79a5f9";
- };
- }
- {
- name = "zip_stream___zip_stream_4.1.0.tgz";
- path = fetchurl {
- name = "zip_stream___zip_stream_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/zip-stream/-/zip-stream-4.1.0.tgz";
- sha1 = "51dd326571544e36aa3f756430b313576dc8fc79";
- };
- }
- ];
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-desktop.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-desktop.nix
index a82fe8ce344..dd7c6f0c573 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-desktop.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-desktop.nix
@@ -4,6 +4,7 @@
, makeWrapper
, makeDesktopItem
, mkYarnPackage
+, fetchYarnDeps
, electron
, element-web
, callPackage
@@ -13,27 +14,28 @@
, useWayland ? false
}:
-# Notes for maintainers:
-# * versions of `element-web` and `element-desktop` should be kept in sync.
-# * the Yarn dependency expression must be updated with `./update-element-desktop.sh `
let
+ pinData = (builtins.fromJSON (builtins.readFile ./pin.json));
executableName = "element-desktop";
- version = "1.8.1";
+ electron_exec = if stdenv.isDarwin then "${electron}/Applications/Electron.app/Contents/MacOS/Electron" else "${electron}/bin/electron";
+in
+mkYarnPackage rec {
+ pname = "element-desktop";
+ inherit (pinData) version;
+ name = "${pname}-${version}";
src = fetchFromGitHub {
owner = "vector-im";
repo = "element-desktop";
rev = "v${version}";
- sha256 = "sha256-FIKbyfnRuHBbmtjwxNC//n5UiGTCQNr+PeiZEi3+RGI=";
+ sha256 = pinData.desktopSrcHash;
};
- electron_exec = if stdenv.isDarwin then "${electron}/Applications/Electron.app/Contents/MacOS/Electron" else "${electron}/bin/electron";
-in
-mkYarnPackage rec {
- name = "element-desktop-${version}";
- inherit version src;
packageJSON = ./element-desktop-package.json;
- yarnNix = ./element-desktop-yarndeps.nix;
+ offlineCache = fetchYarnDeps {
+ yarnLock = src + "/yarn.lock";
+ sha256 = pinData.desktopYarnHash;
+ };
nativeBuildInputs = [ makeWrapper ];
@@ -102,6 +104,8 @@ mkYarnPackage rec {
'';
};
+ passthru.updateScript = ./update.sh;
+
meta = with lib; {
description = "A feature-rich client for Matrix.org";
homepage = "https://element.io/";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-web.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-web.nix
index a40ccf49f77..33af19a9a57 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-web.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/element-web.nix
@@ -1,9 +1,7 @@
{ lib, stdenv, fetchurl, writeText, jq, conf ? {} }:
-# Note for maintainers:
-# Versions of `element-web` and `element-desktop` should be kept in sync.
-
let
+ pinData = (builtins.fromJSON (builtins.readFile ./pin.json));
noPhoningHome = {
disable_guests = true; # disable automatic guest account registration at matrix.org
piwik = false; # disable analytics
@@ -12,11 +10,11 @@ let
in stdenv.mkDerivation rec {
pname = "element-web";
- version = "1.8.1";
+ inherit (pinData) version;
src = fetchurl {
url = "https://github.com/vector-im/element-web/releases/download/v${version}/element-v${version}.tar.gz";
- sha256 = "sha256-C2oWYpPxMeSgGKyjUe6Ih13ggZliN4bmAX5cakzW1u8=";
+ sha256 = pinData.webHash;
};
installPhase = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/default.nix
index f848601874a..432d69ac7a4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/default.nix
@@ -1,15 +1,18 @@
{ lib, stdenv, fetchFromGitHub, nodejs-14_x, python3, callPackage
-, fixup_yarn_lock, yarn, pkg-config, libsecret, xcbuild, Security, AppKit }:
+, fixup_yarn_lock, yarn, pkg-config, libsecret, xcbuild, Security, AppKit, fetchYarnDeps }:
-stdenv.mkDerivation rec {
+let
+ pinData = (builtins.fromJSON (builtins.readFile ./pin.json));
+
+in stdenv.mkDerivation rec {
pname = "keytar";
- version = "7.7.0";
+ inherit (pinData) version;
src = fetchFromGitHub {
owner = "atom";
repo = "node-keytar";
rev = "v${version}";
- sha256 = "0ajvr4kjbyw2shb1y14c0dsghdlnq30f19hk2sbzj6n9y3xa3pmi";
+ sha256 = pinData.srcHash;
};
nativeBuildInputs = [ nodejs-14_x python3 yarn pkg-config ]
@@ -19,7 +22,10 @@ stdenv.mkDerivation rec {
npm_config_nodedir = nodejs-14_x;
- yarnOfflineCache = (callPackage ./yarn.nix {}).offline_cache;
+ yarnOfflineCache = fetchYarnDeps {
+ yarnLock = ./yarn.lock;
+ sha256 = pinData.yarnHash;
+ };
buildPhase = ''
cp ${./yarn.lock} ./yarn.lock
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/pin.json b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/pin.json
new file mode 100644
index 00000000000..fa2e95d4e1a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/pin.json
@@ -0,0 +1,5 @@
+{
+ "version": "7.7.0",
+ "srcHash": "sd6h+vDJGvmXFhOm4MDAljb4dAOMBB8W1IL7JSfJWyo=",
+ "yarnHash": "1m75hvl06mcj260hicbmv75p94h73gw5d24zpm5wxwc0q8v8wzfl"
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/update.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/update.sh
index 11d986f4fda..8ac65ea45d3 100755
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/update.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/update.sh
@@ -1,19 +1,38 @@
#!/usr/bin/env nix-shell
-#!nix-shell -I nixpkgs=../ -i bash -p wget yarn2nix yarn
+#!nix-shell -I nixpkgs=../../../../../../ -i bash -p wget prefetch-yarn-deps yarn
+
+if [ "$#" -gt 1 ] || [[ "$1" == -* ]]; then
+ echo "Regenerates packaging data for the seshat package."
+ echo "Usage: $0 [git release tag]"
+ exit 1
+fi
+
+version="$1"
set -euo pipefail
-if [ "$#" -ne 1 ] || [[ "$1" == -* ]]; then
- echo "Regenerates the Yarn dependency lock files."
- echo "Usage: $0 "
- exit 1
+if [ -z "$version" ]; then
+ version="$(wget -O- "https://api.github.com/repos/atom/node-keytar/releases?per_page=1" | jq -r '.[0].tag_name')"
fi
-SRC="https://raw.githubusercontent.com/atom/node-keytar/$1"
+# strip leading "v"
+version="${version#v}"
+
+SRC="https://raw.githubusercontent.com/atom/node-keytar/v$version"
wget "$SRC/package-lock.json"
wget "$SRC/package.json"
rm -f yarn.lock
yarn import
-yarn2nix > yarn.nix
rm -rf node_modules package.json package-lock.json
+yarn_hash=$(prefetch-yarn-deps yarn.lock)
+
+src_hash=$(nix-prefetch-github atom node-keytar --rev v${version} | jq -r .sha256)
+
+cat > pin.json << EOF
+{
+ "version": "$version",
+ "srcHash": "$src_hash",
+ "yarnHash": "$yarn_hash"
+}
+EOF
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/yarn.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/yarn.nix
deleted file mode 100644
index d6b1b99da84..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/keytar/yarn.nix
+++ /dev/null
@@ -1,2869 +0,0 @@
-{ fetchurl, fetchgit, linkFarm, runCommand, gnutar }: rec {
- offline_cache = linkFarm "offline" packages;
- packages = [
- {
- name = "_ungap_promise_all_settled___promise_all_settled_1.1.2.tgz";
- path = fetchurl {
- name = "_ungap_promise_all_settled___promise_all_settled_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/@ungap/promise-all-settled/-/promise-all-settled-1.1.2.tgz";
- sha1 = "aa58042711d6e3275dd37dc597e5d31e8c290a44";
- };
- }
- {
- name = "abbrev___abbrev_1.1.0.tgz";
- path = fetchurl {
- name = "abbrev___abbrev_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/abbrev/-/abbrev-1.1.0.tgz";
- sha1 = "d0554c2256636e2f56e7c2e5ad183f859428d81f";
- };
- }
- {
- name = "after___after_0.8.2.tgz";
- path = fetchurl {
- name = "after___after_0.8.2.tgz";
- url = "https://registry.yarnpkg.com/after/-/after-0.8.2.tgz";
- sha1 = "fedb394f9f0e02aa9768e702bda23b505fae7e1f";
- };
- }
- {
- name = "ajv___ajv_6.12.4.tgz";
- path = fetchurl {
- name = "ajv___ajv_6.12.4.tgz";
- url = "https://registry.yarnpkg.com/ajv/-/ajv-6.12.4.tgz";
- sha1 = "0614facc4522127fa713445c6bfd3ebd376e2234";
- };
- }
- {
- name = "amdefine___amdefine_1.0.1.tgz";
- path = fetchurl {
- name = "amdefine___amdefine_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/amdefine/-/amdefine-1.0.1.tgz";
- sha1 = "4a5282ac164729e93619bcfd3ad151f817ce91f5";
- };
- }
- {
- name = "ansi_colors___ansi_colors_4.1.1.tgz";
- path = fetchurl {
- name = "ansi_colors___ansi_colors_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/ansi-colors/-/ansi-colors-4.1.1.tgz";
- sha1 = "cbb9ae256bf750af1eab344f229aa27fe94ba348";
- };
- }
- {
- name = "ansi_regex___ansi_regex_2.1.1.tgz";
- path = fetchurl {
- name = "ansi_regex___ansi_regex_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-2.1.1.tgz";
- sha1 = "c3b33ab5ee360d86e0e628f0468ae7ef27d654df";
- };
- }
- {
- name = "ansi_regex___ansi_regex_5.0.0.tgz";
- path = fetchurl {
- name = "ansi_regex___ansi_regex_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-5.0.0.tgz";
- sha1 = "388539f55179bf39339c81af30a654d69f87cb75";
- };
- }
- {
- name = "ansi_styles___ansi_styles_2.2.1.tgz";
- path = fetchurl {
- name = "ansi_styles___ansi_styles_2.2.1.tgz";
- url = "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-2.2.1.tgz";
- sha1 = "b432dd3358b634cf75e1e4664368240533c1ddbe";
- };
- }
- {
- name = "ansi_styles___ansi_styles_4.3.0.tgz";
- path = fetchurl {
- name = "ansi_styles___ansi_styles_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-4.3.0.tgz";
- sha1 = "edd803628ae71c04c85ae7a0906edad34b648937";
- };
- }
- {
- name = "ansi___ansi_0.3.1.tgz";
- path = fetchurl {
- name = "ansi___ansi_0.3.1.tgz";
- url = "https://registry.yarnpkg.com/ansi/-/ansi-0.3.1.tgz";
- sha1 = "0c42d4fb17160d5a9af1e484bace1c66922c1b21";
- };
- }
- {
- name = "anymatch___anymatch_3.1.1.tgz";
- path = fetchurl {
- name = "anymatch___anymatch_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/anymatch/-/anymatch-3.1.1.tgz";
- sha1 = "c55ecf02185e2469259399310c173ce31233b142";
- };
- }
- {
- name = "aproba___aproba_1.1.2.tgz";
- path = fetchurl {
- name = "aproba___aproba_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/aproba/-/aproba-1.1.2.tgz";
- sha1 = "45c6629094de4e96f693ef7eab74ae079c240fc1";
- };
- }
- {
- name = "are_we_there_yet___are_we_there_yet_1.0.6.tgz";
- path = fetchurl {
- name = "are_we_there_yet___are_we_there_yet_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/are-we-there-yet/-/are-we-there-yet-1.0.6.tgz";
- sha1 = "a2d28c93102aa6cc96245a26cb954de06ec53f0c";
- };
- }
- {
- name = "are_we_there_yet___are_we_there_yet_1.1.4.tgz";
- path = fetchurl {
- name = "are_we_there_yet___are_we_there_yet_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/are-we-there-yet/-/are-we-there-yet-1.1.4.tgz";
- sha1 = "bb5dca382bb94f05e15194373d16fd3ba1ca110d";
- };
- }
- {
- name = "argparse___argparse_2.0.1.tgz";
- path = fetchurl {
- name = "argparse___argparse_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/argparse/-/argparse-2.0.1.tgz";
- sha1 = "246f50f3ca78a3240f6c997e8a9bd1eac49e4b38";
- };
- }
- {
- name = "array_index___array_index_1.0.0.tgz";
- path = fetchurl {
- name = "array_index___array_index_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/array-index/-/array-index-1.0.0.tgz";
- sha1 = "ec56a749ee103e4e08c790b9c353df16055b97f9";
- };
- }
- {
- name = "asn1___asn1_0.2.3.tgz";
- path = fetchurl {
- name = "asn1___asn1_0.2.3.tgz";
- url = "https://registry.yarnpkg.com/asn1/-/asn1-0.2.3.tgz";
- sha1 = "dac8787713c9966849fc8180777ebe9c1ddf3b86";
- };
- }
- {
- name = "assert_plus___assert_plus_1.0.0.tgz";
- path = fetchurl {
- name = "assert_plus___assert_plus_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/assert-plus/-/assert-plus-1.0.0.tgz";
- sha1 = "f12e0f3c5d77b0b1cdd9146942e4e96c1e4dd525";
- };
- }
- {
- name = "assertion_error___assertion_error_1.1.0.tgz";
- path = fetchurl {
- name = "assertion_error___assertion_error_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/assertion-error/-/assertion-error-1.1.0.tgz";
- sha1 = "e60b6b0e8f301bd97e5375215bda406c85118c0b";
- };
- }
- {
- name = "asynckit___asynckit_0.4.0.tgz";
- path = fetchurl {
- name = "asynckit___asynckit_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/asynckit/-/asynckit-0.4.0.tgz";
- sha1 = "c79ed97f7f34cb8f2ba1bc9790bcc366474b4b79";
- };
- }
- {
- name = "aws_sign2___aws_sign2_0.7.0.tgz";
- path = fetchurl {
- name = "aws_sign2___aws_sign2_0.7.0.tgz";
- url = "https://registry.yarnpkg.com/aws-sign2/-/aws-sign2-0.7.0.tgz";
- sha1 = "b46e890934a9591f2d2f6f86d7e6a9f1b3fe76a8";
- };
- }
- {
- name = "aws4___aws4_1.10.1.tgz";
- path = fetchurl {
- name = "aws4___aws4_1.10.1.tgz";
- url = "https://registry.yarnpkg.com/aws4/-/aws4-1.10.1.tgz";
- sha1 = "e1e82e4f3e999e2cfd61b161280d16a111f86428";
- };
- }
- {
- name = "babel_code_frame___babel_code_frame_6.26.0.tgz";
- path = fetchurl {
- name = "babel_code_frame___babel_code_frame_6.26.0.tgz";
- url = "https://registry.yarnpkg.com/babel-code-frame/-/babel-code-frame-6.26.0.tgz";
- sha1 = "63fd43f7dc1e3bb7ce35947db8fe369a3f58c74b";
- };
- }
- {
- name = "babel_core___babel_core_6.26.3.tgz";
- path = fetchurl {
- name = "babel_core___babel_core_6.26.3.tgz";
- url = "https://registry.yarnpkg.com/babel-core/-/babel-core-6.26.3.tgz";
- sha1 = "b2e2f09e342d0f0c88e2f02e067794125e75c207";
- };
- }
- {
- name = "babel_generator___babel_generator_6.26.1.tgz";
- path = fetchurl {
- name = "babel_generator___babel_generator_6.26.1.tgz";
- url = "https://registry.yarnpkg.com/babel-generator/-/babel-generator-6.26.1.tgz";
- sha1 = "1844408d3b8f0d35a404ea7ac180f087a601bd90";
- };
- }
- {
- name = "babel_helper_function_name___babel_helper_function_name_6.24.1.tgz";
- path = fetchurl {
- name = "babel_helper_function_name___babel_helper_function_name_6.24.1.tgz";
- url = "https://registry.yarnpkg.com/babel-helper-function-name/-/babel-helper-function-name-6.24.1.tgz";
- sha1 = "d3475b8c03ed98242a25b48351ab18399d3580a9";
- };
- }
- {
- name = "babel_helper_get_function_arity___babel_helper_get_function_arity_6.24.1.tgz";
- path = fetchurl {
- name = "babel_helper_get_function_arity___babel_helper_get_function_arity_6.24.1.tgz";
- url = "https://registry.yarnpkg.com/babel-helper-get-function-arity/-/babel-helper-get-function-arity-6.24.1.tgz";
- sha1 = "8f7782aa93407c41d3aa50908f89b031b1b6853d";
- };
- }
- {
- name = "babel_helper_remap_async_to_generator___babel_helper_remap_async_to_generator_6.24.1.tgz";
- path = fetchurl {
- name = "babel_helper_remap_async_to_generator___babel_helper_remap_async_to_generator_6.24.1.tgz";
- url = "https://registry.yarnpkg.com/babel-helper-remap-async-to-generator/-/babel-helper-remap-async-to-generator-6.24.1.tgz";
- sha1 = "5ec581827ad723fecdd381f1c928390676e4551b";
- };
- }
- {
- name = "babel_helpers___babel_helpers_6.24.1.tgz";
- path = fetchurl {
- name = "babel_helpers___babel_helpers_6.24.1.tgz";
- url = "https://registry.yarnpkg.com/babel-helpers/-/babel-helpers-6.24.1.tgz";
- sha1 = "3471de9caec388e5c850e597e58a26ddf37602b2";
- };
- }
- {
- name = "babel_messages___babel_messages_6.23.0.tgz";
- path = fetchurl {
- name = "babel_messages___babel_messages_6.23.0.tgz";
- url = "https://registry.yarnpkg.com/babel-messages/-/babel-messages-6.23.0.tgz";
- sha1 = "f3cdf4703858035b2a2951c6ec5edf6c62f2630e";
- };
- }
- {
- name = "babel_plugin_syntax_async_functions___babel_plugin_syntax_async_functions_6.13.0.tgz";
- path = fetchurl {
- name = "babel_plugin_syntax_async_functions___babel_plugin_syntax_async_functions_6.13.0.tgz";
- url = "https://registry.yarnpkg.com/babel-plugin-syntax-async-functions/-/babel-plugin-syntax-async-functions-6.13.0.tgz";
- sha1 = "cad9cad1191b5ad634bf30ae0872391e0647be95";
- };
- }
- {
- name = "babel_plugin_transform_async_to_generator___babel_plugin_transform_async_to_generator_6.24.1.tgz";
- path = fetchurl {
- name = "babel_plugin_transform_async_to_generator___babel_plugin_transform_async_to_generator_6.24.1.tgz";
- url = "https://registry.yarnpkg.com/babel-plugin-transform-async-to-generator/-/babel-plugin-transform-async-to-generator-6.24.1.tgz";
- sha1 = "6536e378aff6cb1d5517ac0e40eb3e9fc8d08761";
- };
- }
- {
- name = "babel_register___babel_register_6.26.0.tgz";
- path = fetchurl {
- name = "babel_register___babel_register_6.26.0.tgz";
- url = "https://registry.yarnpkg.com/babel-register/-/babel-register-6.26.0.tgz";
- sha1 = "6ed021173e2fcb486d7acb45c6009a856f647071";
- };
- }
- {
- name = "babel_runtime___babel_runtime_6.23.0.tgz";
- path = fetchurl {
- name = "babel_runtime___babel_runtime_6.23.0.tgz";
- url = "https://registry.yarnpkg.com/babel-runtime/-/babel-runtime-6.23.0.tgz";
- sha1 = "0a9489f144de70efb3ce4300accdb329e2fc543b";
- };
- }
- {
- name = "babel_runtime___babel_runtime_6.26.0.tgz";
- path = fetchurl {
- name = "babel_runtime___babel_runtime_6.26.0.tgz";
- url = "https://registry.yarnpkg.com/babel-runtime/-/babel-runtime-6.26.0.tgz";
- sha1 = "965c7058668e82b55d7bfe04ff2337bc8b5647fe";
- };
- }
- {
- name = "babel_template___babel_template_6.26.0.tgz";
- path = fetchurl {
- name = "babel_template___babel_template_6.26.0.tgz";
- url = "https://registry.yarnpkg.com/babel-template/-/babel-template-6.26.0.tgz";
- sha1 = "de03e2d16396b069f46dd9fff8521fb1a0e35e02";
- };
- }
- {
- name = "babel_traverse___babel_traverse_6.26.0.tgz";
- path = fetchurl {
- name = "babel_traverse___babel_traverse_6.26.0.tgz";
- url = "https://registry.yarnpkg.com/babel-traverse/-/babel-traverse-6.26.0.tgz";
- sha1 = "46a9cbd7edcc62c8e5c064e2d2d8d0f4035766ee";
- };
- }
- {
- name = "babel_types___babel_types_6.25.0.tgz";
- path = fetchurl {
- name = "babel_types___babel_types_6.25.0.tgz";
- url = "https://registry.yarnpkg.com/babel-types/-/babel-types-6.25.0.tgz";
- sha1 = "70afb248d5660e5d18f811d91c8303b54134a18e";
- };
- }
- {
- name = "babel_types___babel_types_6.26.0.tgz";
- path = fetchurl {
- name = "babel_types___babel_types_6.26.0.tgz";
- url = "https://registry.yarnpkg.com/babel-types/-/babel-types-6.26.0.tgz";
- sha1 = "a3b073f94ab49eb6fa55cd65227a334380632497";
- };
- }
- {
- name = "babylon___babylon_6.18.0.tgz";
- path = fetchurl {
- name = "babylon___babylon_6.18.0.tgz";
- url = "https://registry.yarnpkg.com/babylon/-/babylon-6.18.0.tgz";
- sha1 = "af2f3b88fa6f5c1e4c634d1a0f8eac4f55b395e3";
- };
- }
- {
- name = "balanced_match___balanced_match_1.0.0.tgz";
- path = fetchurl {
- name = "balanced_match___balanced_match_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/balanced-match/-/balanced-match-1.0.0.tgz";
- sha1 = "89b4d199ab2bee49de164ea02b89ce462d71b767";
- };
- }
- {
- name = "base64_js___base64_js_1.5.1.tgz";
- path = fetchurl {
- name = "base64_js___base64_js_1.5.1.tgz";
- url = "https://registry.yarnpkg.com/base64-js/-/base64-js-1.5.1.tgz";
- sha1 = "1b1b440160a5bf7ad40b650f095963481903930a";
- };
- }
- {
- name = "bcrypt_pbkdf___bcrypt_pbkdf_1.0.2.tgz";
- path = fetchurl {
- name = "bcrypt_pbkdf___bcrypt_pbkdf_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/bcrypt-pbkdf/-/bcrypt-pbkdf-1.0.2.tgz";
- sha1 = "a4301d389b6a43f9b67ff3ca11a3f6637e360e9e";
- };
- }
- {
- name = "big_integer___big_integer_1.6.48.tgz";
- path = fetchurl {
- name = "big_integer___big_integer_1.6.48.tgz";
- url = "https://registry.yarnpkg.com/big-integer/-/big-integer-1.6.48.tgz";
- sha1 = "8fd88bd1632cba4a1c8c3e3d7159f08bb95b4b9e";
- };
- }
- {
- name = "binary_extensions___binary_extensions_2.2.0.tgz";
- path = fetchurl {
- name = "binary_extensions___binary_extensions_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/binary-extensions/-/binary-extensions-2.2.0.tgz";
- sha1 = "75f502eeaf9ffde42fc98829645be4ea76bd9e2d";
- };
- }
- {
- name = "binary___binary_0.3.0.tgz";
- path = fetchurl {
- name = "binary___binary_0.3.0.tgz";
- url = "https://registry.yarnpkg.com/binary/-/binary-0.3.0.tgz";
- sha1 = "9f60553bc5ce8c3386f3b553cff47462adecaa79";
- };
- }
- {
- name = "bl___bl_4.0.3.tgz";
- path = fetchurl {
- name = "bl___bl_4.0.3.tgz";
- url = "https://registry.yarnpkg.com/bl/-/bl-4.0.3.tgz";
- sha1 = "12d6287adc29080e22a705e5764b2a9522cdc489";
- };
- }
- {
- name = "bl___bl_3.0.1.tgz";
- path = fetchurl {
- name = "bl___bl_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/bl/-/bl-3.0.1.tgz";
- sha1 = "1cbb439299609e419b5a74d7fce2f8b37d8e5c6f";
- };
- }
- {
- name = "block_stream___block_stream_0.0.9.tgz";
- path = fetchurl {
- name = "block_stream___block_stream_0.0.9.tgz";
- url = "https://registry.yarnpkg.com/block-stream/-/block-stream-0.0.9.tgz";
- sha1 = "13ebfe778a03205cfe03751481ebb4b3300c126a";
- };
- }
- {
- name = "bluebird___bluebird_3.7.2.tgz";
- path = fetchurl {
- name = "bluebird___bluebird_3.7.2.tgz";
- url = "https://registry.yarnpkg.com/bluebird/-/bluebird-3.7.2.tgz";
- sha1 = "9f229c15be272454ffa973ace0dbee79a1b0c36f";
- };
- }
- {
- name = "bluebird___bluebird_3.4.7.tgz";
- path = fetchurl {
- name = "bluebird___bluebird_3.4.7.tgz";
- url = "https://registry.yarnpkg.com/bluebird/-/bluebird-3.4.7.tgz";
- sha1 = "f72d760be09b7f76d08ed8fae98b289a8d05fab3";
- };
- }
- {
- name = "brace_expansion___brace_expansion_1.1.8.tgz";
- path = fetchurl {
- name = "brace_expansion___brace_expansion_1.1.8.tgz";
- url = "https://registry.yarnpkg.com/brace-expansion/-/brace-expansion-1.1.8.tgz";
- sha1 = "c07b211c7c952ec1f8efd51a77ef0d1d3990a292";
- };
- }
- {
- name = "braces___braces_3.0.2.tgz";
- path = fetchurl {
- name = "braces___braces_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/braces/-/braces-3.0.2.tgz";
- sha1 = "3454e1a462ee8d599e236df336cd9ea4f8afe107";
- };
- }
- {
- name = "browser_stdout___browser_stdout_1.3.1.tgz";
- path = fetchurl {
- name = "browser_stdout___browser_stdout_1.3.1.tgz";
- url = "https://registry.yarnpkg.com/browser-stdout/-/browser-stdout-1.3.1.tgz";
- sha1 = "baa559ee14ced73452229bad7326467c61fabd60";
- };
- }
- {
- name = "buffer_from___buffer_from_0.1.2.tgz";
- path = fetchurl {
- name = "buffer_from___buffer_from_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/buffer-from/-/buffer-from-0.1.2.tgz";
- sha1 = "15f4b9bcef012044df31142c14333caf6e0260d0";
- };
- }
- {
- name = "buffer_indexof_polyfill___buffer_indexof_polyfill_1.0.2.tgz";
- path = fetchurl {
- name = "buffer_indexof_polyfill___buffer_indexof_polyfill_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/buffer-indexof-polyfill/-/buffer-indexof-polyfill-1.0.2.tgz";
- sha1 = "d2732135c5999c64b277fcf9b1abe3498254729c";
- };
- }
- {
- name = "buffer_shims___buffer_shims_1.0.0.tgz";
- path = fetchurl {
- name = "buffer_shims___buffer_shims_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/buffer-shims/-/buffer-shims-1.0.0.tgz";
- sha1 = "9978ce317388c649ad8793028c3477ef044a8b51";
- };
- }
- {
- name = "buffer___buffer_5.7.1.tgz";
- path = fetchurl {
- name = "buffer___buffer_5.7.1.tgz";
- url = "https://registry.yarnpkg.com/buffer/-/buffer-5.7.1.tgz";
- sha1 = "ba62e7c13133053582197160851a8f648e99eed0";
- };
- }
- {
- name = "buffers___buffers_0.1.1.tgz";
- path = fetchurl {
- name = "buffers___buffers_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/buffers/-/buffers-0.1.1.tgz";
- sha1 = "b24579c3bed4d6d396aeee6d9a8ae7f5482ab7bb";
- };
- }
- {
- name = "camelcase___camelcase_2.1.1.tgz";
- path = fetchurl {
- name = "camelcase___camelcase_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/camelcase/-/camelcase-2.1.1.tgz";
- sha1 = "7c1d16d679a1bbe59ca02cacecfb011e201f5a1f";
- };
- }
- {
- name = "camelcase___camelcase_6.2.0.tgz";
- path = fetchurl {
- name = "camelcase___camelcase_6.2.0.tgz";
- url = "https://registry.yarnpkg.com/camelcase/-/camelcase-6.2.0.tgz";
- sha1 = "924af881c9d525ac9d87f40d964e5cea982a1809";
- };
- }
- {
- name = "caseless___caseless_0.12.0.tgz";
- path = fetchurl {
- name = "caseless___caseless_0.12.0.tgz";
- url = "https://registry.yarnpkg.com/caseless/-/caseless-0.12.0.tgz";
- sha1 = "1b681c21ff84033c826543090689420d187151dc";
- };
- }
- {
- name = "chai___chai_4.3.4.tgz";
- path = fetchurl {
- name = "chai___chai_4.3.4.tgz";
- url = "https://registry.yarnpkg.com/chai/-/chai-4.3.4.tgz";
- sha1 = "b55e655b31e1eac7099be4c08c21964fce2e6c49";
- };
- }
- {
- name = "chainsaw___chainsaw_0.1.0.tgz";
- path = fetchurl {
- name = "chainsaw___chainsaw_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/chainsaw/-/chainsaw-0.1.0.tgz";
- sha1 = "5eab50b28afe58074d0d58291388828b5e5fbc98";
- };
- }
- {
- name = "chalk___chalk_1.1.3.tgz";
- path = fetchurl {
- name = "chalk___chalk_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/chalk/-/chalk-1.1.3.tgz";
- sha1 = "a8115c55e4a702fe4d150abd3872822a7e09fc98";
- };
- }
- {
- name = "chalk___chalk_4.1.0.tgz";
- path = fetchurl {
- name = "chalk___chalk_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/chalk/-/chalk-4.1.0.tgz";
- sha1 = "4e14870a618d9e2edd97dd8345fd9d9dc315646a";
- };
- }
- {
- name = "check_error___check_error_1.0.2.tgz";
- path = fetchurl {
- name = "check_error___check_error_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/check-error/-/check-error-1.0.2.tgz";
- sha1 = "574d312edd88bb5dd8912e9286dd6c0aed4aac82";
- };
- }
- {
- name = "chokidar___chokidar_3.5.1.tgz";
- path = fetchurl {
- name = "chokidar___chokidar_3.5.1.tgz";
- url = "https://registry.yarnpkg.com/chokidar/-/chokidar-3.5.1.tgz";
- sha1 = "ee9ce7bbebd2b79f49f304799d5468e31e14e68a";
- };
- }
- {
- name = "chownr___chownr_1.1.4.tgz";
- path = fetchurl {
- name = "chownr___chownr_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/chownr/-/chownr-1.1.4.tgz";
- sha1 = "6fc9d7b42d32a583596337666e7d08084da2cc6b";
- };
- }
- {
- name = "chownr___chownr_2.0.0.tgz";
- path = fetchurl {
- name = "chownr___chownr_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/chownr/-/chownr-2.0.0.tgz";
- sha1 = "15bfbe53d2eab4cf70f18a8cd68ebe5b3cb1dece";
- };
- }
- {
- name = "cliui___cliui_3.2.0.tgz";
- path = fetchurl {
- name = "cliui___cliui_3.2.0.tgz";
- url = "https://registry.yarnpkg.com/cliui/-/cliui-3.2.0.tgz";
- sha1 = "120601537a916d29940f934da3b48d585a39213d";
- };
- }
- {
- name = "cliui___cliui_7.0.4.tgz";
- path = fetchurl {
- name = "cliui___cliui_7.0.4.tgz";
- url = "https://registry.yarnpkg.com/cliui/-/cliui-7.0.4.tgz";
- sha1 = "a0265ee655476fc807aea9df3df8df7783808b4f";
- };
- }
- {
- name = "cmake_js___cmake_js_5.2.0.tgz";
- path = fetchurl {
- name = "cmake_js___cmake_js_5.2.0.tgz";
- url = "https://registry.yarnpkg.com/cmake-js/-/cmake-js-5.2.0.tgz";
- sha1 = "6d72014269a5d23a754a6d170cde9ed2d75eb411";
- };
- }
- {
- name = "code_point_at___code_point_at_1.1.0.tgz";
- path = fetchurl {
- name = "code_point_at___code_point_at_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/code-point-at/-/code-point-at-1.1.0.tgz";
- sha1 = "0d070b4d043a5bea33a2f1a40e2edb3d9a4ccf77";
- };
- }
- {
- name = "color_convert___color_convert_2.0.1.tgz";
- path = fetchurl {
- name = "color_convert___color_convert_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/color-convert/-/color-convert-2.0.1.tgz";
- sha1 = "72d3a68d598c9bdb3af2ad1e84f21d896abd4de3";
- };
- }
- {
- name = "color_name___color_name_1.1.4.tgz";
- path = fetchurl {
- name = "color_name___color_name_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/color-name/-/color-name-1.1.4.tgz";
- sha1 = "c2a09a87acbde69543de6f63fa3995c826c536a2";
- };
- }
- {
- name = "colors___colors_0.6.2.tgz";
- path = fetchurl {
- name = "colors___colors_0.6.2.tgz";
- url = "https://registry.yarnpkg.com/colors/-/colors-0.6.2.tgz";
- sha1 = "2423fe6678ac0c5dae8852e5d0e5be08c997abcc";
- };
- }
- {
- name = "combined_stream___combined_stream_1.0.8.tgz";
- path = fetchurl {
- name = "combined_stream___combined_stream_1.0.8.tgz";
- url = "https://registry.yarnpkg.com/combined-stream/-/combined-stream-1.0.8.tgz";
- sha1 = "c3d45a8b34fd730631a110a8a2520682b31d5a7f";
- };
- }
- {
- name = "commander___commander_2.9.0.tgz";
- path = fetchurl {
- name = "commander___commander_2.9.0.tgz";
- url = "https://registry.yarnpkg.com/commander/-/commander-2.9.0.tgz";
- sha1 = "9c99094176e12240cb22d6c5146098400fe0f7d4";
- };
- }
- {
- name = "commander___commander_2.2.0.tgz";
- path = fetchurl {
- name = "commander___commander_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/commander/-/commander-2.2.0.tgz";
- sha1 = "175ad4b9317f3ff615f201c1e57224f55a3e91df";
- };
- }
- {
- name = "concat_map___concat_map_0.0.1.tgz";
- path = fetchurl {
- name = "concat_map___concat_map_0.0.1.tgz";
- url = "https://registry.yarnpkg.com/concat-map/-/concat-map-0.0.1.tgz";
- sha1 = "d8a96bd77fd68df7793a73036a3ba0d5405d477b";
- };
- }
- {
- name = "console_control_strings___console_control_strings_1.1.0.tgz";
- path = fetchurl {
- name = "console_control_strings___console_control_strings_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/console-control-strings/-/console-control-strings-1.1.0.tgz";
- sha1 = "3d7cf4464db6446ea644bf4b39507f9851008e8e";
- };
- }
- {
- name = "convert_source_map___convert_source_map_1.5.1.tgz";
- path = fetchurl {
- name = "convert_source_map___convert_source_map_1.5.1.tgz";
- url = "https://registry.yarnpkg.com/convert-source-map/-/convert-source-map-1.5.1.tgz";
- sha1 = "b8278097b9bc229365de5c62cf5fcaed8b5599e5";
- };
- }
- {
- name = "core_js___core_js_2.4.1.tgz";
- path = fetchurl {
- name = "core_js___core_js_2.4.1.tgz";
- url = "https://registry.yarnpkg.com/core-js/-/core-js-2.4.1.tgz";
- sha1 = "4de911e667b0eae9124e34254b53aea6fc618d3e";
- };
- }
- {
- name = "core_js___core_js_2.5.7.tgz";
- path = fetchurl {
- name = "core_js___core_js_2.5.7.tgz";
- url = "https://registry.yarnpkg.com/core-js/-/core-js-2.5.7.tgz";
- sha1 = "f972608ff0cead68b841a16a932d0b183791814e";
- };
- }
- {
- name = "core_util_is___core_util_is_1.0.2.tgz";
- path = fetchurl {
- name = "core_util_is___core_util_is_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/core-util-is/-/core-util-is-1.0.2.tgz";
- sha1 = "b5fd54220aa2bc5ab57aab7140c940754503c1a7";
- };
- }
- {
- name = "d___d_1.0.1.tgz";
- path = fetchurl {
- name = "d___d_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/d/-/d-1.0.1.tgz";
- sha1 = "8698095372d58dbee346ffd0c7093f99f8f9eb5a";
- };
- }
- {
- name = "dashdash___dashdash_1.14.1.tgz";
- path = fetchurl {
- name = "dashdash___dashdash_1.14.1.tgz";
- url = "https://registry.yarnpkg.com/dashdash/-/dashdash-1.14.1.tgz";
- sha1 = "853cfa0f7cbe2fed5de20326b8dd581035f6e2f0";
- };
- }
- {
- name = "debug___debug_4.3.1.tgz";
- path = fetchurl {
- name = "debug___debug_4.3.1.tgz";
- url = "https://registry.yarnpkg.com/debug/-/debug-4.3.1.tgz";
- sha1 = "f0d229c505e0c6d8c49ac553d1b13dc183f6b2ee";
- };
- }
- {
- name = "debug___debug_2.6.9.tgz";
- path = fetchurl {
- name = "debug___debug_2.6.9.tgz";
- url = "https://registry.yarnpkg.com/debug/-/debug-2.6.9.tgz";
- sha1 = "5d128515df134ff327e90a4c93f4e077a536341f";
- };
- }
- {
- name = "debug___debug_4.2.0.tgz";
- path = fetchurl {
- name = "debug___debug_4.2.0.tgz";
- url = "https://registry.yarnpkg.com/debug/-/debug-4.2.0.tgz";
- sha1 = "7f150f93920e94c58f5574c2fd01a3110effe7f1";
- };
- }
- {
- name = "decamelize___decamelize_1.2.0.tgz";
- path = fetchurl {
- name = "decamelize___decamelize_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/decamelize/-/decamelize-1.2.0.tgz";
- sha1 = "f6534d15148269b20352e7bee26f501f9a191290";
- };
- }
- {
- name = "decamelize___decamelize_4.0.0.tgz";
- path = fetchurl {
- name = "decamelize___decamelize_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/decamelize/-/decamelize-4.0.0.tgz";
- sha1 = "aa472d7bf660eb15f3494efd531cab7f2a709837";
- };
- }
- {
- name = "decompress_response___decompress_response_4.2.1.tgz";
- path = fetchurl {
- name = "decompress_response___decompress_response_4.2.1.tgz";
- url = "https://registry.yarnpkg.com/decompress-response/-/decompress-response-4.2.1.tgz";
- sha1 = "414023cc7a302da25ce2ec82d0d5238ccafd8986";
- };
- }
- {
- name = "deep_eql___deep_eql_3.0.1.tgz";
- path = fetchurl {
- name = "deep_eql___deep_eql_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/deep-eql/-/deep-eql-3.0.1.tgz";
- sha1 = "dfc9404400ad1c8fe023e7da1df1c147c4b444df";
- };
- }
- {
- name = "deep_extend___deep_extend_0.6.0.tgz";
- path = fetchurl {
- name = "deep_extend___deep_extend_0.6.0.tgz";
- url = "https://registry.yarnpkg.com/deep-extend/-/deep-extend-0.6.0.tgz";
- sha1 = "c4fa7c95404a17a9c3e8ca7e1537312b736330ac";
- };
- }
- {
- name = "delayed_stream___delayed_stream_1.0.0.tgz";
- path = fetchurl {
- name = "delayed_stream___delayed_stream_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/delayed-stream/-/delayed-stream-1.0.0.tgz";
- sha1 = "df3ae199acadfb7d440aaae0b29e2272b24ec619";
- };
- }
- {
- name = "delegates___delegates_1.0.0.tgz";
- path = fetchurl {
- name = "delegates___delegates_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/delegates/-/delegates-1.0.0.tgz";
- sha1 = "84c6e159b81904fdca59a0ef44cd870d31250f9a";
- };
- }
- {
- name = "detect_indent___detect_indent_4.0.0.tgz";
- path = fetchurl {
- name = "detect_indent___detect_indent_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/detect-indent/-/detect-indent-4.0.0.tgz";
- sha1 = "f76d064352cdf43a1cb6ce619c4ee3a9475de208";
- };
- }
- {
- name = "detect_libc___detect_libc_1.0.3.tgz";
- path = fetchurl {
- name = "detect_libc___detect_libc_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/detect-libc/-/detect-libc-1.0.3.tgz";
- sha1 = "fa137c4bd698edf55cd5cd02ac559f91a4c4ba9b";
- };
- }
- {
- name = "diff___diff_5.0.0.tgz";
- path = fetchurl {
- name = "diff___diff_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/diff/-/diff-5.0.0.tgz";
- sha1 = "7ed6ad76d859d030787ec35855f5b1daf31d852b";
- };
- }
- {
- name = "duplexer2___duplexer2_0.0.2.tgz";
- path = fetchurl {
- name = "duplexer2___duplexer2_0.0.2.tgz";
- url = "https://registry.yarnpkg.com/duplexer2/-/duplexer2-0.0.2.tgz";
- sha1 = "c614dcf67e2fb14995a91711e5a617e8a60a31db";
- };
- }
- {
- name = "duplexer2___duplexer2_0.1.4.tgz";
- path = fetchurl {
- name = "duplexer2___duplexer2_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/duplexer2/-/duplexer2-0.1.4.tgz";
- sha1 = "8b12dab878c0d69e3e7891051662a32fc6bddcc1";
- };
- }
- {
- name = "each_series_async___each_series_async_1.0.1.tgz";
- path = fetchurl {
- name = "each_series_async___each_series_async_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/each-series-async/-/each-series-async-1.0.1.tgz";
- sha1 = "7e3f8dfa5af934663960e5a17561362909b34328";
- };
- }
- {
- name = "ecc_jsbn___ecc_jsbn_0.1.1.tgz";
- path = fetchurl {
- name = "ecc_jsbn___ecc_jsbn_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/ecc-jsbn/-/ecc-jsbn-0.1.1.tgz";
- sha1 = "0fc73a9ed5f0d53c38193398523ef7e543777505";
- };
- }
- {
- name = "emoji_regex___emoji_regex_8.0.0.tgz";
- path = fetchurl {
- name = "emoji_regex___emoji_regex_8.0.0.tgz";
- url = "https://registry.yarnpkg.com/emoji-regex/-/emoji-regex-8.0.0.tgz";
- sha1 = "e818fd69ce5ccfcb404594f842963bf53164cc37";
- };
- }
- {
- name = "end_of_stream___end_of_stream_1.4.4.tgz";
- path = fetchurl {
- name = "end_of_stream___end_of_stream_1.4.4.tgz";
- url = "https://registry.yarnpkg.com/end-of-stream/-/end-of-stream-1.4.4.tgz";
- sha1 = "5ae64a5f45057baf3626ec14da0ca5e4b2431eb0";
- };
- }
- {
- name = "env_paths___env_paths_2.2.0.tgz";
- path = fetchurl {
- name = "env_paths___env_paths_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/env-paths/-/env-paths-2.2.0.tgz";
- sha1 = "cdca557dc009152917d6166e2febe1f039685e43";
- };
- }
- {
- name = "es5_ext___es5_ext_0.10.53.tgz";
- path = fetchurl {
- name = "es5_ext___es5_ext_0.10.53.tgz";
- url = "https://registry.yarnpkg.com/es5-ext/-/es5-ext-0.10.53.tgz";
- sha1 = "93c5a3acfdbef275220ad72644ad02ee18368de1";
- };
- }
- {
- name = "es6_iterator___es6_iterator_2.0.3.tgz";
- path = fetchurl {
- name = "es6_iterator___es6_iterator_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/es6-iterator/-/es6-iterator-2.0.3.tgz";
- sha1 = "a7de889141a05a94b0854403b2d0a0fbfa98f3b7";
- };
- }
- {
- name = "es6_symbol___es6_symbol_3.1.3.tgz";
- path = fetchurl {
- name = "es6_symbol___es6_symbol_3.1.3.tgz";
- url = "https://registry.yarnpkg.com/es6-symbol/-/es6-symbol-3.1.3.tgz";
- sha1 = "bad5d3c1bcdac28269f4cb331e431c78ac705d18";
- };
- }
- {
- name = "escalade___escalade_3.1.1.tgz";
- path = fetchurl {
- name = "escalade___escalade_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/escalade/-/escalade-3.1.1.tgz";
- sha1 = "d8cfdc7000965c5a0174b4a82eaa5c0552742e40";
- };
- }
- {
- name = "escape_string_regexp___escape_string_regexp_4.0.0.tgz";
- path = fetchurl {
- name = "escape_string_regexp___escape_string_regexp_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-4.0.0.tgz";
- sha1 = "14ba83a5d373e3d311e5afca29cf5bfad965bf34";
- };
- }
- {
- name = "escape_string_regexp___escape_string_regexp_1.0.5.tgz";
- path = fetchurl {
- name = "escape_string_regexp___escape_string_regexp_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-1.0.5.tgz";
- sha1 = "1b61c0562190a8dff6ae3bb2cf0200ca130b86d4";
- };
- }
- {
- name = "esutils___esutils_2.0.2.tgz";
- path = fetchurl {
- name = "esutils___esutils_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/esutils/-/esutils-2.0.2.tgz";
- sha1 = "0abf4f1caa5bcb1f7a9d8acc6dea4faaa04bac9b";
- };
- }
- {
- name = "execspawn___execspawn_1.0.1.tgz";
- path = fetchurl {
- name = "execspawn___execspawn_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/execspawn/-/execspawn-1.0.1.tgz";
- sha1 = "8286f9dde7cecde7905fbdc04e24f368f23f8da6";
- };
- }
- {
- name = "expand_template___expand_template_2.0.3.tgz";
- path = fetchurl {
- name = "expand_template___expand_template_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/expand-template/-/expand-template-2.0.3.tgz";
- sha1 = "6e14b3fcee0f3a6340ecb57d2e8918692052a47c";
- };
- }
- {
- name = "ext___ext_1.4.0.tgz";
- path = fetchurl {
- name = "ext___ext_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/ext/-/ext-1.4.0.tgz";
- sha1 = "89ae7a07158f79d35517882904324077e4379244";
- };
- }
- {
- name = "extend___extend_3.0.2.tgz";
- path = fetchurl {
- name = "extend___extend_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/extend/-/extend-3.0.2.tgz";
- sha1 = "f8b1136b4071fbd8eb140aff858b1019ec2915fa";
- };
- }
- {
- name = "extsprintf___extsprintf_1.0.2.tgz";
- path = fetchurl {
- name = "extsprintf___extsprintf_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/extsprintf/-/extsprintf-1.0.2.tgz";
- sha1 = "e1080e0658e300b06294990cc70e1502235fd550";
- };
- }
- {
- name = "fast_deep_equal___fast_deep_equal_3.1.3.tgz";
- path = fetchurl {
- name = "fast_deep_equal___fast_deep_equal_3.1.3.tgz";
- url = "https://registry.yarnpkg.com/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz";
- sha1 = "3a7d56b559d6cbc3eb512325244e619a65c6c525";
- };
- }
- {
- name = "fast_json_stable_stringify___fast_json_stable_stringify_2.0.0.tgz";
- path = fetchurl {
- name = "fast_json_stable_stringify___fast_json_stable_stringify_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/fast-json-stable-stringify/-/fast-json-stable-stringify-2.0.0.tgz";
- sha1 = "d5142c0caee6b1189f87d3a76111064f86c8bbf2";
- };
- }
- {
- name = "fill_range___fill_range_7.0.1.tgz";
- path = fetchurl {
- name = "fill_range___fill_range_7.0.1.tgz";
- url = "https://registry.yarnpkg.com/fill-range/-/fill-range-7.0.1.tgz";
- sha1 = "1919a6a7c75fe38b2c7c77e5198535da9acdda40";
- };
- }
- {
- name = "find_up___find_up_5.0.0.tgz";
- path = fetchurl {
- name = "find_up___find_up_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/find-up/-/find-up-5.0.0.tgz";
- sha1 = "4c92819ecb7083561e4f4a240a86be5198f536fc";
- };
- }
- {
- name = "flat___flat_5.0.2.tgz";
- path = fetchurl {
- name = "flat___flat_5.0.2.tgz";
- url = "https://registry.yarnpkg.com/flat/-/flat-5.0.2.tgz";
- sha1 = "8ca6fe332069ffa9d324c327198c598259ceb241";
- };
- }
- {
- name = "forever_agent___forever_agent_0.6.1.tgz";
- path = fetchurl {
- name = "forever_agent___forever_agent_0.6.1.tgz";
- url = "https://registry.yarnpkg.com/forever-agent/-/forever-agent-0.6.1.tgz";
- sha1 = "fbc71f0c41adeb37f96c577ad1ed42d8fdacca91";
- };
- }
- {
- name = "form_data___form_data_2.3.3.tgz";
- path = fetchurl {
- name = "form_data___form_data_2.3.3.tgz";
- url = "https://registry.yarnpkg.com/form-data/-/form-data-2.3.3.tgz";
- sha1 = "dcce52c05f644f298c6a7ab936bd724ceffbf3a6";
- };
- }
- {
- name = "fs_constants___fs_constants_1.0.0.tgz";
- path = fetchurl {
- name = "fs_constants___fs_constants_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/fs-constants/-/fs-constants-1.0.0.tgz";
- sha1 = "6be0de9be998ce16af8afc24497b9ee9b7ccd9ad";
- };
- }
- {
- name = "fs_extra___fs_extra_5.0.0.tgz";
- path = fetchurl {
- name = "fs_extra___fs_extra_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/fs-extra/-/fs-extra-5.0.0.tgz";
- sha1 = "414d0110cdd06705734d055652c5411260c31abd";
- };
- }
- {
- name = "fs_minipass___fs_minipass_1.2.7.tgz";
- path = fetchurl {
- name = "fs_minipass___fs_minipass_1.2.7.tgz";
- url = "https://registry.yarnpkg.com/fs-minipass/-/fs-minipass-1.2.7.tgz";
- sha1 = "ccff8570841e7fe4265693da88936c55aed7f7c7";
- };
- }
- {
- name = "fs_minipass___fs_minipass_2.1.0.tgz";
- path = fetchurl {
- name = "fs_minipass___fs_minipass_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/fs-minipass/-/fs-minipass-2.1.0.tgz";
- sha1 = "7f5036fdbf12c63c169190cbe4199c852271f9fb";
- };
- }
- {
- name = "fs.realpath___fs.realpath_1.0.0.tgz";
- path = fetchurl {
- name = "fs.realpath___fs.realpath_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/fs.realpath/-/fs.realpath-1.0.0.tgz";
- sha1 = "1504ad2523158caa40db4a2787cb01411994ea4f";
- };
- }
- {
- name = "fsevents___fsevents_2.3.2.tgz";
- path = fetchurl {
- name = "fsevents___fsevents_2.3.2.tgz";
- url = "https://registry.yarnpkg.com/fsevents/-/fsevents-2.3.2.tgz";
- sha1 = "8a526f78b8fdf4623b709e0b975c52c24c02fd1a";
- };
- }
- {
- name = "fstream___fstream_1.0.12.tgz";
- path = fetchurl {
- name = "fstream___fstream_1.0.12.tgz";
- url = "https://registry.yarnpkg.com/fstream/-/fstream-1.0.12.tgz";
- sha1 = "4e8ba8ee2d48be4f7d0de505455548eae5932045";
- };
- }
- {
- name = "gauge___gauge_1.2.7.tgz";
- path = fetchurl {
- name = "gauge___gauge_1.2.7.tgz";
- url = "https://registry.yarnpkg.com/gauge/-/gauge-1.2.7.tgz";
- sha1 = "e9cec5483d3d4ee0ef44b60a7d99e4935e136d93";
- };
- }
- {
- name = "gauge___gauge_2.7.4.tgz";
- path = fetchurl {
- name = "gauge___gauge_2.7.4.tgz";
- url = "https://registry.yarnpkg.com/gauge/-/gauge-2.7.4.tgz";
- sha1 = "2c03405c7538c39d7eb37b317022e325fb018bf7";
- };
- }
- {
- name = "get_caller_file___get_caller_file_2.0.5.tgz";
- path = fetchurl {
- name = "get_caller_file___get_caller_file_2.0.5.tgz";
- url = "https://registry.yarnpkg.com/get-caller-file/-/get-caller-file-2.0.5.tgz";
- sha1 = "4f94412a82db32f36e3b0b9741f8a97feb031f7e";
- };
- }
- {
- name = "get_func_name___get_func_name_2.0.0.tgz";
- path = fetchurl {
- name = "get_func_name___get_func_name_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/get-func-name/-/get-func-name-2.0.0.tgz";
- sha1 = "ead774abee72e20409433a066366023dd6887a41";
- };
- }
- {
- name = "getpass___getpass_0.1.7.tgz";
- path = fetchurl {
- name = "getpass___getpass_0.1.7.tgz";
- url = "https://registry.yarnpkg.com/getpass/-/getpass-0.1.7.tgz";
- sha1 = "5eff8e3e684d569ae4cb2b1282604e8ba62149fa";
- };
- }
- {
- name = "ghreleases___ghreleases_3.0.2.tgz";
- path = fetchurl {
- name = "ghreleases___ghreleases_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/ghreleases/-/ghreleases-3.0.2.tgz";
- sha1 = "1bdb6d31ec03a24a0d80f58f5e9a84a4db725818";
- };
- }
- {
- name = "ghrepos___ghrepos_2.1.0.tgz";
- path = fetchurl {
- name = "ghrepos___ghrepos_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/ghrepos/-/ghrepos-2.1.0.tgz";
- sha1 = "abaf558b690b722c70c7ad45076f6f9be8e495e1";
- };
- }
- {
- name = "ghutils___ghutils_3.2.6.tgz";
- path = fetchurl {
- name = "ghutils___ghutils_3.2.6.tgz";
- url = "https://registry.yarnpkg.com/ghutils/-/ghutils-3.2.6.tgz";
- sha1 = "d43986e267da02787464d97a6489659e4609bb1f";
- };
- }
- {
- name = "github_from_package___github_from_package_0.0.0.tgz";
- path = fetchurl {
- name = "github_from_package___github_from_package_0.0.0.tgz";
- url = "https://registry.yarnpkg.com/github-from-package/-/github-from-package-0.0.0.tgz";
- sha1 = "97fb5d96bfde8973313f20e8288ef9a167fa64ce";
- };
- }
- {
- name = "glob_parent___glob_parent_5.1.2.tgz";
- path = fetchurl {
- name = "glob_parent___glob_parent_5.1.2.tgz";
- url = "https://registry.yarnpkg.com/glob-parent/-/glob-parent-5.1.2.tgz";
- sha1 = "869832c58034fe68a4093c17dc15e8340d8401c4";
- };
- }
- {
- name = "glob___glob_7.1.6.tgz";
- path = fetchurl {
- name = "glob___glob_7.1.6.tgz";
- url = "https://registry.yarnpkg.com/glob/-/glob-7.1.6.tgz";
- sha1 = "141f33b81a7c2492e125594307480c46679278a6";
- };
- }
- {
- name = "glob___glob_5.0.15.tgz";
- path = fetchurl {
- name = "glob___glob_5.0.15.tgz";
- url = "https://registry.yarnpkg.com/glob/-/glob-5.0.15.tgz";
- sha1 = "1bc936b9e02f4a603fcc222ecf7633d30b8b93b1";
- };
- }
- {
- name = "globals___globals_9.18.0.tgz";
- path = fetchurl {
- name = "globals___globals_9.18.0.tgz";
- url = "https://registry.yarnpkg.com/globals/-/globals-9.18.0.tgz";
- sha1 = "aa3896b3e69b487f17e31ed2143d69a8e30c2d8a";
- };
- }
- {
- name = "graceful_fs___graceful_fs_4.2.3.tgz";
- path = fetchurl {
- name = "graceful_fs___graceful_fs_4.2.3.tgz";
- url = "https://registry.yarnpkg.com/graceful-fs/-/graceful-fs-4.2.3.tgz";
- sha1 = "4a12ff1b60376ef09862c2093edd908328be8423";
- };
- }
- {
- name = "graceful_readlink___graceful_readlink_1.0.1.tgz";
- path = fetchurl {
- name = "graceful_readlink___graceful_readlink_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/graceful-readlink/-/graceful-readlink-1.0.1.tgz";
- sha1 = "4cafad76bc62f02fa039b2f94e9a3dd3a391a725";
- };
- }
- {
- name = "growl___growl_1.10.5.tgz";
- path = fetchurl {
- name = "growl___growl_1.10.5.tgz";
- url = "https://registry.yarnpkg.com/growl/-/growl-1.10.5.tgz";
- sha1 = "f2735dc2283674fa67478b10181059355c369e5e";
- };
- }
- {
- name = "har_schema___har_schema_2.0.0.tgz";
- path = fetchurl {
- name = "har_schema___har_schema_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/har-schema/-/har-schema-2.0.0.tgz";
- sha1 = "a94c2224ebcac04782a0d9035521f24735b7ec92";
- };
- }
- {
- name = "har_validator___har_validator_5.1.5.tgz";
- path = fetchurl {
- name = "har_validator___har_validator_5.1.5.tgz";
- url = "https://registry.yarnpkg.com/har-validator/-/har-validator-5.1.5.tgz";
- sha1 = "1f0803b9f8cb20c0fa13822df1ecddb36bde1efd";
- };
- }
- {
- name = "has_ansi___has_ansi_2.0.0.tgz";
- path = fetchurl {
- name = "has_ansi___has_ansi_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/has-ansi/-/has-ansi-2.0.0.tgz";
- sha1 = "34f5049ce1ecdf2b0649af3ef24e45ed35416d91";
- };
- }
- {
- name = "has_flag___has_flag_4.0.0.tgz";
- path = fetchurl {
- name = "has_flag___has_flag_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/has-flag/-/has-flag-4.0.0.tgz";
- sha1 = "944771fd9c81c81265c4d6941860da06bb59479b";
- };
- }
- {
- name = "has_unicode___has_unicode_2.0.1.tgz";
- path = fetchurl {
- name = "has_unicode___has_unicode_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/has-unicode/-/has-unicode-2.0.1.tgz";
- sha1 = "e0e6fe6a28cf51138855e086d1691e771de2a8b9";
- };
- }
- {
- name = "he___he_1.2.0.tgz";
- path = fetchurl {
- name = "he___he_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/he/-/he-1.2.0.tgz";
- sha1 = "84ae65fa7eafb165fddb61566ae14baf05664f0f";
- };
- }
- {
- name = "home_or_tmp___home_or_tmp_2.0.0.tgz";
- path = fetchurl {
- name = "home_or_tmp___home_or_tmp_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/home-or-tmp/-/home-or-tmp-2.0.0.tgz";
- sha1 = "e36c3f2d2cae7d746a857e38d18d5f32a7882db8";
- };
- }
- {
- name = "http_signature___http_signature_1.2.0.tgz";
- path = fetchurl {
- name = "http_signature___http_signature_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/http-signature/-/http-signature-1.2.0.tgz";
- sha1 = "9aecd925114772f3d95b65a60abb8f7c18fbace1";
- };
- }
- {
- name = "hyperquest___hyperquest_2.1.3.tgz";
- path = fetchurl {
- name = "hyperquest___hyperquest_2.1.3.tgz";
- url = "https://registry.yarnpkg.com/hyperquest/-/hyperquest-2.1.3.tgz";
- sha1 = "523127d7a343181b40bf324e231d2576edf52633";
- };
- }
- {
- name = "ieee754___ieee754_1.2.1.tgz";
- path = fetchurl {
- name = "ieee754___ieee754_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/ieee754/-/ieee754-1.2.1.tgz";
- sha1 = "8eb7a10a63fff25d15a57b001586d177d1b0d352";
- };
- }
- {
- name = "inflight___inflight_1.0.6.tgz";
- path = fetchurl {
- name = "inflight___inflight_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/inflight/-/inflight-1.0.6.tgz";
- sha1 = "49bd6331d7d02d0c09bc910a1075ba8165b56df9";
- };
- }
- {
- name = "inherits___inherits_2.0.3.tgz";
- path = fetchurl {
- name = "inherits___inherits_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/inherits/-/inherits-2.0.3.tgz";
- sha1 = "633c2c83e3da42a502f52466022480f4208261de";
- };
- }
- {
- name = "inherits___inherits_2.0.4.tgz";
- path = fetchurl {
- name = "inherits___inherits_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/inherits/-/inherits-2.0.4.tgz";
- sha1 = "0fa2c64f932917c3433a0ded55363aae37416b7c";
- };
- }
- {
- name = "ini___ini_1.3.7.tgz";
- path = fetchurl {
- name = "ini___ini_1.3.7.tgz";
- url = "https://registry.yarnpkg.com/ini/-/ini-1.3.7.tgz";
- sha1 = "a09363e1911972ea16d7a8851005d84cf09a9a84";
- };
- }
- {
- name = "invariant___invariant_2.2.2.tgz";
- path = fetchurl {
- name = "invariant___invariant_2.2.2.tgz";
- url = "https://registry.yarnpkg.com/invariant/-/invariant-2.2.2.tgz";
- sha1 = "9e1f56ac0acdb6bf303306f338be3b204ae60360";
- };
- }
- {
- name = "invert_kv___invert_kv_1.0.0.tgz";
- path = fetchurl {
- name = "invert_kv___invert_kv_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/invert-kv/-/invert-kv-1.0.0.tgz";
- sha1 = "104a8e4aaca6d3d8cd157a8ef8bfab2d7a3ffdb6";
- };
- }
- {
- name = "is_binary_path___is_binary_path_2.1.0.tgz";
- path = fetchurl {
- name = "is_binary_path___is_binary_path_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-binary-path/-/is-binary-path-2.1.0.tgz";
- sha1 = "ea1f7f3b80f064236e83470f86c09c254fb45b09";
- };
- }
- {
- name = "is_extglob___is_extglob_2.1.1.tgz";
- path = fetchurl {
- name = "is_extglob___is_extglob_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/is-extglob/-/is-extglob-2.1.1.tgz";
- sha1 = "a88c02535791f02ed37c76a1b9ea9773c833f8c2";
- };
- }
- {
- name = "is_finite___is_finite_1.0.2.tgz";
- path = fetchurl {
- name = "is_finite___is_finite_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/is-finite/-/is-finite-1.0.2.tgz";
- sha1 = "cc6677695602be550ef11e8b4aa6305342b6d0aa";
- };
- }
- {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_1.0.0.tgz";
- path = fetchurl {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-1.0.0.tgz";
- sha1 = "ef9e31386f031a7f0d643af82fde50c457ef00cb";
- };
- }
- {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_3.0.0.tgz";
- path = fetchurl {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz";
- sha1 = "f116f8064fe90b3f7844a38997c0b75051269f1d";
- };
- }
- {
- name = "is_glob___is_glob_4.0.1.tgz";
- path = fetchurl {
- name = "is_glob___is_glob_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/is-glob/-/is-glob-4.0.1.tgz";
- sha1 = "7567dbe9f2f5e2467bc77ab83c4a29482407a5dc";
- };
- }
- {
- name = "is_iojs___is_iojs_1.1.0.tgz";
- path = fetchurl {
- name = "is_iojs___is_iojs_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-iojs/-/is-iojs-1.1.0.tgz";
- sha1 = "4c11033b5d5d94d6eab3775dedc9be7d008325f1";
- };
- }
- {
- name = "is_number___is_number_7.0.0.tgz";
- path = fetchurl {
- name = "is_number___is_number_7.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-number/-/is-number-7.0.0.tgz";
- sha1 = "7535345b896734d5f80c4d06c50955527a14f12b";
- };
- }
- {
- name = "is_plain_obj___is_plain_obj_2.1.0.tgz";
- path = fetchurl {
- name = "is_plain_obj___is_plain_obj_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-plain-obj/-/is-plain-obj-2.1.0.tgz";
- sha1 = "45e42e37fccf1f40da8e5f76ee21515840c09287";
- };
- }
- {
- name = "is_typedarray___is_typedarray_1.0.0.tgz";
- path = fetchurl {
- name = "is_typedarray___is_typedarray_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-typedarray/-/is-typedarray-1.0.0.tgz";
- sha1 = "e479c80858df0c1b11ddda6940f96011fcda4a9a";
- };
- }
- {
- name = "isarray___isarray_0.0.1.tgz";
- path = fetchurl {
- name = "isarray___isarray_0.0.1.tgz";
- url = "https://registry.yarnpkg.com/isarray/-/isarray-0.0.1.tgz";
- sha1 = "8a18acfca9a8f4177e09abfc6038939b05d1eedf";
- };
- }
- {
- name = "isarray___isarray_1.0.0.tgz";
- path = fetchurl {
- name = "isarray___isarray_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/isarray/-/isarray-1.0.0.tgz";
- sha1 = "bb935d48582cba168c06834957a54a3e07124f11";
- };
- }
- {
- name = "isexe___isexe_2.0.0.tgz";
- path = fetchurl {
- name = "isexe___isexe_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/isexe/-/isexe-2.0.0.tgz";
- sha1 = "e8fbf374dc556ff8947a10dcb0572d633f2cfa10";
- };
- }
- {
- name = "isstream___isstream_0.1.2.tgz";
- path = fetchurl {
- name = "isstream___isstream_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/isstream/-/isstream-0.1.2.tgz";
- sha1 = "47e63f7af55afa6f92e1500e690eb8b8529c099a";
- };
- }
- {
- name = "js_tokens___js_tokens_3.0.2.tgz";
- path = fetchurl {
- name = "js_tokens___js_tokens_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/js-tokens/-/js-tokens-3.0.2.tgz";
- sha1 = "9866df395102130e38f7f996bceb65443209c25b";
- };
- }
- {
- name = "js_yaml___js_yaml_4.0.0.tgz";
- path = fetchurl {
- name = "js_yaml___js_yaml_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/js-yaml/-/js-yaml-4.0.0.tgz";
- sha1 = "f426bc0ff4b4051926cd588c71113183409a121f";
- };
- }
- {
- name = "jsbn___jsbn_0.1.1.tgz";
- path = fetchurl {
- name = "jsbn___jsbn_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/jsbn/-/jsbn-0.1.1.tgz";
- sha1 = "a5e654c2e5a2deb5f201d96cefbca80c0ef2f513";
- };
- }
- {
- name = "jsesc___jsesc_1.3.0.tgz";
- path = fetchurl {
- name = "jsesc___jsesc_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/jsesc/-/jsesc-1.3.0.tgz";
- sha1 = "46c3fec8c1892b12b0833db9bc7622176dbab34b";
- };
- }
- {
- name = "json_schema_traverse___json_schema_traverse_0.4.1.tgz";
- path = fetchurl {
- name = "json_schema_traverse___json_schema_traverse_0.4.1.tgz";
- url = "https://registry.yarnpkg.com/json-schema-traverse/-/json-schema-traverse-0.4.1.tgz";
- sha1 = "69f6a87d9513ab8bb8fe63bdb0979c448e684660";
- };
- }
- {
- name = "json_schema___json_schema_0.2.3.tgz";
- path = fetchurl {
- name = "json_schema___json_schema_0.2.3.tgz";
- url = "https://registry.yarnpkg.com/json-schema/-/json-schema-0.2.3.tgz";
- sha1 = "b480c892e59a2f05954ce727bd3f2a4e882f9e13";
- };
- }
- {
- name = "json_stringify_safe___json_stringify_safe_5.0.1.tgz";
- path = fetchurl {
- name = "json_stringify_safe___json_stringify_safe_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/json-stringify-safe/-/json-stringify-safe-5.0.1.tgz";
- sha1 = "1296a2d58fd45f19a0f6ce01d65701e2c735b6eb";
- };
- }
- {
- name = "json5___json5_0.5.1.tgz";
- path = fetchurl {
- name = "json5___json5_0.5.1.tgz";
- url = "https://registry.yarnpkg.com/json5/-/json5-0.5.1.tgz";
- sha1 = "1eade7acc012034ad84e2396767ead9fa5495821";
- };
- }
- {
- name = "jsonfile___jsonfile_4.0.0.tgz";
- path = fetchurl {
- name = "jsonfile___jsonfile_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/jsonfile/-/jsonfile-4.0.0.tgz";
- sha1 = "8771aae0799b64076b76640fca058f9c10e33ecb";
- };
- }
- {
- name = "jsonist___jsonist_2.1.2.tgz";
- path = fetchurl {
- name = "jsonist___jsonist_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/jsonist/-/jsonist-2.1.2.tgz";
- sha1 = "c1377311e8fc857abe7aa3df197116a911f95324";
- };
- }
- {
- name = "jsprim___jsprim_1.4.0.tgz";
- path = fetchurl {
- name = "jsprim___jsprim_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/jsprim/-/jsprim-1.4.0.tgz";
- sha1 = "a3b87e40298d8c380552d8cc7628a0bb95a22918";
- };
- }
- {
- name = "lcid___lcid_1.0.0.tgz";
- path = fetchurl {
- name = "lcid___lcid_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/lcid/-/lcid-1.0.0.tgz";
- sha1 = "308accafa0bc483a3867b4b6f2b9506251d1b835";
- };
- }
- {
- name = "listenercount___listenercount_1.0.1.tgz";
- path = fetchurl {
- name = "listenercount___listenercount_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/listenercount/-/listenercount-1.0.1.tgz";
- sha1 = "84c8a72ab59c4725321480c975e6508342e70937";
- };
- }
- {
- name = "locate_path___locate_path_6.0.0.tgz";
- path = fetchurl {
- name = "locate_path___locate_path_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/locate-path/-/locate-path-6.0.0.tgz";
- sha1 = "55321eb309febbc59c4801d931a72452a681d286";
- };
- }
- {
- name = "lodash.pad___lodash.pad_4.5.1.tgz";
- path = fetchurl {
- name = "lodash.pad___lodash.pad_4.5.1.tgz";
- url = "https://registry.yarnpkg.com/lodash.pad/-/lodash.pad-4.5.1.tgz";
- sha1 = "4330949a833a7c8da22cc20f6a26c4d59debba70";
- };
- }
- {
- name = "lodash.padend___lodash.padend_4.6.1.tgz";
- path = fetchurl {
- name = "lodash.padend___lodash.padend_4.6.1.tgz";
- url = "https://registry.yarnpkg.com/lodash.padend/-/lodash.padend-4.6.1.tgz";
- sha1 = "53ccba047d06e158d311f45da625f4e49e6f166e";
- };
- }
- {
- name = "lodash.padstart___lodash.padstart_4.6.1.tgz";
- path = fetchurl {
- name = "lodash.padstart___lodash.padstart_4.6.1.tgz";
- url = "https://registry.yarnpkg.com/lodash.padstart/-/lodash.padstart-4.6.1.tgz";
- sha1 = "d2e3eebff0d9d39ad50f5cbd1b52a7bce6bb611b";
- };
- }
- {
- name = "lodash.uniq___lodash.uniq_4.5.0.tgz";
- path = fetchurl {
- name = "lodash.uniq___lodash.uniq_4.5.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.uniq/-/lodash.uniq-4.5.0.tgz";
- sha1 = "d0225373aeb652adc1bc82e4945339a842754773";
- };
- }
- {
- name = "lodash___lodash_4.17.19.tgz";
- path = fetchurl {
- name = "lodash___lodash_4.17.19.tgz";
- url = "https://registry.yarnpkg.com/lodash/-/lodash-4.17.19.tgz";
- sha1 = "e48ddedbe30b3321783c5b4301fbd353bc1e4a4b";
- };
- }
- {
- name = "log_symbols___log_symbols_4.0.0.tgz";
- path = fetchurl {
- name = "log_symbols___log_symbols_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/log-symbols/-/log-symbols-4.0.0.tgz";
- sha1 = "69b3cc46d20f448eccdb75ea1fa733d9e821c920";
- };
- }
- {
- name = "loose_envify___loose_envify_1.3.1.tgz";
- path = fetchurl {
- name = "loose_envify___loose_envify_1.3.1.tgz";
- url = "https://registry.yarnpkg.com/loose-envify/-/loose-envify-1.3.1.tgz";
- sha1 = "d1a8ad33fa9ce0e713d65fdd0ac8b748d478c848";
- };
- }
- {
- name = "memory_stream___memory_stream_0.0.3.tgz";
- path = fetchurl {
- name = "memory_stream___memory_stream_0.0.3.tgz";
- url = "https://registry.yarnpkg.com/memory-stream/-/memory-stream-0.0.3.tgz";
- sha1 = "ebe8dd1c3b8bc38c0e7941e9ddd5aebe6b4de83f";
- };
- }
- {
- name = "mime_db___mime_db_1.44.0.tgz";
- path = fetchurl {
- name = "mime_db___mime_db_1.44.0.tgz";
- url = "https://registry.yarnpkg.com/mime-db/-/mime-db-1.44.0.tgz";
- sha1 = "fa11c5eb0aca1334b4233cb4d52f10c5a6272f92";
- };
- }
- {
- name = "mime_types___mime_types_2.1.27.tgz";
- path = fetchurl {
- name = "mime_types___mime_types_2.1.27.tgz";
- url = "https://registry.yarnpkg.com/mime-types/-/mime-types-2.1.27.tgz";
- sha1 = "47949f98e279ea53119f5722e0f34e529bec009f";
- };
- }
- {
- name = "mimic_response___mimic_response_2.1.0.tgz";
- path = fetchurl {
- name = "mimic_response___mimic_response_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/mimic-response/-/mimic-response-2.1.0.tgz";
- sha1 = "d13763d35f613d09ec37ebb30bac0469c0ee8f43";
- };
- }
- {
- name = "minimatch___minimatch_3.0.4.tgz";
- path = fetchurl {
- name = "minimatch___minimatch_3.0.4.tgz";
- url = "https://registry.yarnpkg.com/minimatch/-/minimatch-3.0.4.tgz";
- sha1 = "5166e286457f03306064be5497e8dbb0c3d32083";
- };
- }
- {
- name = "minimist___minimist_1.2.5.tgz";
- path = fetchurl {
- name = "minimist___minimist_1.2.5.tgz";
- url = "https://registry.yarnpkg.com/minimist/-/minimist-1.2.5.tgz";
- sha1 = "67d66014b66a6a8aaa0c083c5fd58df4e4e97602";
- };
- }
- {
- name = "minipass___minipass_2.9.0.tgz";
- path = fetchurl {
- name = "minipass___minipass_2.9.0.tgz";
- url = "https://registry.yarnpkg.com/minipass/-/minipass-2.9.0.tgz";
- sha1 = "e713762e7d3e32fed803115cf93e04bca9fcc9a6";
- };
- }
- {
- name = "minipass___minipass_3.1.3.tgz";
- path = fetchurl {
- name = "minipass___minipass_3.1.3.tgz";
- url = "https://registry.yarnpkg.com/minipass/-/minipass-3.1.3.tgz";
- sha1 = "7d42ff1f39635482e15f9cdb53184deebd5815fd";
- };
- }
- {
- name = "minizlib___minizlib_1.3.3.tgz";
- path = fetchurl {
- name = "minizlib___minizlib_1.3.3.tgz";
- url = "https://registry.yarnpkg.com/minizlib/-/minizlib-1.3.3.tgz";
- sha1 = "2290de96818a34c29551c8a8d301216bd65a861d";
- };
- }
- {
- name = "minizlib___minizlib_2.1.2.tgz";
- path = fetchurl {
- name = "minizlib___minizlib_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/minizlib/-/minizlib-2.1.2.tgz";
- sha1 = "e90d3466ba209b932451508a11ce3d3632145931";
- };
- }
- {
- name = "mkdirp_classic___mkdirp_classic_0.5.3.tgz";
- path = fetchurl {
- name = "mkdirp_classic___mkdirp_classic_0.5.3.tgz";
- url = "https://registry.yarnpkg.com/mkdirp-classic/-/mkdirp-classic-0.5.3.tgz";
- sha1 = "fa10c9115cc6d8865be221ba47ee9bed78601113";
- };
- }
- {
- name = "mkdirp___mkdirp_0.5.5.tgz";
- path = fetchurl {
- name = "mkdirp___mkdirp_0.5.5.tgz";
- url = "https://registry.yarnpkg.com/mkdirp/-/mkdirp-0.5.5.tgz";
- sha1 = "d91cefd62d1436ca0f41620e251288d420099def";
- };
- }
- {
- name = "mkdirp___mkdirp_1.0.4.tgz";
- path = fetchurl {
- name = "mkdirp___mkdirp_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/mkdirp/-/mkdirp-1.0.4.tgz";
- sha1 = "3eb5ed62622756d79a5f0e2a221dfebad75c2f7e";
- };
- }
- {
- name = "mocha___mocha_8.3.2.tgz";
- path = fetchurl {
- name = "mocha___mocha_8.3.2.tgz";
- url = "https://registry.yarnpkg.com/mocha/-/mocha-8.3.2.tgz";
- sha1 = "53406f195fa86fbdebe71f8b1c6fb23221d69fcc";
- };
- }
- {
- name = "ms___ms_2.0.0.tgz";
- path = fetchurl {
- name = "ms___ms_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/ms/-/ms-2.0.0.tgz";
- sha1 = "5608aeadfc00be6c2901df5f9861788de0d597c8";
- };
- }
- {
- name = "ms___ms_2.1.2.tgz";
- path = fetchurl {
- name = "ms___ms_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/ms/-/ms-2.1.2.tgz";
- sha1 = "d09d1f357b443f493382a8eb3ccd183872ae6009";
- };
- }
- {
- name = "ms___ms_2.1.3.tgz";
- path = fetchurl {
- name = "ms___ms_2.1.3.tgz";
- url = "https://registry.yarnpkg.com/ms/-/ms-2.1.3.tgz";
- sha1 = "574c8138ce1d2b5861f0b44579dbadd60c6615b2";
- };
- }
- {
- name = "nanoid___nanoid_3.1.20.tgz";
- path = fetchurl {
- name = "nanoid___nanoid_3.1.20.tgz";
- url = "https://registry.yarnpkg.com/nanoid/-/nanoid-3.1.20.tgz";
- sha1 = "badc263c6b1dcf14b71efaa85f6ab4c1d6cfc788";
- };
- }
- {
- name = "napi_build_utils___napi_build_utils_1.0.2.tgz";
- path = fetchurl {
- name = "napi_build_utils___napi_build_utils_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/napi-build-utils/-/napi-build-utils-1.0.2.tgz";
- sha1 = "b1fddc0b2c46e380a0b7a76f984dd47c41a13806";
- };
- }
- {
- name = "next_tick___next_tick_1.0.0.tgz";
- path = fetchurl {
- name = "next_tick___next_tick_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/next-tick/-/next-tick-1.0.0.tgz";
- sha1 = "ca86d1fe8828169b0120208e3dc8424b9db8342c";
- };
- }
- {
- name = "node_abi___node_abi_2.21.0.tgz";
- path = fetchurl {
- name = "node_abi___node_abi_2.21.0.tgz";
- url = "https://registry.yarnpkg.com/node-abi/-/node-abi-2.21.0.tgz";
- sha1 = "c2dc9ebad6f4f53d6ea9b531e7b8faad81041d48";
- };
- }
- {
- name = "node_addon_api___node_addon_api_3.1.0.tgz";
- path = fetchurl {
- name = "node_addon_api___node_addon_api_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/node-addon-api/-/node-addon-api-3.1.0.tgz";
- sha1 = "98b21931557466c6729e51cb77cd39c965f42239";
- };
- }
- {
- name = "node_cpplint___node_cpplint_0.4.0.tgz";
- path = fetchurl {
- name = "node_cpplint___node_cpplint_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/node-cpplint/-/node-cpplint-0.4.0.tgz";
- sha1 = "35827fe7b95ccceff0cd7f46ede4cba44b8ef88c";
- };
- }
- {
- name = "node_gyp___node_gyp_6.1.0.tgz";
- path = fetchurl {
- name = "node_gyp___node_gyp_6.1.0.tgz";
- url = "https://registry.yarnpkg.com/node-gyp/-/node-gyp-6.1.0.tgz";
- sha1 = "64e31c61a4695ad304c1d5b82cf6b7c79cc79f3f";
- };
- }
- {
- name = "node_gyp___node_gyp_7.1.2.tgz";
- path = fetchurl {
- name = "node_gyp___node_gyp_7.1.2.tgz";
- url = "https://registry.yarnpkg.com/node-gyp/-/node-gyp-7.1.2.tgz";
- sha1 = "21a810aebb187120251c3bcec979af1587b188ae";
- };
- }
- {
- name = "node_ninja___node_ninja_1.0.2.tgz";
- path = fetchurl {
- name = "node_ninja___node_ninja_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/node-ninja/-/node-ninja-1.0.2.tgz";
- sha1 = "20a09e57b92e2df591993d4bf098ac3e727062b6";
- };
- }
- {
- name = "noop_logger___noop_logger_0.1.1.tgz";
- path = fetchurl {
- name = "noop_logger___noop_logger_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/noop-logger/-/noop-logger-0.1.1.tgz";
- sha1 = "94a2b1633c4f1317553007d8966fd0e841b6a4c2";
- };
- }
- {
- name = "nopt___nopt_3.0.6.tgz";
- path = fetchurl {
- name = "nopt___nopt_3.0.6.tgz";
- url = "https://registry.yarnpkg.com/nopt/-/nopt-3.0.6.tgz";
- sha1 = "c6465dbf08abcd4db359317f79ac68a646b28ff9";
- };
- }
- {
- name = "nopt___nopt_4.0.3.tgz";
- path = fetchurl {
- name = "nopt___nopt_4.0.3.tgz";
- url = "https://registry.yarnpkg.com/nopt/-/nopt-4.0.3.tgz";
- sha1 = "a375cad9d02fd921278d954c2254d5aa57e15e48";
- };
- }
- {
- name = "nopt___nopt_5.0.0.tgz";
- path = fetchurl {
- name = "nopt___nopt_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/nopt/-/nopt-5.0.0.tgz";
- sha1 = "530942bb58a512fccafe53fe210f13a25355dc88";
- };
- }
- {
- name = "normalize_path___normalize_path_3.0.0.tgz";
- path = fetchurl {
- name = "normalize_path___normalize_path_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/normalize-path/-/normalize-path-3.0.0.tgz";
- sha1 = "0dcd69ff23a1c9b11fd0978316644a0388216a65";
- };
- }
- {
- name = "npm_path___npm_path_2.0.4.tgz";
- path = fetchurl {
- name = "npm_path___npm_path_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/npm-path/-/npm-path-2.0.4.tgz";
- sha1 = "c641347a5ff9d6a09e4d9bce5580c4f505278e64";
- };
- }
- {
- name = "npm_which___npm_which_3.0.1.tgz";
- path = fetchurl {
- name = "npm_which___npm_which_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/npm-which/-/npm-which-3.0.1.tgz";
- sha1 = "9225f26ec3a285c209cae67c3b11a6b4ab7140aa";
- };
- }
- {
- name = "npmlog___npmlog_2.0.4.tgz";
- path = fetchurl {
- name = "npmlog___npmlog_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/npmlog/-/npmlog-2.0.4.tgz";
- sha1 = "98b52530f2514ca90d09ec5b22c8846722375692";
- };
- }
- {
- name = "npmlog___npmlog_4.1.2.tgz";
- path = fetchurl {
- name = "npmlog___npmlog_4.1.2.tgz";
- url = "https://registry.yarnpkg.com/npmlog/-/npmlog-4.1.2.tgz";
- sha1 = "08a7f2a8bf734604779a9efa4ad5cc717abb954b";
- };
- }
- {
- name = "npmlog___npmlog_1.2.1.tgz";
- path = fetchurl {
- name = "npmlog___npmlog_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/npmlog/-/npmlog-1.2.1.tgz";
- sha1 = "28e7be619609b53f7ad1dd300a10d64d716268b6";
- };
- }
- {
- name = "number_is_nan___number_is_nan_1.0.1.tgz";
- path = fetchurl {
- name = "number_is_nan___number_is_nan_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/number-is-nan/-/number-is-nan-1.0.1.tgz";
- sha1 = "097b602b53422a522c1afb8790318336941a011d";
- };
- }
- {
- name = "nw_gyp___nw_gyp_3.6.5.tgz";
- path = fetchurl {
- name = "nw_gyp___nw_gyp_3.6.5.tgz";
- url = "https://registry.yarnpkg.com/nw-gyp/-/nw-gyp-3.6.5.tgz";
- sha1 = "ccce42182229e44e7689da70675b4b8dd0ab0ab3";
- };
- }
- {
- name = "oauth_sign___oauth_sign_0.9.0.tgz";
- path = fetchurl {
- name = "oauth_sign___oauth_sign_0.9.0.tgz";
- url = "https://registry.yarnpkg.com/oauth-sign/-/oauth-sign-0.9.0.tgz";
- sha1 = "47a7b016baa68b5fa0ecf3dee08a85c679ac6455";
- };
- }
- {
- name = "object_assign___object_assign_4.1.1.tgz";
- path = fetchurl {
- name = "object_assign___object_assign_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/object-assign/-/object-assign-4.1.1.tgz";
- sha1 = "2109adc7965887cfc05cbbd442cac8bfbb360863";
- };
- }
- {
- name = "once___once_1.4.0.tgz";
- path = fetchurl {
- name = "once___once_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/once/-/once-1.4.0.tgz";
- sha1 = "583b1aa775961d4b113ac17d9c50baef9dd76bd1";
- };
- }
- {
- name = "os_homedir___os_homedir_1.0.2.tgz";
- path = fetchurl {
- name = "os_homedir___os_homedir_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/os-homedir/-/os-homedir-1.0.2.tgz";
- sha1 = "ffbc4988336e0e833de0c168c7ef152121aa7fb3";
- };
- }
- {
- name = "os_locale___os_locale_1.4.0.tgz";
- path = fetchurl {
- name = "os_locale___os_locale_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/os-locale/-/os-locale-1.4.0.tgz";
- sha1 = "20f9f17ae29ed345e8bde583b13d2009803c14d9";
- };
- }
- {
- name = "os_tmpdir___os_tmpdir_1.0.2.tgz";
- path = fetchurl {
- name = "os_tmpdir___os_tmpdir_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/os-tmpdir/-/os-tmpdir-1.0.2.tgz";
- sha1 = "bbe67406c79aa85c5cfec766fe5734555dfa1274";
- };
- }
- {
- name = "osenv___osenv_0.1.5.tgz";
- path = fetchurl {
- name = "osenv___osenv_0.1.5.tgz";
- url = "https://registry.yarnpkg.com/osenv/-/osenv-0.1.5.tgz";
- sha1 = "85cdfafaeb28e8677f416e287592b5f3f49ea410";
- };
- }
- {
- name = "p_limit___p_limit_3.1.0.tgz";
- path = fetchurl {
- name = "p_limit___p_limit_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/p-limit/-/p-limit-3.1.0.tgz";
- sha1 = "e1daccbe78d0d1388ca18c64fea38e3e57e3706b";
- };
- }
- {
- name = "p_locate___p_locate_5.0.0.tgz";
- path = fetchurl {
- name = "p_locate___p_locate_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/p-locate/-/p-locate-5.0.0.tgz";
- sha1 = "83c8315c6785005e3bd021839411c9e110e6d834";
- };
- }
- {
- name = "path_array___path_array_1.0.1.tgz";
- path = fetchurl {
- name = "path_array___path_array_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/path-array/-/path-array-1.0.1.tgz";
- sha1 = "7e2f0f35f07a2015122b868b7eac0eb2c4fec271";
- };
- }
- {
- name = "path_exists___path_exists_4.0.0.tgz";
- path = fetchurl {
- name = "path_exists___path_exists_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/path-exists/-/path-exists-4.0.0.tgz";
- sha1 = "513bdbe2d3b95d7762e8c1137efa195c6c61b5b3";
- };
- }
- {
- name = "path_is_absolute___path_is_absolute_1.0.1.tgz";
- path = fetchurl {
- name = "path_is_absolute___path_is_absolute_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/path-is-absolute/-/path-is-absolute-1.0.1.tgz";
- sha1 = "174b9268735534ffbc7ace6bf53a5a9e1b5c5f5f";
- };
- }
- {
- name = "pathval___pathval_1.1.1.tgz";
- path = fetchurl {
- name = "pathval___pathval_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/pathval/-/pathval-1.1.1.tgz";
- sha1 = "8534e77a77ce7ac5a2512ea21e0fdb8fcf6c3d8d";
- };
- }
- {
- name = "performance_now___performance_now_2.1.0.tgz";
- path = fetchurl {
- name = "performance_now___performance_now_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/performance-now/-/performance-now-2.1.0.tgz";
- sha1 = "6309f4e0e5fa913ec1c69307ae364b4b377c9e7b";
- };
- }
- {
- name = "picomatch___picomatch_2.2.2.tgz";
- path = fetchurl {
- name = "picomatch___picomatch_2.2.2.tgz";
- url = "https://registry.yarnpkg.com/picomatch/-/picomatch-2.2.2.tgz";
- sha1 = "21f333e9b6b8eaff02468f5146ea406d345f4dad";
- };
- }
- {
- name = "prebuild_install___prebuild_install_6.1.1.tgz";
- path = fetchurl {
- name = "prebuild_install___prebuild_install_6.1.1.tgz";
- url = "https://registry.yarnpkg.com/prebuild-install/-/prebuild-install-6.1.1.tgz";
- sha1 = "6754fa6c0d55eced7f9e14408ff9e4cba6f097b4";
- };
- }
- {
- name = "prebuild___prebuild_10.0.1.tgz";
- path = fetchurl {
- name = "prebuild___prebuild_10.0.1.tgz";
- url = "https://registry.yarnpkg.com/prebuild/-/prebuild-10.0.1.tgz";
- sha1 = "9d46a00f42b60ad1718479cc5e3d1ef4882b7f33";
- };
- }
- {
- name = "private___private_0.1.8.tgz";
- path = fetchurl {
- name = "private___private_0.1.8.tgz";
- url = "https://registry.yarnpkg.com/private/-/private-0.1.8.tgz";
- sha1 = "2381edb3689f7a53d653190060fcf822d2f368ff";
- };
- }
- {
- name = "process_nextick_args___process_nextick_args_1.0.7.tgz";
- path = fetchurl {
- name = "process_nextick_args___process_nextick_args_1.0.7.tgz";
- url = "https://registry.yarnpkg.com/process-nextick-args/-/process-nextick-args-1.0.7.tgz";
- sha1 = "150e20b756590ad3f91093f25a4f2ad8bff30ba3";
- };
- }
- {
- name = "psl___psl_1.1.29.tgz";
- path = fetchurl {
- name = "psl___psl_1.1.29.tgz";
- url = "https://registry.yarnpkg.com/psl/-/psl-1.1.29.tgz";
- sha1 = "60f580d360170bb722a797cc704411e6da850c67";
- };
- }
- {
- name = "pump___pump_3.0.0.tgz";
- path = fetchurl {
- name = "pump___pump_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/pump/-/pump-3.0.0.tgz";
- sha1 = "b4a2116815bde2f4e1ea602354e8c75565107a64";
- };
- }
- {
- name = "punycode___punycode_2.1.1.tgz";
- path = fetchurl {
- name = "punycode___punycode_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/punycode/-/punycode-2.1.1.tgz";
- sha1 = "b58b010ac40c22c5657616c8d2c2c02c7bf479ec";
- };
- }
- {
- name = "qs___qs_6.5.2.tgz";
- path = fetchurl {
- name = "qs___qs_6.5.2.tgz";
- url = "https://registry.yarnpkg.com/qs/-/qs-6.5.2.tgz";
- sha1 = "cb3ae806e8740444584ef154ce8ee98d403f3e36";
- };
- }
- {
- name = "randombytes___randombytes_2.1.0.tgz";
- path = fetchurl {
- name = "randombytes___randombytes_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/randombytes/-/randombytes-2.1.0.tgz";
- sha1 = "df6f84372f0270dc65cdf6291349ab7a473d4f2a";
- };
- }
- {
- name = "rc___rc_1.2.8.tgz";
- path = fetchurl {
- name = "rc___rc_1.2.8.tgz";
- url = "https://registry.yarnpkg.com/rc/-/rc-1.2.8.tgz";
- sha1 = "cd924bf5200a075b83c188cd6b9e211b7fc0d3ed";
- };
- }
- {
- name = "readable_stream___readable_stream_1.0.34.tgz";
- path = fetchurl {
- name = "readable_stream___readable_stream_1.0.34.tgz";
- url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-1.0.34.tgz";
- sha1 = "125820e34bc842d2f2aaafafe4c2916ee32c157c";
- };
- }
- {
- name = "readable_stream___readable_stream_2.3.3.tgz";
- path = fetchurl {
- name = "readable_stream___readable_stream_2.3.3.tgz";
- url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-2.3.3.tgz";
- sha1 = "368f2512d79f9d46fdfc71349ae7878bbc1eb95c";
- };
- }
- {
- name = "readable_stream___readable_stream_3.6.0.tgz";
- path = fetchurl {
- name = "readable_stream___readable_stream_3.6.0.tgz";
- url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-3.6.0.tgz";
- sha1 = "337bbda3adc0706bd3e024426a286d4b4b2c9198";
- };
- }
- {
- name = "readable_stream___readable_stream_1.1.14.tgz";
- path = fetchurl {
- name = "readable_stream___readable_stream_1.1.14.tgz";
- url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-1.1.14.tgz";
- sha1 = "7cf4c54ef648e3813084c636dd2079e166c081d9";
- };
- }
- {
- name = "readable_stream___readable_stream_2.1.5.tgz";
- path = fetchurl {
- name = "readable_stream___readable_stream_2.1.5.tgz";
- url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-2.1.5.tgz";
- sha1 = "66fa8b720e1438b364681f2ad1a63c618448c9d0";
- };
- }
- {
- name = "readdirp___readdirp_3.5.0.tgz";
- path = fetchurl {
- name = "readdirp___readdirp_3.5.0.tgz";
- url = "https://registry.yarnpkg.com/readdirp/-/readdirp-3.5.0.tgz";
- sha1 = "9ba74c019b15d365278d2e91bb8c48d7b4d42c9e";
- };
- }
- {
- name = "regenerator_runtime___regenerator_runtime_0.10.5.tgz";
- path = fetchurl {
- name = "regenerator_runtime___regenerator_runtime_0.10.5.tgz";
- url = "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.10.5.tgz";
- sha1 = "336c3efc1220adcedda2c9fab67b5a7955a33658";
- };
- }
- {
- name = "regenerator_runtime___regenerator_runtime_0.11.1.tgz";
- path = fetchurl {
- name = "regenerator_runtime___regenerator_runtime_0.11.1.tgz";
- url = "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.11.1.tgz";
- sha1 = "be05ad7f9bf7d22e056f9726cee5017fbf19e2e9";
- };
- }
- {
- name = "repeating___repeating_2.0.1.tgz";
- path = fetchurl {
- name = "repeating___repeating_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/repeating/-/repeating-2.0.1.tgz";
- sha1 = "5214c53a926d3552707527fbab415dbc08d06dda";
- };
- }
- {
- name = "request___request_2.88.2.tgz";
- path = fetchurl {
- name = "request___request_2.88.2.tgz";
- url = "https://registry.yarnpkg.com/request/-/request-2.88.2.tgz";
- sha1 = "d73c918731cb5a87da047e207234146f664d12b3";
- };
- }
- {
- name = "require_directory___require_directory_2.1.1.tgz";
- path = fetchurl {
- name = "require_directory___require_directory_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/require-directory/-/require-directory-2.1.1.tgz";
- sha1 = "8c64ad5fd30dab1c976e2344ffe7f792a6a6df42";
- };
- }
- {
- name = "rimraf___rimraf_2.7.1.tgz";
- path = fetchurl {
- name = "rimraf___rimraf_2.7.1.tgz";
- url = "https://registry.yarnpkg.com/rimraf/-/rimraf-2.7.1.tgz";
- sha1 = "35797f13a7fdadc566142c29d4f07ccad483e3ec";
- };
- }
- {
- name = "rimraf___rimraf_3.0.2.tgz";
- path = fetchurl {
- name = "rimraf___rimraf_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/rimraf/-/rimraf-3.0.2.tgz";
- sha1 = "f1a5402ba6220ad52cc1282bac1ae3aa49fd061a";
- };
- }
- {
- name = "rsvp___rsvp_3.6.2.tgz";
- path = fetchurl {
- name = "rsvp___rsvp_3.6.2.tgz";
- url = "https://registry.yarnpkg.com/rsvp/-/rsvp-3.6.2.tgz";
- sha1 = "2e96491599a96cde1b515d5674a8f7a91452926a";
- };
- }
- {
- name = "run_waterfall___run_waterfall_1.1.7.tgz";
- path = fetchurl {
- name = "run_waterfall___run_waterfall_1.1.7.tgz";
- url = "https://registry.yarnpkg.com/run-waterfall/-/run-waterfall-1.1.7.tgz";
- sha1 = "ae368b549b2f5171f86c2924492cab3352a6e9c5";
- };
- }
- {
- name = "safe_buffer___safe_buffer_5.1.1.tgz";
- path = fetchurl {
- name = "safe_buffer___safe_buffer_5.1.1.tgz";
- url = "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.1.1.tgz";
- sha1 = "893312af69b2123def71f57889001671eeb2c853";
- };
- }
- {
- name = "safe_buffer___safe_buffer_5.2.1.tgz";
- path = fetchurl {
- name = "safe_buffer___safe_buffer_5.2.1.tgz";
- url = "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.2.1.tgz";
- sha1 = "1eaf9fa9bdb1fdd4ec75f58f9cdb4e6b7827eec6";
- };
- }
- {
- name = "safer_buffer___safer_buffer_2.1.2.tgz";
- path = fetchurl {
- name = "safer_buffer___safer_buffer_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/safer-buffer/-/safer-buffer-2.1.2.tgz";
- sha1 = "44fa161b0187b9549dd84bb91802f9bd8385cd6a";
- };
- }
- {
- name = "semver___semver_5.7.1.tgz";
- path = fetchurl {
- name = "semver___semver_5.7.1.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-5.7.1.tgz";
- sha1 = "a954f931aeba508d307bbf069eff0c01c96116f7";
- };
- }
- {
- name = "semver___semver_4.3.6.tgz";
- path = fetchurl {
- name = "semver___semver_4.3.6.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-4.3.6.tgz";
- sha1 = "300bc6e0e86374f7ba61068b5b1ecd57fc6532da";
- };
- }
- {
- name = "semver___semver_7.3.2.tgz";
- path = fetchurl {
- name = "semver___semver_7.3.2.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-7.3.2.tgz";
- sha1 = "604962b052b81ed0786aae84389ffba70ffd3938";
- };
- }
- {
- name = "semver___semver_5.3.0.tgz";
- path = fetchurl {
- name = "semver___semver_5.3.0.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-5.3.0.tgz";
- sha1 = "9b2ce5d3de02d17c6012ad326aa6b4d0cf54f94f";
- };
- }
- {
- name = "serialize_javascript___serialize_javascript_5.0.1.tgz";
- path = fetchurl {
- name = "serialize_javascript___serialize_javascript_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/serialize-javascript/-/serialize-javascript-5.0.1.tgz";
- sha1 = "7886ec848049a462467a97d3d918ebb2aaf934f4";
- };
- }
- {
- name = "set_blocking___set_blocking_2.0.0.tgz";
- path = fetchurl {
- name = "set_blocking___set_blocking_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/set-blocking/-/set-blocking-2.0.0.tgz";
- sha1 = "045f9782d011ae9a6803ddd382b24392b3d890f7";
- };
- }
- {
- name = "setimmediate___setimmediate_1.0.5.tgz";
- path = fetchurl {
- name = "setimmediate___setimmediate_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/setimmediate/-/setimmediate-1.0.5.tgz";
- sha1 = "290cbb232e306942d7d7ea9b83732ab7856f8285";
- };
- }
- {
- name = "signal_exit___signal_exit_3.0.2.tgz";
- path = fetchurl {
- name = "signal_exit___signal_exit_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/signal-exit/-/signal-exit-3.0.2.tgz";
- sha1 = "b5fdc08f1287ea1178628e415e25132b73646c6d";
- };
- }
- {
- name = "simple_concat___simple_concat_1.0.1.tgz";
- path = fetchurl {
- name = "simple_concat___simple_concat_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/simple-concat/-/simple-concat-1.0.1.tgz";
- sha1 = "f46976082ba35c2263f1c8ab5edfe26c41c9552f";
- };
- }
- {
- name = "simple_get___simple_get_3.1.0.tgz";
- path = fetchurl {
- name = "simple_get___simple_get_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/simple-get/-/simple-get-3.1.0.tgz";
- sha1 = "b45be062435e50d159540b576202ceec40b9c6b3";
- };
- }
- {
- name = "simple_mime___simple_mime_0.1.0.tgz";
- path = fetchurl {
- name = "simple_mime___simple_mime_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/simple-mime/-/simple-mime-0.1.0.tgz";
- sha1 = "95f517c4f466d7cff561a71fc9dab2596ea9ef2e";
- };
- }
- {
- name = "slash___slash_1.0.0.tgz";
- path = fetchurl {
- name = "slash___slash_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/slash/-/slash-1.0.0.tgz";
- sha1 = "c41f2f6c39fc16d1cd17ad4b5d896114ae470d55";
- };
- }
- {
- name = "source_map_support___source_map_support_0.4.18.tgz";
- path = fetchurl {
- name = "source_map_support___source_map_support_0.4.18.tgz";
- url = "https://registry.yarnpkg.com/source-map-support/-/source-map-support-0.4.18.tgz";
- sha1 = "0286a6de8be42641338594e97ccea75f0a2c585f";
- };
- }
- {
- name = "source_map_support___source_map_support_0.2.10.tgz";
- path = fetchurl {
- name = "source_map_support___source_map_support_0.2.10.tgz";
- url = "https://registry.yarnpkg.com/source-map-support/-/source-map-support-0.2.10.tgz";
- sha1 = "ea5a3900a1c1cb25096a0ae8cc5c2b4b10ded3dc";
- };
- }
- {
- name = "source_map___source_map_0.1.32.tgz";
- path = fetchurl {
- name = "source_map___source_map_0.1.32.tgz";
- url = "https://registry.yarnpkg.com/source-map/-/source-map-0.1.32.tgz";
- sha1 = "c8b6c167797ba4740a8ea33252162ff08591b266";
- };
- }
- {
- name = "source_map___source_map_0.5.7.tgz";
- path = fetchurl {
- name = "source_map___source_map_0.5.7.tgz";
- url = "https://registry.yarnpkg.com/source-map/-/source-map-0.5.7.tgz";
- sha1 = "8a039d2d1021d22d1ea14c80d8ea468ba2ef3fcc";
- };
- }
- {
- name = "splitargs___splitargs_0.0.7.tgz";
- path = fetchurl {
- name = "splitargs___splitargs_0.0.7.tgz";
- url = "https://registry.yarnpkg.com/splitargs/-/splitargs-0.0.7.tgz";
- sha1 = "fe9f7ae657371b33b10cb80da143cf8249cf6b3b";
- };
- }
- {
- name = "sshpk___sshpk_1.14.2.tgz";
- path = fetchurl {
- name = "sshpk___sshpk_1.14.2.tgz";
- url = "https://registry.yarnpkg.com/sshpk/-/sshpk-1.14.2.tgz";
- sha1 = "c6fc61648a3d9c4e764fd3fcdf4ea105e492ba98";
- };
- }
- {
- name = "string_width___string_width_1.0.2.tgz";
- path = fetchurl {
- name = "string_width___string_width_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/string-width/-/string-width-1.0.2.tgz";
- sha1 = "118bdf5b8cdc51a2a7e70d211e07e2b0b9b107d3";
- };
- }
- {
- name = "string_width___string_width_4.2.2.tgz";
- path = fetchurl {
- name = "string_width___string_width_4.2.2.tgz";
- url = "https://registry.yarnpkg.com/string-width/-/string-width-4.2.2.tgz";
- sha1 = "dafd4f9559a7585cfba529c6a0a4f73488ebd4c5";
- };
- }
- {
- name = "string_decoder___string_decoder_1.3.0.tgz";
- path = fetchurl {
- name = "string_decoder___string_decoder_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/string_decoder/-/string_decoder-1.3.0.tgz";
- sha1 = "42f114594a46cf1a8e30b0a84f56c78c3edac21e";
- };
- }
- {
- name = "string_decoder___string_decoder_0.10.31.tgz";
- path = fetchurl {
- name = "string_decoder___string_decoder_0.10.31.tgz";
- url = "https://registry.yarnpkg.com/string_decoder/-/string_decoder-0.10.31.tgz";
- sha1 = "62e203bc41766c6c28c9fc84301dab1c5310fa94";
- };
- }
- {
- name = "string_decoder___string_decoder_1.0.3.tgz";
- path = fetchurl {
- name = "string_decoder___string_decoder_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/string_decoder/-/string_decoder-1.0.3.tgz";
- sha1 = "0fc67d7c141825de94282dd536bec6b9bce860ab";
- };
- }
- {
- name = "strip_ansi___strip_ansi_3.0.1.tgz";
- path = fetchurl {
- name = "strip_ansi___strip_ansi_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-3.0.1.tgz";
- sha1 = "6a385fb8853d952d5ff05d0e8aaf94278dc63dcf";
- };
- }
- {
- name = "strip_ansi___strip_ansi_6.0.0.tgz";
- path = fetchurl {
- name = "strip_ansi___strip_ansi_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-6.0.0.tgz";
- sha1 = "0b1571dd7669ccd4f3e06e14ef1eed26225ae532";
- };
- }
- {
- name = "strip_json_comments___strip_json_comments_3.1.1.tgz";
- path = fetchurl {
- name = "strip_json_comments___strip_json_comments_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/strip-json-comments/-/strip-json-comments-3.1.1.tgz";
- sha1 = "31f1281b3832630434831c310c01cccda8cbe006";
- };
- }
- {
- name = "strip_json_comments___strip_json_comments_2.0.1.tgz";
- path = fetchurl {
- name = "strip_json_comments___strip_json_comments_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/strip-json-comments/-/strip-json-comments-2.0.1.tgz";
- sha1 = "3c531942e908c2697c0ec344858c286c7ca0a60a";
- };
- }
- {
- name = "supports_color___supports_color_8.1.1.tgz";
- path = fetchurl {
- name = "supports_color___supports_color_8.1.1.tgz";
- url = "https://registry.yarnpkg.com/supports-color/-/supports-color-8.1.1.tgz";
- sha1 = "cd6fc17e28500cff56c1b86c0a7fd4a54a73005c";
- };
- }
- {
- name = "supports_color___supports_color_2.0.0.tgz";
- path = fetchurl {
- name = "supports_color___supports_color_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/supports-color/-/supports-color-2.0.0.tgz";
- sha1 = "535d045ce6b6363fa40117084629995e9df324c7";
- };
- }
- {
- name = "supports_color___supports_color_7.2.0.tgz";
- path = fetchurl {
- name = "supports_color___supports_color_7.2.0.tgz";
- url = "https://registry.yarnpkg.com/supports-color/-/supports-color-7.2.0.tgz";
- sha1 = "1b7dcdcb32b8138801b3e478ba6a51caa89648da";
- };
- }
- {
- name = "tar_fs___tar_fs_2.1.1.tgz";
- path = fetchurl {
- name = "tar_fs___tar_fs_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/tar-fs/-/tar-fs-2.1.1.tgz";
- sha1 = "489a15ab85f1f0befabb370b7de4f9eb5cbe8784";
- };
- }
- {
- name = "tar_stream___tar_stream_2.1.4.tgz";
- path = fetchurl {
- name = "tar_stream___tar_stream_2.1.4.tgz";
- url = "https://registry.yarnpkg.com/tar-stream/-/tar-stream-2.1.4.tgz";
- sha1 = "c4fb1a11eb0da29b893a5b25476397ba2d053bfa";
- };
- }
- {
- name = "tar___tar_2.2.2.tgz";
- path = fetchurl {
- name = "tar___tar_2.2.2.tgz";
- url = "https://registry.yarnpkg.com/tar/-/tar-2.2.2.tgz";
- sha1 = "0ca8848562c7299b8b446ff6a4d60cdbb23edc40";
- };
- }
- {
- name = "tar___tar_4.4.13.tgz";
- path = fetchurl {
- name = "tar___tar_4.4.13.tgz";
- url = "https://registry.yarnpkg.com/tar/-/tar-4.4.13.tgz";
- sha1 = "43b364bc52888d555298637b10d60790254ab525";
- };
- }
- {
- name = "tar___tar_6.0.5.tgz";
- path = fetchurl {
- name = "tar___tar_6.0.5.tgz";
- url = "https://registry.yarnpkg.com/tar/-/tar-6.0.5.tgz";
- sha1 = "bde815086e10b39f1dcd298e89d596e1535e200f";
- };
- }
- {
- name = "through2___through2_0.6.5.tgz";
- path = fetchurl {
- name = "through2___through2_0.6.5.tgz";
- url = "https://registry.yarnpkg.com/through2/-/through2-0.6.5.tgz";
- sha1 = "41ab9c67b29d57209071410e1d7a7a968cd3ad48";
- };
- }
- {
- name = "to_fast_properties___to_fast_properties_1.0.3.tgz";
- path = fetchurl {
- name = "to_fast_properties___to_fast_properties_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/to-fast-properties/-/to-fast-properties-1.0.3.tgz";
- sha1 = "b83571fa4d8c25b82e231b06e3a3055de4ca1a47";
- };
- }
- {
- name = "to_regex_range___to_regex_range_5.0.1.tgz";
- path = fetchurl {
- name = "to_regex_range___to_regex_range_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/to-regex-range/-/to-regex-range-5.0.1.tgz";
- sha1 = "1648c44aae7c8d988a326018ed72f5b4dd0392e4";
- };
- }
- {
- name = "tough_cookie___tough_cookie_2.5.0.tgz";
- path = fetchurl {
- name = "tough_cookie___tough_cookie_2.5.0.tgz";
- url = "https://registry.yarnpkg.com/tough-cookie/-/tough-cookie-2.5.0.tgz";
- sha1 = "cd9fb2a0aa1d5a12b473bd9fb96fa3dcff65ade2";
- };
- }
- {
- name = "traceur___traceur_0.0.111.tgz";
- path = fetchurl {
- name = "traceur___traceur_0.0.111.tgz";
- url = "https://registry.yarnpkg.com/traceur/-/traceur-0.0.111.tgz";
- sha1 = "c04de74d14696c3373427de4fc08ecaf913fc3a1";
- };
- }
- {
- name = "traverse___traverse_0.3.9.tgz";
- path = fetchurl {
- name = "traverse___traverse_0.3.9.tgz";
- url = "https://registry.yarnpkg.com/traverse/-/traverse-0.3.9.tgz";
- sha1 = "717b8f220cc0bb7b44e40514c22b2e8bbc70d8b9";
- };
- }
- {
- name = "trim_right___trim_right_1.0.1.tgz";
- path = fetchurl {
- name = "trim_right___trim_right_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/trim-right/-/trim-right-1.0.1.tgz";
- sha1 = "cb2e1203067e0c8de1f614094b9fe45704ea6003";
- };
- }
- {
- name = "tunnel_agent___tunnel_agent_0.6.0.tgz";
- path = fetchurl {
- name = "tunnel_agent___tunnel_agent_0.6.0.tgz";
- url = "https://registry.yarnpkg.com/tunnel-agent/-/tunnel-agent-0.6.0.tgz";
- sha1 = "27a5dea06b36b04a0a9966774b290868f0fc40fd";
- };
- }
- {
- name = "tweetnacl___tweetnacl_0.14.5.tgz";
- path = fetchurl {
- name = "tweetnacl___tweetnacl_0.14.5.tgz";
- url = "https://registry.yarnpkg.com/tweetnacl/-/tweetnacl-0.14.5.tgz";
- sha1 = "5ae68177f192d4456269d108afa93ff8743f4f64";
- };
- }
- {
- name = "type_detect___type_detect_4.0.8.tgz";
- path = fetchurl {
- name = "type_detect___type_detect_4.0.8.tgz";
- url = "https://registry.yarnpkg.com/type-detect/-/type-detect-4.0.8.tgz";
- sha1 = "7646fb5f18871cfbb7749e69bd39a6388eb7450c";
- };
- }
- {
- name = "type___type_1.2.0.tgz";
- path = fetchurl {
- name = "type___type_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/type/-/type-1.2.0.tgz";
- sha1 = "848dd7698dafa3e54a6c479e759c4bc3f18847a0";
- };
- }
- {
- name = "type___type_2.1.0.tgz";
- path = fetchurl {
- name = "type___type_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/type/-/type-2.1.0.tgz";
- sha1 = "9bdc22c648cf8cf86dd23d32336a41cfb6475e3f";
- };
- }
- {
- name = "universalify___universalify_0.1.2.tgz";
- path = fetchurl {
- name = "universalify___universalify_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/universalify/-/universalify-0.1.2.tgz";
- sha1 = "b646f69be3942dabcecc9d6639c80dc105efaa66";
- };
- }
- {
- name = "unzipper___unzipper_0.8.14.tgz";
- path = fetchurl {
- name = "unzipper___unzipper_0.8.14.tgz";
- url = "https://registry.yarnpkg.com/unzipper/-/unzipper-0.8.14.tgz";
- sha1 = "ade0524cd2fc14d11b8de258be22f9d247d3f79b";
- };
- }
- {
- name = "uri_js___uri_js_4.2.2.tgz";
- path = fetchurl {
- name = "uri_js___uri_js_4.2.2.tgz";
- url = "https://registry.yarnpkg.com/uri-js/-/uri-js-4.2.2.tgz";
- sha1 = "94c540e1ff772956e2299507c010aea6c8838eb0";
- };
- }
- {
- name = "url_join___url_join_0.0.1.tgz";
- path = fetchurl {
- name = "url_join___url_join_0.0.1.tgz";
- url = "https://registry.yarnpkg.com/url-join/-/url-join-0.0.1.tgz";
- sha1 = "1db48ad422d3402469a87f7d97bdebfe4fb1e3c8";
- };
- }
- {
- name = "url_template___url_template_2.0.8.tgz";
- path = fetchurl {
- name = "url_template___url_template_2.0.8.tgz";
- url = "https://registry.yarnpkg.com/url-template/-/url-template-2.0.8.tgz";
- sha1 = "fc565a3cccbff7730c775f5641f9555791439f21";
- };
- }
- {
- name = "util_deprecate___util_deprecate_1.0.2.tgz";
- path = fetchurl {
- name = "util_deprecate___util_deprecate_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/util-deprecate/-/util-deprecate-1.0.2.tgz";
- sha1 = "450d4dc9fa70de732762fbd2d4a28981419a0ccf";
- };
- }
- {
- name = "util_extend___util_extend_1.0.3.tgz";
- path = fetchurl {
- name = "util_extend___util_extend_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/util-extend/-/util-extend-1.0.3.tgz";
- sha1 = "a7c216d267545169637b3b6edc6ca9119e2ff93f";
- };
- }
- {
- name = "uuid___uuid_3.4.0.tgz";
- path = fetchurl {
- name = "uuid___uuid_3.4.0.tgz";
- url = "https://registry.yarnpkg.com/uuid/-/uuid-3.4.0.tgz";
- sha1 = "b23e4358afa8a202fe7a100af1f5f883f02007ee";
- };
- }
- {
- name = "verror___verror_1.3.6.tgz";
- path = fetchurl {
- name = "verror___verror_1.3.6.tgz";
- url = "https://registry.yarnpkg.com/verror/-/verror-1.3.6.tgz";
- sha1 = "cff5df12946d297d2baaefaa2689e25be01c005c";
- };
- }
- {
- name = "which___which_1.3.1.tgz";
- path = fetchurl {
- name = "which___which_1.3.1.tgz";
- url = "https://registry.yarnpkg.com/which/-/which-1.3.1.tgz";
- sha1 = "a45043d54f5805316da8d62f9f50918d3da70b0a";
- };
- }
- {
- name = "which___which_2.0.2.tgz";
- path = fetchurl {
- name = "which___which_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/which/-/which-2.0.2.tgz";
- sha1 = "7c6a8dd0a636a0327e10b59c9286eee93f3f51b1";
- };
- }
- {
- name = "wide_align___wide_align_1.1.3.tgz";
- path = fetchurl {
- name = "wide_align___wide_align_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/wide-align/-/wide-align-1.1.3.tgz";
- sha1 = "ae074e6bdc0c14a431e804e624549c633b000457";
- };
- }
- {
- name = "wide_align___wide_align_1.1.2.tgz";
- path = fetchurl {
- name = "wide_align___wide_align_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/wide-align/-/wide-align-1.1.2.tgz";
- sha1 = "571e0f1b0604636ebc0dfc21b0339bbe31341710";
- };
- }
- {
- name = "window_size___window_size_0.1.4.tgz";
- path = fetchurl {
- name = "window_size___window_size_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/window-size/-/window-size-0.1.4.tgz";
- sha1 = "f8e1aa1ee5a53ec5bf151ffa09742a6ad7697876";
- };
- }
- {
- name = "workerpool___workerpool_6.1.0.tgz";
- path = fetchurl {
- name = "workerpool___workerpool_6.1.0.tgz";
- url = "https://registry.yarnpkg.com/workerpool/-/workerpool-6.1.0.tgz";
- sha1 = "a8e038b4c94569596852de7a8ea4228eefdeb37b";
- };
- }
- {
- name = "wrap_ansi___wrap_ansi_2.1.0.tgz";
- path = fetchurl {
- name = "wrap_ansi___wrap_ansi_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/wrap-ansi/-/wrap-ansi-2.1.0.tgz";
- sha1 = "d8fc3d284dd05794fe84973caecdd1cf824fdd85";
- };
- }
- {
- name = "wrap_ansi___wrap_ansi_7.0.0.tgz";
- path = fetchurl {
- name = "wrap_ansi___wrap_ansi_7.0.0.tgz";
- url = "https://registry.yarnpkg.com/wrap-ansi/-/wrap-ansi-7.0.0.tgz";
- sha1 = "67e145cff510a6a6984bdf1152911d69d2eb9e43";
- };
- }
- {
- name = "wrappy___wrappy_1.0.2.tgz";
- path = fetchurl {
- name = "wrappy___wrappy_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/wrappy/-/wrappy-1.0.2.tgz";
- sha1 = "b5243d8f3ec1aa35f1364605bc0d1036e30ab69f";
- };
- }
- {
- name = "xtend___xtend_4.0.2.tgz";
- path = fetchurl {
- name = "xtend___xtend_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/xtend/-/xtend-4.0.2.tgz";
- sha1 = "bb72779f5fa465186b1f438f674fa347fdb5db54";
- };
- }
- {
- name = "y18n___y18n_3.2.2.tgz";
- path = fetchurl {
- name = "y18n___y18n_3.2.2.tgz";
- url = "https://registry.yarnpkg.com/y18n/-/y18n-3.2.2.tgz";
- sha1 = "85c901bd6470ce71fc4bb723ad209b70f7f28696";
- };
- }
- {
- name = "y18n___y18n_5.0.5.tgz";
- path = fetchurl {
- name = "y18n___y18n_5.0.5.tgz";
- url = "https://registry.yarnpkg.com/y18n/-/y18n-5.0.5.tgz";
- sha1 = "8769ec08d03b1ea2df2500acef561743bbb9ab18";
- };
- }
- {
- name = "yallist___yallist_3.1.1.tgz";
- path = fetchurl {
- name = "yallist___yallist_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/yallist/-/yallist-3.1.1.tgz";
- sha1 = "dbb7daf9bfd8bac9ab45ebf602b8cbad0d5d08fd";
- };
- }
- {
- name = "yallist___yallist_4.0.0.tgz";
- path = fetchurl {
- name = "yallist___yallist_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/yallist/-/yallist-4.0.0.tgz";
- sha1 = "9bb92790d9c0effec63be73519e11a35019a3a72";
- };
- }
- {
- name = "yargs_parser___yargs_parser_20.2.4.tgz";
- path = fetchurl {
- name = "yargs_parser___yargs_parser_20.2.4.tgz";
- url = "https://registry.yarnpkg.com/yargs-parser/-/yargs-parser-20.2.4.tgz";
- sha1 = "b42890f14566796f85ae8e3a25290d205f154a54";
- };
- }
- {
- name = "yargs_unparser___yargs_unparser_2.0.0.tgz";
- path = fetchurl {
- name = "yargs_unparser___yargs_unparser_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/yargs-unparser/-/yargs-unparser-2.0.0.tgz";
- sha1 = "f131f9226911ae5d9ad38c432fe809366c2325eb";
- };
- }
- {
- name = "yargs___yargs_16.2.0.tgz";
- path = fetchurl {
- name = "yargs___yargs_16.2.0.tgz";
- url = "https://registry.yarnpkg.com/yargs/-/yargs-16.2.0.tgz";
- sha1 = "1c82bf0f6b6a66eafce7ef30e376f49a12477f66";
- };
- }
- {
- name = "yargs___yargs_3.32.0.tgz";
- path = fetchurl {
- name = "yargs___yargs_3.32.0.tgz";
- url = "https://registry.yarnpkg.com/yargs/-/yargs-3.32.0.tgz";
- sha1 = "03088e9ebf9e756b69751611d2a5ef591482c995";
- };
- }
- {
- name = "yocto_queue___yocto_queue_0.1.0.tgz";
- path = fetchurl {
- name = "yocto_queue___yocto_queue_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/yocto-queue/-/yocto-queue-0.1.0.tgz";
- sha1 = "0294eb3dee05028d31ee1a5fa2c556a6aaf10a1b";
- };
- }
- ];
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/pin.json b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/pin.json
new file mode 100644
index 00000000000..a85ce6f8789
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/pin.json
@@ -0,0 +1,6 @@
+{
+ "version": "1.9.2",
+ "desktopSrcHash": "F1uyyBbs+U7tQzRtn+p923Z/BY8Nwxr/JTMYwsak8W8=",
+ "desktopYarnHash": "0iwbszhaxaxggymixljzjb2gqrsij67fwakxhd3yj9g1zds49ghh",
+ "webHash": "1d9kdj65yk86hx087x1p0qkm0cffaqkwgwzl74g11g264szz8ja2"
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/default.nix
index ae9dd96228b..ff3b2ba9134 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/default.nix
@@ -1,14 +1,17 @@
-{ lib, stdenv, rustPlatform, fetchFromGitHub, callPackage, sqlcipher, nodejs-14_x, python3, yarn, fixup_yarn_lock, CoreServices }:
+{ lib, stdenv, rustPlatform, fetchFromGitHub, callPackage, sqlcipher, nodejs-14_x, python3, yarn, fixup_yarn_lock, CoreServices, fetchYarnDeps }:
-rustPlatform.buildRustPackage rec {
+let
+ pinData = (builtins.fromJSON (builtins.readFile ./pin.json));
+
+in rustPlatform.buildRustPackage rec {
pname = "seshat-node";
- version = "2.3.0";
+ inherit (pinData) version;
src = fetchFromGitHub {
owner = "matrix-org";
repo = "seshat";
rev = version;
- sha256 = "0zigrz59mhih9asmbbh38z2fg0sii2342q6q0500qil2a0rssai7";
+ sha256 = pinData.srcHash;
};
sourceRoot = "source/seshat-node/native";
@@ -18,7 +21,10 @@ rustPlatform.buildRustPackage rec {
npm_config_nodedir = nodejs-14_x;
- yarnOfflineCache = (callPackage ./yarn.nix {}).offline_cache;
+ yarnOfflineCache = fetchYarnDeps {
+ yarnLock = src + "/seshat-node/yarn.lock";
+ sha256 = pinData.yarnHash;
+ };
buildPhase = ''
cd ..
@@ -42,5 +48,5 @@ rustPlatform.buildRustPackage rec {
cp -r . $out
'';
- cargoSha256 = "0habjf85mzqxwf8k15msm4cavd7ldq4zpxddkwd4inl2lkvlffqj";
+ cargoSha256 = pinData.cargoHash;
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/pin.json b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/pin.json
new file mode 100644
index 00000000000..fdb5afe67a2
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/pin.json
@@ -0,0 +1,6 @@
+{
+ "version": "2.3.0",
+ "srcHash": "JyqtM1CCRgxAAdhgQYaIUYPnxEcDrlW1SjDCmsrPL34=",
+ "yarnHash": "0bym6i1f0i3bs4fncbiwzwmbxp7j14rz1v4kyvsl02qs97qw1jac",
+ "cargoHash": "sha256-EjtH96SC2kgan631+wlu9LStGKm6ljCR4x3/WpCTS0E="
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/update.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/update.sh
index b201501e1c4..1315715ac04 100755
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/update.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/update.sh
@@ -1,16 +1,49 @@
#!/usr/bin/env nix-shell
-#!nix-shell -I nixpkgs=../ -i bash -p wget yarn2nix
+#!nix-shell -I nixpkgs=../../../../../../ -i bash -p wget prefetch-yarn-deps yarn nix-prefetch
+
+if [ "$#" -gt 1 ] || [[ "$1" == -* ]]; then
+ echo "Regenerates packaging data for the seshat package."
+ echo "Usage: $0 [git release tag]"
+ exit 1
+fi
+
+version="$1"
set -euo pipefail
-if [ "$#" -ne 1 ] || [[ "$1" == -* ]]; then
- echo "Regenerates the Yarn dependency lock files."
- echo "Usage: $0 "
- exit 1
+if [ -z "$version" ]; then
+ version="$(wget -O- "https://api.github.com/repos/matrix-org/seshat/tags" | jq -r '.[] | .name' | sort --version-sort | tail -1)"
fi
-SRC="https://raw.githubusercontent.com/matrix-org/seshat/$1"
+SRC="https://raw.githubusercontent.com/matrix-org/seshat/$version"
+
+tmpdir=$(mktemp -d)
+trap 'rm -rf "$tmpdir"' EXIT
+pushd $tmpdir
wget "$SRC/seshat-node/yarn.lock"
-yarn2nix > yarn.nix
-rm yarn.lock
+yarn_hash=$(prefetch-yarn-deps yarn.lock)
+popd
+
+src_hash=$(nix-prefetch-github matrix-org seshat --rev ${version} | jq -r .sha256)
+
+cat > pin.json << EOF
+{
+ "version": "$version",
+ "srcHash": "$src_hash",
+ "yarnHash": "$yarn_hash",
+ "cargoHash": "0000000000000000000000000000000000000000000000000000"
+}
+EOF
+
+cargo_hash=$(nix-prefetch "{ sha256 }: (import ../../../../../.. {}).element-desktop.seshat.cargoDeps")
+
+cat > pin.json << EOF
+{
+ "version": "$version",
+ "srcHash": "$src_hash",
+ "yarnHash": "$yarn_hash",
+ "cargoHash": "$cargo_hash"
+}
+EOF
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/yarn.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/yarn.nix
deleted file mode 100644
index b861502bf71..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/seshat/yarn.nix
+++ /dev/null
@@ -1,4557 +0,0 @@
-{ fetchurl, fetchgit, linkFarm, runCommand, gnutar }: rec {
- offline_cache = linkFarm "offline" packages;
- packages = [
- {
- name = "_babel_code_frame___code_frame_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_code_frame___code_frame_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/code-frame/-/code-frame-7.14.5.tgz";
- sha1 = "23b08d740e83f49c5e59945fbf1b43e80bbf4edb";
- };
- }
- {
- name = "_babel_compat_data___compat_data_7.14.7.tgz";
- path = fetchurl {
- name = "_babel_compat_data___compat_data_7.14.7.tgz";
- url = "https://registry.yarnpkg.com/@babel/compat-data/-/compat-data-7.14.7.tgz";
- sha1 = "7b047d7a3a89a67d2258dc61f604f098f1bc7e08";
- };
- }
- {
- name = "_babel_core___core_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_core___core_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/core/-/core-7.14.8.tgz";
- sha1 = "20cdf7c84b5d86d83fac8710a8bc605a7ba3f010";
- };
- }
- {
- name = "_babel_generator___generator_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_generator___generator_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/generator/-/generator-7.14.8.tgz";
- sha1 = "bf86fd6af96cf3b74395a8ca409515f89423e070";
- };
- }
- {
- name = "_babel_helper_compilation_targets___helper_compilation_targets_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_compilation_targets___helper_compilation_targets_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-compilation-targets/-/helper-compilation-targets-7.14.5.tgz";
- sha1 = "7a99c5d0967911e972fe2c3411f7d5b498498ecf";
- };
- }
- {
- name = "_babel_helper_function_name___helper_function_name_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_function_name___helper_function_name_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-function-name/-/helper-function-name-7.14.5.tgz";
- sha1 = "89e2c474972f15d8e233b52ee8c480e2cfcd50c4";
- };
- }
- {
- name = "_babel_helper_get_function_arity___helper_get_function_arity_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_get_function_arity___helper_get_function_arity_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-get-function-arity/-/helper-get-function-arity-7.14.5.tgz";
- sha1 = "25fbfa579b0937eee1f3b805ece4ce398c431815";
- };
- }
- {
- name = "_babel_helper_hoist_variables___helper_hoist_variables_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_hoist_variables___helper_hoist_variables_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-hoist-variables/-/helper-hoist-variables-7.14.5.tgz";
- sha1 = "e0dd27c33a78e577d7c8884916a3e7ef1f7c7f8d";
- };
- }
- {
- name = "_babel_helper_member_expression_to_functions___helper_member_expression_to_functions_7.14.7.tgz";
- path = fetchurl {
- name = "_babel_helper_member_expression_to_functions___helper_member_expression_to_functions_7.14.7.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-member-expression-to-functions/-/helper-member-expression-to-functions-7.14.7.tgz";
- sha1 = "97e56244beb94211fe277bd818e3a329c66f7970";
- };
- }
- {
- name = "_babel_helper_module_imports___helper_module_imports_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_module_imports___helper_module_imports_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-module-imports/-/helper-module-imports-7.14.5.tgz";
- sha1 = "6d1a44df6a38c957aa7c312da076429f11b422f3";
- };
- }
- {
- name = "_babel_helper_module_transforms___helper_module_transforms_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_helper_module_transforms___helper_module_transforms_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-module-transforms/-/helper-module-transforms-7.14.8.tgz";
- sha1 = "d4279f7e3fd5f4d5d342d833af36d4dd87d7dc49";
- };
- }
- {
- name = "_babel_helper_optimise_call_expression___helper_optimise_call_expression_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_optimise_call_expression___helper_optimise_call_expression_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-optimise-call-expression/-/helper-optimise-call-expression-7.14.5.tgz";
- sha1 = "f27395a8619e0665b3f0364cddb41c25d71b499c";
- };
- }
- {
- name = "_babel_helper_plugin_utils___helper_plugin_utils_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_plugin_utils___helper_plugin_utils_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-plugin-utils/-/helper-plugin-utils-7.14.5.tgz";
- sha1 = "5ac822ce97eec46741ab70a517971e443a70c5a9";
- };
- }
- {
- name = "_babel_helper_replace_supers___helper_replace_supers_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_replace_supers___helper_replace_supers_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-replace-supers/-/helper-replace-supers-7.14.5.tgz";
- sha1 = "0ecc0b03c41cd567b4024ea016134c28414abb94";
- };
- }
- {
- name = "_babel_helper_simple_access___helper_simple_access_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_helper_simple_access___helper_simple_access_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-simple-access/-/helper-simple-access-7.14.8.tgz";
- sha1 = "82e1fec0644a7e775c74d305f212c39f8fe73924";
- };
- }
- {
- name = "_babel_helper_split_export_declaration___helper_split_export_declaration_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_split_export_declaration___helper_split_export_declaration_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-split-export-declaration/-/helper-split-export-declaration-7.14.5.tgz";
- sha1 = "22b23a54ef51c2b7605d851930c1976dd0bc693a";
- };
- }
- {
- name = "_babel_helper_validator_identifier___helper_validator_identifier_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_helper_validator_identifier___helper_validator_identifier_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-validator-identifier/-/helper-validator-identifier-7.14.8.tgz";
- sha1 = "32be33a756f29e278a0d644fa08a2c9e0f88a34c";
- };
- }
- {
- name = "_babel_helper_validator_option___helper_validator_option_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_helper_validator_option___helper_validator_option_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-validator-option/-/helper-validator-option-7.14.5.tgz";
- sha1 = "6e72a1fff18d5dfcb878e1e62f1a021c4b72d5a3";
- };
- }
- {
- name = "_babel_helpers___helpers_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_helpers___helpers_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/helpers/-/helpers-7.14.8.tgz";
- sha1 = "839f88f463025886cff7f85a35297007e2da1b77";
- };
- }
- {
- name = "_babel_highlight___highlight_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_highlight___highlight_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/highlight/-/highlight-7.14.5.tgz";
- sha1 = "6861a52f03966405001f6aa534a01a24d99e8cd9";
- };
- }
- {
- name = "_babel_parser___parser_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_parser___parser_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/parser/-/parser-7.14.8.tgz";
- sha1 = "66fd41666b2d7b840bd5ace7f7416d5ac60208d4";
- };
- }
- {
- name = "_babel_plugin_syntax_object_rest_spread___plugin_syntax_object_rest_spread_7.8.3.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_object_rest_spread___plugin_syntax_object_rest_spread_7.8.3.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-object-rest-spread/-/plugin-syntax-object-rest-spread-7.8.3.tgz";
- sha1 = "60e225edcbd98a640332a2e72dd3e66f1af55871";
- };
- }
- {
- name = "_babel_template___template_7.14.5.tgz";
- path = fetchurl {
- name = "_babel_template___template_7.14.5.tgz";
- url = "https://registry.yarnpkg.com/@babel/template/-/template-7.14.5.tgz";
- sha1 = "a9bc9d8b33354ff6e55a9c60d1109200a68974f4";
- };
- }
- {
- name = "_babel_traverse___traverse_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_traverse___traverse_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/traverse/-/traverse-7.14.8.tgz";
- sha1 = "c0253f02677c5de1a8ff9df6b0aacbec7da1a8ce";
- };
- }
- {
- name = "_babel_types___types_7.14.8.tgz";
- path = fetchurl {
- name = "_babel_types___types_7.14.8.tgz";
- url = "https://registry.yarnpkg.com/@babel/types/-/types-7.14.8.tgz";
- sha1 = "38109de8fcadc06415fbd9b74df0065d4d41c728";
- };
- }
- {
- name = "_cnakazawa_watch___watch_1.0.4.tgz";
- path = fetchurl {
- name = "_cnakazawa_watch___watch_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/@cnakazawa/watch/-/watch-1.0.4.tgz";
- sha1 = "f864ae85004d0fcab6f50be9141c4da368d1656a";
- };
- }
- {
- name = "_jest_console___console_24.9.0.tgz";
- path = fetchurl {
- name = "_jest_console___console_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/@jest/console/-/console-24.9.0.tgz";
- sha1 = "79b1bc06fb74a8cfb01cbdedf945584b1b9707f0";
- };
- }
- {
- name = "_jest_core___core_24.9.0.tgz";
- path = fetchurl {
- name = "_jest_core___core_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/@jest/core/-/core-24.9.0.tgz";
- sha1 = "2ceccd0b93181f9c4850e74f2a9ad43d351369c4";
- };
- }
- {
- name = "_jest_environment___environment_24.9.0.tgz";
- path = fetchurl {
- name = "_jest_environment___environment_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/@jest/environment/-/environment-24.9.0.tgz";
- sha1 = "21e3afa2d65c0586cbd6cbefe208bafade44ab18";
- };
- }
- {
- name = "_jest_fake_timers___fake_timers_24.9.0.tgz";
- path = fetchurl {
- name = "_jest_fake_timers___fake_timers_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/@jest/fake-timers/-/fake-timers-24.9.0.tgz";
- sha1 = "ba3e6bf0eecd09a636049896434d306636540c93";
- };
- }
- {
- name = "_jest_reporters___reporters_24.9.0.tgz";
- path = fetchurl {
- name = "_jest_reporters___reporters_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/@jest/reporters/-/reporters-24.9.0.tgz";
- sha1 = "86660eff8e2b9661d042a8e98a028b8d631a5b43";
- };
- }
- {
- name = "_jest_source_map___source_map_24.9.0.tgz";
- path = fetchurl {
- name = "_jest_source_map___source_map_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/@jest/source-map/-/source-map-24.9.0.tgz";
- sha1 = "0e263a94430be4b41da683ccc1e6bffe2a191714";
- };
- }
- {
- name = "_jest_test_result___test_result_24.9.0.tgz";
- path = fetchurl {
- name = "_jest_test_result___test_result_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/@jest/test-result/-/test-result-24.9.0.tgz";
- sha1 = "11796e8aa9dbf88ea025757b3152595ad06ba0ca";
- };
- }
- {
- name = "_jest_test_sequencer___test_sequencer_24.9.0.tgz";
- path = fetchurl {
- name = "_jest_test_sequencer___test_sequencer_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/@jest/test-sequencer/-/test-sequencer-24.9.0.tgz";
- sha1 = "f8f334f35b625a4f2f355f2fe7e6036dad2e6b31";
- };
- }
- {
- name = "_jest_transform___transform_24.9.0.tgz";
- path = fetchurl {
- name = "_jest_transform___transform_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/@jest/transform/-/transform-24.9.0.tgz";
- sha1 = "4ae2768b296553fadab09e9ec119543c90b16c56";
- };
- }
- {
- name = "_jest_types___types_24.9.0.tgz";
- path = fetchurl {
- name = "_jest_types___types_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/@jest/types/-/types-24.9.0.tgz";
- sha1 = "63cb26cb7500d069e5a389441a7c6ab5e909fc59";
- };
- }
- {
- name = "_types_babel__core___babel__core_7.1.15.tgz";
- path = fetchurl {
- name = "_types_babel__core___babel__core_7.1.15.tgz";
- url = "https://registry.yarnpkg.com/@types/babel__core/-/babel__core-7.1.15.tgz";
- sha1 = "2ccfb1ad55a02c83f8e0ad327cbc332f55eb1024";
- };
- }
- {
- name = "_types_babel__generator___babel__generator_7.6.3.tgz";
- path = fetchurl {
- name = "_types_babel__generator___babel__generator_7.6.3.tgz";
- url = "https://registry.yarnpkg.com/@types/babel__generator/-/babel__generator-7.6.3.tgz";
- sha1 = "f456b4b2ce79137f768aa130d2423d2f0ccfaba5";
- };
- }
- {
- name = "_types_babel__template___babel__template_7.4.1.tgz";
- path = fetchurl {
- name = "_types_babel__template___babel__template_7.4.1.tgz";
- url = "https://registry.yarnpkg.com/@types/babel__template/-/babel__template-7.4.1.tgz";
- sha1 = "3d1a48fd9d6c0edfd56f2ff578daed48f36c8969";
- };
- }
- {
- name = "_types_babel__traverse___babel__traverse_7.14.2.tgz";
- path = fetchurl {
- name = "_types_babel__traverse___babel__traverse_7.14.2.tgz";
- url = "https://registry.yarnpkg.com/@types/babel__traverse/-/babel__traverse-7.14.2.tgz";
- sha1 = "ffcd470bbb3f8bf30481678fb5502278ca833a43";
- };
- }
- {
- name = "_types_istanbul_lib_coverage___istanbul_lib_coverage_2.0.3.tgz";
- path = fetchurl {
- name = "_types_istanbul_lib_coverage___istanbul_lib_coverage_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/@types/istanbul-lib-coverage/-/istanbul-lib-coverage-2.0.3.tgz";
- sha1 = "4ba8ddb720221f432e443bd5f9117fd22cfd4762";
- };
- }
- {
- name = "_types_istanbul_lib_report___istanbul_lib_report_3.0.0.tgz";
- path = fetchurl {
- name = "_types_istanbul_lib_report___istanbul_lib_report_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/@types/istanbul-lib-report/-/istanbul-lib-report-3.0.0.tgz";
- sha1 = "c14c24f18ea8190c118ee7562b7ff99a36552686";
- };
- }
- {
- name = "_types_istanbul_reports___istanbul_reports_1.1.2.tgz";
- path = fetchurl {
- name = "_types_istanbul_reports___istanbul_reports_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/@types/istanbul-reports/-/istanbul-reports-1.1.2.tgz";
- sha1 = "e875cc689e47bce549ec81f3df5e6f6f11cfaeb2";
- };
- }
- {
- name = "_types_stack_utils___stack_utils_1.0.1.tgz";
- path = fetchurl {
- name = "_types_stack_utils___stack_utils_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/@types/stack-utils/-/stack-utils-1.0.1.tgz";
- sha1 = "0a851d3bd96498fa25c33ab7278ed3bd65f06c3e";
- };
- }
- {
- name = "_types_yargs_parser___yargs_parser_20.2.1.tgz";
- path = fetchurl {
- name = "_types_yargs_parser___yargs_parser_20.2.1.tgz";
- url = "https://registry.yarnpkg.com/@types/yargs-parser/-/yargs-parser-20.2.1.tgz";
- sha1 = "3b9ce2489919d9e4fea439b76916abc34b2df129";
- };
- }
- {
- name = "_types_yargs___yargs_13.0.12.tgz";
- path = fetchurl {
- name = "_types_yargs___yargs_13.0.12.tgz";
- url = "https://registry.yarnpkg.com/@types/yargs/-/yargs-13.0.12.tgz";
- sha1 = "d895a88c703b78af0465a9de88aa92c61430b092";
- };
- }
- {
- name = "abab___abab_2.0.5.tgz";
- path = fetchurl {
- name = "abab___abab_2.0.5.tgz";
- url = "https://registry.yarnpkg.com/abab/-/abab-2.0.5.tgz";
- sha1 = "c0b678fb32d60fc1219c784d6a826fe385aeb79a";
- };
- }
- {
- name = "acorn_globals___acorn_globals_4.3.4.tgz";
- path = fetchurl {
- name = "acorn_globals___acorn_globals_4.3.4.tgz";
- url = "https://registry.yarnpkg.com/acorn-globals/-/acorn-globals-4.3.4.tgz";
- sha1 = "9fa1926addc11c97308c4e66d7add0d40c3272e7";
- };
- }
- {
- name = "acorn_jsx___acorn_jsx_5.3.2.tgz";
- path = fetchurl {
- name = "acorn_jsx___acorn_jsx_5.3.2.tgz";
- url = "https://registry.yarnpkg.com/acorn-jsx/-/acorn-jsx-5.3.2.tgz";
- sha1 = "7ed5bb55908b3b2f1bc55c6af1653bada7f07937";
- };
- }
- {
- name = "acorn_walk___acorn_walk_6.2.0.tgz";
- path = fetchurl {
- name = "acorn_walk___acorn_walk_6.2.0.tgz";
- url = "https://registry.yarnpkg.com/acorn-walk/-/acorn-walk-6.2.0.tgz";
- sha1 = "123cb8f3b84c2171f1f7fb252615b1c78a6b1a8c";
- };
- }
- {
- name = "acorn___acorn_5.7.4.tgz";
- path = fetchurl {
- name = "acorn___acorn_5.7.4.tgz";
- url = "https://registry.yarnpkg.com/acorn/-/acorn-5.7.4.tgz";
- sha1 = "3e8d8a9947d0599a1796d10225d7432f4a4acf5e";
- };
- }
- {
- name = "acorn___acorn_6.4.2.tgz";
- path = fetchurl {
- name = "acorn___acorn_6.4.2.tgz";
- url = "https://registry.yarnpkg.com/acorn/-/acorn-6.4.2.tgz";
- sha1 = "35866fd710528e92de10cf06016498e47e39e1e6";
- };
- }
- {
- name = "acorn___acorn_7.4.1.tgz";
- path = fetchurl {
- name = "acorn___acorn_7.4.1.tgz";
- url = "https://registry.yarnpkg.com/acorn/-/acorn-7.4.1.tgz";
- sha1 = "feaed255973d2e77555b83dbc08851a6c63520fa";
- };
- }
- {
- name = "ajv___ajv_6.12.6.tgz";
- path = fetchurl {
- name = "ajv___ajv_6.12.6.tgz";
- url = "https://registry.yarnpkg.com/ajv/-/ajv-6.12.6.tgz";
- sha1 = "baf5a62e802b07d977034586f8c3baf5adf26df4";
- };
- }
- {
- name = "ansi_escapes___ansi_escapes_3.2.0.tgz";
- path = fetchurl {
- name = "ansi_escapes___ansi_escapes_3.2.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-escapes/-/ansi-escapes-3.2.0.tgz";
- sha1 = "8780b98ff9dbf5638152d1f1fe5c1d7b4442976b";
- };
- }
- {
- name = "ansi_escapes___ansi_escapes_4.3.2.tgz";
- path = fetchurl {
- name = "ansi_escapes___ansi_escapes_4.3.2.tgz";
- url = "https://registry.yarnpkg.com/ansi-escapes/-/ansi-escapes-4.3.2.tgz";
- sha1 = "6b2291d1db7d98b6521d5f1efa42d0f3a9feb65e";
- };
- }
- {
- name = "ansi_regex___ansi_regex_3.0.0.tgz";
- path = fetchurl {
- name = "ansi_regex___ansi_regex_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-3.0.0.tgz";
- sha1 = "ed0317c322064f79466c02966bddb605ab37d998";
- };
- }
- {
- name = "ansi_regex___ansi_regex_4.1.0.tgz";
- path = fetchurl {
- name = "ansi_regex___ansi_regex_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-4.1.0.tgz";
- sha1 = "8b9f8f08cf1acb843756a839ca8c7e3168c51997";
- };
- }
- {
- name = "ansi_regex___ansi_regex_5.0.0.tgz";
- path = fetchurl {
- name = "ansi_regex___ansi_regex_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-5.0.0.tgz";
- sha1 = "388539f55179bf39339c81af30a654d69f87cb75";
- };
- }
- {
- name = "ansi_styles___ansi_styles_3.2.1.tgz";
- path = fetchurl {
- name = "ansi_styles___ansi_styles_3.2.1.tgz";
- url = "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-3.2.1.tgz";
- sha1 = "41fbb20243e50b12be0f04b8dedbf07520ce841d";
- };
- }
- {
- name = "ansi_styles___ansi_styles_4.3.0.tgz";
- path = fetchurl {
- name = "ansi_styles___ansi_styles_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-4.3.0.tgz";
- sha1 = "edd803628ae71c04c85ae7a0906edad34b648937";
- };
- }
- {
- name = "anymatch___anymatch_2.0.0.tgz";
- path = fetchurl {
- name = "anymatch___anymatch_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/anymatch/-/anymatch-2.0.0.tgz";
- sha1 = "bcb24b4f37934d9aa7ac17b4adaf89e7c76ef2eb";
- };
- }
- {
- name = "argparse___argparse_1.0.10.tgz";
- path = fetchurl {
- name = "argparse___argparse_1.0.10.tgz";
- url = "https://registry.yarnpkg.com/argparse/-/argparse-1.0.10.tgz";
- sha1 = "bcd6791ea5ae09725e17e5ad988134cd40b3d911";
- };
- }
- {
- name = "arr_diff___arr_diff_4.0.0.tgz";
- path = fetchurl {
- name = "arr_diff___arr_diff_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/arr-diff/-/arr-diff-4.0.0.tgz";
- sha1 = "d6461074febfec71e7e15235761a329a5dc7c520";
- };
- }
- {
- name = "arr_flatten___arr_flatten_1.1.0.tgz";
- path = fetchurl {
- name = "arr_flatten___arr_flatten_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/arr-flatten/-/arr-flatten-1.1.0.tgz";
- sha1 = "36048bbff4e7b47e136644316c99669ea5ae91f1";
- };
- }
- {
- name = "arr_union___arr_union_3.1.0.tgz";
- path = fetchurl {
- name = "arr_union___arr_union_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/arr-union/-/arr-union-3.1.0.tgz";
- sha1 = "e39b09aea9def866a8f206e288af63919bae39c4";
- };
- }
- {
- name = "array_back___array_back_3.1.0.tgz";
- path = fetchurl {
- name = "array_back___array_back_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/array-back/-/array-back-3.1.0.tgz";
- sha1 = "b8859d7a508871c9a7b2cf42f99428f65e96bfb0";
- };
- }
- {
- name = "array_back___array_back_4.0.2.tgz";
- path = fetchurl {
- name = "array_back___array_back_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/array-back/-/array-back-4.0.2.tgz";
- sha1 = "8004e999a6274586beeb27342168652fdb89fa1e";
- };
- }
- {
- name = "array_equal___array_equal_1.0.0.tgz";
- path = fetchurl {
- name = "array_equal___array_equal_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/array-equal/-/array-equal-1.0.0.tgz";
- sha1 = "8c2a5ef2472fd9ea742b04c77a75093ba2757c93";
- };
- }
- {
- name = "array_unique___array_unique_0.3.2.tgz";
- path = fetchurl {
- name = "array_unique___array_unique_0.3.2.tgz";
- url = "https://registry.yarnpkg.com/array-unique/-/array-unique-0.3.2.tgz";
- sha1 = "a894b75d4bc4f6cd679ef3244a9fd8f46ae2d428";
- };
- }
- {
- name = "asn1___asn1_0.2.4.tgz";
- path = fetchurl {
- name = "asn1___asn1_0.2.4.tgz";
- url = "https://registry.yarnpkg.com/asn1/-/asn1-0.2.4.tgz";
- sha1 = "8d2475dfab553bb33e77b54e59e880bb8ce23136";
- };
- }
- {
- name = "assert_plus___assert_plus_1.0.0.tgz";
- path = fetchurl {
- name = "assert_plus___assert_plus_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/assert-plus/-/assert-plus-1.0.0.tgz";
- sha1 = "f12e0f3c5d77b0b1cdd9146942e4e96c1e4dd525";
- };
- }
- {
- name = "assign_symbols___assign_symbols_1.0.0.tgz";
- path = fetchurl {
- name = "assign_symbols___assign_symbols_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/assign-symbols/-/assign-symbols-1.0.0.tgz";
- sha1 = "59667f41fadd4f20ccbc2bb96b8d4f7f78ec0367";
- };
- }
- {
- name = "astral_regex___astral_regex_1.0.0.tgz";
- path = fetchurl {
- name = "astral_regex___astral_regex_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/astral-regex/-/astral-regex-1.0.0.tgz";
- sha1 = "6c8c3fb827dd43ee3918f27b82782ab7658a6fd9";
- };
- }
- {
- name = "async_limiter___async_limiter_1.0.1.tgz";
- path = fetchurl {
- name = "async_limiter___async_limiter_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/async-limiter/-/async-limiter-1.0.1.tgz";
- sha1 = "dd379e94f0db8310b08291f9d64c3209766617fd";
- };
- }
- {
- name = "asynckit___asynckit_0.4.0.tgz";
- path = fetchurl {
- name = "asynckit___asynckit_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/asynckit/-/asynckit-0.4.0.tgz";
- sha1 = "c79ed97f7f34cb8f2ba1bc9790bcc366474b4b79";
- };
- }
- {
- name = "atob___atob_2.1.2.tgz";
- path = fetchurl {
- name = "atob___atob_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/atob/-/atob-2.1.2.tgz";
- sha1 = "6d9517eb9e030d2436666651e86bd9f6f13533c9";
- };
- }
- {
- name = "aws_sign2___aws_sign2_0.7.0.tgz";
- path = fetchurl {
- name = "aws_sign2___aws_sign2_0.7.0.tgz";
- url = "https://registry.yarnpkg.com/aws-sign2/-/aws-sign2-0.7.0.tgz";
- sha1 = "b46e890934a9591f2d2f6f86d7e6a9f1b3fe76a8";
- };
- }
- {
- name = "aws4___aws4_1.11.0.tgz";
- path = fetchurl {
- name = "aws4___aws4_1.11.0.tgz";
- url = "https://registry.yarnpkg.com/aws4/-/aws4-1.11.0.tgz";
- sha1 = "d61f46d83b2519250e2784daf5b09479a8b41c59";
- };
- }
- {
- name = "babel_jest___babel_jest_24.9.0.tgz";
- path = fetchurl {
- name = "babel_jest___babel_jest_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/babel-jest/-/babel-jest-24.9.0.tgz";
- sha1 = "3fc327cb8467b89d14d7bc70e315104a783ccd54";
- };
- }
- {
- name = "babel_plugin_istanbul___babel_plugin_istanbul_5.2.0.tgz";
- path = fetchurl {
- name = "babel_plugin_istanbul___babel_plugin_istanbul_5.2.0.tgz";
- url = "https://registry.yarnpkg.com/babel-plugin-istanbul/-/babel-plugin-istanbul-5.2.0.tgz";
- sha1 = "df4ade83d897a92df069c4d9a25cf2671293c854";
- };
- }
- {
- name = "babel_plugin_jest_hoist___babel_plugin_jest_hoist_24.9.0.tgz";
- path = fetchurl {
- name = "babel_plugin_jest_hoist___babel_plugin_jest_hoist_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/babel-plugin-jest-hoist/-/babel-plugin-jest-hoist-24.9.0.tgz";
- sha1 = "4f837091eb407e01447c8843cbec546d0002d756";
- };
- }
- {
- name = "babel_preset_jest___babel_preset_jest_24.9.0.tgz";
- path = fetchurl {
- name = "babel_preset_jest___babel_preset_jest_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/babel-preset-jest/-/babel-preset-jest-24.9.0.tgz";
- sha1 = "192b521e2217fb1d1f67cf73f70c336650ad3cdc";
- };
- }
- {
- name = "balanced_match___balanced_match_1.0.2.tgz";
- path = fetchurl {
- name = "balanced_match___balanced_match_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/balanced-match/-/balanced-match-1.0.2.tgz";
- sha1 = "e83e3a7e3f300b34cb9d87f615fa0cbf357690ee";
- };
- }
- {
- name = "base___base_0.11.2.tgz";
- path = fetchurl {
- name = "base___base_0.11.2.tgz";
- url = "https://registry.yarnpkg.com/base/-/base-0.11.2.tgz";
- sha1 = "7bde5ced145b6d551a90db87f83c558b4eb48a8f";
- };
- }
- {
- name = "bcrypt_pbkdf___bcrypt_pbkdf_1.0.2.tgz";
- path = fetchurl {
- name = "bcrypt_pbkdf___bcrypt_pbkdf_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/bcrypt-pbkdf/-/bcrypt-pbkdf-1.0.2.tgz";
- sha1 = "a4301d389b6a43f9b67ff3ca11a3f6637e360e9e";
- };
- }
- {
- name = "bindings___bindings_1.5.0.tgz";
- path = fetchurl {
- name = "bindings___bindings_1.5.0.tgz";
- url = "https://registry.yarnpkg.com/bindings/-/bindings-1.5.0.tgz";
- sha1 = "10353c9e945334bc0511a6d90b38fbc7c9c504df";
- };
- }
- {
- name = "bluebird___bluebird_3.7.2.tgz";
- path = fetchurl {
- name = "bluebird___bluebird_3.7.2.tgz";
- url = "https://registry.yarnpkg.com/bluebird/-/bluebird-3.7.2.tgz";
- sha1 = "9f229c15be272454ffa973ace0dbee79a1b0c36f";
- };
- }
- {
- name = "brace_expansion___brace_expansion_1.1.11.tgz";
- path = fetchurl {
- name = "brace_expansion___brace_expansion_1.1.11.tgz";
- url = "https://registry.yarnpkg.com/brace-expansion/-/brace-expansion-1.1.11.tgz";
- sha1 = "3c7fcbf529d87226f3d2f52b966ff5271eb441dd";
- };
- }
- {
- name = "braces___braces_2.3.2.tgz";
- path = fetchurl {
- name = "braces___braces_2.3.2.tgz";
- url = "https://registry.yarnpkg.com/braces/-/braces-2.3.2.tgz";
- sha1 = "5979fd3f14cd531565e5fa2df1abfff1dfaee729";
- };
- }
- {
- name = "browser_process_hrtime___browser_process_hrtime_1.0.0.tgz";
- path = fetchurl {
- name = "browser_process_hrtime___browser_process_hrtime_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/browser-process-hrtime/-/browser-process-hrtime-1.0.0.tgz";
- sha1 = "3c9b4b7d782c8121e56f10106d84c0d0ffc94626";
- };
- }
- {
- name = "browser_resolve___browser_resolve_1.11.3.tgz";
- path = fetchurl {
- name = "browser_resolve___browser_resolve_1.11.3.tgz";
- url = "https://registry.yarnpkg.com/browser-resolve/-/browser-resolve-1.11.3.tgz";
- sha1 = "9b7cbb3d0f510e4cb86bdbd796124d28b5890af6";
- };
- }
- {
- name = "browserslist___browserslist_4.16.6.tgz";
- path = fetchurl {
- name = "browserslist___browserslist_4.16.6.tgz";
- url = "https://registry.yarnpkg.com/browserslist/-/browserslist-4.16.6.tgz";
- sha1 = "d7901277a5a88e554ed305b183ec9b0c08f66fa2";
- };
- }
- {
- name = "bser___bser_2.1.1.tgz";
- path = fetchurl {
- name = "bser___bser_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/bser/-/bser-2.1.1.tgz";
- sha1 = "e6787da20ece9d07998533cfd9de6f5c38f4bc05";
- };
- }
- {
- name = "buffer_from___buffer_from_1.1.1.tgz";
- path = fetchurl {
- name = "buffer_from___buffer_from_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/buffer-from/-/buffer-from-1.1.1.tgz";
- sha1 = "32713bc028f75c02fdb710d7c7bcec1f2c6070ef";
- };
- }
- {
- name = "builtins___builtins_1.0.3.tgz";
- path = fetchurl {
- name = "builtins___builtins_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/builtins/-/builtins-1.0.3.tgz";
- sha1 = "cb94faeb61c8696451db36534e1422f94f0aee88";
- };
- }
- {
- name = "cache_base___cache_base_1.0.1.tgz";
- path = fetchurl {
- name = "cache_base___cache_base_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/cache-base/-/cache-base-1.0.1.tgz";
- sha1 = "0a7f46416831c8b662ee36fe4e7c59d76f666ab2";
- };
- }
- {
- name = "call_bind___call_bind_1.0.2.tgz";
- path = fetchurl {
- name = "call_bind___call_bind_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/call-bind/-/call-bind-1.0.2.tgz";
- sha1 = "b1d4e89e688119c3c9a903ad30abb2f6a919be3c";
- };
- }
- {
- name = "callsites___callsites_3.1.0.tgz";
- path = fetchurl {
- name = "callsites___callsites_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/callsites/-/callsites-3.1.0.tgz";
- sha1 = "b3630abd8943432f54b3f0519238e33cd7df2f73";
- };
- }
- {
- name = "camelcase___camelcase_5.3.1.tgz";
- path = fetchurl {
- name = "camelcase___camelcase_5.3.1.tgz";
- url = "https://registry.yarnpkg.com/camelcase/-/camelcase-5.3.1.tgz";
- sha1 = "e3c9b31569e106811df242f715725a1f4c494320";
- };
- }
- {
- name = "caniuse_lite___caniuse_lite_1.0.30001246.tgz";
- path = fetchurl {
- name = "caniuse_lite___caniuse_lite_1.0.30001246.tgz";
- url = "https://registry.yarnpkg.com/caniuse-lite/-/caniuse-lite-1.0.30001246.tgz";
- sha1 = "fe17d9919f87124d6bb416ef7b325356d69dc76c";
- };
- }
- {
- name = "capture_exit___capture_exit_2.0.0.tgz";
- path = fetchurl {
- name = "capture_exit___capture_exit_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/capture-exit/-/capture-exit-2.0.0.tgz";
- sha1 = "fb953bfaebeb781f62898239dabb426d08a509a4";
- };
- }
- {
- name = "caseless___caseless_0.12.0.tgz";
- path = fetchurl {
- name = "caseless___caseless_0.12.0.tgz";
- url = "https://registry.yarnpkg.com/caseless/-/caseless-0.12.0.tgz";
- sha1 = "1b681c21ff84033c826543090689420d187151dc";
- };
- }
- {
- name = "catharsis___catharsis_0.9.0.tgz";
- path = fetchurl {
- name = "catharsis___catharsis_0.9.0.tgz";
- url = "https://registry.yarnpkg.com/catharsis/-/catharsis-0.9.0.tgz";
- sha1 = "40382a168be0e6da308c277d3a2b3eb40c7d2121";
- };
- }
- {
- name = "chalk___chalk_2.4.2.tgz";
- path = fetchurl {
- name = "chalk___chalk_2.4.2.tgz";
- url = "https://registry.yarnpkg.com/chalk/-/chalk-2.4.2.tgz";
- sha1 = "cd42541677a54333cf541a49108c1432b44c9424";
- };
- }
- {
- name = "chalk___chalk_4.1.1.tgz";
- path = fetchurl {
- name = "chalk___chalk_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/chalk/-/chalk-4.1.1.tgz";
- sha1 = "c80b3fab28bf6371e6863325eee67e618b77e6ad";
- };
- }
- {
- name = "chardet___chardet_0.7.0.tgz";
- path = fetchurl {
- name = "chardet___chardet_0.7.0.tgz";
- url = "https://registry.yarnpkg.com/chardet/-/chardet-0.7.0.tgz";
- sha1 = "90094849f0937f2eedc2425d0d28a9e5f0cbad9e";
- };
- }
- {
- name = "ci_info___ci_info_2.0.0.tgz";
- path = fetchurl {
- name = "ci_info___ci_info_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/ci-info/-/ci-info-2.0.0.tgz";
- sha1 = "67a9e964be31a51e15e5010d58e6f12834002f46";
- };
- }
- {
- name = "class_utils___class_utils_0.3.6.tgz";
- path = fetchurl {
- name = "class_utils___class_utils_0.3.6.tgz";
- url = "https://registry.yarnpkg.com/class-utils/-/class-utils-0.3.6.tgz";
- sha1 = "f93369ae8b9a7ce02fd41faad0ca83033190c463";
- };
- }
- {
- name = "cli_cursor___cli_cursor_3.1.0.tgz";
- path = fetchurl {
- name = "cli_cursor___cli_cursor_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/cli-cursor/-/cli-cursor-3.1.0.tgz";
- sha1 = "264305a7ae490d1d03bf0c9ba7c925d1753af307";
- };
- }
- {
- name = "cli_width___cli_width_3.0.0.tgz";
- path = fetchurl {
- name = "cli_width___cli_width_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/cli-width/-/cli-width-3.0.0.tgz";
- sha1 = "a2f48437a2caa9a22436e794bf071ec9e61cedf6";
- };
- }
- {
- name = "cliui___cliui_5.0.0.tgz";
- path = fetchurl {
- name = "cliui___cliui_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/cliui/-/cliui-5.0.0.tgz";
- sha1 = "deefcfdb2e800784aa34f46fa08e06851c7bbbc5";
- };
- }
- {
- name = "co___co_4.6.0.tgz";
- path = fetchurl {
- name = "co___co_4.6.0.tgz";
- url = "https://registry.yarnpkg.com/co/-/co-4.6.0.tgz";
- sha1 = "6ea6bdf3d853ae54ccb8e47bfa0bf3f9031fb184";
- };
- }
- {
- name = "collection_visit___collection_visit_1.0.0.tgz";
- path = fetchurl {
- name = "collection_visit___collection_visit_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/collection-visit/-/collection-visit-1.0.0.tgz";
- sha1 = "4bc0373c164bc3291b4d368c829cf1a80a59dca0";
- };
- }
- {
- name = "color_convert___color_convert_1.9.3.tgz";
- path = fetchurl {
- name = "color_convert___color_convert_1.9.3.tgz";
- url = "https://registry.yarnpkg.com/color-convert/-/color-convert-1.9.3.tgz";
- sha1 = "bb71850690e1f136567de629d2d5471deda4c1e8";
- };
- }
- {
- name = "color_convert___color_convert_2.0.1.tgz";
- path = fetchurl {
- name = "color_convert___color_convert_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/color-convert/-/color-convert-2.0.1.tgz";
- sha1 = "72d3a68d598c9bdb3af2ad1e84f21d896abd4de3";
- };
- }
- {
- name = "color_name___color_name_1.1.3.tgz";
- path = fetchurl {
- name = "color_name___color_name_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/color-name/-/color-name-1.1.3.tgz";
- sha1 = "a7d0558bd89c42f795dd42328f740831ca53bc25";
- };
- }
- {
- name = "color_name___color_name_1.1.4.tgz";
- path = fetchurl {
- name = "color_name___color_name_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/color-name/-/color-name-1.1.4.tgz";
- sha1 = "c2a09a87acbde69543de6f63fa3995c826c536a2";
- };
- }
- {
- name = "colorette___colorette_1.2.2.tgz";
- path = fetchurl {
- name = "colorette___colorette_1.2.2.tgz";
- url = "https://registry.yarnpkg.com/colorette/-/colorette-1.2.2.tgz";
- sha1 = "cbcc79d5e99caea2dbf10eb3a26fd8b3e6acfa94";
- };
- }
- {
- name = "combined_stream___combined_stream_1.0.8.tgz";
- path = fetchurl {
- name = "combined_stream___combined_stream_1.0.8.tgz";
- url = "https://registry.yarnpkg.com/combined-stream/-/combined-stream-1.0.8.tgz";
- sha1 = "c3d45a8b34fd730631a110a8a2520682b31d5a7f";
- };
- }
- {
- name = "command_line_args___command_line_args_5.1.3.tgz";
- path = fetchurl {
- name = "command_line_args___command_line_args_5.1.3.tgz";
- url = "https://registry.yarnpkg.com/command-line-args/-/command-line-args-5.1.3.tgz";
- sha1 = "1e57d2816f28804073bb5e75cd24e02e2aa321e7";
- };
- }
- {
- name = "command_line_commands___command_line_commands_3.0.2.tgz";
- path = fetchurl {
- name = "command_line_commands___command_line_commands_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/command-line-commands/-/command-line-commands-3.0.2.tgz";
- sha1 = "53872a1181db837f21906b1228e260a4eeb42ee4";
- };
- }
- {
- name = "command_line_usage___command_line_usage_6.1.1.tgz";
- path = fetchurl {
- name = "command_line_usage___command_line_usage_6.1.1.tgz";
- url = "https://registry.yarnpkg.com/command-line-usage/-/command-line-usage-6.1.1.tgz";
- sha1 = "c908e28686108917758a49f45efb4f02f76bc03f";
- };
- }
- {
- name = "component_emitter___component_emitter_1.3.0.tgz";
- path = fetchurl {
- name = "component_emitter___component_emitter_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/component-emitter/-/component-emitter-1.3.0.tgz";
- sha1 = "16e4070fba8ae29b679f2215853ee181ab2eabc0";
- };
- }
- {
- name = "concat_map___concat_map_0.0.1.tgz";
- path = fetchurl {
- name = "concat_map___concat_map_0.0.1.tgz";
- url = "https://registry.yarnpkg.com/concat-map/-/concat-map-0.0.1.tgz";
- sha1 = "d8a96bd77fd68df7793a73036a3ba0d5405d477b";
- };
- }
- {
- name = "convert_source_map___convert_source_map_1.8.0.tgz";
- path = fetchurl {
- name = "convert_source_map___convert_source_map_1.8.0.tgz";
- url = "https://registry.yarnpkg.com/convert-source-map/-/convert-source-map-1.8.0.tgz";
- sha1 = "f3373c32d21b4d780dd8004514684fb791ca4369";
- };
- }
- {
- name = "copy_descriptor___copy_descriptor_0.1.1.tgz";
- path = fetchurl {
- name = "copy_descriptor___copy_descriptor_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/copy-descriptor/-/copy-descriptor-0.1.1.tgz";
- sha1 = "676f6eb3c39997c2ee1ac3a924fd6124748f578d";
- };
- }
- {
- name = "core_util_is___core_util_is_1.0.2.tgz";
- path = fetchurl {
- name = "core_util_is___core_util_is_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/core-util-is/-/core-util-is-1.0.2.tgz";
- sha1 = "b5fd54220aa2bc5ab57aab7140c940754503c1a7";
- };
- }
- {
- name = "cross_spawn___cross_spawn_6.0.5.tgz";
- path = fetchurl {
- name = "cross_spawn___cross_spawn_6.0.5.tgz";
- url = "https://registry.yarnpkg.com/cross-spawn/-/cross-spawn-6.0.5.tgz";
- sha1 = "4a5ec7c64dfae22c3a14124dbacdee846d80cbc4";
- };
- }
- {
- name = "cssom___cssom_0.3.8.tgz";
- path = fetchurl {
- name = "cssom___cssom_0.3.8.tgz";
- url = "https://registry.yarnpkg.com/cssom/-/cssom-0.3.8.tgz";
- sha1 = "9f1276f5b2b463f2114d3f2c75250af8c1a36f4a";
- };
- }
- {
- name = "cssstyle___cssstyle_1.4.0.tgz";
- path = fetchurl {
- name = "cssstyle___cssstyle_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/cssstyle/-/cssstyle-1.4.0.tgz";
- sha1 = "9d31328229d3c565c61e586b02041a28fccdccf1";
- };
- }
- {
- name = "dashdash___dashdash_1.14.1.tgz";
- path = fetchurl {
- name = "dashdash___dashdash_1.14.1.tgz";
- url = "https://registry.yarnpkg.com/dashdash/-/dashdash-1.14.1.tgz";
- sha1 = "853cfa0f7cbe2fed5de20326b8dd581035f6e2f0";
- };
- }
- {
- name = "data_urls___data_urls_1.1.0.tgz";
- path = fetchurl {
- name = "data_urls___data_urls_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/data-urls/-/data-urls-1.1.0.tgz";
- sha1 = "15ee0582baa5e22bb59c77140da8f9c76963bbfe";
- };
- }
- {
- name = "debug___debug_2.6.9.tgz";
- path = fetchurl {
- name = "debug___debug_2.6.9.tgz";
- url = "https://registry.yarnpkg.com/debug/-/debug-2.6.9.tgz";
- sha1 = "5d128515df134ff327e90a4c93f4e077a536341f";
- };
- }
- {
- name = "debug___debug_4.3.2.tgz";
- path = fetchurl {
- name = "debug___debug_4.3.2.tgz";
- url = "https://registry.yarnpkg.com/debug/-/debug-4.3.2.tgz";
- sha1 = "f0a49c18ac8779e31d4a0c6029dfb76873c7428b";
- };
- }
- {
- name = "decamelize___decamelize_1.2.0.tgz";
- path = fetchurl {
- name = "decamelize___decamelize_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/decamelize/-/decamelize-1.2.0.tgz";
- sha1 = "f6534d15148269b20352e7bee26f501f9a191290";
- };
- }
- {
- name = "decode_uri_component___decode_uri_component_0.2.0.tgz";
- path = fetchurl {
- name = "decode_uri_component___decode_uri_component_0.2.0.tgz";
- url = "https://registry.yarnpkg.com/decode-uri-component/-/decode-uri-component-0.2.0.tgz";
- sha1 = "eb3913333458775cb84cd1a1fae062106bb87545";
- };
- }
- {
- name = "deep_extend___deep_extend_0.6.0.tgz";
- path = fetchurl {
- name = "deep_extend___deep_extend_0.6.0.tgz";
- url = "https://registry.yarnpkg.com/deep-extend/-/deep-extend-0.6.0.tgz";
- sha1 = "c4fa7c95404a17a9c3e8ca7e1537312b736330ac";
- };
- }
- {
- name = "deep_is___deep_is_0.1.3.tgz";
- path = fetchurl {
- name = "deep_is___deep_is_0.1.3.tgz";
- url = "https://registry.yarnpkg.com/deep-is/-/deep-is-0.1.3.tgz";
- sha1 = "b369d6fb5dbc13eecf524f91b070feedc357cf34";
- };
- }
- {
- name = "define_properties___define_properties_1.1.3.tgz";
- path = fetchurl {
- name = "define_properties___define_properties_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/define-properties/-/define-properties-1.1.3.tgz";
- sha1 = "cf88da6cbee26fe6db7094f61d870cbd84cee9f1";
- };
- }
- {
- name = "define_property___define_property_0.2.5.tgz";
- path = fetchurl {
- name = "define_property___define_property_0.2.5.tgz";
- url = "https://registry.yarnpkg.com/define-property/-/define-property-0.2.5.tgz";
- sha1 = "c35b1ef918ec3c990f9a5bc57be04aacec5c8116";
- };
- }
- {
- name = "define_property___define_property_1.0.0.tgz";
- path = fetchurl {
- name = "define_property___define_property_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/define-property/-/define-property-1.0.0.tgz";
- sha1 = "769ebaaf3f4a63aad3af9e8d304c9bbe79bfb0e6";
- };
- }
- {
- name = "define_property___define_property_2.0.2.tgz";
- path = fetchurl {
- name = "define_property___define_property_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/define-property/-/define-property-2.0.2.tgz";
- sha1 = "d459689e8d654ba77e02a817f8710d702cb16e9d";
- };
- }
- {
- name = "delayed_stream___delayed_stream_1.0.0.tgz";
- path = fetchurl {
- name = "delayed_stream___delayed_stream_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/delayed-stream/-/delayed-stream-1.0.0.tgz";
- sha1 = "df3ae199acadfb7d440aaae0b29e2272b24ec619";
- };
- }
- {
- name = "detect_newline___detect_newline_2.1.0.tgz";
- path = fetchurl {
- name = "detect_newline___detect_newline_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/detect-newline/-/detect-newline-2.1.0.tgz";
- sha1 = "f41f1c10be4b00e87b5f13da680759f2c5bfd3e2";
- };
- }
- {
- name = "diff_sequences___diff_sequences_24.9.0.tgz";
- path = fetchurl {
- name = "diff_sequences___diff_sequences_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/diff-sequences/-/diff-sequences-24.9.0.tgz";
- sha1 = "5715d6244e2aa65f48bba0bc972db0b0b11e95b5";
- };
- }
- {
- name = "doctrine___doctrine_3.0.0.tgz";
- path = fetchurl {
- name = "doctrine___doctrine_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/doctrine/-/doctrine-3.0.0.tgz";
- sha1 = "addebead72a6574db783639dc87a121773973961";
- };
- }
- {
- name = "domexception___domexception_1.0.1.tgz";
- path = fetchurl {
- name = "domexception___domexception_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/domexception/-/domexception-1.0.1.tgz";
- sha1 = "937442644ca6a31261ef36e3ec677fe805582c90";
- };
- }
- {
- name = "ecc_jsbn___ecc_jsbn_0.1.2.tgz";
- path = fetchurl {
- name = "ecc_jsbn___ecc_jsbn_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/ecc-jsbn/-/ecc-jsbn-0.1.2.tgz";
- sha1 = "3a83a904e54353287874c564b7549386849a98c9";
- };
- }
- {
- name = "electron_to_chromium___electron_to_chromium_1.3.782.tgz";
- path = fetchurl {
- name = "electron_to_chromium___electron_to_chromium_1.3.782.tgz";
- url = "https://registry.yarnpkg.com/electron-to-chromium/-/electron-to-chromium-1.3.782.tgz";
- sha1 = "522740fe6b4b5255ca754c68d9c406a17b0998e2";
- };
- }
- {
- name = "emoji_regex___emoji_regex_7.0.3.tgz";
- path = fetchurl {
- name = "emoji_regex___emoji_regex_7.0.3.tgz";
- url = "https://registry.yarnpkg.com/emoji-regex/-/emoji-regex-7.0.3.tgz";
- sha1 = "933a04052860c85e83c122479c4748a8e4c72156";
- };
- }
- {
- name = "emoji_regex___emoji_regex_8.0.0.tgz";
- path = fetchurl {
- name = "emoji_regex___emoji_regex_8.0.0.tgz";
- url = "https://registry.yarnpkg.com/emoji-regex/-/emoji-regex-8.0.0.tgz";
- sha1 = "e818fd69ce5ccfcb404594f842963bf53164cc37";
- };
- }
- {
- name = "end_of_stream___end_of_stream_1.4.4.tgz";
- path = fetchurl {
- name = "end_of_stream___end_of_stream_1.4.4.tgz";
- url = "https://registry.yarnpkg.com/end-of-stream/-/end-of-stream-1.4.4.tgz";
- sha1 = "5ae64a5f45057baf3626ec14da0ca5e4b2431eb0";
- };
- }
- {
- name = "entities___entities_2.0.3.tgz";
- path = fetchurl {
- name = "entities___entities_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/entities/-/entities-2.0.3.tgz";
- sha1 = "5c487e5742ab93c15abb5da22759b8590ec03b7f";
- };
- }
- {
- name = "error_ex___error_ex_1.3.2.tgz";
- path = fetchurl {
- name = "error_ex___error_ex_1.3.2.tgz";
- url = "https://registry.yarnpkg.com/error-ex/-/error-ex-1.3.2.tgz";
- sha1 = "b4ac40648107fdcdcfae242f428bea8a14d4f1bf";
- };
- }
- {
- name = "es_abstract___es_abstract_1.18.3.tgz";
- path = fetchurl {
- name = "es_abstract___es_abstract_1.18.3.tgz";
- url = "https://registry.yarnpkg.com/es-abstract/-/es-abstract-1.18.3.tgz";
- sha1 = "25c4c3380a27aa203c44b2b685bba94da31b63e0";
- };
- }
- {
- name = "es_to_primitive___es_to_primitive_1.2.1.tgz";
- path = fetchurl {
- name = "es_to_primitive___es_to_primitive_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/es-to-primitive/-/es-to-primitive-1.2.1.tgz";
- sha1 = "e55cd4c9cdc188bcefb03b366c736323fc5c898a";
- };
- }
- {
- name = "escalade___escalade_3.1.1.tgz";
- path = fetchurl {
- name = "escalade___escalade_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/escalade/-/escalade-3.1.1.tgz";
- sha1 = "d8cfdc7000965c5a0174b4a82eaa5c0552742e40";
- };
- }
- {
- name = "escape_string_regexp___escape_string_regexp_1.0.5.tgz";
- path = fetchurl {
- name = "escape_string_regexp___escape_string_regexp_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-1.0.5.tgz";
- sha1 = "1b61c0562190a8dff6ae3bb2cf0200ca130b86d4";
- };
- }
- {
- name = "escape_string_regexp___escape_string_regexp_2.0.0.tgz";
- path = fetchurl {
- name = "escape_string_regexp___escape_string_regexp_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-2.0.0.tgz";
- sha1 = "a30304e99daa32e23b2fd20f51babd07cffca344";
- };
- }
- {
- name = "escodegen___escodegen_1.14.3.tgz";
- path = fetchurl {
- name = "escodegen___escodegen_1.14.3.tgz";
- url = "https://registry.yarnpkg.com/escodegen/-/escodegen-1.14.3.tgz";
- sha1 = "4e7b81fba61581dc97582ed78cab7f0e8d63f503";
- };
- }
- {
- name = "eslint_config_google___eslint_config_google_0.13.0.tgz";
- path = fetchurl {
- name = "eslint_config_google___eslint_config_google_0.13.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-config-google/-/eslint-config-google-0.13.0.tgz";
- sha1 = "e277d16d2cb25c1ffd3fd13fb0035ad7421382fe";
- };
- }
- {
- name = "eslint_scope___eslint_scope_5.1.1.tgz";
- path = fetchurl {
- name = "eslint_scope___eslint_scope_5.1.1.tgz";
- url = "https://registry.yarnpkg.com/eslint-scope/-/eslint-scope-5.1.1.tgz";
- sha1 = "e786e59a66cb92b3f6c1fb0d508aab174848f48c";
- };
- }
- {
- name = "eslint_utils___eslint_utils_1.4.3.tgz";
- path = fetchurl {
- name = "eslint_utils___eslint_utils_1.4.3.tgz";
- url = "https://registry.yarnpkg.com/eslint-utils/-/eslint-utils-1.4.3.tgz";
- sha1 = "74fec7c54d0776b6f67e0251040b5806564e981f";
- };
- }
- {
- name = "eslint_visitor_keys___eslint_visitor_keys_1.3.0.tgz";
- path = fetchurl {
- name = "eslint_visitor_keys___eslint_visitor_keys_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-visitor-keys/-/eslint-visitor-keys-1.3.0.tgz";
- sha1 = "30ebd1ef7c2fdff01c3a4f151044af25fab0523e";
- };
- }
- {
- name = "eslint___eslint_6.8.0.tgz";
- path = fetchurl {
- name = "eslint___eslint_6.8.0.tgz";
- url = "https://registry.yarnpkg.com/eslint/-/eslint-6.8.0.tgz";
- sha1 = "62262d6729739f9275723824302fb227c8c93ffb";
- };
- }
- {
- name = "espree___espree_6.2.1.tgz";
- path = fetchurl {
- name = "espree___espree_6.2.1.tgz";
- url = "https://registry.yarnpkg.com/espree/-/espree-6.2.1.tgz";
- sha1 = "77fc72e1fd744a2052c20f38a5b575832e82734a";
- };
- }
- {
- name = "esprima___esprima_4.0.1.tgz";
- path = fetchurl {
- name = "esprima___esprima_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/esprima/-/esprima-4.0.1.tgz";
- sha1 = "13b04cdb3e6c5d19df91ab6987a8695619b0aa71";
- };
- }
- {
- name = "esquery___esquery_1.4.0.tgz";
- path = fetchurl {
- name = "esquery___esquery_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/esquery/-/esquery-1.4.0.tgz";
- sha1 = "2148ffc38b82e8c7057dfed48425b3e61f0f24a5";
- };
- }
- {
- name = "esrecurse___esrecurse_4.3.0.tgz";
- path = fetchurl {
- name = "esrecurse___esrecurse_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/esrecurse/-/esrecurse-4.3.0.tgz";
- sha1 = "7ad7964d679abb28bee72cec63758b1c5d2c9921";
- };
- }
- {
- name = "estraverse___estraverse_4.3.0.tgz";
- path = fetchurl {
- name = "estraverse___estraverse_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/estraverse/-/estraverse-4.3.0.tgz";
- sha1 = "398ad3f3c5a24948be7725e83d11a7de28cdbd1d";
- };
- }
- {
- name = "estraverse___estraverse_5.2.0.tgz";
- path = fetchurl {
- name = "estraverse___estraverse_5.2.0.tgz";
- url = "https://registry.yarnpkg.com/estraverse/-/estraverse-5.2.0.tgz";
- sha1 = "307df42547e6cc7324d3cf03c155d5cdb8c53880";
- };
- }
- {
- name = "esutils___esutils_2.0.3.tgz";
- path = fetchurl {
- name = "esutils___esutils_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/esutils/-/esutils-2.0.3.tgz";
- sha1 = "74d2eb4de0b8da1293711910d50775b9b710ef64";
- };
- }
- {
- name = "exec_sh___exec_sh_0.3.6.tgz";
- path = fetchurl {
- name = "exec_sh___exec_sh_0.3.6.tgz";
- url = "https://registry.yarnpkg.com/exec-sh/-/exec-sh-0.3.6.tgz";
- sha1 = "ff264f9e325519a60cb5e273692943483cca63bc";
- };
- }
- {
- name = "execa___execa_1.0.0.tgz";
- path = fetchurl {
- name = "execa___execa_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/execa/-/execa-1.0.0.tgz";
- sha1 = "c6236a5bb4df6d6f15e88e7f017798216749ddd8";
- };
- }
- {
- name = "exit___exit_0.1.2.tgz";
- path = fetchurl {
- name = "exit___exit_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/exit/-/exit-0.1.2.tgz";
- sha1 = "0632638f8d877cc82107d30a0fff1a17cba1cd0c";
- };
- }
- {
- name = "expand_brackets___expand_brackets_2.1.4.tgz";
- path = fetchurl {
- name = "expand_brackets___expand_brackets_2.1.4.tgz";
- url = "https://registry.yarnpkg.com/expand-brackets/-/expand-brackets-2.1.4.tgz";
- sha1 = "b77735e315ce30f6b6eff0f83b04151a22449622";
- };
- }
- {
- name = "expect___expect_24.9.0.tgz";
- path = fetchurl {
- name = "expect___expect_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/expect/-/expect-24.9.0.tgz";
- sha1 = "b75165b4817074fa4a157794f46fe9f1ba15b6ca";
- };
- }
- {
- name = "extend_shallow___extend_shallow_2.0.1.tgz";
- path = fetchurl {
- name = "extend_shallow___extend_shallow_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/extend-shallow/-/extend-shallow-2.0.1.tgz";
- sha1 = "51af7d614ad9a9f610ea1bafbb989d6b1c56890f";
- };
- }
- {
- name = "extend_shallow___extend_shallow_3.0.2.tgz";
- path = fetchurl {
- name = "extend_shallow___extend_shallow_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/extend-shallow/-/extend-shallow-3.0.2.tgz";
- sha1 = "26a71aaf073b39fb2127172746131c2704028db8";
- };
- }
- {
- name = "extend___extend_3.0.2.tgz";
- path = fetchurl {
- name = "extend___extend_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/extend/-/extend-3.0.2.tgz";
- sha1 = "f8b1136b4071fbd8eb140aff858b1019ec2915fa";
- };
- }
- {
- name = "external_editor___external_editor_3.1.0.tgz";
- path = fetchurl {
- name = "external_editor___external_editor_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/external-editor/-/external-editor-3.1.0.tgz";
- sha1 = "cb03f740befae03ea4d283caed2741a83f335495";
- };
- }
- {
- name = "extglob___extglob_2.0.4.tgz";
- path = fetchurl {
- name = "extglob___extglob_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/extglob/-/extglob-2.0.4.tgz";
- sha1 = "ad00fe4dc612a9232e8718711dc5cb5ab0285543";
- };
- }
- {
- name = "extsprintf___extsprintf_1.3.0.tgz";
- path = fetchurl {
- name = "extsprintf___extsprintf_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/extsprintf/-/extsprintf-1.3.0.tgz";
- sha1 = "96918440e3041a7a414f8c52e3c574eb3c3e1e05";
- };
- }
- {
- name = "extsprintf___extsprintf_1.4.0.tgz";
- path = fetchurl {
- name = "extsprintf___extsprintf_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/extsprintf/-/extsprintf-1.4.0.tgz";
- sha1 = "e2689f8f356fad62cca65a3a91c5df5f9551692f";
- };
- }
- {
- name = "fast_deep_equal___fast_deep_equal_3.1.3.tgz";
- path = fetchurl {
- name = "fast_deep_equal___fast_deep_equal_3.1.3.tgz";
- url = "https://registry.yarnpkg.com/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz";
- sha1 = "3a7d56b559d6cbc3eb512325244e619a65c6c525";
- };
- }
- {
- name = "fast_json_stable_stringify___fast_json_stable_stringify_2.1.0.tgz";
- path = fetchurl {
- name = "fast_json_stable_stringify___fast_json_stable_stringify_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/fast-json-stable-stringify/-/fast-json-stable-stringify-2.1.0.tgz";
- sha1 = "874bf69c6f404c2b5d99c481341399fd55892633";
- };
- }
- {
- name = "fast_levenshtein___fast_levenshtein_2.0.6.tgz";
- path = fetchurl {
- name = "fast_levenshtein___fast_levenshtein_2.0.6.tgz";
- url = "https://registry.yarnpkg.com/fast-levenshtein/-/fast-levenshtein-2.0.6.tgz";
- sha1 = "3d8a5c66883a16a30ca8643e851f19baa7797917";
- };
- }
- {
- name = "fb_watchman___fb_watchman_2.0.1.tgz";
- path = fetchurl {
- name = "fb_watchman___fb_watchman_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/fb-watchman/-/fb-watchman-2.0.1.tgz";
- sha1 = "fc84fb39d2709cf3ff6d743706157bb5708a8a85";
- };
- }
- {
- name = "figures___figures_3.2.0.tgz";
- path = fetchurl {
- name = "figures___figures_3.2.0.tgz";
- url = "https://registry.yarnpkg.com/figures/-/figures-3.2.0.tgz";
- sha1 = "625c18bd293c604dc4a8ddb2febf0c88341746af";
- };
- }
- {
- name = "file_entry_cache___file_entry_cache_5.0.1.tgz";
- path = fetchurl {
- name = "file_entry_cache___file_entry_cache_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/file-entry-cache/-/file-entry-cache-5.0.1.tgz";
- sha1 = "ca0f6efa6dd3d561333fb14515065c2fafdf439c";
- };
- }
- {
- name = "file_uri_to_path___file_uri_to_path_1.0.0.tgz";
- path = fetchurl {
- name = "file_uri_to_path___file_uri_to_path_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/file-uri-to-path/-/file-uri-to-path-1.0.0.tgz";
- sha1 = "553a7b8446ff6f684359c445f1e37a05dacc33dd";
- };
- }
- {
- name = "fill_range___fill_range_4.0.0.tgz";
- path = fetchurl {
- name = "fill_range___fill_range_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/fill-range/-/fill-range-4.0.0.tgz";
- sha1 = "d544811d428f98eb06a63dc402d2403c328c38f7";
- };
- }
- {
- name = "find_replace___find_replace_3.0.0.tgz";
- path = fetchurl {
- name = "find_replace___find_replace_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/find-replace/-/find-replace-3.0.0.tgz";
- sha1 = "3e7e23d3b05167a76f770c9fbd5258b0def68c38";
- };
- }
- {
- name = "find_up___find_up_3.0.0.tgz";
- path = fetchurl {
- name = "find_up___find_up_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/find-up/-/find-up-3.0.0.tgz";
- sha1 = "49169f1d7993430646da61ecc5ae355c21c97b73";
- };
- }
- {
- name = "flat_cache___flat_cache_2.0.1.tgz";
- path = fetchurl {
- name = "flat_cache___flat_cache_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/flat-cache/-/flat-cache-2.0.1.tgz";
- sha1 = "5d296d6f04bda44a4630a301413bdbc2ec085ec0";
- };
- }
- {
- name = "flatted___flatted_2.0.2.tgz";
- path = fetchurl {
- name = "flatted___flatted_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/flatted/-/flatted-2.0.2.tgz";
- sha1 = "4575b21e2bcee7434aa9be662f4b7b5f9c2b5138";
- };
- }
- {
- name = "for_each___for_each_0.3.3.tgz";
- path = fetchurl {
- name = "for_each___for_each_0.3.3.tgz";
- url = "https://registry.yarnpkg.com/for-each/-/for-each-0.3.3.tgz";
- sha1 = "69b447e88a0a5d32c3e7084f3f1710034b21376e";
- };
- }
- {
- name = "for_in___for_in_1.0.2.tgz";
- path = fetchurl {
- name = "for_in___for_in_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/for-in/-/for-in-1.0.2.tgz";
- sha1 = "81068d295a8142ec0ac726c6e2200c30fb6d5e80";
- };
- }
- {
- name = "forever_agent___forever_agent_0.6.1.tgz";
- path = fetchurl {
- name = "forever_agent___forever_agent_0.6.1.tgz";
- url = "https://registry.yarnpkg.com/forever-agent/-/forever-agent-0.6.1.tgz";
- sha1 = "fbc71f0c41adeb37f96c577ad1ed42d8fdacca91";
- };
- }
- {
- name = "form_data___form_data_2.3.3.tgz";
- path = fetchurl {
- name = "form_data___form_data_2.3.3.tgz";
- url = "https://registry.yarnpkg.com/form-data/-/form-data-2.3.3.tgz";
- sha1 = "dcce52c05f644f298c6a7ab936bd724ceffbf3a6";
- };
- }
- {
- name = "fragment_cache___fragment_cache_0.2.1.tgz";
- path = fetchurl {
- name = "fragment_cache___fragment_cache_0.2.1.tgz";
- url = "https://registry.yarnpkg.com/fragment-cache/-/fragment-cache-0.2.1.tgz";
- sha1 = "4290fad27f13e89be7f33799c6bc5a0abfff0d19";
- };
- }
- {
- name = "fs.realpath___fs.realpath_1.0.0.tgz";
- path = fetchurl {
- name = "fs.realpath___fs.realpath_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/fs.realpath/-/fs.realpath-1.0.0.tgz";
- sha1 = "1504ad2523158caa40db4a2787cb01411994ea4f";
- };
- }
- {
- name = "fsevents___fsevents_1.2.13.tgz";
- path = fetchurl {
- name = "fsevents___fsevents_1.2.13.tgz";
- url = "https://registry.yarnpkg.com/fsevents/-/fsevents-1.2.13.tgz";
- sha1 = "f325cb0455592428bcf11b383370ef70e3bfcc38";
- };
- }
- {
- name = "function_bind___function_bind_1.1.1.tgz";
- path = fetchurl {
- name = "function_bind___function_bind_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/function-bind/-/function-bind-1.1.1.tgz";
- sha1 = "a56899d3ea3c9bab874bb9773b7c5ede92f4895d";
- };
- }
- {
- name = "functional_red_black_tree___functional_red_black_tree_1.0.1.tgz";
- path = fetchurl {
- name = "functional_red_black_tree___functional_red_black_tree_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/functional-red-black-tree/-/functional-red-black-tree-1.0.1.tgz";
- sha1 = "1b0ab3bd553b2a0d6399d29c0e3ea0b252078327";
- };
- }
- {
- name = "gensync___gensync_1.0.0_beta.2.tgz";
- path = fetchurl {
- name = "gensync___gensync_1.0.0_beta.2.tgz";
- url = "https://registry.yarnpkg.com/gensync/-/gensync-1.0.0-beta.2.tgz";
- sha1 = "32a6ee76c3d7f52d46b2b1ae5d93fea8580a25e0";
- };
- }
- {
- name = "get_caller_file___get_caller_file_2.0.5.tgz";
- path = fetchurl {
- name = "get_caller_file___get_caller_file_2.0.5.tgz";
- url = "https://registry.yarnpkg.com/get-caller-file/-/get-caller-file-2.0.5.tgz";
- sha1 = "4f94412a82db32f36e3b0b9741f8a97feb031f7e";
- };
- }
- {
- name = "get_intrinsic___get_intrinsic_1.1.1.tgz";
- path = fetchurl {
- name = "get_intrinsic___get_intrinsic_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/get-intrinsic/-/get-intrinsic-1.1.1.tgz";
- sha1 = "15f59f376f855c446963948f0d24cd3637b4abc6";
- };
- }
- {
- name = "get_stream___get_stream_4.1.0.tgz";
- path = fetchurl {
- name = "get_stream___get_stream_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/get-stream/-/get-stream-4.1.0.tgz";
- sha1 = "c1b255575f3dc21d59bfc79cd3d2b46b1c3a54b5";
- };
- }
- {
- name = "get_value___get_value_2.0.6.tgz";
- path = fetchurl {
- name = "get_value___get_value_2.0.6.tgz";
- url = "https://registry.yarnpkg.com/get-value/-/get-value-2.0.6.tgz";
- sha1 = "dc15ca1c672387ca76bd37ac0a395ba2042a2c28";
- };
- }
- {
- name = "getpass___getpass_0.1.7.tgz";
- path = fetchurl {
- name = "getpass___getpass_0.1.7.tgz";
- url = "https://registry.yarnpkg.com/getpass/-/getpass-0.1.7.tgz";
- sha1 = "5eff8e3e684d569ae4cb2b1282604e8ba62149fa";
- };
- }
- {
- name = "git_config___git_config_0.0.7.tgz";
- path = fetchurl {
- name = "git_config___git_config_0.0.7.tgz";
- url = "https://registry.yarnpkg.com/git-config/-/git-config-0.0.7.tgz";
- sha1 = "a9c8a3ef07a776c3d72261356d8b727b62202b28";
- };
- }
- {
- name = "glob_parent___glob_parent_5.1.2.tgz";
- path = fetchurl {
- name = "glob_parent___glob_parent_5.1.2.tgz";
- url = "https://registry.yarnpkg.com/glob-parent/-/glob-parent-5.1.2.tgz";
- sha1 = "869832c58034fe68a4093c17dc15e8340d8401c4";
- };
- }
- {
- name = "glob___glob_7.1.7.tgz";
- path = fetchurl {
- name = "glob___glob_7.1.7.tgz";
- url = "https://registry.yarnpkg.com/glob/-/glob-7.1.7.tgz";
- sha1 = "3b193e9233f01d42d0b3f78294bbeeb418f94a90";
- };
- }
- {
- name = "globals___globals_11.12.0.tgz";
- path = fetchurl {
- name = "globals___globals_11.12.0.tgz";
- url = "https://registry.yarnpkg.com/globals/-/globals-11.12.0.tgz";
- sha1 = "ab8795338868a0babd8525758018c2a7eb95c42e";
- };
- }
- {
- name = "globals___globals_12.4.0.tgz";
- path = fetchurl {
- name = "globals___globals_12.4.0.tgz";
- url = "https://registry.yarnpkg.com/globals/-/globals-12.4.0.tgz";
- sha1 = "a18813576a41b00a24a97e7f815918c2e19925f8";
- };
- }
- {
- name = "graceful_fs___graceful_fs_4.2.6.tgz";
- path = fetchurl {
- name = "graceful_fs___graceful_fs_4.2.6.tgz";
- url = "https://registry.yarnpkg.com/graceful-fs/-/graceful-fs-4.2.6.tgz";
- sha1 = "ff040b2b0853b23c3d31027523706f1885d76bee";
- };
- }
- {
- name = "growly___growly_1.3.0.tgz";
- path = fetchurl {
- name = "growly___growly_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/growly/-/growly-1.3.0.tgz";
- sha1 = "f10748cbe76af964b7c96c93c6bcc28af120c081";
- };
- }
- {
- name = "handlebars___handlebars_4.7.7.tgz";
- path = fetchurl {
- name = "handlebars___handlebars_4.7.7.tgz";
- url = "https://registry.yarnpkg.com/handlebars/-/handlebars-4.7.7.tgz";
- sha1 = "9ce33416aad02dbd6c8fafa8240d5d98004945a1";
- };
- }
- {
- name = "har_schema___har_schema_2.0.0.tgz";
- path = fetchurl {
- name = "har_schema___har_schema_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/har-schema/-/har-schema-2.0.0.tgz";
- sha1 = "a94c2224ebcac04782a0d9035521f24735b7ec92";
- };
- }
- {
- name = "har_validator___har_validator_5.1.5.tgz";
- path = fetchurl {
- name = "har_validator___har_validator_5.1.5.tgz";
- url = "https://registry.yarnpkg.com/har-validator/-/har-validator-5.1.5.tgz";
- sha1 = "1f0803b9f8cb20c0fa13822df1ecddb36bde1efd";
- };
- }
- {
- name = "has_bigints___has_bigints_1.0.1.tgz";
- path = fetchurl {
- name = "has_bigints___has_bigints_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/has-bigints/-/has-bigints-1.0.1.tgz";
- sha1 = "64fe6acb020673e3b78db035a5af69aa9d07b113";
- };
- }
- {
- name = "has_flag___has_flag_3.0.0.tgz";
- path = fetchurl {
- name = "has_flag___has_flag_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/has-flag/-/has-flag-3.0.0.tgz";
- sha1 = "b5d454dc2199ae225699f3467e5a07f3b955bafd";
- };
- }
- {
- name = "has_flag___has_flag_4.0.0.tgz";
- path = fetchurl {
- name = "has_flag___has_flag_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/has-flag/-/has-flag-4.0.0.tgz";
- sha1 = "944771fd9c81c81265c4d6941860da06bb59479b";
- };
- }
- {
- name = "has_symbols___has_symbols_1.0.2.tgz";
- path = fetchurl {
- name = "has_symbols___has_symbols_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/has-symbols/-/has-symbols-1.0.2.tgz";
- sha1 = "165d3070c00309752a1236a479331e3ac56f1423";
- };
- }
- {
- name = "has_value___has_value_0.3.1.tgz";
- path = fetchurl {
- name = "has_value___has_value_0.3.1.tgz";
- url = "https://registry.yarnpkg.com/has-value/-/has-value-0.3.1.tgz";
- sha1 = "7b1f58bada62ca827ec0a2078025654845995e1f";
- };
- }
- {
- name = "has_value___has_value_1.0.0.tgz";
- path = fetchurl {
- name = "has_value___has_value_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/has-value/-/has-value-1.0.0.tgz";
- sha1 = "18b281da585b1c5c51def24c930ed29a0be6b177";
- };
- }
- {
- name = "has_values___has_values_0.1.4.tgz";
- path = fetchurl {
- name = "has_values___has_values_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/has-values/-/has-values-0.1.4.tgz";
- sha1 = "6d61de95d91dfca9b9a02089ad384bff8f62b771";
- };
- }
- {
- name = "has_values___has_values_1.0.0.tgz";
- path = fetchurl {
- name = "has_values___has_values_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/has-values/-/has-values-1.0.0.tgz";
- sha1 = "95b0b63fec2146619a6fe57fe75628d5a39efe4f";
- };
- }
- {
- name = "has___has_1.0.3.tgz";
- path = fetchurl {
- name = "has___has_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/has/-/has-1.0.3.tgz";
- sha1 = "722d7cbfc1f6aa8241f16dd814e011e1f41e8796";
- };
- }
- {
- name = "hosted_git_info___hosted_git_info_2.8.9.tgz";
- path = fetchurl {
- name = "hosted_git_info___hosted_git_info_2.8.9.tgz";
- url = "https://registry.yarnpkg.com/hosted-git-info/-/hosted-git-info-2.8.9.tgz";
- sha1 = "dffc0bf9a21c02209090f2aa69429e1414daf3f9";
- };
- }
- {
- name = "html_encoding_sniffer___html_encoding_sniffer_1.0.2.tgz";
- path = fetchurl {
- name = "html_encoding_sniffer___html_encoding_sniffer_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/html-encoding-sniffer/-/html-encoding-sniffer-1.0.2.tgz";
- sha1 = "e70d84b94da53aa375e11fe3a351be6642ca46f8";
- };
- }
- {
- name = "html_escaper___html_escaper_2.0.2.tgz";
- path = fetchurl {
- name = "html_escaper___html_escaper_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/html-escaper/-/html-escaper-2.0.2.tgz";
- sha1 = "dfd60027da36a36dfcbe236262c00a5822681453";
- };
- }
- {
- name = "http_signature___http_signature_1.2.0.tgz";
- path = fetchurl {
- name = "http_signature___http_signature_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/http-signature/-/http-signature-1.2.0.tgz";
- sha1 = "9aecd925114772f3d95b65a60abb8f7c18fbace1";
- };
- }
- {
- name = "iconv_lite___iconv_lite_0.4.24.tgz";
- path = fetchurl {
- name = "iconv_lite___iconv_lite_0.4.24.tgz";
- url = "https://registry.yarnpkg.com/iconv-lite/-/iconv-lite-0.4.24.tgz";
- sha1 = "2022b4b25fbddc21d2f524974a474aafe733908b";
- };
- }
- {
- name = "ignore___ignore_4.0.6.tgz";
- path = fetchurl {
- name = "ignore___ignore_4.0.6.tgz";
- url = "https://registry.yarnpkg.com/ignore/-/ignore-4.0.6.tgz";
- sha1 = "750e3db5862087b4737ebac8207ffd1ef27b25fc";
- };
- }
- {
- name = "import_fresh___import_fresh_3.3.0.tgz";
- path = fetchurl {
- name = "import_fresh___import_fresh_3.3.0.tgz";
- url = "https://registry.yarnpkg.com/import-fresh/-/import-fresh-3.3.0.tgz";
- sha1 = "37162c25fcb9ebaa2e6e53d5b4d88ce17d9e0c2b";
- };
- }
- {
- name = "import_local___import_local_2.0.0.tgz";
- path = fetchurl {
- name = "import_local___import_local_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/import-local/-/import-local-2.0.0.tgz";
- sha1 = "55070be38a5993cf18ef6db7e961f5bee5c5a09d";
- };
- }
- {
- name = "imurmurhash___imurmurhash_0.1.4.tgz";
- path = fetchurl {
- name = "imurmurhash___imurmurhash_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/imurmurhash/-/imurmurhash-0.1.4.tgz";
- sha1 = "9218b9b2b928a238b13dc4fb6b6d576f231453ea";
- };
- }
- {
- name = "inflight___inflight_1.0.6.tgz";
- path = fetchurl {
- name = "inflight___inflight_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/inflight/-/inflight-1.0.6.tgz";
- sha1 = "49bd6331d7d02d0c09bc910a1075ba8165b56df9";
- };
- }
- {
- name = "inherits___inherits_2.0.4.tgz";
- path = fetchurl {
- name = "inherits___inherits_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/inherits/-/inherits-2.0.4.tgz";
- sha1 = "0fa2c64f932917c3433a0ded55363aae37416b7c";
- };
- }
- {
- name = "iniparser___iniparser_1.0.5.tgz";
- path = fetchurl {
- name = "iniparser___iniparser_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/iniparser/-/iniparser-1.0.5.tgz";
- sha1 = "836d6befe6dfbfcee0bccf1cf9f2acc7027f783d";
- };
- }
- {
- name = "inquirer___inquirer_7.3.3.tgz";
- path = fetchurl {
- name = "inquirer___inquirer_7.3.3.tgz";
- url = "https://registry.yarnpkg.com/inquirer/-/inquirer-7.3.3.tgz";
- sha1 = "04d176b2af04afc157a83fd7c100e98ee0aad003";
- };
- }
- {
- name = "invariant___invariant_2.2.4.tgz";
- path = fetchurl {
- name = "invariant___invariant_2.2.4.tgz";
- url = "https://registry.yarnpkg.com/invariant/-/invariant-2.2.4.tgz";
- sha1 = "610f3c92c9359ce1db616e538008d23ff35158e6";
- };
- }
- {
- name = "is_accessor_descriptor___is_accessor_descriptor_0.1.6.tgz";
- path = fetchurl {
- name = "is_accessor_descriptor___is_accessor_descriptor_0.1.6.tgz";
- url = "https://registry.yarnpkg.com/is-accessor-descriptor/-/is-accessor-descriptor-0.1.6.tgz";
- sha1 = "a9e12cb3ae8d876727eeef3843f8a0897b5c98d6";
- };
- }
- {
- name = "is_accessor_descriptor___is_accessor_descriptor_1.0.0.tgz";
- path = fetchurl {
- name = "is_accessor_descriptor___is_accessor_descriptor_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-accessor-descriptor/-/is-accessor-descriptor-1.0.0.tgz";
- sha1 = "169c2f6d3df1f992618072365c9b0ea1f6878656";
- };
- }
- {
- name = "is_arrayish___is_arrayish_0.2.1.tgz";
- path = fetchurl {
- name = "is_arrayish___is_arrayish_0.2.1.tgz";
- url = "https://registry.yarnpkg.com/is-arrayish/-/is-arrayish-0.2.1.tgz";
- sha1 = "77c99840527aa8ecb1a8ba697b80645a7a926a9d";
- };
- }
- {
- name = "is_bigint___is_bigint_1.0.2.tgz";
- path = fetchurl {
- name = "is_bigint___is_bigint_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/is-bigint/-/is-bigint-1.0.2.tgz";
- sha1 = "ffb381442503235ad245ea89e45b3dbff040ee5a";
- };
- }
- {
- name = "is_boolean_object___is_boolean_object_1.1.1.tgz";
- path = fetchurl {
- name = "is_boolean_object___is_boolean_object_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/is-boolean-object/-/is-boolean-object-1.1.1.tgz";
- sha1 = "3c0878f035cb821228d350d2e1e36719716a3de8";
- };
- }
- {
- name = "is_buffer___is_buffer_1.1.6.tgz";
- path = fetchurl {
- name = "is_buffer___is_buffer_1.1.6.tgz";
- url = "https://registry.yarnpkg.com/is-buffer/-/is-buffer-1.1.6.tgz";
- sha1 = "efaa2ea9daa0d7ab2ea13a97b2b8ad51fefbe8be";
- };
- }
- {
- name = "is_callable___is_callable_1.2.3.tgz";
- path = fetchurl {
- name = "is_callable___is_callable_1.2.3.tgz";
- url = "https://registry.yarnpkg.com/is-callable/-/is-callable-1.2.3.tgz";
- sha1 = "8b1e0500b73a1d76c70487636f368e519de8db8e";
- };
- }
- {
- name = "is_ci___is_ci_2.0.0.tgz";
- path = fetchurl {
- name = "is_ci___is_ci_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-ci/-/is-ci-2.0.0.tgz";
- sha1 = "6bc6334181810e04b5c22b3d589fdca55026404c";
- };
- }
- {
- name = "is_core_module___is_core_module_2.5.0.tgz";
- path = fetchurl {
- name = "is_core_module___is_core_module_2.5.0.tgz";
- url = "https://registry.yarnpkg.com/is-core-module/-/is-core-module-2.5.0.tgz";
- sha1 = "f754843617c70bfd29b7bd87327400cda5c18491";
- };
- }
- {
- name = "is_data_descriptor___is_data_descriptor_0.1.4.tgz";
- path = fetchurl {
- name = "is_data_descriptor___is_data_descriptor_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/is-data-descriptor/-/is-data-descriptor-0.1.4.tgz";
- sha1 = "0b5ee648388e2c860282e793f1856fec3f301b56";
- };
- }
- {
- name = "is_data_descriptor___is_data_descriptor_1.0.0.tgz";
- path = fetchurl {
- name = "is_data_descriptor___is_data_descriptor_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-data-descriptor/-/is-data-descriptor-1.0.0.tgz";
- sha1 = "d84876321d0e7add03990406abbbbd36ba9268c7";
- };
- }
- {
- name = "is_date_object___is_date_object_1.0.4.tgz";
- path = fetchurl {
- name = "is_date_object___is_date_object_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/is-date-object/-/is-date-object-1.0.4.tgz";
- sha1 = "550cfcc03afada05eea3dd30981c7b09551f73e5";
- };
- }
- {
- name = "is_descriptor___is_descriptor_0.1.6.tgz";
- path = fetchurl {
- name = "is_descriptor___is_descriptor_0.1.6.tgz";
- url = "https://registry.yarnpkg.com/is-descriptor/-/is-descriptor-0.1.6.tgz";
- sha1 = "366d8240dde487ca51823b1ab9f07a10a78251ca";
- };
- }
- {
- name = "is_descriptor___is_descriptor_1.0.2.tgz";
- path = fetchurl {
- name = "is_descriptor___is_descriptor_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/is-descriptor/-/is-descriptor-1.0.2.tgz";
- sha1 = "3b159746a66604b04f8c81524ba365c5f14d86ec";
- };
- }
- {
- name = "is_extendable___is_extendable_0.1.1.tgz";
- path = fetchurl {
- name = "is_extendable___is_extendable_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/is-extendable/-/is-extendable-0.1.1.tgz";
- sha1 = "62b110e289a471418e3ec36a617d472e301dfc89";
- };
- }
- {
- name = "is_extendable___is_extendable_1.0.1.tgz";
- path = fetchurl {
- name = "is_extendable___is_extendable_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/is-extendable/-/is-extendable-1.0.1.tgz";
- sha1 = "a7470f9e426733d81bd81e1155264e3a3507cab4";
- };
- }
- {
- name = "is_extglob___is_extglob_2.1.1.tgz";
- path = fetchurl {
- name = "is_extglob___is_extglob_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/is-extglob/-/is-extglob-2.1.1.tgz";
- sha1 = "a88c02535791f02ed37c76a1b9ea9773c833f8c2";
- };
- }
- {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_2.0.0.tgz";
- path = fetchurl {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-2.0.0.tgz";
- sha1 = "a3b30a5c4f199183167aaab93beefae3ddfb654f";
- };
- }
- {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_3.0.0.tgz";
- path = fetchurl {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz";
- sha1 = "f116f8064fe90b3f7844a38997c0b75051269f1d";
- };
- }
- {
- name = "is_generator_fn___is_generator_fn_2.1.0.tgz";
- path = fetchurl {
- name = "is_generator_fn___is_generator_fn_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-generator-fn/-/is-generator-fn-2.1.0.tgz";
- sha1 = "7d140adc389aaf3011a8f2a2a4cfa6faadffb118";
- };
- }
- {
- name = "is_glob___is_glob_4.0.1.tgz";
- path = fetchurl {
- name = "is_glob___is_glob_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/is-glob/-/is-glob-4.0.1.tgz";
- sha1 = "7567dbe9f2f5e2467bc77ab83c4a29482407a5dc";
- };
- }
- {
- name = "is_negative_zero___is_negative_zero_2.0.1.tgz";
- path = fetchurl {
- name = "is_negative_zero___is_negative_zero_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/is-negative-zero/-/is-negative-zero-2.0.1.tgz";
- sha1 = "3de746c18dda2319241a53675908d8f766f11c24";
- };
- }
- {
- name = "is_number_object___is_number_object_1.0.5.tgz";
- path = fetchurl {
- name = "is_number_object___is_number_object_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/is-number-object/-/is-number-object-1.0.5.tgz";
- sha1 = "6edfaeed7950cff19afedce9fbfca9ee6dd289eb";
- };
- }
- {
- name = "is_number___is_number_3.0.0.tgz";
- path = fetchurl {
- name = "is_number___is_number_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-number/-/is-number-3.0.0.tgz";
- sha1 = "24fd6201a4782cf50561c810276afc7d12d71195";
- };
- }
- {
- name = "is_plain_object___is_plain_object_2.0.4.tgz";
- path = fetchurl {
- name = "is_plain_object___is_plain_object_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/is-plain-object/-/is-plain-object-2.0.4.tgz";
- sha1 = "2c163b3fafb1b606d9d17928f05c2a1c38e07677";
- };
- }
- {
- name = "is_regex___is_regex_1.1.3.tgz";
- path = fetchurl {
- name = "is_regex___is_regex_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/is-regex/-/is-regex-1.1.3.tgz";
- sha1 = "d029f9aff6448b93ebbe3f33dac71511fdcbef9f";
- };
- }
- {
- name = "is_stream___is_stream_1.1.0.tgz";
- path = fetchurl {
- name = "is_stream___is_stream_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-stream/-/is-stream-1.1.0.tgz";
- sha1 = "12d4a3dd4e68e0b79ceb8dbc84173ae80d91ca44";
- };
- }
- {
- name = "is_string___is_string_1.0.6.tgz";
- path = fetchurl {
- name = "is_string___is_string_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/is-string/-/is-string-1.0.6.tgz";
- sha1 = "3fe5d5992fb0d93404f32584d4b0179a71b54a5f";
- };
- }
- {
- name = "is_symbol___is_symbol_1.0.4.tgz";
- path = fetchurl {
- name = "is_symbol___is_symbol_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/is-symbol/-/is-symbol-1.0.4.tgz";
- sha1 = "a6dac93b635b063ca6872236de88910a57af139c";
- };
- }
- {
- name = "is_typedarray___is_typedarray_1.0.0.tgz";
- path = fetchurl {
- name = "is_typedarray___is_typedarray_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-typedarray/-/is-typedarray-1.0.0.tgz";
- sha1 = "e479c80858df0c1b11ddda6940f96011fcda4a9a";
- };
- }
- {
- name = "is_windows___is_windows_1.0.2.tgz";
- path = fetchurl {
- name = "is_windows___is_windows_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/is-windows/-/is-windows-1.0.2.tgz";
- sha1 = "d1850eb9791ecd18e6182ce12a30f396634bb19d";
- };
- }
- {
- name = "is_wsl___is_wsl_1.1.0.tgz";
- path = fetchurl {
- name = "is_wsl___is_wsl_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-wsl/-/is-wsl-1.1.0.tgz";
- sha1 = "1f16e4aa22b04d1336b66188a66af3c600c3a66d";
- };
- }
- {
- name = "isarray___isarray_1.0.0.tgz";
- path = fetchurl {
- name = "isarray___isarray_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/isarray/-/isarray-1.0.0.tgz";
- sha1 = "bb935d48582cba168c06834957a54a3e07124f11";
- };
- }
- {
- name = "isexe___isexe_2.0.0.tgz";
- path = fetchurl {
- name = "isexe___isexe_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/isexe/-/isexe-2.0.0.tgz";
- sha1 = "e8fbf374dc556ff8947a10dcb0572d633f2cfa10";
- };
- }
- {
- name = "isobject___isobject_2.1.0.tgz";
- path = fetchurl {
- name = "isobject___isobject_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/isobject/-/isobject-2.1.0.tgz";
- sha1 = "f065561096a3f1da2ef46272f815c840d87e0c89";
- };
- }
- {
- name = "isobject___isobject_3.0.1.tgz";
- path = fetchurl {
- name = "isobject___isobject_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/isobject/-/isobject-3.0.1.tgz";
- sha1 = "4e431e92b11a9731636aa1f9c8d1ccbcfdab78df";
- };
- }
- {
- name = "isstream___isstream_0.1.2.tgz";
- path = fetchurl {
- name = "isstream___isstream_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/isstream/-/isstream-0.1.2.tgz";
- sha1 = "47e63f7af55afa6f92e1500e690eb8b8529c099a";
- };
- }
- {
- name = "istanbul_lib_coverage___istanbul_lib_coverage_2.0.5.tgz";
- path = fetchurl {
- name = "istanbul_lib_coverage___istanbul_lib_coverage_2.0.5.tgz";
- url = "https://registry.yarnpkg.com/istanbul-lib-coverage/-/istanbul-lib-coverage-2.0.5.tgz";
- sha1 = "675f0ab69503fad4b1d849f736baaca803344f49";
- };
- }
- {
- name = "istanbul_lib_instrument___istanbul_lib_instrument_3.3.0.tgz";
- path = fetchurl {
- name = "istanbul_lib_instrument___istanbul_lib_instrument_3.3.0.tgz";
- url = "https://registry.yarnpkg.com/istanbul-lib-instrument/-/istanbul-lib-instrument-3.3.0.tgz";
- sha1 = "a5f63d91f0bbc0c3e479ef4c5de027335ec6d630";
- };
- }
- {
- name = "istanbul_lib_report___istanbul_lib_report_2.0.8.tgz";
- path = fetchurl {
- name = "istanbul_lib_report___istanbul_lib_report_2.0.8.tgz";
- url = "https://registry.yarnpkg.com/istanbul-lib-report/-/istanbul-lib-report-2.0.8.tgz";
- sha1 = "5a8113cd746d43c4889eba36ab10e7d50c9b4f33";
- };
- }
- {
- name = "istanbul_lib_source_maps___istanbul_lib_source_maps_3.0.6.tgz";
- path = fetchurl {
- name = "istanbul_lib_source_maps___istanbul_lib_source_maps_3.0.6.tgz";
- url = "https://registry.yarnpkg.com/istanbul-lib-source-maps/-/istanbul-lib-source-maps-3.0.6.tgz";
- sha1 = "284997c48211752ec486253da97e3879defba8c8";
- };
- }
- {
- name = "istanbul_reports___istanbul_reports_2.2.7.tgz";
- path = fetchurl {
- name = "istanbul_reports___istanbul_reports_2.2.7.tgz";
- url = "https://registry.yarnpkg.com/istanbul-reports/-/istanbul-reports-2.2.7.tgz";
- sha1 = "5d939f6237d7b48393cc0959eab40cd4fd056931";
- };
- }
- {
- name = "jest_changed_files___jest_changed_files_24.9.0.tgz";
- path = fetchurl {
- name = "jest_changed_files___jest_changed_files_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-changed-files/-/jest-changed-files-24.9.0.tgz";
- sha1 = "08d8c15eb79a7fa3fc98269bc14b451ee82f8039";
- };
- }
- {
- name = "jest_cli___jest_cli_24.9.0.tgz";
- path = fetchurl {
- name = "jest_cli___jest_cli_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-cli/-/jest-cli-24.9.0.tgz";
- sha1 = "ad2de62d07472d419c6abc301fc432b98b10d2af";
- };
- }
- {
- name = "jest_config___jest_config_24.9.0.tgz";
- path = fetchurl {
- name = "jest_config___jest_config_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-config/-/jest-config-24.9.0.tgz";
- sha1 = "fb1bbc60c73a46af03590719efa4825e6e4dd1b5";
- };
- }
- {
- name = "jest_diff___jest_diff_24.9.0.tgz";
- path = fetchurl {
- name = "jest_diff___jest_diff_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-diff/-/jest-diff-24.9.0.tgz";
- sha1 = "931b7d0d5778a1baf7452cb816e325e3724055da";
- };
- }
- {
- name = "jest_docblock___jest_docblock_24.9.0.tgz";
- path = fetchurl {
- name = "jest_docblock___jest_docblock_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-docblock/-/jest-docblock-24.9.0.tgz";
- sha1 = "7970201802ba560e1c4092cc25cbedf5af5a8ce2";
- };
- }
- {
- name = "jest_each___jest_each_24.9.0.tgz";
- path = fetchurl {
- name = "jest_each___jest_each_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-each/-/jest-each-24.9.0.tgz";
- sha1 = "eb2da602e2a610898dbc5f1f6df3ba86b55f8b05";
- };
- }
- {
- name = "jest_environment_jsdom___jest_environment_jsdom_24.9.0.tgz";
- path = fetchurl {
- name = "jest_environment_jsdom___jest_environment_jsdom_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-environment-jsdom/-/jest-environment-jsdom-24.9.0.tgz";
- sha1 = "4b0806c7fc94f95edb369a69cc2778eec2b7375b";
- };
- }
- {
- name = "jest_environment_node___jest_environment_node_24.9.0.tgz";
- path = fetchurl {
- name = "jest_environment_node___jest_environment_node_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-environment-node/-/jest-environment-node-24.9.0.tgz";
- sha1 = "333d2d2796f9687f2aeebf0742b519f33c1cbfd3";
- };
- }
- {
- name = "jest_get_type___jest_get_type_24.9.0.tgz";
- path = fetchurl {
- name = "jest_get_type___jest_get_type_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-get-type/-/jest-get-type-24.9.0.tgz";
- sha1 = "1684a0c8a50f2e4901b6644ae861f579eed2ef0e";
- };
- }
- {
- name = "jest_haste_map___jest_haste_map_24.9.0.tgz";
- path = fetchurl {
- name = "jest_haste_map___jest_haste_map_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-haste-map/-/jest-haste-map-24.9.0.tgz";
- sha1 = "b38a5d64274934e21fa417ae9a9fbeb77ceaac7d";
- };
- }
- {
- name = "jest_jasmine2___jest_jasmine2_24.9.0.tgz";
- path = fetchurl {
- name = "jest_jasmine2___jest_jasmine2_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-jasmine2/-/jest-jasmine2-24.9.0.tgz";
- sha1 = "1f7b1bd3242c1774e62acabb3646d96afc3be6a0";
- };
- }
- {
- name = "jest_leak_detector___jest_leak_detector_24.9.0.tgz";
- path = fetchurl {
- name = "jest_leak_detector___jest_leak_detector_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-leak-detector/-/jest-leak-detector-24.9.0.tgz";
- sha1 = "b665dea7c77100c5c4f7dfcb153b65cf07dcf96a";
- };
- }
- {
- name = "jest_matcher_utils___jest_matcher_utils_24.9.0.tgz";
- path = fetchurl {
- name = "jest_matcher_utils___jest_matcher_utils_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-matcher-utils/-/jest-matcher-utils-24.9.0.tgz";
- sha1 = "f5b3661d5e628dffe6dd65251dfdae0e87c3a073";
- };
- }
- {
- name = "jest_message_util___jest_message_util_24.9.0.tgz";
- path = fetchurl {
- name = "jest_message_util___jest_message_util_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-message-util/-/jest-message-util-24.9.0.tgz";
- sha1 = "527f54a1e380f5e202a8d1149b0ec872f43119e3";
- };
- }
- {
- name = "jest_mock___jest_mock_24.9.0.tgz";
- path = fetchurl {
- name = "jest_mock___jest_mock_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-mock/-/jest-mock-24.9.0.tgz";
- sha1 = "c22835541ee379b908673ad51087a2185c13f1c6";
- };
- }
- {
- name = "jest_pnp_resolver___jest_pnp_resolver_1.2.2.tgz";
- path = fetchurl {
- name = "jest_pnp_resolver___jest_pnp_resolver_1.2.2.tgz";
- url = "https://registry.yarnpkg.com/jest-pnp-resolver/-/jest-pnp-resolver-1.2.2.tgz";
- sha1 = "b704ac0ae028a89108a4d040b3f919dfddc8e33c";
- };
- }
- {
- name = "jest_regex_util___jest_regex_util_24.9.0.tgz";
- path = fetchurl {
- name = "jest_regex_util___jest_regex_util_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-regex-util/-/jest-regex-util-24.9.0.tgz";
- sha1 = "c13fb3380bde22bf6575432c493ea8fe37965636";
- };
- }
- {
- name = "jest_resolve_dependencies___jest_resolve_dependencies_24.9.0.tgz";
- path = fetchurl {
- name = "jest_resolve_dependencies___jest_resolve_dependencies_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-resolve-dependencies/-/jest-resolve-dependencies-24.9.0.tgz";
- sha1 = "ad055198959c4cfba8a4f066c673a3f0786507ab";
- };
- }
- {
- name = "jest_resolve___jest_resolve_24.9.0.tgz";
- path = fetchurl {
- name = "jest_resolve___jest_resolve_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-resolve/-/jest-resolve-24.9.0.tgz";
- sha1 = "dff04c7687af34c4dd7e524892d9cf77e5d17321";
- };
- }
- {
- name = "jest_runner___jest_runner_24.9.0.tgz";
- path = fetchurl {
- name = "jest_runner___jest_runner_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-runner/-/jest-runner-24.9.0.tgz";
- sha1 = "574fafdbd54455c2b34b4bdf4365a23857fcdf42";
- };
- }
- {
- name = "jest_runtime___jest_runtime_24.9.0.tgz";
- path = fetchurl {
- name = "jest_runtime___jest_runtime_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-runtime/-/jest-runtime-24.9.0.tgz";
- sha1 = "9f14583af6a4f7314a6a9d9f0226e1a781c8e4ac";
- };
- }
- {
- name = "jest_serializer___jest_serializer_24.9.0.tgz";
- path = fetchurl {
- name = "jest_serializer___jest_serializer_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-serializer/-/jest-serializer-24.9.0.tgz";
- sha1 = "e6d7d7ef96d31e8b9079a714754c5d5c58288e73";
- };
- }
- {
- name = "jest_snapshot___jest_snapshot_24.9.0.tgz";
- path = fetchurl {
- name = "jest_snapshot___jest_snapshot_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-snapshot/-/jest-snapshot-24.9.0.tgz";
- sha1 = "ec8e9ca4f2ec0c5c87ae8f925cf97497b0e951ba";
- };
- }
- {
- name = "jest_util___jest_util_24.9.0.tgz";
- path = fetchurl {
- name = "jest_util___jest_util_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-util/-/jest-util-24.9.0.tgz";
- sha1 = "7396814e48536d2e85a37de3e4c431d7cb140162";
- };
- }
- {
- name = "jest_validate___jest_validate_24.9.0.tgz";
- path = fetchurl {
- name = "jest_validate___jest_validate_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-validate/-/jest-validate-24.9.0.tgz";
- sha1 = "0775c55360d173cd854e40180756d4ff52def8ab";
- };
- }
- {
- name = "jest_watcher___jest_watcher_24.9.0.tgz";
- path = fetchurl {
- name = "jest_watcher___jest_watcher_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-watcher/-/jest-watcher-24.9.0.tgz";
- sha1 = "4b56e5d1ceff005f5b88e528dc9afc8dd4ed2b3b";
- };
- }
- {
- name = "jest_worker___jest_worker_24.9.0.tgz";
- path = fetchurl {
- name = "jest_worker___jest_worker_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest-worker/-/jest-worker-24.9.0.tgz";
- sha1 = "5dbfdb5b2d322e98567898238a9697bcce67b3e5";
- };
- }
- {
- name = "jest___jest_24.9.0.tgz";
- path = fetchurl {
- name = "jest___jest_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/jest/-/jest-24.9.0.tgz";
- sha1 = "987d290c05a08b52c56188c1002e368edb007171";
- };
- }
- {
- name = "js_tokens___js_tokens_4.0.0.tgz";
- path = fetchurl {
- name = "js_tokens___js_tokens_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/js-tokens/-/js-tokens-4.0.0.tgz";
- sha1 = "19203fb59991df98e3a287050d4647cdeaf32499";
- };
- }
- {
- name = "js_yaml___js_yaml_3.14.1.tgz";
- path = fetchurl {
- name = "js_yaml___js_yaml_3.14.1.tgz";
- url = "https://registry.yarnpkg.com/js-yaml/-/js-yaml-3.14.1.tgz";
- sha1 = "dae812fdb3825fa306609a8717383c50c36a0537";
- };
- }
- {
- name = "js2xmlparser___js2xmlparser_4.0.1.tgz";
- path = fetchurl {
- name = "js2xmlparser___js2xmlparser_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/js2xmlparser/-/js2xmlparser-4.0.1.tgz";
- sha1 = "670ef71bc5661f089cc90481b99a05a1227ae3bd";
- };
- }
- {
- name = "jsbn___jsbn_0.1.1.tgz";
- path = fetchurl {
- name = "jsbn___jsbn_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/jsbn/-/jsbn-0.1.1.tgz";
- sha1 = "a5e654c2e5a2deb5f201d96cefbca80c0ef2f513";
- };
- }
- {
- name = "jsdoc___jsdoc_3.6.7.tgz";
- path = fetchurl {
- name = "jsdoc___jsdoc_3.6.7.tgz";
- url = "https://registry.yarnpkg.com/jsdoc/-/jsdoc-3.6.7.tgz";
- sha1 = "00431e376bed7f9de4716c6f15caa80e64492b89";
- };
- }
- {
- name = "jsdom___jsdom_11.12.0.tgz";
- path = fetchurl {
- name = "jsdom___jsdom_11.12.0.tgz";
- url = "https://registry.yarnpkg.com/jsdom/-/jsdom-11.12.0.tgz";
- sha1 = "1a80d40ddd378a1de59656e9e6dc5a3ba8657bc8";
- };
- }
- {
- name = "jsesc___jsesc_2.5.2.tgz";
- path = fetchurl {
- name = "jsesc___jsesc_2.5.2.tgz";
- url = "https://registry.yarnpkg.com/jsesc/-/jsesc-2.5.2.tgz";
- sha1 = "80564d2e483dacf6e8ef209650a67df3f0c283a4";
- };
- }
- {
- name = "json_parse_better_errors___json_parse_better_errors_1.0.2.tgz";
- path = fetchurl {
- name = "json_parse_better_errors___json_parse_better_errors_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/json-parse-better-errors/-/json-parse-better-errors-1.0.2.tgz";
- sha1 = "bb867cfb3450e69107c131d1c514bab3dc8bcaa9";
- };
- }
- {
- name = "json_schema_traverse___json_schema_traverse_0.4.1.tgz";
- path = fetchurl {
- name = "json_schema_traverse___json_schema_traverse_0.4.1.tgz";
- url = "https://registry.yarnpkg.com/json-schema-traverse/-/json-schema-traverse-0.4.1.tgz";
- sha1 = "69f6a87d9513ab8bb8fe63bdb0979c448e684660";
- };
- }
- {
- name = "json_schema___json_schema_0.2.3.tgz";
- path = fetchurl {
- name = "json_schema___json_schema_0.2.3.tgz";
- url = "https://registry.yarnpkg.com/json-schema/-/json-schema-0.2.3.tgz";
- sha1 = "b480c892e59a2f05954ce727bd3f2a4e882f9e13";
- };
- }
- {
- name = "json_stable_stringify_without_jsonify___json_stable_stringify_without_jsonify_1.0.1.tgz";
- path = fetchurl {
- name = "json_stable_stringify_without_jsonify___json_stable_stringify_without_jsonify_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/json-stable-stringify-without-jsonify/-/json-stable-stringify-without-jsonify-1.0.1.tgz";
- sha1 = "9db7b59496ad3f3cfef30a75142d2d930ad72651";
- };
- }
- {
- name = "json_stringify_safe___json_stringify_safe_5.0.1.tgz";
- path = fetchurl {
- name = "json_stringify_safe___json_stringify_safe_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/json-stringify-safe/-/json-stringify-safe-5.0.1.tgz";
- sha1 = "1296a2d58fd45f19a0f6ce01d65701e2c735b6eb";
- };
- }
- {
- name = "json5___json5_2.2.0.tgz";
- path = fetchurl {
- name = "json5___json5_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/json5/-/json5-2.2.0.tgz";
- sha1 = "2dfefe720c6ba525d9ebd909950f0515316c89a3";
- };
- }
- {
- name = "jsprim___jsprim_1.4.1.tgz";
- path = fetchurl {
- name = "jsprim___jsprim_1.4.1.tgz";
- url = "https://registry.yarnpkg.com/jsprim/-/jsprim-1.4.1.tgz";
- sha1 = "313e66bc1e5cc06e438bc1b7499c2e5c56acb6a2";
- };
- }
- {
- name = "kind_of___kind_of_3.2.2.tgz";
- path = fetchurl {
- name = "kind_of___kind_of_3.2.2.tgz";
- url = "https://registry.yarnpkg.com/kind-of/-/kind-of-3.2.2.tgz";
- sha1 = "31ea21a734bab9bbb0f32466d893aea51e4a3c64";
- };
- }
- {
- name = "kind_of___kind_of_4.0.0.tgz";
- path = fetchurl {
- name = "kind_of___kind_of_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/kind-of/-/kind-of-4.0.0.tgz";
- sha1 = "20813df3d712928b207378691a45066fae72dd57";
- };
- }
- {
- name = "kind_of___kind_of_5.1.0.tgz";
- path = fetchurl {
- name = "kind_of___kind_of_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/kind-of/-/kind-of-5.1.0.tgz";
- sha1 = "729c91e2d857b7a419a1f9aa65685c4c33f5845d";
- };
- }
- {
- name = "kind_of___kind_of_6.0.3.tgz";
- path = fetchurl {
- name = "kind_of___kind_of_6.0.3.tgz";
- url = "https://registry.yarnpkg.com/kind-of/-/kind-of-6.0.3.tgz";
- sha1 = "07c05034a6c349fa06e24fa35aa76db4580ce4dd";
- };
- }
- {
- name = "klaw___klaw_3.0.0.tgz";
- path = fetchurl {
- name = "klaw___klaw_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/klaw/-/klaw-3.0.0.tgz";
- sha1 = "b11bec9cf2492f06756d6e809ab73a2910259146";
- };
- }
- {
- name = "kleur___kleur_3.0.3.tgz";
- path = fetchurl {
- name = "kleur___kleur_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/kleur/-/kleur-3.0.3.tgz";
- sha1 = "a79c9ecc86ee1ce3fa6206d1216c501f147fc07e";
- };
- }
- {
- name = "left_pad___left_pad_1.3.0.tgz";
- path = fetchurl {
- name = "left_pad___left_pad_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/left-pad/-/left-pad-1.3.0.tgz";
- sha1 = "5b8a3a7765dfe001261dde915589e782f8c94d1e";
- };
- }
- {
- name = "leven___leven_3.1.0.tgz";
- path = fetchurl {
- name = "leven___leven_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/leven/-/leven-3.1.0.tgz";
- sha1 = "77891de834064cccba82ae7842bb6b14a13ed7f2";
- };
- }
- {
- name = "levn___levn_0.3.0.tgz";
- path = fetchurl {
- name = "levn___levn_0.3.0.tgz";
- url = "https://registry.yarnpkg.com/levn/-/levn-0.3.0.tgz";
- sha1 = "3b09924edf9f083c0490fdd4c0bc4421e04764ee";
- };
- }
- {
- name = "linkify_it___linkify_it_2.2.0.tgz";
- path = fetchurl {
- name = "linkify_it___linkify_it_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/linkify-it/-/linkify-it-2.2.0.tgz";
- sha1 = "e3b54697e78bf915c70a38acd78fd09e0058b1cf";
- };
- }
- {
- name = "load_json_file___load_json_file_4.0.0.tgz";
- path = fetchurl {
- name = "load_json_file___load_json_file_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/load-json-file/-/load-json-file-4.0.0.tgz";
- sha1 = "2f5f45ab91e33216234fd53adab668eb4ec0993b";
- };
- }
- {
- name = "locate_path___locate_path_3.0.0.tgz";
- path = fetchurl {
- name = "locate_path___locate_path_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/locate-path/-/locate-path-3.0.0.tgz";
- sha1 = "dbec3b3ab759758071b58fe59fc41871af21400e";
- };
- }
- {
- name = "lodash.camelcase___lodash.camelcase_4.3.0.tgz";
- path = fetchurl {
- name = "lodash.camelcase___lodash.camelcase_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.camelcase/-/lodash.camelcase-4.3.0.tgz";
- sha1 = "b28aa6288a2b9fc651035c7711f65ab6190331a6";
- };
- }
- {
- name = "lodash.sortby___lodash.sortby_4.7.0.tgz";
- path = fetchurl {
- name = "lodash.sortby___lodash.sortby_4.7.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.sortby/-/lodash.sortby-4.7.0.tgz";
- sha1 = "edd14c824e2cc9c1e0b0a1b42bb5210516a42438";
- };
- }
- {
- name = "lodash___lodash_4.17.21.tgz";
- path = fetchurl {
- name = "lodash___lodash_4.17.21.tgz";
- url = "https://registry.yarnpkg.com/lodash/-/lodash-4.17.21.tgz";
- sha1 = "679591c564c3bffaae8454cf0b3df370c3d6911c";
- };
- }
- {
- name = "loose_envify___loose_envify_1.4.0.tgz";
- path = fetchurl {
- name = "loose_envify___loose_envify_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/loose-envify/-/loose-envify-1.4.0.tgz";
- sha1 = "71ee51fa7be4caec1a63839f7e682d8132d30caf";
- };
- }
- {
- name = "lru_cache___lru_cache_6.0.0.tgz";
- path = fetchurl {
- name = "lru_cache___lru_cache_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/lru-cache/-/lru-cache-6.0.0.tgz";
- sha1 = "6d6fe6570ebd96aaf90fcad1dafa3b2566db3a94";
- };
- }
- {
- name = "make_dir___make_dir_2.1.0.tgz";
- path = fetchurl {
- name = "make_dir___make_dir_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/make-dir/-/make-dir-2.1.0.tgz";
- sha1 = "5f0310e18b8be898cc07009295a30ae41e91e6f5";
- };
- }
- {
- name = "make_promises_safe___make_promises_safe_5.1.0.tgz";
- path = fetchurl {
- name = "make_promises_safe___make_promises_safe_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/make-promises-safe/-/make-promises-safe-5.1.0.tgz";
- sha1 = "dd9d311f555bcaa144f12e225b3d37785f0aa8f2";
- };
- }
- {
- name = "makeerror___makeerror_1.0.11.tgz";
- path = fetchurl {
- name = "makeerror___makeerror_1.0.11.tgz";
- url = "https://registry.yarnpkg.com/makeerror/-/makeerror-1.0.11.tgz";
- sha1 = "e01a5c9109f2af79660e4e8b9587790184f5a96c";
- };
- }
- {
- name = "map_cache___map_cache_0.2.2.tgz";
- path = fetchurl {
- name = "map_cache___map_cache_0.2.2.tgz";
- url = "https://registry.yarnpkg.com/map-cache/-/map-cache-0.2.2.tgz";
- sha1 = "c32abd0bd6525d9b051645bb4f26ac5dc98a0dbf";
- };
- }
- {
- name = "map_visit___map_visit_1.0.0.tgz";
- path = fetchurl {
- name = "map_visit___map_visit_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/map-visit/-/map-visit-1.0.0.tgz";
- sha1 = "ecdca8f13144e660f1b5bd41f12f3479d98dfb8f";
- };
- }
- {
- name = "markdown_it_anchor___markdown_it_anchor_5.3.0.tgz";
- path = fetchurl {
- name = "markdown_it_anchor___markdown_it_anchor_5.3.0.tgz";
- url = "https://registry.yarnpkg.com/markdown-it-anchor/-/markdown-it-anchor-5.3.0.tgz";
- sha1 = "d549acd64856a8ecd1bea58365ef385effbac744";
- };
- }
- {
- name = "markdown_it___markdown_it_10.0.0.tgz";
- path = fetchurl {
- name = "markdown_it___markdown_it_10.0.0.tgz";
- url = "https://registry.yarnpkg.com/markdown-it/-/markdown-it-10.0.0.tgz";
- sha1 = "abfc64f141b1722d663402044e43927f1f50a8dc";
- };
- }
- {
- name = "marked___marked_2.1.3.tgz";
- path = fetchurl {
- name = "marked___marked_2.1.3.tgz";
- url = "https://registry.yarnpkg.com/marked/-/marked-2.1.3.tgz";
- sha1 = "bd017cef6431724fd4b27e0657f5ceb14bff3753";
- };
- }
- {
- name = "mdurl___mdurl_1.0.1.tgz";
- path = fetchurl {
- name = "mdurl___mdurl_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/mdurl/-/mdurl-1.0.1.tgz";
- sha1 = "fe85b2ec75a59037f2adfec100fd6c601761152e";
- };
- }
- {
- name = "merge_stream___merge_stream_2.0.0.tgz";
- path = fetchurl {
- name = "merge_stream___merge_stream_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/merge-stream/-/merge-stream-2.0.0.tgz";
- sha1 = "52823629a14dd00c9770fb6ad47dc6310f2c1f60";
- };
- }
- {
- name = "micromatch___micromatch_3.1.10.tgz";
- path = fetchurl {
- name = "micromatch___micromatch_3.1.10.tgz";
- url = "https://registry.yarnpkg.com/micromatch/-/micromatch-3.1.10.tgz";
- sha1 = "70859bc95c9840952f359a068a3fc49f9ecfac23";
- };
- }
- {
- name = "mime_db___mime_db_1.48.0.tgz";
- path = fetchurl {
- name = "mime_db___mime_db_1.48.0.tgz";
- url = "https://registry.yarnpkg.com/mime-db/-/mime-db-1.48.0.tgz";
- sha1 = "e35b31045dd7eada3aaad537ed88a33afbef2d1d";
- };
- }
- {
- name = "mime_types___mime_types_2.1.31.tgz";
- path = fetchurl {
- name = "mime_types___mime_types_2.1.31.tgz";
- url = "https://registry.yarnpkg.com/mime-types/-/mime-types-2.1.31.tgz";
- sha1 = "a00d76b74317c61f9c2db2218b8e9f8e9c5c9e6b";
- };
- }
- {
- name = "mimic_fn___mimic_fn_2.1.0.tgz";
- path = fetchurl {
- name = "mimic_fn___mimic_fn_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/mimic-fn/-/mimic-fn-2.1.0.tgz";
- sha1 = "7ed2c2ccccaf84d3ffcb7a69b57711fc2083401b";
- };
- }
- {
- name = "minimatch___minimatch_3.0.4.tgz";
- path = fetchurl {
- name = "minimatch___minimatch_3.0.4.tgz";
- url = "https://registry.yarnpkg.com/minimatch/-/minimatch-3.0.4.tgz";
- sha1 = "5166e286457f03306064be5497e8dbb0c3d32083";
- };
- }
- {
- name = "minimist___minimist_1.2.5.tgz";
- path = fetchurl {
- name = "minimist___minimist_1.2.5.tgz";
- url = "https://registry.yarnpkg.com/minimist/-/minimist-1.2.5.tgz";
- sha1 = "67d66014b66a6a8aaa0c083c5fd58df4e4e97602";
- };
- }
- {
- name = "mixin_deep___mixin_deep_1.3.2.tgz";
- path = fetchurl {
- name = "mixin_deep___mixin_deep_1.3.2.tgz";
- url = "https://registry.yarnpkg.com/mixin-deep/-/mixin-deep-1.3.2.tgz";
- sha1 = "1120b43dc359a785dce65b55b82e257ccf479566";
- };
- }
- {
- name = "mkdirp___mkdirp_0.5.5.tgz";
- path = fetchurl {
- name = "mkdirp___mkdirp_0.5.5.tgz";
- url = "https://registry.yarnpkg.com/mkdirp/-/mkdirp-0.5.5.tgz";
- sha1 = "d91cefd62d1436ca0f41620e251288d420099def";
- };
- }
- {
- name = "mkdirp___mkdirp_1.0.4.tgz";
- path = fetchurl {
- name = "mkdirp___mkdirp_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/mkdirp/-/mkdirp-1.0.4.tgz";
- sha1 = "3eb5ed62622756d79a5f0e2a221dfebad75c2f7e";
- };
- }
- {
- name = "ms___ms_2.0.0.tgz";
- path = fetchurl {
- name = "ms___ms_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/ms/-/ms-2.0.0.tgz";
- sha1 = "5608aeadfc00be6c2901df5f9861788de0d597c8";
- };
- }
- {
- name = "ms___ms_2.1.2.tgz";
- path = fetchurl {
- name = "ms___ms_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/ms/-/ms-2.1.2.tgz";
- sha1 = "d09d1f357b443f493382a8eb3ccd183872ae6009";
- };
- }
- {
- name = "mute_stream___mute_stream_0.0.8.tgz";
- path = fetchurl {
- name = "mute_stream___mute_stream_0.0.8.tgz";
- url = "https://registry.yarnpkg.com/mute-stream/-/mute-stream-0.0.8.tgz";
- sha1 = "1630c42b2251ff81e2a283de96a5497ea92e5e0d";
- };
- }
- {
- name = "nan___nan_2.14.2.tgz";
- path = fetchurl {
- name = "nan___nan_2.14.2.tgz";
- url = "https://registry.yarnpkg.com/nan/-/nan-2.14.2.tgz";
- sha1 = "f5376400695168f4cc694ac9393d0c9585eeea19";
- };
- }
- {
- name = "nanomatch___nanomatch_1.2.13.tgz";
- path = fetchurl {
- name = "nanomatch___nanomatch_1.2.13.tgz";
- url = "https://registry.yarnpkg.com/nanomatch/-/nanomatch-1.2.13.tgz";
- sha1 = "b87a8aa4fc0de8fe6be88895b38983ff265bd119";
- };
- }
- {
- name = "natural_compare___natural_compare_1.4.0.tgz";
- path = fetchurl {
- name = "natural_compare___natural_compare_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/natural-compare/-/natural-compare-1.4.0.tgz";
- sha1 = "4abebfeed7541f2c27acfb29bdbbd15c8d5ba4f7";
- };
- }
- {
- name = "neo_async___neo_async_2.6.2.tgz";
- path = fetchurl {
- name = "neo_async___neo_async_2.6.2.tgz";
- url = "https://registry.yarnpkg.com/neo-async/-/neo-async-2.6.2.tgz";
- sha1 = "b4aafb93e3aeb2d8174ca53cf163ab7d7308305f";
- };
- }
- {
- name = "neon_cli___neon_cli_0.8.3.tgz";
- path = fetchurl {
- name = "neon_cli___neon_cli_0.8.3.tgz";
- url = "https://registry.yarnpkg.com/neon-cli/-/neon-cli-0.8.3.tgz";
- sha1 = "dea3a00021a07b9ef05e73464e45c94a2bf0fd3a";
- };
- }
- {
- name = "nice_try___nice_try_1.0.5.tgz";
- path = fetchurl {
- name = "nice_try___nice_try_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/nice-try/-/nice-try-1.0.5.tgz";
- sha1 = "a3378a7696ce7d223e88fc9b764bd7ef1089e366";
- };
- }
- {
- name = "node_int64___node_int64_0.4.0.tgz";
- path = fetchurl {
- name = "node_int64___node_int64_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/node-int64/-/node-int64-0.4.0.tgz";
- sha1 = "87a9065cdb355d3182d8f94ce11188b825c68a3b";
- };
- }
- {
- name = "node_modules_regexp___node_modules_regexp_1.0.0.tgz";
- path = fetchurl {
- name = "node_modules_regexp___node_modules_regexp_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/node-modules-regexp/-/node-modules-regexp-1.0.0.tgz";
- sha1 = "8d9dbe28964a4ac5712e9131642107c71e90ec40";
- };
- }
- {
- name = "node_notifier___node_notifier_5.4.5.tgz";
- path = fetchurl {
- name = "node_notifier___node_notifier_5.4.5.tgz";
- url = "https://registry.yarnpkg.com/node-notifier/-/node-notifier-5.4.5.tgz";
- sha1 = "0cbc1a2b0f658493b4025775a13ad938e96091ef";
- };
- }
- {
- name = "node_releases___node_releases_1.1.73.tgz";
- path = fetchurl {
- name = "node_releases___node_releases_1.1.73.tgz";
- url = "https://registry.yarnpkg.com/node-releases/-/node-releases-1.1.73.tgz";
- sha1 = "dd4e81ddd5277ff846b80b52bb40c49edf7a7b20";
- };
- }
- {
- name = "normalize_package_data___normalize_package_data_2.5.0.tgz";
- path = fetchurl {
- name = "normalize_package_data___normalize_package_data_2.5.0.tgz";
- url = "https://registry.yarnpkg.com/normalize-package-data/-/normalize-package-data-2.5.0.tgz";
- sha1 = "e66db1838b200c1dfc233225d12cb36520e234a8";
- };
- }
- {
- name = "normalize_path___normalize_path_2.1.1.tgz";
- path = fetchurl {
- name = "normalize_path___normalize_path_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/normalize-path/-/normalize-path-2.1.1.tgz";
- sha1 = "1ab28b556e198363a8c1a6f7e6fa20137fe6aed9";
- };
- }
- {
- name = "npm_run_path___npm_run_path_2.0.2.tgz";
- path = fetchurl {
- name = "npm_run_path___npm_run_path_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/npm-run-path/-/npm-run-path-2.0.2.tgz";
- sha1 = "35a9232dfa35d7067b4cb2ddf2357b1871536c5f";
- };
- }
- {
- name = "nwsapi___nwsapi_2.2.0.tgz";
- path = fetchurl {
- name = "nwsapi___nwsapi_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/nwsapi/-/nwsapi-2.2.0.tgz";
- sha1 = "204879a9e3d068ff2a55139c2c772780681a38b7";
- };
- }
- {
- name = "oauth_sign___oauth_sign_0.9.0.tgz";
- path = fetchurl {
- name = "oauth_sign___oauth_sign_0.9.0.tgz";
- url = "https://registry.yarnpkg.com/oauth-sign/-/oauth-sign-0.9.0.tgz";
- sha1 = "47a7b016baa68b5fa0ecf3dee08a85c679ac6455";
- };
- }
- {
- name = "object_copy___object_copy_0.1.0.tgz";
- path = fetchurl {
- name = "object_copy___object_copy_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/object-copy/-/object-copy-0.1.0.tgz";
- sha1 = "7e7d858b781bd7c991a41ba975ed3812754e998c";
- };
- }
- {
- name = "object_inspect___object_inspect_1.11.0.tgz";
- path = fetchurl {
- name = "object_inspect___object_inspect_1.11.0.tgz";
- url = "https://registry.yarnpkg.com/object-inspect/-/object-inspect-1.11.0.tgz";
- sha1 = "9dceb146cedd4148a0d9e51ab88d34cf509922b1";
- };
- }
- {
- name = "object_keys___object_keys_1.1.1.tgz";
- path = fetchurl {
- name = "object_keys___object_keys_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/object-keys/-/object-keys-1.1.1.tgz";
- sha1 = "1c47f272df277f3b1daf061677d9c82e2322c60e";
- };
- }
- {
- name = "object_visit___object_visit_1.0.1.tgz";
- path = fetchurl {
- name = "object_visit___object_visit_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/object-visit/-/object-visit-1.0.1.tgz";
- sha1 = "f79c4493af0c5377b59fe39d395e41042dd045bb";
- };
- }
- {
- name = "object.assign___object.assign_4.1.2.tgz";
- path = fetchurl {
- name = "object.assign___object.assign_4.1.2.tgz";
- url = "https://registry.yarnpkg.com/object.assign/-/object.assign-4.1.2.tgz";
- sha1 = "0ed54a342eceb37b38ff76eb831a0e788cb63940";
- };
- }
- {
- name = "object.getownpropertydescriptors___object.getownpropertydescriptors_2.1.2.tgz";
- path = fetchurl {
- name = "object.getownpropertydescriptors___object.getownpropertydescriptors_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/object.getownpropertydescriptors/-/object.getownpropertydescriptors-2.1.2.tgz";
- sha1 = "1bd63aeacf0d5d2d2f31b5e393b03a7c601a23f7";
- };
- }
- {
- name = "object.pick___object.pick_1.3.0.tgz";
- path = fetchurl {
- name = "object.pick___object.pick_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/object.pick/-/object.pick-1.3.0.tgz";
- sha1 = "87a10ac4c1694bd2e1cbf53591a66141fb5dd747";
- };
- }
- {
- name = "once___once_1.4.0.tgz";
- path = fetchurl {
- name = "once___once_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/once/-/once-1.4.0.tgz";
- sha1 = "583b1aa775961d4b113ac17d9c50baef9dd76bd1";
- };
- }
- {
- name = "onetime___onetime_5.1.2.tgz";
- path = fetchurl {
- name = "onetime___onetime_5.1.2.tgz";
- url = "https://registry.yarnpkg.com/onetime/-/onetime-5.1.2.tgz";
- sha1 = "d0e96ebb56b07476df1dd9c4806e5237985ca45e";
- };
- }
- {
- name = "optionator___optionator_0.8.3.tgz";
- path = fetchurl {
- name = "optionator___optionator_0.8.3.tgz";
- url = "https://registry.yarnpkg.com/optionator/-/optionator-0.8.3.tgz";
- sha1 = "84fa1d036fe9d3c7e21d99884b601167ec8fb495";
- };
- }
- {
- name = "os_tmpdir___os_tmpdir_1.0.2.tgz";
- path = fetchurl {
- name = "os_tmpdir___os_tmpdir_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/os-tmpdir/-/os-tmpdir-1.0.2.tgz";
- sha1 = "bbe67406c79aa85c5cfec766fe5734555dfa1274";
- };
- }
- {
- name = "p_each_series___p_each_series_1.0.0.tgz";
- path = fetchurl {
- name = "p_each_series___p_each_series_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/p-each-series/-/p-each-series-1.0.0.tgz";
- sha1 = "930f3d12dd1f50e7434457a22cd6f04ac6ad7f71";
- };
- }
- {
- name = "p_finally___p_finally_1.0.0.tgz";
- path = fetchurl {
- name = "p_finally___p_finally_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/p-finally/-/p-finally-1.0.0.tgz";
- sha1 = "3fbcfb15b899a44123b34b6dcc18b724336a2cae";
- };
- }
- {
- name = "p_limit___p_limit_2.3.0.tgz";
- path = fetchurl {
- name = "p_limit___p_limit_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/p-limit/-/p-limit-2.3.0.tgz";
- sha1 = "3dd33c647a214fdfffd835933eb086da0dc21db1";
- };
- }
- {
- name = "p_locate___p_locate_3.0.0.tgz";
- path = fetchurl {
- name = "p_locate___p_locate_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/p-locate/-/p-locate-3.0.0.tgz";
- sha1 = "322d69a05c0264b25997d9f40cd8a891ab0064a4";
- };
- }
- {
- name = "p_reduce___p_reduce_1.0.0.tgz";
- path = fetchurl {
- name = "p_reduce___p_reduce_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/p-reduce/-/p-reduce-1.0.0.tgz";
- sha1 = "18c2b0dd936a4690a529f8231f58a0fdb6a47dfa";
- };
- }
- {
- name = "p_try___p_try_2.2.0.tgz";
- path = fetchurl {
- name = "p_try___p_try_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/p-try/-/p-try-2.2.0.tgz";
- sha1 = "cb2868540e313d61de58fafbe35ce9004d5540e6";
- };
- }
- {
- name = "parent_module___parent_module_1.0.1.tgz";
- path = fetchurl {
- name = "parent_module___parent_module_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/parent-module/-/parent-module-1.0.1.tgz";
- sha1 = "691d2709e78c79fae3a156622452d00762caaaa2";
- };
- }
- {
- name = "parse_json___parse_json_4.0.0.tgz";
- path = fetchurl {
- name = "parse_json___parse_json_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/parse-json/-/parse-json-4.0.0.tgz";
- sha1 = "be35f5425be1f7f6c747184f98a788cb99477ee0";
- };
- }
- {
- name = "parse5___parse5_4.0.0.tgz";
- path = fetchurl {
- name = "parse5___parse5_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/parse5/-/parse5-4.0.0.tgz";
- sha1 = "6d78656e3da8d78b4ec0b906f7c08ef1dfe3f608";
- };
- }
- {
- name = "pascalcase___pascalcase_0.1.1.tgz";
- path = fetchurl {
- name = "pascalcase___pascalcase_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/pascalcase/-/pascalcase-0.1.1.tgz";
- sha1 = "b363e55e8006ca6fe21784d2db22bd15d7917f14";
- };
- }
- {
- name = "path_exists___path_exists_3.0.0.tgz";
- path = fetchurl {
- name = "path_exists___path_exists_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/path-exists/-/path-exists-3.0.0.tgz";
- sha1 = "ce0ebeaa5f78cb18925ea7d810d7b59b010fd515";
- };
- }
- {
- name = "path_is_absolute___path_is_absolute_1.0.1.tgz";
- path = fetchurl {
- name = "path_is_absolute___path_is_absolute_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/path-is-absolute/-/path-is-absolute-1.0.1.tgz";
- sha1 = "174b9268735534ffbc7ace6bf53a5a9e1b5c5f5f";
- };
- }
- {
- name = "path_key___path_key_2.0.1.tgz";
- path = fetchurl {
- name = "path_key___path_key_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/path-key/-/path-key-2.0.1.tgz";
- sha1 = "411cadb574c5a140d3a4b1910d40d80cc9f40b40";
- };
- }
- {
- name = "path_parse___path_parse_1.0.7.tgz";
- path = fetchurl {
- name = "path_parse___path_parse_1.0.7.tgz";
- url = "https://registry.yarnpkg.com/path-parse/-/path-parse-1.0.7.tgz";
- sha1 = "fbc114b60ca42b30d9daf5858e4bd68bbedb6735";
- };
- }
- {
- name = "path_type___path_type_3.0.0.tgz";
- path = fetchurl {
- name = "path_type___path_type_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/path-type/-/path-type-3.0.0.tgz";
- sha1 = "cef31dc8e0a1a3bb0d105c0cd97cf3bf47f4e36f";
- };
- }
- {
- name = "performance_now___performance_now_2.1.0.tgz";
- path = fetchurl {
- name = "performance_now___performance_now_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/performance-now/-/performance-now-2.1.0.tgz";
- sha1 = "6309f4e0e5fa913ec1c69307ae364b4b377c9e7b";
- };
- }
- {
- name = "pify___pify_3.0.0.tgz";
- path = fetchurl {
- name = "pify___pify_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/pify/-/pify-3.0.0.tgz";
- sha1 = "e5a4acd2c101fdf3d9a4d07f0dbc4db49dd28176";
- };
- }
- {
- name = "pify___pify_4.0.1.tgz";
- path = fetchurl {
- name = "pify___pify_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/pify/-/pify-4.0.1.tgz";
- sha1 = "4b2cd25c50d598735c50292224fd8c6df41e3231";
- };
- }
- {
- name = "pirates___pirates_4.0.1.tgz";
- path = fetchurl {
- name = "pirates___pirates_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/pirates/-/pirates-4.0.1.tgz";
- sha1 = "643a92caf894566f91b2b986d2c66950a8e2fb87";
- };
- }
- {
- name = "pkg_dir___pkg_dir_3.0.0.tgz";
- path = fetchurl {
- name = "pkg_dir___pkg_dir_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/pkg-dir/-/pkg-dir-3.0.0.tgz";
- sha1 = "2749020f239ed990881b1f71210d51eb6523bea3";
- };
- }
- {
- name = "pn___pn_1.1.0.tgz";
- path = fetchurl {
- name = "pn___pn_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/pn/-/pn-1.1.0.tgz";
- sha1 = "e2f4cef0e219f463c179ab37463e4e1ecdccbafb";
- };
- }
- {
- name = "posix_character_classes___posix_character_classes_0.1.1.tgz";
- path = fetchurl {
- name = "posix_character_classes___posix_character_classes_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/posix-character-classes/-/posix-character-classes-0.1.1.tgz";
- sha1 = "01eac0fe3b5af71a2a6c02feabb8c1fef7e00eab";
- };
- }
- {
- name = "prelude_ls___prelude_ls_1.1.2.tgz";
- path = fetchurl {
- name = "prelude_ls___prelude_ls_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/prelude-ls/-/prelude-ls-1.1.2.tgz";
- sha1 = "21932a549f5e52ffd9a827f570e04be62a97da54";
- };
- }
- {
- name = "pretty_format___pretty_format_24.9.0.tgz";
- path = fetchurl {
- name = "pretty_format___pretty_format_24.9.0.tgz";
- url = "https://registry.yarnpkg.com/pretty-format/-/pretty-format-24.9.0.tgz";
- sha1 = "12fac31b37019a4eea3c11aa9a959eb7628aa7c9";
- };
- }
- {
- name = "progress___progress_2.0.3.tgz";
- path = fetchurl {
- name = "progress___progress_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/progress/-/progress-2.0.3.tgz";
- sha1 = "7e8cf8d8f5b8f239c1bc68beb4eb78567d572ef8";
- };
- }
- {
- name = "prompts___prompts_2.4.1.tgz";
- path = fetchurl {
- name = "prompts___prompts_2.4.1.tgz";
- url = "https://registry.yarnpkg.com/prompts/-/prompts-2.4.1.tgz";
- sha1 = "befd3b1195ba052f9fd2fde8a486c4e82ee77f61";
- };
- }
- {
- name = "psl___psl_1.8.0.tgz";
- path = fetchurl {
- name = "psl___psl_1.8.0.tgz";
- url = "https://registry.yarnpkg.com/psl/-/psl-1.8.0.tgz";
- sha1 = "9326f8bcfb013adcc005fdff056acce020e51c24";
- };
- }
- {
- name = "pump___pump_3.0.0.tgz";
- path = fetchurl {
- name = "pump___pump_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/pump/-/pump-3.0.0.tgz";
- sha1 = "b4a2116815bde2f4e1ea602354e8c75565107a64";
- };
- }
- {
- name = "punycode___punycode_2.1.1.tgz";
- path = fetchurl {
- name = "punycode___punycode_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/punycode/-/punycode-2.1.1.tgz";
- sha1 = "b58b010ac40c22c5657616c8d2c2c02c7bf479ec";
- };
- }
- {
- name = "qs___qs_6.5.2.tgz";
- path = fetchurl {
- name = "qs___qs_6.5.2.tgz";
- url = "https://registry.yarnpkg.com/qs/-/qs-6.5.2.tgz";
- sha1 = "cb3ae806e8740444584ef154ce8ee98d403f3e36";
- };
- }
- {
- name = "react_is___react_is_16.13.1.tgz";
- path = fetchurl {
- name = "react_is___react_is_16.13.1.tgz";
- url = "https://registry.yarnpkg.com/react-is/-/react-is-16.13.1.tgz";
- sha1 = "789729a4dc36de2999dc156dd6c1d9c18cea56a4";
- };
- }
- {
- name = "read_pkg_up___read_pkg_up_4.0.0.tgz";
- path = fetchurl {
- name = "read_pkg_up___read_pkg_up_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/read-pkg-up/-/read-pkg-up-4.0.0.tgz";
- sha1 = "1b221c6088ba7799601c808f91161c66e58f8978";
- };
- }
- {
- name = "read_pkg___read_pkg_3.0.0.tgz";
- path = fetchurl {
- name = "read_pkg___read_pkg_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/read-pkg/-/read-pkg-3.0.0.tgz";
- sha1 = "9cbc686978fee65d16c00e2b19c237fcf6e38389";
- };
- }
- {
- name = "realpath_native___realpath_native_1.1.0.tgz";
- path = fetchurl {
- name = "realpath_native___realpath_native_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/realpath-native/-/realpath-native-1.1.0.tgz";
- sha1 = "2003294fea23fb0672f2476ebe22fcf498a2d65c";
- };
- }
- {
- name = "reduce_flatten___reduce_flatten_2.0.0.tgz";
- path = fetchurl {
- name = "reduce_flatten___reduce_flatten_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/reduce-flatten/-/reduce-flatten-2.0.0.tgz";
- sha1 = "734fd84e65f375d7ca4465c69798c25c9d10ae27";
- };
- }
- {
- name = "regex_not___regex_not_1.0.2.tgz";
- path = fetchurl {
- name = "regex_not___regex_not_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/regex-not/-/regex-not-1.0.2.tgz";
- sha1 = "1f4ece27e00b0b65e0247a6810e6a85d83a5752c";
- };
- }
- {
- name = "regexpp___regexpp_2.0.1.tgz";
- path = fetchurl {
- name = "regexpp___regexpp_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/regexpp/-/regexpp-2.0.1.tgz";
- sha1 = "8d19d31cf632482b589049f8281f93dbcba4d07f";
- };
- }
- {
- name = "remove_trailing_separator___remove_trailing_separator_1.1.0.tgz";
- path = fetchurl {
- name = "remove_trailing_separator___remove_trailing_separator_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/remove-trailing-separator/-/remove-trailing-separator-1.1.0.tgz";
- sha1 = "c24bce2a283adad5bc3f58e0d48249b92379d8ef";
- };
- }
- {
- name = "repeat_element___repeat_element_1.1.4.tgz";
- path = fetchurl {
- name = "repeat_element___repeat_element_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/repeat-element/-/repeat-element-1.1.4.tgz";
- sha1 = "be681520847ab58c7568ac75fbfad28ed42d39e9";
- };
- }
- {
- name = "repeat_string___repeat_string_1.6.1.tgz";
- path = fetchurl {
- name = "repeat_string___repeat_string_1.6.1.tgz";
- url = "https://registry.yarnpkg.com/repeat-string/-/repeat-string-1.6.1.tgz";
- sha1 = "8dcae470e1c88abc2d600fff4a776286da75e637";
- };
- }
- {
- name = "request_promise_core___request_promise_core_1.1.4.tgz";
- path = fetchurl {
- name = "request_promise_core___request_promise_core_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/request-promise-core/-/request-promise-core-1.1.4.tgz";
- sha1 = "3eedd4223208d419867b78ce815167d10593a22f";
- };
- }
- {
- name = "request_promise_native___request_promise_native_1.0.9.tgz";
- path = fetchurl {
- name = "request_promise_native___request_promise_native_1.0.9.tgz";
- url = "https://registry.yarnpkg.com/request-promise-native/-/request-promise-native-1.0.9.tgz";
- sha1 = "e407120526a5efdc9a39b28a5679bf47b9d9dc28";
- };
- }
- {
- name = "request___request_2.88.2.tgz";
- path = fetchurl {
- name = "request___request_2.88.2.tgz";
- url = "https://registry.yarnpkg.com/request/-/request-2.88.2.tgz";
- sha1 = "d73c918731cb5a87da047e207234146f664d12b3";
- };
- }
- {
- name = "require_directory___require_directory_2.1.1.tgz";
- path = fetchurl {
- name = "require_directory___require_directory_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/require-directory/-/require-directory-2.1.1.tgz";
- sha1 = "8c64ad5fd30dab1c976e2344ffe7f792a6a6df42";
- };
- }
- {
- name = "require_main_filename___require_main_filename_2.0.0.tgz";
- path = fetchurl {
- name = "require_main_filename___require_main_filename_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/require-main-filename/-/require-main-filename-2.0.0.tgz";
- sha1 = "d0b329ecc7cc0f61649f62215be69af54aa8989b";
- };
- }
- {
- name = "requizzle___requizzle_0.2.3.tgz";
- path = fetchurl {
- name = "requizzle___requizzle_0.2.3.tgz";
- url = "https://registry.yarnpkg.com/requizzle/-/requizzle-0.2.3.tgz";
- sha1 = "4675c90aacafb2c036bd39ba2daa4a1cb777fded";
- };
- }
- {
- name = "resolve_cwd___resolve_cwd_2.0.0.tgz";
- path = fetchurl {
- name = "resolve_cwd___resolve_cwd_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/resolve-cwd/-/resolve-cwd-2.0.0.tgz";
- sha1 = "00a9f7387556e27038eae232caa372a6a59b665a";
- };
- }
- {
- name = "resolve_from___resolve_from_3.0.0.tgz";
- path = fetchurl {
- name = "resolve_from___resolve_from_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/resolve-from/-/resolve-from-3.0.0.tgz";
- sha1 = "b22c7af7d9d6881bc8b6e653335eebcb0a188748";
- };
- }
- {
- name = "resolve_from___resolve_from_4.0.0.tgz";
- path = fetchurl {
- name = "resolve_from___resolve_from_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/resolve-from/-/resolve-from-4.0.0.tgz";
- sha1 = "4abcd852ad32dd7baabfe9b40e00a36db5f392e6";
- };
- }
- {
- name = "resolve_url___resolve_url_0.2.1.tgz";
- path = fetchurl {
- name = "resolve_url___resolve_url_0.2.1.tgz";
- url = "https://registry.yarnpkg.com/resolve-url/-/resolve-url-0.2.1.tgz";
- sha1 = "2c637fe77c893afd2a663fe21aa9080068e2052a";
- };
- }
- {
- name = "resolve___resolve_1.1.7.tgz";
- path = fetchurl {
- name = "resolve___resolve_1.1.7.tgz";
- url = "https://registry.yarnpkg.com/resolve/-/resolve-1.1.7.tgz";
- sha1 = "203114d82ad2c5ed9e8e0411b3932875e889e97b";
- };
- }
- {
- name = "resolve___resolve_1.20.0.tgz";
- path = fetchurl {
- name = "resolve___resolve_1.20.0.tgz";
- url = "https://registry.yarnpkg.com/resolve/-/resolve-1.20.0.tgz";
- sha1 = "629a013fb3f70755d6f0b7935cc1c2c5378b1975";
- };
- }
- {
- name = "restore_cursor___restore_cursor_3.1.0.tgz";
- path = fetchurl {
- name = "restore_cursor___restore_cursor_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/restore-cursor/-/restore-cursor-3.1.0.tgz";
- sha1 = "39f67c54b3a7a58cea5236d95cf0034239631f7e";
- };
- }
- {
- name = "ret___ret_0.1.15.tgz";
- path = fetchurl {
- name = "ret___ret_0.1.15.tgz";
- url = "https://registry.yarnpkg.com/ret/-/ret-0.1.15.tgz";
- sha1 = "b8a4825d5bdb1fc3f6f53c2bc33f81388681c7bc";
- };
- }
- {
- name = "rimraf___rimraf_2.6.3.tgz";
- path = fetchurl {
- name = "rimraf___rimraf_2.6.3.tgz";
- url = "https://registry.yarnpkg.com/rimraf/-/rimraf-2.6.3.tgz";
- sha1 = "b2d104fe0d8fb27cf9e0a1cda8262dd3833c6cab";
- };
- }
- {
- name = "rimraf___rimraf_2.7.1.tgz";
- path = fetchurl {
- name = "rimraf___rimraf_2.7.1.tgz";
- url = "https://registry.yarnpkg.com/rimraf/-/rimraf-2.7.1.tgz";
- sha1 = "35797f13a7fdadc566142c29d4f07ccad483e3ec";
- };
- }
- {
- name = "rimraf___rimraf_3.0.2.tgz";
- path = fetchurl {
- name = "rimraf___rimraf_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/rimraf/-/rimraf-3.0.2.tgz";
- sha1 = "f1a5402ba6220ad52cc1282bac1ae3aa49fd061a";
- };
- }
- {
- name = "rsvp___rsvp_4.8.5.tgz";
- path = fetchurl {
- name = "rsvp___rsvp_4.8.5.tgz";
- url = "https://registry.yarnpkg.com/rsvp/-/rsvp-4.8.5.tgz";
- sha1 = "c8f155311d167f68f21e168df71ec5b083113734";
- };
- }
- {
- name = "run_async___run_async_2.4.1.tgz";
- path = fetchurl {
- name = "run_async___run_async_2.4.1.tgz";
- url = "https://registry.yarnpkg.com/run-async/-/run-async-2.4.1.tgz";
- sha1 = "8440eccf99ea3e70bd409d49aab88e10c189a455";
- };
- }
- {
- name = "rxjs___rxjs_6.6.7.tgz";
- path = fetchurl {
- name = "rxjs___rxjs_6.6.7.tgz";
- url = "https://registry.yarnpkg.com/rxjs/-/rxjs-6.6.7.tgz";
- sha1 = "90ac018acabf491bf65044235d5863c4dab804c9";
- };
- }
- {
- name = "safe_buffer___safe_buffer_5.2.1.tgz";
- path = fetchurl {
- name = "safe_buffer___safe_buffer_5.2.1.tgz";
- url = "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.2.1.tgz";
- sha1 = "1eaf9fa9bdb1fdd4ec75f58f9cdb4e6b7827eec6";
- };
- }
- {
- name = "safe_buffer___safe_buffer_5.1.2.tgz";
- path = fetchurl {
- name = "safe_buffer___safe_buffer_5.1.2.tgz";
- url = "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.1.2.tgz";
- sha1 = "991ec69d296e0313747d59bdfd2b745c35f8828d";
- };
- }
- {
- name = "safe_regex___safe_regex_1.1.0.tgz";
- path = fetchurl {
- name = "safe_regex___safe_regex_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/safe-regex/-/safe-regex-1.1.0.tgz";
- sha1 = "40a3669f3b077d1e943d44629e157dd48023bf2e";
- };
- }
- {
- name = "safer_buffer___safer_buffer_2.1.2.tgz";
- path = fetchurl {
- name = "safer_buffer___safer_buffer_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/safer-buffer/-/safer-buffer-2.1.2.tgz";
- sha1 = "44fa161b0187b9549dd84bb91802f9bd8385cd6a";
- };
- }
- {
- name = "sane___sane_4.1.0.tgz";
- path = fetchurl {
- name = "sane___sane_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/sane/-/sane-4.1.0.tgz";
- sha1 = "ed881fd922733a6c461bc189dc2b6c006f3ffded";
- };
- }
- {
- name = "sax___sax_1.2.4.tgz";
- path = fetchurl {
- name = "sax___sax_1.2.4.tgz";
- url = "https://registry.yarnpkg.com/sax/-/sax-1.2.4.tgz";
- sha1 = "2816234e2378bddc4e5354fab5caa895df7100d9";
- };
- }
- {
- name = "semver___semver_5.7.1.tgz";
- path = fetchurl {
- name = "semver___semver_5.7.1.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-5.7.1.tgz";
- sha1 = "a954f931aeba508d307bbf069eff0c01c96116f7";
- };
- }
- {
- name = "semver___semver_6.3.0.tgz";
- path = fetchurl {
- name = "semver___semver_6.3.0.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-6.3.0.tgz";
- sha1 = "ee0a64c8af5e8ceea67687b133761e1becbd1d3d";
- };
- }
- {
- name = "semver___semver_7.3.5.tgz";
- path = fetchurl {
- name = "semver___semver_7.3.5.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-7.3.5.tgz";
- sha1 = "0b621c879348d8998e4b0e4be94b3f12e6018ef7";
- };
- }
- {
- name = "set_blocking___set_blocking_2.0.0.tgz";
- path = fetchurl {
- name = "set_blocking___set_blocking_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/set-blocking/-/set-blocking-2.0.0.tgz";
- sha1 = "045f9782d011ae9a6803ddd382b24392b3d890f7";
- };
- }
- {
- name = "set_value___set_value_2.0.1.tgz";
- path = fetchurl {
- name = "set_value___set_value_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/set-value/-/set-value-2.0.1.tgz";
- sha1 = "a18d40530e6f07de4228c7defe4227af8cad005b";
- };
- }
- {
- name = "shebang_command___shebang_command_1.2.0.tgz";
- path = fetchurl {
- name = "shebang_command___shebang_command_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/shebang-command/-/shebang-command-1.2.0.tgz";
- sha1 = "44aac65b695b03398968c39f363fee5deafdf1ea";
- };
- }
- {
- name = "shebang_regex___shebang_regex_1.0.0.tgz";
- path = fetchurl {
- name = "shebang_regex___shebang_regex_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/shebang-regex/-/shebang-regex-1.0.0.tgz";
- sha1 = "da42f49740c0b42db2ca9728571cb190c98efea3";
- };
- }
- {
- name = "shellwords___shellwords_0.1.1.tgz";
- path = fetchurl {
- name = "shellwords___shellwords_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/shellwords/-/shellwords-0.1.1.tgz";
- sha1 = "d6b9181c1a48d397324c84871efbcfc73fc0654b";
- };
- }
- {
- name = "signal_exit___signal_exit_3.0.3.tgz";
- path = fetchurl {
- name = "signal_exit___signal_exit_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/signal-exit/-/signal-exit-3.0.3.tgz";
- sha1 = "a1410c2edd8f077b08b4e253c8eacfcaf057461c";
- };
- }
- {
- name = "sisteransi___sisteransi_1.0.5.tgz";
- path = fetchurl {
- name = "sisteransi___sisteransi_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/sisteransi/-/sisteransi-1.0.5.tgz";
- sha1 = "134d681297756437cc05ca01370d3a7a571075ed";
- };
- }
- {
- name = "slash___slash_2.0.0.tgz";
- path = fetchurl {
- name = "slash___slash_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/slash/-/slash-2.0.0.tgz";
- sha1 = "de552851a1759df3a8f206535442f5ec4ddeab44";
- };
- }
- {
- name = "slice_ansi___slice_ansi_2.1.0.tgz";
- path = fetchurl {
- name = "slice_ansi___slice_ansi_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/slice-ansi/-/slice-ansi-2.1.0.tgz";
- sha1 = "cacd7693461a637a5788d92a7dd4fba068e81636";
- };
- }
- {
- name = "snapdragon_node___snapdragon_node_2.1.1.tgz";
- path = fetchurl {
- name = "snapdragon_node___snapdragon_node_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/snapdragon-node/-/snapdragon-node-2.1.1.tgz";
- sha1 = "6c175f86ff14bdb0724563e8f3c1b021a286853b";
- };
- }
- {
- name = "snapdragon_util___snapdragon_util_3.0.1.tgz";
- path = fetchurl {
- name = "snapdragon_util___snapdragon_util_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/snapdragon-util/-/snapdragon-util-3.0.1.tgz";
- sha1 = "f956479486f2acd79700693f6f7b805e45ab56e2";
- };
- }
- {
- name = "snapdragon___snapdragon_0.8.2.tgz";
- path = fetchurl {
- name = "snapdragon___snapdragon_0.8.2.tgz";
- url = "https://registry.yarnpkg.com/snapdragon/-/snapdragon-0.8.2.tgz";
- sha1 = "64922e7c565b0e14204ba1aa7d6964278d25182d";
- };
- }
- {
- name = "source_map_resolve___source_map_resolve_0.5.3.tgz";
- path = fetchurl {
- name = "source_map_resolve___source_map_resolve_0.5.3.tgz";
- url = "https://registry.yarnpkg.com/source-map-resolve/-/source-map-resolve-0.5.3.tgz";
- sha1 = "190866bece7553e1f8f267a2ee82c606b5509a1a";
- };
- }
- {
- name = "source_map_support___source_map_support_0.5.19.tgz";
- path = fetchurl {
- name = "source_map_support___source_map_support_0.5.19.tgz";
- url = "https://registry.yarnpkg.com/source-map-support/-/source-map-support-0.5.19.tgz";
- sha1 = "a98b62f86dcaf4f67399648c085291ab9e8fed61";
- };
- }
- {
- name = "source_map_url___source_map_url_0.4.1.tgz";
- path = fetchurl {
- name = "source_map_url___source_map_url_0.4.1.tgz";
- url = "https://registry.yarnpkg.com/source-map-url/-/source-map-url-0.4.1.tgz";
- sha1 = "0af66605a745a5a2f91cf1bbf8a7afbc283dec56";
- };
- }
- {
- name = "source_map___source_map_0.5.7.tgz";
- path = fetchurl {
- name = "source_map___source_map_0.5.7.tgz";
- url = "https://registry.yarnpkg.com/source-map/-/source-map-0.5.7.tgz";
- sha1 = "8a039d2d1021d22d1ea14c80d8ea468ba2ef3fcc";
- };
- }
- {
- name = "source_map___source_map_0.6.1.tgz";
- path = fetchurl {
- name = "source_map___source_map_0.6.1.tgz";
- url = "https://registry.yarnpkg.com/source-map/-/source-map-0.6.1.tgz";
- sha1 = "74722af32e9614e9c287a8d0bbde48b5e2f1a263";
- };
- }
- {
- name = "spdx_correct___spdx_correct_3.1.1.tgz";
- path = fetchurl {
- name = "spdx_correct___spdx_correct_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/spdx-correct/-/spdx-correct-3.1.1.tgz";
- sha1 = "dece81ac9c1e6713e5f7d1b6f17d468fa53d89a9";
- };
- }
- {
- name = "spdx_exceptions___spdx_exceptions_2.3.0.tgz";
- path = fetchurl {
- name = "spdx_exceptions___spdx_exceptions_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/spdx-exceptions/-/spdx-exceptions-2.3.0.tgz";
- sha1 = "3f28ce1a77a00372683eade4a433183527a2163d";
- };
- }
- {
- name = "spdx_expression_parse___spdx_expression_parse_3.0.1.tgz";
- path = fetchurl {
- name = "spdx_expression_parse___spdx_expression_parse_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/spdx-expression-parse/-/spdx-expression-parse-3.0.1.tgz";
- sha1 = "cf70f50482eefdc98e3ce0a6833e4a53ceeba679";
- };
- }
- {
- name = "spdx_license_ids___spdx_license_ids_3.0.9.tgz";
- path = fetchurl {
- name = "spdx_license_ids___spdx_license_ids_3.0.9.tgz";
- url = "https://registry.yarnpkg.com/spdx-license-ids/-/spdx-license-ids-3.0.9.tgz";
- sha1 = "8a595135def9592bda69709474f1cbeea7c2467f";
- };
- }
- {
- name = "split_string___split_string_3.1.0.tgz";
- path = fetchurl {
- name = "split_string___split_string_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/split-string/-/split-string-3.1.0.tgz";
- sha1 = "7cb09dda3a86585705c64b39a6466038682e8fe2";
- };
- }
- {
- name = "sprintf_js___sprintf_js_1.0.3.tgz";
- path = fetchurl {
- name = "sprintf_js___sprintf_js_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/sprintf-js/-/sprintf-js-1.0.3.tgz";
- sha1 = "04e6926f662895354f3dd015203633b857297e2c";
- };
- }
- {
- name = "sshpk___sshpk_1.16.1.tgz";
- path = fetchurl {
- name = "sshpk___sshpk_1.16.1.tgz";
- url = "https://registry.yarnpkg.com/sshpk/-/sshpk-1.16.1.tgz";
- sha1 = "fb661c0bef29b39db40769ee39fa70093d6f6877";
- };
- }
- {
- name = "stack_utils___stack_utils_1.0.5.tgz";
- path = fetchurl {
- name = "stack_utils___stack_utils_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/stack-utils/-/stack-utils-1.0.5.tgz";
- sha1 = "a19b0b01947e0029c8e451d5d61a498f5bb1471b";
- };
- }
- {
- name = "static_extend___static_extend_0.1.2.tgz";
- path = fetchurl {
- name = "static_extend___static_extend_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/static-extend/-/static-extend-0.1.2.tgz";
- sha1 = "60809c39cbff55337226fd5e0b520f341f1fb5c6";
- };
- }
- {
- name = "stealthy_require___stealthy_require_1.1.1.tgz";
- path = fetchurl {
- name = "stealthy_require___stealthy_require_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/stealthy-require/-/stealthy-require-1.1.1.tgz";
- sha1 = "35b09875b4ff49f26a777e509b3090a3226bf24b";
- };
- }
- {
- name = "string_length___string_length_2.0.0.tgz";
- path = fetchurl {
- name = "string_length___string_length_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/string-length/-/string-length-2.0.0.tgz";
- sha1 = "d40dbb686a3ace960c1cffca562bf2c45f8363ed";
- };
- }
- {
- name = "string_width___string_width_3.1.0.tgz";
- path = fetchurl {
- name = "string_width___string_width_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/string-width/-/string-width-3.1.0.tgz";
- sha1 = "22767be21b62af1081574306f69ac51b62203961";
- };
- }
- {
- name = "string_width___string_width_4.2.2.tgz";
- path = fetchurl {
- name = "string_width___string_width_4.2.2.tgz";
- url = "https://registry.yarnpkg.com/string-width/-/string-width-4.2.2.tgz";
- sha1 = "dafd4f9559a7585cfba529c6a0a4f73488ebd4c5";
- };
- }
- {
- name = "string.prototype.trimend___string.prototype.trimend_1.0.4.tgz";
- path = fetchurl {
- name = "string.prototype.trimend___string.prototype.trimend_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/string.prototype.trimend/-/string.prototype.trimend-1.0.4.tgz";
- sha1 = "e75ae90c2942c63504686c18b287b4a0b1a45f80";
- };
- }
- {
- name = "string.prototype.trimstart___string.prototype.trimstart_1.0.4.tgz";
- path = fetchurl {
- name = "string.prototype.trimstart___string.prototype.trimstart_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/string.prototype.trimstart/-/string.prototype.trimstart-1.0.4.tgz";
- sha1 = "b36399af4ab2999b4c9c648bd7a3fb2bb26feeed";
- };
- }
- {
- name = "strip_ansi___strip_ansi_4.0.0.tgz";
- path = fetchurl {
- name = "strip_ansi___strip_ansi_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-4.0.0.tgz";
- sha1 = "a8479022eb1ac368a871389b635262c505ee368f";
- };
- }
- {
- name = "strip_ansi___strip_ansi_5.2.0.tgz";
- path = fetchurl {
- name = "strip_ansi___strip_ansi_5.2.0.tgz";
- url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-5.2.0.tgz";
- sha1 = "8c9a536feb6afc962bdfa5b104a5091c1ad9c0ae";
- };
- }
- {
- name = "strip_ansi___strip_ansi_6.0.0.tgz";
- path = fetchurl {
- name = "strip_ansi___strip_ansi_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-6.0.0.tgz";
- sha1 = "0b1571dd7669ccd4f3e06e14ef1eed26225ae532";
- };
- }
- {
- name = "strip_bom___strip_bom_3.0.0.tgz";
- path = fetchurl {
- name = "strip_bom___strip_bom_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-bom/-/strip-bom-3.0.0.tgz";
- sha1 = "2334c18e9c759f7bdd56fdef7e9ae3d588e68ed3";
- };
- }
- {
- name = "strip_eof___strip_eof_1.0.0.tgz";
- path = fetchurl {
- name = "strip_eof___strip_eof_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-eof/-/strip-eof-1.0.0.tgz";
- sha1 = "bb43ff5598a6eb05d89b59fcd129c983313606bf";
- };
- }
- {
- name = "strip_json_comments___strip_json_comments_3.1.1.tgz";
- path = fetchurl {
- name = "strip_json_comments___strip_json_comments_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/strip-json-comments/-/strip-json-comments-3.1.1.tgz";
- sha1 = "31f1281b3832630434831c310c01cccda8cbe006";
- };
- }
- {
- name = "supports_color___supports_color_5.5.0.tgz";
- path = fetchurl {
- name = "supports_color___supports_color_5.5.0.tgz";
- url = "https://registry.yarnpkg.com/supports-color/-/supports-color-5.5.0.tgz";
- sha1 = "e2e69a44ac8772f78a1ec0b35b689df6530efc8f";
- };
- }
- {
- name = "supports_color___supports_color_6.1.0.tgz";
- path = fetchurl {
- name = "supports_color___supports_color_6.1.0.tgz";
- url = "https://registry.yarnpkg.com/supports-color/-/supports-color-6.1.0.tgz";
- sha1 = "0764abc69c63d5ac842dd4867e8d025e880df8f3";
- };
- }
- {
- name = "supports_color___supports_color_7.2.0.tgz";
- path = fetchurl {
- name = "supports_color___supports_color_7.2.0.tgz";
- url = "https://registry.yarnpkg.com/supports-color/-/supports-color-7.2.0.tgz";
- sha1 = "1b7dcdcb32b8138801b3e478ba6a51caa89648da";
- };
- }
- {
- name = "symbol_tree___symbol_tree_3.2.4.tgz";
- path = fetchurl {
- name = "symbol_tree___symbol_tree_3.2.4.tgz";
- url = "https://registry.yarnpkg.com/symbol-tree/-/symbol-tree-3.2.4.tgz";
- sha1 = "430637d248ba77e078883951fb9aa0eed7c63fa2";
- };
- }
- {
- name = "table_layout___table_layout_1.0.2.tgz";
- path = fetchurl {
- name = "table_layout___table_layout_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/table-layout/-/table-layout-1.0.2.tgz";
- sha1 = "c4038a1853b0136d63365a734b6931cf4fad4a04";
- };
- }
- {
- name = "table___table_5.4.6.tgz";
- path = fetchurl {
- name = "table___table_5.4.6.tgz";
- url = "https://registry.yarnpkg.com/table/-/table-5.4.6.tgz";
- sha1 = "1292d19500ce3f86053b05f0e8e7e4a3bb21079e";
- };
- }
- {
- name = "taffydb___taffydb_2.6.2.tgz";
- path = fetchurl {
- name = "taffydb___taffydb_2.6.2.tgz";
- url = "https://registry.yarnpkg.com/taffydb/-/taffydb-2.6.2.tgz";
- sha1 = "7cbcb64b5a141b6a2efc2c5d2c67b4e150b2a268";
- };
- }
- {
- name = "test_exclude___test_exclude_5.2.3.tgz";
- path = fetchurl {
- name = "test_exclude___test_exclude_5.2.3.tgz";
- url = "https://registry.yarnpkg.com/test-exclude/-/test-exclude-5.2.3.tgz";
- sha1 = "c3d3e1e311eb7ee405e092dac10aefd09091eac0";
- };
- }
- {
- name = "text_table___text_table_0.2.0.tgz";
- path = fetchurl {
- name = "text_table___text_table_0.2.0.tgz";
- url = "https://registry.yarnpkg.com/text-table/-/text-table-0.2.0.tgz";
- sha1 = "7f5ee823ae805207c00af2df4a84ec3fcfa570b4";
- };
- }
- {
- name = "throat___throat_4.1.0.tgz";
- path = fetchurl {
- name = "throat___throat_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/throat/-/throat-4.1.0.tgz";
- sha1 = "89037cbc92c56ab18926e6ba4cbb200e15672a6a";
- };
- }
- {
- name = "through___through_2.3.8.tgz";
- path = fetchurl {
- name = "through___through_2.3.8.tgz";
- url = "https://registry.yarnpkg.com/through/-/through-2.3.8.tgz";
- sha1 = "0dd4c9ffaabc357960b1b724115d7e0e86a2e1f5";
- };
- }
- {
- name = "tmp___tmp_0.0.33.tgz";
- path = fetchurl {
- name = "tmp___tmp_0.0.33.tgz";
- url = "https://registry.yarnpkg.com/tmp/-/tmp-0.0.33.tgz";
- sha1 = "6d34335889768d21b2bcda0aa277ced3b1bfadf9";
- };
- }
- {
- name = "tmpl___tmpl_1.0.4.tgz";
- path = fetchurl {
- name = "tmpl___tmpl_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/tmpl/-/tmpl-1.0.4.tgz";
- sha1 = "23640dd7b42d00433911140820e5cf440e521dd1";
- };
- }
- {
- name = "to_fast_properties___to_fast_properties_2.0.0.tgz";
- path = fetchurl {
- name = "to_fast_properties___to_fast_properties_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/to-fast-properties/-/to-fast-properties-2.0.0.tgz";
- sha1 = "dc5e698cbd079265bc73e0377681a4e4e83f616e";
- };
- }
- {
- name = "to_object_path___to_object_path_0.3.0.tgz";
- path = fetchurl {
- name = "to_object_path___to_object_path_0.3.0.tgz";
- url = "https://registry.yarnpkg.com/to-object-path/-/to-object-path-0.3.0.tgz";
- sha1 = "297588b7b0e7e0ac08e04e672f85c1f4999e17af";
- };
- }
- {
- name = "to_regex_range___to_regex_range_2.1.1.tgz";
- path = fetchurl {
- name = "to_regex_range___to_regex_range_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/to-regex-range/-/to-regex-range-2.1.1.tgz";
- sha1 = "7c80c17b9dfebe599e27367e0d4dd5590141db38";
- };
- }
- {
- name = "to_regex___to_regex_3.0.2.tgz";
- path = fetchurl {
- name = "to_regex___to_regex_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/to-regex/-/to-regex-3.0.2.tgz";
- sha1 = "13cfdd9b336552f30b51f33a8ae1b42a7a7599ce";
- };
- }
- {
- name = "toml___toml_3.0.0.tgz";
- path = fetchurl {
- name = "toml___toml_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/toml/-/toml-3.0.0.tgz";
- sha1 = "342160f1af1904ec9d204d03a5d61222d762c5ee";
- };
- }
- {
- name = "tough_cookie___tough_cookie_2.5.0.tgz";
- path = fetchurl {
- name = "tough_cookie___tough_cookie_2.5.0.tgz";
- url = "https://registry.yarnpkg.com/tough-cookie/-/tough-cookie-2.5.0.tgz";
- sha1 = "cd9fb2a0aa1d5a12b473bd9fb96fa3dcff65ade2";
- };
- }
- {
- name = "tr46___tr46_1.0.1.tgz";
- path = fetchurl {
- name = "tr46___tr46_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/tr46/-/tr46-1.0.1.tgz";
- sha1 = "a8b13fd6bfd2489519674ccde55ba3693b706d09";
- };
- }
- {
- name = "ts_typed_json___ts_typed_json_0.3.2.tgz";
- path = fetchurl {
- name = "ts_typed_json___ts_typed_json_0.3.2.tgz";
- url = "https://registry.yarnpkg.com/ts-typed-json/-/ts-typed-json-0.3.2.tgz";
- sha1 = "f4f20f45950bae0a383857f7b0a94187eca1b56a";
- };
- }
- {
- name = "tslib___tslib_1.14.1.tgz";
- path = fetchurl {
- name = "tslib___tslib_1.14.1.tgz";
- url = "https://registry.yarnpkg.com/tslib/-/tslib-1.14.1.tgz";
- sha1 = "cf2d38bdc34a134bcaf1091c41f6619e2f672d00";
- };
- }
- {
- name = "tunnel_agent___tunnel_agent_0.6.0.tgz";
- path = fetchurl {
- name = "tunnel_agent___tunnel_agent_0.6.0.tgz";
- url = "https://registry.yarnpkg.com/tunnel-agent/-/tunnel-agent-0.6.0.tgz";
- sha1 = "27a5dea06b36b04a0a9966774b290868f0fc40fd";
- };
- }
- {
- name = "tweetnacl___tweetnacl_0.14.5.tgz";
- path = fetchurl {
- name = "tweetnacl___tweetnacl_0.14.5.tgz";
- url = "https://registry.yarnpkg.com/tweetnacl/-/tweetnacl-0.14.5.tgz";
- sha1 = "5ae68177f192d4456269d108afa93ff8743f4f64";
- };
- }
- {
- name = "type_check___type_check_0.3.2.tgz";
- path = fetchurl {
- name = "type_check___type_check_0.3.2.tgz";
- url = "https://registry.yarnpkg.com/type-check/-/type-check-0.3.2.tgz";
- sha1 = "5884cab512cf1d355e3fb784f30804b2b520db72";
- };
- }
- {
- name = "type_fest___type_fest_0.21.3.tgz";
- path = fetchurl {
- name = "type_fest___type_fest_0.21.3.tgz";
- url = "https://registry.yarnpkg.com/type-fest/-/type-fest-0.21.3.tgz";
- sha1 = "d260a24b0198436e133fa26a524a6d65fa3b2e37";
- };
- }
- {
- name = "type_fest___type_fest_0.8.1.tgz";
- path = fetchurl {
- name = "type_fest___type_fest_0.8.1.tgz";
- url = "https://registry.yarnpkg.com/type-fest/-/type-fest-0.8.1.tgz";
- sha1 = "09e249ebde851d3b1e48d27c105444667f17b83d";
- };
- }
- {
- name = "typical___typical_4.0.0.tgz";
- path = fetchurl {
- name = "typical___typical_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/typical/-/typical-4.0.0.tgz";
- sha1 = "cbeaff3b9d7ae1e2bbfaf5a4e6f11eccfde94fc4";
- };
- }
- {
- name = "typical___typical_5.2.0.tgz";
- path = fetchurl {
- name = "typical___typical_5.2.0.tgz";
- url = "https://registry.yarnpkg.com/typical/-/typical-5.2.0.tgz";
- sha1 = "4daaac4f2b5315460804f0acf6cb69c52bb93066";
- };
- }
- {
- name = "uc.micro___uc.micro_1.0.6.tgz";
- path = fetchurl {
- name = "uc.micro___uc.micro_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/uc.micro/-/uc.micro-1.0.6.tgz";
- sha1 = "9c411a802a409a91fc6cf74081baba34b24499ac";
- };
- }
- {
- name = "uglify_js___uglify_js_3.13.10.tgz";
- path = fetchurl {
- name = "uglify_js___uglify_js_3.13.10.tgz";
- url = "https://registry.yarnpkg.com/uglify-js/-/uglify-js-3.13.10.tgz";
- sha1 = "a6bd0d28d38f592c3adb6b180ea6e07e1e540a8d";
- };
- }
- {
- name = "unbox_primitive___unbox_primitive_1.0.1.tgz";
- path = fetchurl {
- name = "unbox_primitive___unbox_primitive_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/unbox-primitive/-/unbox-primitive-1.0.1.tgz";
- sha1 = "085e215625ec3162574dc8859abee78a59b14471";
- };
- }
- {
- name = "underscore___underscore_1.13.1.tgz";
- path = fetchurl {
- name = "underscore___underscore_1.13.1.tgz";
- url = "https://registry.yarnpkg.com/underscore/-/underscore-1.13.1.tgz";
- sha1 = "0c1c6bd2df54b6b69f2314066d65b6cde6fcf9d1";
- };
- }
- {
- name = "union_value___union_value_1.0.1.tgz";
- path = fetchurl {
- name = "union_value___union_value_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/union-value/-/union-value-1.0.1.tgz";
- sha1 = "0b6fe7b835aecda61c6ea4d4f02c14221e109847";
- };
- }
- {
- name = "unset_value___unset_value_1.0.0.tgz";
- path = fetchurl {
- name = "unset_value___unset_value_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/unset-value/-/unset-value-1.0.0.tgz";
- sha1 = "8376873f7d2335179ffb1e6fc3a8ed0dfc8ab559";
- };
- }
- {
- name = "uri_js___uri_js_4.4.1.tgz";
- path = fetchurl {
- name = "uri_js___uri_js_4.4.1.tgz";
- url = "https://registry.yarnpkg.com/uri-js/-/uri-js-4.4.1.tgz";
- sha1 = "9b1a52595225859e55f669d928f88c6c57f2a77e";
- };
- }
- {
- name = "urix___urix_0.1.0.tgz";
- path = fetchurl {
- name = "urix___urix_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/urix/-/urix-0.1.0.tgz";
- sha1 = "da937f7a62e21fec1fd18d49b35c2935067a6c72";
- };
- }
- {
- name = "use___use_3.1.1.tgz";
- path = fetchurl {
- name = "use___use_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/use/-/use-3.1.1.tgz";
- sha1 = "d50c8cac79a19fbc20f2911f56eb973f4e10070f";
- };
- }
- {
- name = "util.promisify___util.promisify_1.1.1.tgz";
- path = fetchurl {
- name = "util.promisify___util.promisify_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/util.promisify/-/util.promisify-1.1.1.tgz";
- sha1 = "77832f57ced2c9478174149cae9b96e9918cd54b";
- };
- }
- {
- name = "uuid___uuid_3.4.0.tgz";
- path = fetchurl {
- name = "uuid___uuid_3.4.0.tgz";
- url = "https://registry.yarnpkg.com/uuid/-/uuid-3.4.0.tgz";
- sha1 = "b23e4358afa8a202fe7a100af1f5f883f02007ee";
- };
- }
- {
- name = "v8_compile_cache___v8_compile_cache_2.3.0.tgz";
- path = fetchurl {
- name = "v8_compile_cache___v8_compile_cache_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/v8-compile-cache/-/v8-compile-cache-2.3.0.tgz";
- sha1 = "2de19618c66dc247dcfb6f99338035d8245a2cee";
- };
- }
- {
- name = "validate_npm_package_license___validate_npm_package_license_3.0.4.tgz";
- path = fetchurl {
- name = "validate_npm_package_license___validate_npm_package_license_3.0.4.tgz";
- url = "https://registry.yarnpkg.com/validate-npm-package-license/-/validate-npm-package-license-3.0.4.tgz";
- sha1 = "fc91f6b9c7ba15c857f4cb2c5defeec39d4f410a";
- };
- }
- {
- name = "validate_npm_package_name___validate_npm_package_name_3.0.0.tgz";
- path = fetchurl {
- name = "validate_npm_package_name___validate_npm_package_name_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/validate-npm-package-name/-/validate-npm-package-name-3.0.0.tgz";
- sha1 = "5fa912d81eb7d0c74afc140de7317f0ca7df437e";
- };
- }
- {
- name = "verror___verror_1.10.0.tgz";
- path = fetchurl {
- name = "verror___verror_1.10.0.tgz";
- url = "https://registry.yarnpkg.com/verror/-/verror-1.10.0.tgz";
- sha1 = "3a105ca17053af55d6e270c1f8288682e18da400";
- };
- }
- {
- name = "w3c_hr_time___w3c_hr_time_1.0.2.tgz";
- path = fetchurl {
- name = "w3c_hr_time___w3c_hr_time_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/w3c-hr-time/-/w3c-hr-time-1.0.2.tgz";
- sha1 = "0a89cdf5cc15822df9c360543676963e0cc308cd";
- };
- }
- {
- name = "walker___walker_1.0.7.tgz";
- path = fetchurl {
- name = "walker___walker_1.0.7.tgz";
- url = "https://registry.yarnpkg.com/walker/-/walker-1.0.7.tgz";
- sha1 = "2f7f9b8fd10d677262b18a884e28d19618e028fb";
- };
- }
- {
- name = "webidl_conversions___webidl_conversions_4.0.2.tgz";
- path = fetchurl {
- name = "webidl_conversions___webidl_conversions_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/webidl-conversions/-/webidl-conversions-4.0.2.tgz";
- sha1 = "a855980b1f0b6b359ba1d5d9fb39ae941faa63ad";
- };
- }
- {
- name = "whatwg_encoding___whatwg_encoding_1.0.5.tgz";
- path = fetchurl {
- name = "whatwg_encoding___whatwg_encoding_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/whatwg-encoding/-/whatwg-encoding-1.0.5.tgz";
- sha1 = "5abacf777c32166a51d085d6b4f3e7d27113ddb0";
- };
- }
- {
- name = "whatwg_mimetype___whatwg_mimetype_2.3.0.tgz";
- path = fetchurl {
- name = "whatwg_mimetype___whatwg_mimetype_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/whatwg-mimetype/-/whatwg-mimetype-2.3.0.tgz";
- sha1 = "3d4b1e0312d2079879f826aff18dbeeca5960fbf";
- };
- }
- {
- name = "whatwg_url___whatwg_url_6.5.0.tgz";
- path = fetchurl {
- name = "whatwg_url___whatwg_url_6.5.0.tgz";
- url = "https://registry.yarnpkg.com/whatwg-url/-/whatwg-url-6.5.0.tgz";
- sha1 = "f2df02bff176fd65070df74ad5ccbb5a199965a8";
- };
- }
- {
- name = "whatwg_url___whatwg_url_7.1.0.tgz";
- path = fetchurl {
- name = "whatwg_url___whatwg_url_7.1.0.tgz";
- url = "https://registry.yarnpkg.com/whatwg-url/-/whatwg-url-7.1.0.tgz";
- sha1 = "c2c492f1eca612988efd3d2266be1b9fc6170d06";
- };
- }
- {
- name = "which_boxed_primitive___which_boxed_primitive_1.0.2.tgz";
- path = fetchurl {
- name = "which_boxed_primitive___which_boxed_primitive_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/which-boxed-primitive/-/which-boxed-primitive-1.0.2.tgz";
- sha1 = "13757bc89b209b049fe5d86430e21cf40a89a8e6";
- };
- }
- {
- name = "which_module___which_module_2.0.0.tgz";
- path = fetchurl {
- name = "which_module___which_module_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/which-module/-/which-module-2.0.0.tgz";
- sha1 = "d9ef07dce77b9902b8a3a8fa4b31c3e3f7e6e87a";
- };
- }
- {
- name = "which___which_1.3.1.tgz";
- path = fetchurl {
- name = "which___which_1.3.1.tgz";
- url = "https://registry.yarnpkg.com/which/-/which-1.3.1.tgz";
- sha1 = "a45043d54f5805316da8d62f9f50918d3da70b0a";
- };
- }
- {
- name = "word_wrap___word_wrap_1.2.3.tgz";
- path = fetchurl {
- name = "word_wrap___word_wrap_1.2.3.tgz";
- url = "https://registry.yarnpkg.com/word-wrap/-/word-wrap-1.2.3.tgz";
- sha1 = "610636f6b1f703891bd34771ccb17fb93b47079c";
- };
- }
- {
- name = "wordwrap___wordwrap_1.0.0.tgz";
- path = fetchurl {
- name = "wordwrap___wordwrap_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/wordwrap/-/wordwrap-1.0.0.tgz";
- sha1 = "27584810891456a4171c8d0226441ade90cbcaeb";
- };
- }
- {
- name = "wordwrapjs___wordwrapjs_4.0.1.tgz";
- path = fetchurl {
- name = "wordwrapjs___wordwrapjs_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/wordwrapjs/-/wordwrapjs-4.0.1.tgz";
- sha1 = "d9790bccfb110a0fc7836b5ebce0937b37a8b98f";
- };
- }
- {
- name = "wrap_ansi___wrap_ansi_5.1.0.tgz";
- path = fetchurl {
- name = "wrap_ansi___wrap_ansi_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/wrap-ansi/-/wrap-ansi-5.1.0.tgz";
- sha1 = "1fd1f67235d5b6d0fee781056001bfb694c03b09";
- };
- }
- {
- name = "wrappy___wrappy_1.0.2.tgz";
- path = fetchurl {
- name = "wrappy___wrappy_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/wrappy/-/wrappy-1.0.2.tgz";
- sha1 = "b5243d8f3ec1aa35f1364605bc0d1036e30ab69f";
- };
- }
- {
- name = "write_file_atomic___write_file_atomic_2.4.1.tgz";
- path = fetchurl {
- name = "write_file_atomic___write_file_atomic_2.4.1.tgz";
- url = "https://registry.yarnpkg.com/write-file-atomic/-/write-file-atomic-2.4.1.tgz";
- sha1 = "d0b05463c188ae804396fd5ab2a370062af87529";
- };
- }
- {
- name = "write___write_1.0.3.tgz";
- path = fetchurl {
- name = "write___write_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/write/-/write-1.0.3.tgz";
- sha1 = "0800e14523b923a387e415123c865616aae0f5c3";
- };
- }
- {
- name = "ws___ws_5.2.3.tgz";
- path = fetchurl {
- name = "ws___ws_5.2.3.tgz";
- url = "https://registry.yarnpkg.com/ws/-/ws-5.2.3.tgz";
- sha1 = "05541053414921bc29c63bee14b8b0dd50b07b3d";
- };
- }
- {
- name = "xml_name_validator___xml_name_validator_3.0.0.tgz";
- path = fetchurl {
- name = "xml_name_validator___xml_name_validator_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/xml-name-validator/-/xml-name-validator-3.0.0.tgz";
- sha1 = "6ae73e06de4d8c6e47f9fb181f78d648ad457c6a";
- };
- }
- {
- name = "xmlcreate___xmlcreate_2.0.3.tgz";
- path = fetchurl {
- name = "xmlcreate___xmlcreate_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/xmlcreate/-/xmlcreate-2.0.3.tgz";
- sha1 = "df9ecd518fd3890ab3548e1b811d040614993497";
- };
- }
- {
- name = "y18n___y18n_4.0.3.tgz";
- path = fetchurl {
- name = "y18n___y18n_4.0.3.tgz";
- url = "https://registry.yarnpkg.com/y18n/-/y18n-4.0.3.tgz";
- sha1 = "b5f259c82cd6e336921efd7bfd8bf560de9eeedf";
- };
- }
- {
- name = "yallist___yallist_4.0.0.tgz";
- path = fetchurl {
- name = "yallist___yallist_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/yallist/-/yallist-4.0.0.tgz";
- sha1 = "9bb92790d9c0effec63be73519e11a35019a3a72";
- };
- }
- {
- name = "yargs_parser___yargs_parser_13.1.2.tgz";
- path = fetchurl {
- name = "yargs_parser___yargs_parser_13.1.2.tgz";
- url = "https://registry.yarnpkg.com/yargs-parser/-/yargs-parser-13.1.2.tgz";
- sha1 = "130f09702ebaeef2650d54ce6e3e5706f7a4fb38";
- };
- }
- {
- name = "yargs___yargs_13.3.2.tgz";
- path = fetchurl {
- name = "yargs___yargs_13.3.2.tgz";
- url = "https://registry.yarnpkg.com/yargs/-/yargs-13.3.2.tgz";
- sha1 = "ad7ffefec1aa59565ac915f82dccb38a9c31a2dd";
- };
- }
- ];
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/update-element-desktop.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/update-element-desktop.sh
deleted file mode 100755
index 69d0d3d7072..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/update-element-desktop.sh
+++ /dev/null
@@ -1,17 +0,0 @@
-#!/usr/bin/env nix-shell
-#!nix-shell -I nixpkgs=../../../../../ -i bash -p wget yarn2nix
-
-set -euo pipefail
-
-if [ "$#" -ne 1 ] || [[ "$1" == -* ]]; then
- echo "Regenerates the Yarn dependency lock files for the element-desktop package."
- echo "Usage: $0 "
- exit 1
-fi
-
-RIOT_WEB_SRC="https://raw.githubusercontent.com/vector-im/element-desktop/$1"
-
-wget "$RIOT_WEB_SRC/package.json" -O element-desktop-package.json
-wget "$RIOT_WEB_SRC/yarn.lock" -O element-desktop-yarndeps.lock
-yarn2nix --lockfile=element-desktop-yarndeps.lock > element-desktop-yarndeps.nix
-rm element-desktop-yarndeps.lock
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/update.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/update.sh
new file mode 100755
index 00000000000..364f63a18bb
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/element/update.sh
@@ -0,0 +1,43 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -I nixpkgs=../../../../../ -i bash -p nix wget prefetch-yarn-deps nix-prefetch-github
+
+if [ "$#" -gt 1 ] || [[ "$1" == -* ]]; then
+ echo "Regenerates packaging data for the element packages."
+ echo "Usage: $0 [git release tag]"
+ exit 1
+fi
+
+version="$1"
+
+set -euo pipefail
+
+if [ -z "$version" ]; then
+ version="$(wget -O- "https://api.github.com/repos/vector-im/element-desktop/releases?per_page=1" | jq -r '.[0].tag_name')"
+fi
+
+# strip leading "v"
+version="${version#v}"
+
+desktop_src="https://raw.githubusercontent.com/vector-im/element-desktop/v$version"
+
+desktop_src_hash=$(nix-prefetch-github vector-im element-desktop --rev v${version} | jq -r .sha256)
+web_hash=$(nix-prefetch-url "https://github.com/vector-im/element-web/releases/download/v$version/element-v$version.tar.gz")
+
+wget "$desktop_src/package.json" -O element-desktop-package.json
+
+tmpdir=$(mktemp -d)
+trap 'rm -rf "$tmpdir"' EXIT
+
+pushd $tmpdir
+wget "$desktop_src/yarn.lock"
+desktop_yarn_hash=$(prefetch-yarn-deps yarn.lock)
+popd
+
+cat > pin.json << EOF
+{
+ "version": "$version",
+ "desktopSrcHash": "$desktop_src_hash",
+ "desktopYarnHash": "$desktop_yarn_hash",
+ "webHash": "$web_hash"
+}
+EOF
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/ferdi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/ferdi/default.nix
index 1b6bcd6758f..05ba66f9370 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/ferdi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/ferdi/default.nix
@@ -17,10 +17,10 @@ in
mkFranzDerivation' rec {
pname = "ferdi";
name = "Ferdi";
- version = "5.6.0";
+ version = "5.6.2";
src = fetchurl {
url = "https://github.com/getferdi/ferdi/releases/download/v${version}/ferdi_${version}_amd64.deb";
- sha256 = "sha256-yaAYNQAvbtArw9qAtbTDD11a9nH2OQEPE8QLg1E79Yc=";
+ sha256 = "sha256-8rB7SnaIaeCXAaKELNO1CnxpV8TyeKRCVamwpATeia4=";
};
extraBuildInputs = [ xorg.libxshmfence ];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/gomuks/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/gomuks/default.nix
index 0fea57ea9cc..5f2a094ca36 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/gomuks/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/gomuks/default.nix
@@ -13,16 +13,16 @@
buildGoModule rec {
pname = "gomuks";
- version = "0.2.3";
+ version = "0.2.4";
src = fetchFromGitHub {
owner = "tulir";
repo = pname;
rev = "v${version}";
- sha256 = "0g0aa6h6bm00mdgkb38wm66rcrhqfvs2xj9rl04bwprsa05q5lca";
+ sha256 = "bTOfnEmJHTuniewH//SugNNDuKIFMQb1Safs0UVKH1c=";
};
- vendorSha256 = "14ya5advpv4q5il235h5dxy8c2ap2yzrvqs0sjqgw0v1vm6vpwdx";
+ vendorSha256 = "PuNROoxL7UmcuYDgfnsMUsGk9i1jnQyWtaUmT7vXdKE=";
doCheck = false;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/gomuks/hardcoded_path.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/gomuks/hardcoded_path.patch
index 0e0d4e28b0a..dd89c92fd3d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/gomuks/hardcoded_path.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/gomuks/hardcoded_path.patch
@@ -1,13 +1,15 @@
-diff --git a/lib/notification/notify_linux.go b/lib/notification/notify_linux.go
-index f93a95f..da6a61d 100644
---- a/lib/notification/notify_linux.go
-+++ b/lib/notification/notify_linux.go
-@@ -32,7 +32,7 @@ func Send(title, text string, critical, sound bool) error {
- if critical {
- soundName = "complete"
- }
-- exec.Command("paplay", "/usr/share/sounds/freedesktop/stereo/"+soundName+".oga").Run()
-+ exec.Command("paplay", "@soundTheme@/share/sounds/freedesktop/stereo/"+soundName+".oga").Run()
- }
- return exec.Command("notify-send", args...).Run()
- }
+diff --git a/lib/notification/notify_xdg.go b/lib/notification/notify_xdg.go
+index 7f102b8..996c15f 100644
+--- a/lib/notification/notify_xdg.go
++++ b/lib/notification/notify_xdg.go
+@@ -26,8 +26,8 @@ import (
+ var notifySendPath string
+ var audioCommand string
+ var tryAudioCommands = []string{"ogg123", "paplay"}
+-var soundNormal = "/usr/share/sounds/freedesktop/stereo/message-new-instant.oga"
+-var soundCritical = "/usr/share/sounds/freedesktop/stereo/complete.oga"
++var soundNormal = "@soundTheme@/share/sounds/freedesktop/stereo/message-new-instant.oga"
++var soundCritical = "@soundTheme@/share/sounds/freedesktop/stereo/complete.oga"
+
+ func getSoundPath(env, defaultPath string) string {
+ if path, ok := os.LookupEnv(env); ok {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/jackline/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/jackline/default.nix
index 89d4931a2fe..3bd1564af2a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/jackline/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/jackline/default.nix
@@ -4,7 +4,7 @@ with ocamlPackages;
buildDunePackage rec {
pname = "jackline";
- version = "unstable-2021-04-23";
+ version = "unstable-2021-08-10";
minimumOCamlVersion = "4.08";
@@ -13,8 +13,8 @@ buildDunePackage rec {
src = fetchFromGitHub {
owner = "hannesm";
repo = "jackline";
- rev = "861c59bb7cd27ad5c7558ff94cb0d0e8dca249e5";
- sha256 = "00waw5qr0n70i9l9b25r9ryfi836x4qrj046bb4k9qa4d0p8q1sa";
+ rev = "73d87e9a62d534566bb0fbe64990d32d75487f11";
+ sha256 = "0wk574rqfg2vqz27nasxzwf67x51pj5fgl4vkc27r741dg4q6c5a";
};
nativeBuildInpts = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/jitsi-meet-electron/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/jitsi-meet-electron/default.nix
index 6a98df12583..149be3686e8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/jitsi-meet-electron/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/jitsi-meet-electron/default.nix
@@ -8,11 +8,11 @@
stdenv.mkDerivation rec {
pname = "jitsi-meet-electron";
- version = "2.8.10";
+ version = "2.8.11";
src = fetchurl {
url = "https://github.com/jitsi/jitsi-meet-electron/releases/download/v${version}/jitsi-meet-x86_64.AppImage";
- sha256 = "sha256-k++vumbhcMl9i4s8f04zOUAfYlA1g477FjrGuEGSD1U=";
+ sha256 = "sha256-DznbSwA1UISw3EkIfM5hGgmIToeXsH1b1HB7UOgDTKU=";
name = "${pname}-${version}.AppImage";
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/kaidan/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/kaidan/default.nix
index 74449ae705e..fc14f77198b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/kaidan/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/kaidan/default.nix
@@ -49,6 +49,15 @@ mkDerivation rec {
meta = with lib; {
description = "User-friendly and modern chat app, using XMPP";
+ longDescription = ''
+ Kaidan is a user-friendly and modern chat app for every device. It uses
+ the open communication protocol XMPP (Jabber). Unlike other chat apps,
+ you are not dependent on one specific service provider.
+
+ Kaidan does not have all basic features yet and has still some
+ stability issues. Current features include audio messages, video
+ messages, and file sharing.
+ '';
homepage = "https://www.kaidan.im";
license = with licenses; [
gpl3Plus
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/kdeltachat/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/kdeltachat/default.nix
index eda47b56b79..a7cd9116195 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/kdeltachat/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/kdeltachat/default.nix
@@ -1,6 +1,5 @@
{ lib
, mkDerivation
-, fetchFromGitHub
, fetchFromSourcehut
, cmake
, extra-cmake-modules
@@ -15,13 +14,13 @@
mkDerivation rec {
pname = "kdeltachat";
- version = "unstable-2021-08-28";
+ version = "unstable-2021-09-10";
src = fetchFromSourcehut {
owner = "~link2xt";
repo = "kdeltachat";
- rev = "4d051bc35611fa5b75865769df7a512d90a13c65";
- sha256 = "1p8pb3pwgvxy5bvr6by54f1f62imdlddy2mk52qxcvrx8f833bml";
+ rev = "40092aa096bac7e279eb5a4cc97758bac484236c";
+ sha256 = "0vmsbxx4hxh35v1lbj82vq2w8z8inj83xpf24wzlbdr9inlbmym4";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/mattermost-desktop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/mattermost-desktop/default.nix
index 5b14fba5b42..874fbd6375d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/mattermost-desktop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/mattermost-desktop/default.nix
@@ -2,7 +2,7 @@
freetype, fontconfig, dbus, libX11, xorg, libXi, libXcursor, libXdamage,
libXrandr, libXcomposite, libXext, libXfixes, libXrender, libXtst,
libXScrnSaver, nss, nspr, alsa-lib, cups, expat, udev, wrapGAppsHook,
-hicolor-icon-theme, libuuid, at-spi2-core, at-spi2-atk }:
+hicolor-icon-theme, libuuid, at-spi2-core, at-spi2-atk, libappindicator-gtk3 }:
let
rpath = lib.makeLibraryPath [
@@ -21,6 +21,7 @@ let
gnome2.GConf
gtk3
pango
+ libappindicator-gtk3
libuuid
libX11
libXScrnSaver
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/mirage/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/mirage/default.nix
index db30ede8a10..a4693dc667b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/mirage/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/mirage/default.nix
@@ -1,43 +1,74 @@
-{ lib, stdenv, mkDerivation, fetchFromGitHub
-, qmake, pkg-config, olm, wrapQtAppsHook
-, qtbase, qtquickcontrols2, qtkeychain, qtmultimedia, qtgraphicaleffects
-, python3Packages, pyotherside, libXScrnSaver
+{ lib
+, stdenv
+, mkDerivation
+, fetchFromGitHub
+, libXScrnSaver
+, olm
+, pkg-config
+, pyotherside
+, python3Packages
+, qmake
+, qtbase
+, qtgraphicaleffects
+, qtkeychain
+, qtmultimedia
+, qtquickcontrols2
+, wrapQtAppsHook
}:
-let
- pypkgs = with python3Packages; [
- aiofiles filetype matrix-nio appdirs cairosvg
- pymediainfo setuptools html-sanitizer mistune blist
- pyotherside
- ];
-in
mkDerivation rec {
pname = "mirage";
- version = "0.6.4";
+ version = "0.7.2";
src = fetchFromGitHub {
owner = "mirukana";
repo = pname;
rev = "v${version}";
- sha256 = "15x0x2rf4fzsd0zr84fq3j3ddzkgc5il8s54jpxk8wl4ah03g4nv";
+ sha256 = "sha256-dJS4lAXHHNUEAG75gQaS9+aQTTTj8KHqHjISioynFdY=";
fetchSubmodules = true;
};
- nativeBuildInputs = [ pkg-config qmake wrapQtAppsHook python3Packages.wrapPython ];
+ nativeBuildInputs = [
+ pkg-config
+ python3Packages.wrapPython
+ qmake
+ wrapQtAppsHook
+ ];
buildInputs = [
- qtbase qtmultimedia
- qtquickcontrols2
- qtkeychain qtgraphicaleffects
- olm pyotherside
libXScrnSaver
- ];
-
- propagatedBuildInputs = pypkgs;
+ olm
+ pyotherside
+ qtbase
+ qtgraphicaleffects
+ qtkeychain
+ qtmultimedia
+ qtquickcontrols2
+ ] ++ pythonPath;
- pythonPath = pypkgs;
+ pythonPath = with python3Packages; [
+ aiofiles
+ appdirs
+ blist
+ cairosvg
+ filetype
+ html-sanitizer
+ hsluv
+ matrix-nio
+ mistune
+ plyer
+ pymediainfo
+ pyotherside
+ redbaron
+ simpleaudio
+ setuptools
+ watchgod
+ ];
- qmakeFlags = [ "PREFIX=${placeholder "out"}" "CONFIG+=qtquickcompiler" ];
+ qmakeFlags = [
+ "PREFIX=${placeholder "out"}"
+ "CONFIG+=qtquickcompiler"
+ ];
dontWrapQtApps = true;
postInstall = ''
@@ -45,14 +76,14 @@ mkDerivation rec {
wrapProgram $out/bin/mirage \
--prefix PYTHONPATH : "$PYTHONPATH" \
"''${qtWrapperArgs[@]}"
- '';
+ '';
meta = with lib; {
- description = "A fancy, customizable, keyboard-operable Qt/QML+Python Matrix chat client for encrypted and decentralized communication";
homepage = "https://github.com/mirukana/mirage";
- license = licenses.lgpl3;
- maintainers = with maintainers; [ colemickens ];
- broken = stdenv.isDarwin;
+ description = "A fancy, customizable, keyboard-operable Qt/QML+Python Matrix chat client for encrypted and decentralized communication";
+ license = licenses.lgpl3Plus;
+ maintainers = with maintainers; [ colemickens AndersonTorres ];
inherit (qtbase.meta) platforms;
+ broken = stdenv.isDarwin;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/pidgin/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/pidgin/default.nix
index b5bdef03db7..341d9e1d840 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/pidgin/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/pidgin/default.nix
@@ -17,11 +17,11 @@
let unwrapped = stdenv.mkDerivation rec {
pname = "pidgin";
majorVersion = "2";
- version = "${majorVersion}.14.6";
+ version = "${majorVersion}.14.8";
src = fetchurl {
url = "mirror://sourceforge/pidgin/${pname}-${version}.tar.bz2";
- sha256 = "bb45f7c032f9efd6922a5dbf2840995775e5584771b23992d04f6eff7dff5336";
+ sha256 = "1jjc15pfyw3012q5ffv7q4r88wv07ndqh0wakyxa2k0w4708b01z";
};
nativeBuildInputs = [ makeWrapper ];
@@ -87,6 +87,10 @@ let unwrapped = stdenv.mkDerivation rec {
done
'';
+ passthru = {
+ makePluginPath = lib.makeSearchPathOutput "lib" "lib/purple-${majorVersion}";
+ };
+
meta = with lib; {
description = "Multi-protocol instant messaging client";
homepage = "http://pidgin.im";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/profanity/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/profanity/default.nix
index 9d8a0d68e75..9b15e12d66f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/profanity/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/profanity/default.nix
@@ -1,33 +1,47 @@
-{ lib, stdenv, fetchFromGitHub, autoreconfHook, pkg-config, glib, openssl
-, glibcLocales, expect, ncurses, libotr, curl, readline, libuuid
-, cmocka, libmicrohttpd, expat, sqlite, libmesode, autoconf-archive
-
-, autoAwaySupport ? true, libXScrnSaver ? null, libX11 ? null
-, notifySupport ? true, libnotify ? null, gdk-pixbuf ? null
-, traySupport ? true, gtk2 ? null
-, pgpSupport ? true, gpgme ? null
-, pythonPluginSupport ? true, python ? null
-, omemoSupport ? true, libsignal-protocol-c ? null, libgcrypt ? null
+{ lib
+, stdenv
+, fetchFromGitHub
+, autoconf-archive
+, autoreconfHook
+, cmocka
+, curl
+, expat
+, expect
+, glib
+, glibcLocales
+, libmesode
+, libmicrohttpd
+, libotr
+, libuuid
+, ncurses
+, openssl
+, pkg-config
+, readline
+, sqlite
+, autoAwaySupport ? true, libXScrnSaver ? null, libX11
+, notifySupport ? true, libnotify, gdk-pixbuf
+, omemoSupport ? true, libsignal-protocol-c, libgcrypt
+, pgpSupport ? true, gpgme
+, pythonPluginSupport ? true, python
+, traySupport ? true, gtk
}:
assert autoAwaySupport -> libXScrnSaver != null && libX11 != null;
assert notifySupport -> libnotify != null && gdk-pixbuf != null;
-assert traySupport -> gtk2 != null;
+assert traySupport -> gtk != null;
assert pgpSupport -> gpgme != null;
assert pythonPluginSupport -> python != null;
assert omemoSupport -> libsignal-protocol-c != null && libgcrypt != null;
-with lib;
-
stdenv.mkDerivation rec {
pname = "profanity";
- version = "0.11.0";
+ version = "0.11.1";
src = fetchFromGitHub {
owner = "profanity-im";
repo = "profanity";
rev = version;
- sha256 = "0xmzsh0szm8x3hgw65j0cd2bp8cmrnq5pjz49lqajircyzflsngm";
+ hash = "sha256-8WGHOy0fSW8o7vMCYZqqpvDsn81JZefM6wGfjQ5iKbU=";
};
patches = [
@@ -37,26 +51,42 @@ stdenv.mkDerivation rec {
enableParallelBuilding = true;
nativeBuildInputs = [
- autoreconfHook autoconf-archive glibcLocales pkg-config
+ autoconf-archive
+ autoreconfHook
+ glibcLocales
+ pkg-config
];
buildInputs = [
- expect readline libuuid glib openssl expat ncurses libotr
- curl libmesode cmocka libmicrohttpd sqlite
- ] ++ optionals autoAwaySupport [ libXScrnSaver libX11 ]
- ++ optionals notifySupport [ libnotify gdk-pixbuf ]
- ++ optionals traySupport [ gtk2 ]
- ++ optionals pgpSupport [ gpgme ]
- ++ optionals pythonPluginSupport [ python ]
- ++ optionals omemoSupport [ libsignal-protocol-c libgcrypt ];
+ cmocka
+ curl
+ expat
+ expect
+ glib
+ libmesode
+ libmicrohttpd
+ libotr
+ libuuid
+ ncurses
+ openssl
+ readline
+ sqlite
+ ] ++ lib.optionals autoAwaySupport [ libXScrnSaver libX11 ]
+ ++ lib.optionals notifySupport [ libnotify gdk-pixbuf ]
+ ++ lib.optionals omemoSupport [ libsignal-protocol-c libgcrypt ]
+ ++ lib.optionals pgpSupport [ gpgme ]
+ ++ lib.optionals pythonPluginSupport [ python ]
+ ++ lib.optionals traySupport [ gtk ];
# Enable feature flags, so that build fail if libs are missing
- configureFlags = [ "--enable-c-plugins" "--enable-otr" ]
- ++ optionals notifySupport [ "--enable-notifications" ]
- ++ optionals traySupport [ "--enable-icons-and-clipboard" ]
- ++ optionals pgpSupport [ "--enable-pgp" ]
- ++ optionals pythonPluginSupport [ "--enable-python-plugins" ]
- ++ optionals omemoSupport [ "--enable-omemo" ];
+ configureFlags = [
+ "--enable-c-plugins"
+ "--enable-otr"
+ ] ++ lib.optionals notifySupport [ "--enable-notifications" ]
+ ++ lib.optionals traySupport [ "--enable-icons-and-clipboard" ]
+ ++ lib.optionals pgpSupport [ "--enable-pgp" ]
+ ++ lib.optionals pythonPluginSupport [ "--enable-python-plugins" ]
+ ++ lib.optionals omemoSupport [ "--enable-omemo" ];
preAutoreconf = ''
mkdir m4
@@ -66,18 +96,15 @@ stdenv.mkDerivation rec {
LC_ALL = "en_US.utf8";
- meta = {
+ meta = with lib; {
+ homepage = "http://www.profanity.im/";
description = "A console based XMPP client";
longDescription = ''
Profanity is a console based XMPP client written in C using ncurses and
libstrophe, inspired by Irssi.
'';
- homepage = "http://www.profanity.im/";
license = licenses.gpl3Plus;
- platforms = platforms.unix;
- changelog = "https://github.com/profanity-im/profanity/releases/tag/${version}";
- downloadPage = "https://github.com/profanity-im/profanity/releases/";
maintainers = [ maintainers.devhell ];
- updateWalker = true;
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/psi-plus/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/psi-plus/default.nix
index 01a8a71f032..fae4eff734e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/psi-plus/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/psi-plus/default.nix
@@ -14,7 +14,7 @@
, libgcrypt
, libotr
, html-tidy
-, libgpgerror
+, libgpg-error
, libsignal-protocol-c
, usrsctp
@@ -40,15 +40,43 @@ assert builtins.elem (lib.toLower chatType) [
assert enablePsiMedia -> enablePlugins;
-mkDerivation rec {
+mkDerivation {
pname = "psi-plus";
- version = "1.5.1549";
+
+ # Version mask is “X.X.XXXX-R” where “X.X.XXXX” is a mandatory version of Psi
+ # and “-R” ending is optional revision number.
+ #
+ # The “psi-plus-snapshots” generally provides snapshots of these separate
+ # repositories glued together (there are also dependencies/libraries):
+ #
+ # 1. Psi
+ # 2. Plugins pack for Psi
+ # 3. “psimedia” plugin
+ # 4. Resources for Psi (icons, skins, sounds)
+ #
+ # “X.X.XXXX” is literally a version of Psi.
+ # So often when for instance plugins are updated separately a new snapshot is
+ # created. And that snapshot would also be linked to “X.X.XXXX” version.
+ # So many commits may have the same associated version of the snapshot.
+ # But mind that only one Git tag is created for “X.X.XXXX” version.
+ #
+ # It’s not yet defined in the Psi+ project what value to use as a version for
+ # any further releases that don’t change Psi version.
+ #
+ # Let’s do what Debian does for instance (appends “-R” where “R” is a revision
+ # number).
+ # E.g. https://tracker.debian.org/news/1226321/psi-plus-14554-5-migrated-to-testing/
+ #
+ # This has been communicated with the Psi+ main devs in this XMPP MUC chat:
+ # psi-dev@conference.jabber.ru
+ #
+ version = "1.5.1556-2";
src = fetchFromGitHub {
owner = "psi-plus";
repo = "psi-plus-snapshots";
- rev = version;
- sha256 = "0jpv6qzfg6xjwkrnci7fav27nxm174i9l5g4vmsbchqpwfk90z2m";
+ rev = "635879010b6697f7041a7bbea1853a1f4673c7f7";
+ sha256 = "18xvljcm0a9swkyz4diwxi4xaj0w27jnhfgpi8fv5fj11j0g1b3a";
};
cmakeFlags = [
@@ -76,7 +104,7 @@ mkDerivation rec {
libgcrypt
libotr
html-tidy
- libgpgerror
+ libgpg-error
libsignal-protocol-c
usrsctp
] ++ lib.optionals voiceMessagesSupport [
@@ -96,7 +124,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://psi-plus.com";
- description = "XMPP (Jabber) client";
+ description = "XMPP (Jabber) client based on Qt5";
maintainers = with maintainers; [ orivej misuzu unclechu ];
license = licenses.gpl2Only;
platforms = platforms.linux;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/quaternion/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/quaternion/default.nix
index 31b37fc2dfb..359c0fa7aac 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/quaternion/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/quaternion/default.nix
@@ -1,17 +1,27 @@
-{ mkDerivation, stdenv, lib, fetchFromGitHub, cmake
-, qtbase, qtquickcontrols, qtquickcontrols2, qtkeychain, qtmultimedia, qttools
-, libquotient, libsecret
+{ mkDerivation
+, stdenv
+, lib
+, fetchFromGitHub
+, cmake
+, qtbase
+, qtquickcontrols
+, qtquickcontrols2
+, qtkeychain
+, qtmultimedia
+, qttools
+, libquotient
+, libsecret
}:
mkDerivation rec {
pname = "quaternion";
- version = "0.0.9.5-beta2";
+ version = "0.0.95";
src = fetchFromGitHub {
owner = "QMatrixClient";
repo = "Quaternion";
rev = version;
- sha256 = "sha256-K4SMB5kL0YO2OIeNUu4hWqU4E4n4vZDRRsJVYmCZqvM=";
+ sha256 = "sha256-WqhHqo4ySxufulC+TxS2ko2R5hUiORgdNAkp5Awdcw8=";
};
buildInputs = [
@@ -26,14 +36,15 @@ mkDerivation rec {
nativeBuildInputs = [ cmake qttools ];
- postInstall = if stdenv.isDarwin then ''
- mkdir -p $out/Applications
- mv $out/bin/quaternion.app $out/Applications
- rmdir $out/bin || :
- '' else ''
- substituteInPlace $out/share/applications/com.github.quaternion.desktop \
- --replace 'Exec=quaternion' "Exec=$out/bin/quaternion"
- '';
+ postInstall =
+ if stdenv.isDarwin then ''
+ mkdir -p $out/Applications
+ mv $out/bin/quaternion.app $out/Applications
+ rmdir $out/bin || :
+ '' else ''
+ substituteInPlace $out/share/applications/com.github.quaternion.desktop \
+ --replace 'Exec=quaternion' "Exec=$out/bin/quaternion"
+ '';
meta = with lib; {
description =
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/rambox/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/rambox/default.nix
index 418d490bbd5..1d7888f78c8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/rambox/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/rambox/default.nix
@@ -1,19 +1,20 @@
{ stdenv, callPackage, fetchurl, lib }:
let
- mkRambox = opts: callPackage (import ./rambox.nix opts) { };
-in mkRambox rec {
+ mkRambox = opts: callPackage (import ./rambox.nix opts) {};
+in
+mkRambox rec {
pname = "rambox";
- version = "0.7.8";
+ version = "0.7.9";
src = {
x86_64-linux = fetchurl {
url = "https://github.com/ramboxapp/community-edition/releases/download/${version}/Rambox-${version}-linux-x86_64.AppImage";
- sha256 = "1y3c9xh8594ay95rj9vaqxxzibwpc38n7ixxi2wnsrdbrqrwlc63";
+ sha256 = "19y4cmrfp79dr4hgl698imp4f3l1nhgvhh76j5laxg46ld71knil";
};
i686-linux = fetchurl {
url = "https://github.com/ramboxapp/community-edition/releases/download/${version}/Rambox-${version}-linux-i386.AppImage";
- sha256 = "07sv384nd2i701fkjgsrlib8jfsa01bvj60gnqdwlnpphlknga3h";
+ sha256 = "13wiciyshyrabq2mvnssl2d6svia1kdvwx3dl26249iyif96xxvq";
};
}.${stdenv.system} or (throw "Unsupported system: ${stdenv.system}");
@@ -21,8 +22,8 @@ in mkRambox rec {
description = "Free and Open Source messaging and emailing app that combines common web applications into one";
homepage = "https://rambox.pro";
license = licenses.mit;
- maintainers = with maintainers; [ ];
- platforms = ["i686-linux" "x86_64-linux"];
+ maintainers = with maintainers; [];
+ platforms = [ "i686-linux" "x86_64-linux" ];
hydraPlatforms = [];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/rocketchat-desktop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/rocketchat-desktop/default.nix
new file mode 100644
index 00000000000..36e7a651eb3
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/rocketchat-desktop/default.nix
@@ -0,0 +1,92 @@
+{ lib, stdenv, pkgs, fetchurl }:
+let
+ libPathNative = { packages }: lib.makeLibraryPath packages;
+in
+stdenv.mkDerivation rec {
+ pname = "rocketchat-desktop";
+ version = "3.5.7";
+
+ src = fetchurl {
+ url = "https://github.com/RocketChat/Rocket.Chat.Electron/releases/download/${version}/rocketchat_${version}_amd64.deb";
+ sha256 = "1ri8a60fsbqgq83f8wkyfnd59nqk4d0gpz1vanj54769zflpl71s";
+ };
+
+ buildInputs = with pkgs; [
+ gtk3
+ stdenv.cc.cc
+ zlib
+ glib
+ dbus
+ atk
+ pango
+ freetype
+ libgnome-keyring3
+ fontconfig
+ gdk-pixbuf
+ cairo
+ cups
+ expat
+ libgpg-error
+ alsa-lib
+ nspr
+ nss
+ xorg.libXrender
+ xorg.libX11
+ xorg.libXext
+ xorg.libXdamage
+ xorg.libXtst
+ xorg.libXcomposite
+ xorg.libXi
+ xorg.libXfixes
+ xorg.libXrandr
+ xorg.libXcursor
+ xorg.libxkbfile
+ xorg.libXScrnSaver
+ systemd
+ libnotify
+ xorg.libxcb
+ at-spi2-atk
+ at-spi2-core
+ libdbusmenu
+ libdrm
+ mesa
+ xorg.libxshmfence
+ libxkbcommon
+ ];
+
+ dontBuild = true;
+ dontConfigure = true;
+
+ unpackPhase = ''
+ ar p $src data.tar.xz | tar xJ ./opt/ ./usr/
+ '';
+
+ installPhase = ''
+ runHook preInstall
+ mkdir -p $out/bin
+ mv opt $out
+ mv usr/share $out
+ ln -s $out/opt/Rocket.Chat/rocketchat-desktop $out/bin/rocketchat-desktop
+ runHook postInstall
+ '';
+
+ postFixup =
+ let
+ libpath = libPathNative { packages = buildInputs; };
+ in
+ ''
+ app=$out/opt/Rocket.Chat
+ patchelf --set-interpreter "$(cat $NIX_CC/nix-support/dynamic-linker)" \
+ --set-rpath "${libpath}:$app" \
+ $app/rocketchat-desktop
+ sed -i -e "s|Exec=.*$|Exec=$out/bin/rocketchat-desktop|" $out/share/applications/rocketchat-desktop.desktop
+ '';
+
+ meta = with lib; {
+ description = "Official Desktop client for Rocket.Chat";
+ homepage = "https://github.com/RocketChat/Rocket.Chat.Electron";
+ license = licenses.mit;
+ maintainers = with maintainers; [ gbtb ];
+ platforms = platforms.x86_64;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/session-desktop-appimage/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/session-desktop-appimage/default.nix
new file mode 100644
index 00000000000..ea5ca8bebfa
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/session-desktop-appimage/default.nix
@@ -0,0 +1,23 @@
+{ lib
+, fetchurl
+, appimageTools
+}:
+
+let
+ version = "1.7.3";
+in
+appimageTools.wrapType2 {
+ name = "session-desktop-appimage-${version}";
+ src = fetchurl {
+ url = "https://github.com/oxen-io/session-desktop/releases/download/v${version}/session-desktop-linux-x86_64-${version}.AppImage";
+ sha256 = "0s0zvj9ddrngdzsx8hd07pq3150sq8ab1hbpsi9i2ir99sv1p7gn";
+ };
+
+ meta = with lib; {
+ description = "Onion routing based messenger";
+ homepage = "https://getsession.org/";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ alexnortung ];
+ platforms = [ "x86_64-linux" ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/signal-cli/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/signal-cli/default.nix
index b282c5c5f21..33a746e0395 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/signal-cli/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/signal-cli/default.nix
@@ -2,12 +2,12 @@
stdenv.mkDerivation rec {
pname = "signal-cli";
- version = "0.8.5";
+ version = "0.9.0";
# Building from source would be preferred, but is much more involved.
src = fetchurl {
url = "https://github.com/AsamK/signal-cli/releases/download/v${version}/signal-cli-${version}.tar.gz";
- sha256 = "sha256-H895fyI6fdrrqhcgKMxzGSxO5BFuuizjfjBEwvl1yyg=";
+ sha256 = "sha256-wk8kk+PG0nw2OE7mccGjP435SEytStRy1unxg6EqP/8=";
};
buildInputs = lib.optionals stdenv.isLinux [ libmatthew_java dbus dbus_java ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/signal-desktop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/signal-desktop/default.nix
index b73b87fc897..7be5d62312d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/signal-desktop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/signal-desktop/default.nix
@@ -18,14 +18,12 @@ let
# E.g. "de_DE" -> "de-de" (spellcheckerLanguage -> hunspellDict)
spellLangComponents = splitString "_" spellcheckerLanguage;
hunspellDict = elemAt spellLangComponents 0 + "-" + toLower (elemAt spellLangComponents 1);
- in if spellcheckerLanguage != null
- then ''
- --set HUNSPELL_DICTIONARIES "${hunspellDicts.${hunspellDict}}/share/hunspell" \
- --set LC_MESSAGES "${spellcheckerLanguage}"''
- else "");
+ in lib.optionalString (spellcheckerLanguage != null) ''
+ --set HUNSPELL_DICTIONARIES "${hunspellDicts.${hunspellDict}}/share/hunspell" \
+ --set LC_MESSAGES "${spellcheckerLanguage}"'');
in stdenv.mkDerivation rec {
pname = "signal-desktop";
- version = "5.15.0"; # Please backport all updates to the stable channel.
+ version = "5.20.0"; # Please backport all updates to the stable channel.
# All releases have a limited lifetime and "expire" 90 days after the release.
# When releases "expire" the application becomes unusable until an update is
# applied. The expiration date for the current release can be extracted with:
@@ -35,7 +33,7 @@ in stdenv.mkDerivation rec {
src = fetchurl {
url = "https://updates.signal.org/desktop/apt/pool/main/s/signal-desktop/signal-desktop_${version}_amd64.deb";
- sha256 = "0cbz2l31cg87n6qlbvwdjy92q9qgmmkrsvaj37cc34ajh7asd833";
+ sha256 = "0a57gajxjqkp7zcmjc3iiys06b7v53nd81gkwrsfn2gmshihlzkd";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/skypeforlinux/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/skypeforlinux/default.nix
index 4eb53625624..7550e72277f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/skypeforlinux/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/skypeforlinux/default.nix
@@ -1,13 +1,13 @@
{ lib, stdenv, fetchurl, dpkg
, alsa-lib, atk, cairo, cups, curl, dbus, expat, fontconfig, freetype, gdk-pixbuf, glib, glibc, gnome2, gnome
, gtk3, libappindicator-gtk3, libnotify, libpulseaudio, libsecret, libv4l, nspr, nss, pango, systemd, wrapGAppsHook, xorg
-, at-spi2-atk, libuuid, at-spi2-core, libdrm, mesa, libxkbcommon }:
+, at-spi2-atk, libuuid, at-spi2-core, libdrm, mesa, libxkbcommon, libxshmfence }:
let
# Please keep the version x.y.0.z and do not update to x.y.76.z because the
# source of the latter disappears much faster.
- version = "8.69.0.77";
+ version = "8.77.0.97";
rpath = lib.makeLibraryPath [
alsa-lib
@@ -45,6 +45,7 @@ let
libdrm
mesa
libxkbcommon
+ libxshmfence
xorg.libxkbfile
xorg.libX11
xorg.libXcomposite
@@ -68,7 +69,7 @@ let
"https://mirror.cs.uchicago.edu/skype/pool/main/s/skypeforlinux/skypeforlinux_${version}_amd64.deb"
"https://web.archive.org/web/https://repo.skype.com/deb/pool/main/s/skypeforlinux/skypeforlinux_${version}_amd64.deb"
];
- sha256 = "PaqlPp+BRS0cH7XI4x1/5HqYti63rQThmTtPaghIQH0=";
+ sha256 = "sha256-0u1fpKJrsEgbvTwdkqJZ/SwCRDmJwEi9IXHbMmY8MJI=";
}
else
throw "Skype for linux is not supported on ${stdenv.hostPlatform.system}";
@@ -121,7 +122,7 @@ in stdenv.mkDerivation {
description = "Linux client for skype";
homepage = "https://www.skype.com";
license = licenses.unfree;
- maintainers = with lib.maintainers; [ panaeon jraygauthier ];
+ maintainers = with maintainers; [ panaeon jraygauthier ];
platforms = [ "x86_64-linux" ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/slack/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/slack/default.nix
index 61a859e331e..4f876013903 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/slack/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/slack/default.nix
@@ -33,6 +33,7 @@
, nspr
, nss
, pango
+, pipewire
, systemd
, xdg-utils
, xorg
@@ -44,14 +45,14 @@ let
pname = "slack";
- x86_64-darwin-version = "4.18.0";
- x86_64-darwin-sha256 = "1qldmh0xdbl18gvxxsi2jvcq1ziwap3naxgax4gn36x5k25ipw5k";
+ x86_64-darwin-version = "4.20.0";
+ x86_64-darwin-sha256 = "1argl690i4dgz5ih02zg9v4zrlzm282wmibnc6p7xy5jisd5g79w";
- x86_64-linux-version = "4.18.0";
- x86_64-linux-sha256 = "1dhdmi2rvww8m6400c5dc0c6mrircvflgwcja2rr7ry0lv98n6kh";
+ x86_64-linux-version = "4.20.0";
+ x86_64-linux-sha256 = "1r8w8s3y74lh4klsmzq2d3f0h721b3a2b53nx8v7b0s6j8w0g0mh";
- aarch64-darwin-version = "4.18.0";
- aarch64-darwin-sha256 = "0qlfxskqq5gr45p1gfc2jcbr1abhc6di653jwjgh7yibim0hpjab";
+ aarch64-darwin-version = "4.20.0";
+ aarch64-darwin-sha256 = "1argl690i4dgz5ih02zg9v4zrlzm282wmibnc6p7xy5jisd5g79w";
version = {
x86_64-darwin = x86_64-darwin-version;
@@ -73,7 +74,7 @@ let
sha256 = aarch64-darwin-sha256;
};
x86_64-linux = fetchurl {
- url = "${base}/linux_releases/slack-desktop-${version}-amd64.deb";
+ url = "${base}/releases/linux/${version}/prod/x64/slack-desktop-${version}-amd64.deb";
sha256 = x86_64-linux-sha256;
};
}.${system} or throwSystem;
@@ -119,6 +120,7 @@ let
nspr
nss
pango
+ pipewire
stdenv.cc.cc
systemd
xorg.libX11
@@ -147,6 +149,8 @@ let
dontPatchELF = true;
installPhase = ''
+ runHook preInstall
+
# The deb file contains a setuid binary, so 'dpkg -x' doesn't work here
dpkg --fsys-tarfile $src | tar --extract
rm -rf usr/share/lintian
@@ -172,6 +176,8 @@ let
substituteInPlace $out/share/applications/slack.desktop \
--replace /usr/bin/ $out/bin/ \
--replace /usr/share/ $out/share/
+
+ runHook postInstall
'';
};
@@ -185,9 +191,11 @@ let
sourceRoot = "Slack.app";
installPhase = ''
+ runHook preInstall
mkdir -p $out/Applications/Slack.app
cp -R . $out/Applications/Slack.app
/usr/bin/defaults write com.tinyspeck.slackmacgap SlackNoAutoUpdates -bool YES
+ runHook postInstall
'';
};
in
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/teamspeak/client.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/teamspeak/client.nix
index 048d40082da..513f427ee13 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/teamspeak/client.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/teamspeak/client.nix
@@ -81,9 +81,9 @@ stdenv.mkDerivation rec {
mv * $out/lib/teamspeak/
# Make a desktop item
- mkdir -p $out/share/applications/ $out/share/icons/
+ mkdir -p $out/share/applications/ $out/share/icons/hicolor/64x64/apps/
unzip ${pluginsdk}
- cp pluginsdk/docs/client_html/images/logo.png $out/share/icons/teamspeak.png
+ cp pluginsdk/docs/client_html/images/logo.png $out/share/icons/hicolor/64x64/apps/teamspeak.png
cp ${desktopItem}/share/applications/* $out/share/applications/
# Make a symlink to the binary from bin.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/telegram/tdesktop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/telegram/tdesktop/default.nix
index 7f97e20a85e..d39ac978d8d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/telegram/tdesktop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/telegram/tdesktop/default.nix
@@ -45,6 +45,8 @@
, libsysprof-capture
, libpsl
, brotli
+, microsoft_gsl
+, rlottie
}:
# Main reference:
@@ -59,7 +61,7 @@ let
in
mkDerivation rec {
pname = "telegram-desktop";
- version = "2.9.3";
+ version = "3.1.9";
# Note: Update via pkgs/applications/networking/instant-messengers/telegram/tdesktop/update.py
# Telegram-Desktop with submodules
@@ -68,7 +70,7 @@ mkDerivation rec {
repo = "tdesktop";
rev = "v${version}";
fetchSubmodules = true;
- sha256 = "sha256-ZmhgBL5nbgrNLRmCHocqVNC3KtaLm4LUY1f4Xl8CvB4=";
+ sha256 = "1nmakl9jxmw3k8gka56cyywbjwv06a5983dy6h9jhkkq950fn33s";
};
postPatch = ''
@@ -81,6 +83,8 @@ mkDerivation rec {
--replace '"libasound.so.2"' '"${alsa-lib}/lib/libasound.so.2"'
substituteInPlace Telegram/ThirdParty/libtgvoip/os/linux/AudioPulse.cpp \
--replace '"libpulse.so.0"' '"${libpulseaudio}/lib/libpulse.so.0"'
+ substituteInPlace Telegram/lib_webview/webview/platform/linux/webview_linux_webkit_gtk.cpp \
+ --replace '"libwebkit2gtk-4.0.so.37"' '"${webkitgtk}/lib/libwebkit2gtk-4.0.so.37"'
'';
# We want to run wrapProgram manually (with additional parameters)
@@ -134,6 +138,8 @@ mkDerivation rec {
libsysprof-capture
libpsl
brotli
+ microsoft_gsl
+ rlottie
];
cmakeFlags = [
@@ -172,6 +178,6 @@ mkDerivation rec {
platforms = platforms.linux;
homepage = "https://desktop.telegram.org/";
changelog = "https://github.com/telegramdesktop/tdesktop/releases/tag/v${version}";
- maintainers = with maintainers; [ oxalica primeos ];
+ maintainers = with maintainers; [ oxalica primeos vanilla ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/telegram/tdesktop/tg_owt.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/telegram/tdesktop/tg_owt.nix
index e553c95990e..60baf734aa1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/telegram/tdesktop/tg_owt.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/telegram/tdesktop/tg_owt.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation {
pname = "tg_owt";
- version = "unstable-2021-06-27";
+ version = "unstable-2021-09-15";
src = fetchFromGitHub {
owner = "desktop-app";
repo = "tg_owt";
- rev = "91d836dc84a16584c6ac52b36c04c0de504d9c34";
- sha256 = "1ir4svv5mijpzr0rmx65088iikck83vhcdqrpf9dnk6yp4j9v4v2";
+ rev = "575fb17d2853c43329e45f6693370f5e41668055";
+ sha256 = "17lhy5g4apdakspv75zm070k7003crf1i80m8wy8f631s86v30md";
fetchSubmodules = true;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/toxic/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/toxic/default.nix
index fc6c78a4f67..3082748a351 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/toxic/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/toxic/default.nix
@@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "toxic";
- version = "0.10.1";
+ version = "0.11.1";
src = fetchFromGitHub {
owner = "Tox";
repo = "toxic";
rev = "v${version}";
- sha256 = "sha256-EElDi/VEYgYPpoDNatxcKQC1pnCU8kOcj0bAFojD9fU=";
+ sha256 = "sha256-5jLXXI+IMrYa7ZtdMjJrah1zB5TJ3GdHfvcMd1TYE4E=";
};
makeFlags = [ "PREFIX=$(out)"];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/whatsapp-for-linux/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/whatsapp-for-linux/default.nix
index 0405dddf7cd..4e61f39cac5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/whatsapp-for-linux/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/whatsapp-for-linux/default.nix
@@ -8,22 +8,24 @@
, libappindicator-gtk3
, gst_all_1
, pcre
+, wrapGAppsHook
}:
stdenv.mkDerivation rec {
pname = "whatsapp-for-linux";
- version = "1.2.0";
+ version = "1.2.1";
src = fetchFromGitHub {
owner = "eneshecan";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-dB+NsoUEYM3cT0cg5ZOkBGW7ozRGFWSsYQMja3CjaHM=";
+ sha256 = "sha256-dEJRufOqlY+DnJdUaG5WP9hR1qO7KxR6MjKWq1SJB8A=";
};
nativeBuildInputs = [
cmake
pkg-config
+ wrapGAppsHook
];
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/zoom-us/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/zoom-us/default.nix
index 5395946125d..03a34a69a72 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/zoom-us/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/instant-messengers/zoom-us/default.nix
@@ -28,11 +28,11 @@
}:
let
- version = "5.7.31792.0820";
+ version = "5.8.0.16";
srcs = {
x86_64-linux = fetchurl {
url = "https://zoom.us/client/${version}/zoom_x86_64.pkg.tar.xz";
- sha256 = "16p8wn67hb6p9rn684bbpwz8w5knyqw9rv2nnw6cwg949qjv43lm";
+ sha256 = "1axnh81bf3ab5gzxxqm172wpqlpfbj9a2h3cry3kyxzmrihzbwdm";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ipfs-cluster/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ipfs-cluster/default.nix
index 9a63f90b393..a7627feb94d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ipfs-cluster/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ipfs-cluster/default.nix
@@ -2,15 +2,15 @@
buildGoModule rec {
pname = "ipfs-cluster";
- version = "0.14.0";
+ version = "0.14.1";
- vendorSha256 = "sha256-I8UJxqzbcOE6pHsKkktrEXVHurxwe0D20GZZmASdWH4=";
+ vendorSha256 = "sha256-vDNWYgWlM3kJqlHW/6Bj6P+t6M61TvOVRJwDN2p0mi4=";
src = fetchFromGitHub {
owner = "ipfs";
repo = "ipfs-cluster";
rev = "v${version}";
- sha256 = "sha256-lB0sYsbZfUJgQVNEFLoXNFszWYxlXNEQbRQWA7fRT2A=";
+ sha256 = "sha256-GELCd12LhA4CBe9DRRBu4r+AwCksaRVIWcSAJScvnbk=";
};
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ipfs/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ipfs/default.nix
index 2823b84d473..c90353a1845 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ipfs/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ipfs/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "ipfs";
- version = "0.9.1";
+ version = "0.10.0";
rev = "v${version}";
# go-ipfs makes changes to it's source tarball that don't match the git source.
src = fetchurl {
url = "https://github.com/ipfs/go-ipfs/releases/download/${rev}/go-ipfs-source.tar.gz";
- sha256 = "sha256-RliyIEtNgwzbLVwl6T38VIbhc12CZMBc3LZ6T/llaHc=";
+ sha256 = "sha256-okfIxNoFoJZx1WCWe/6NcYhwU+ZzOyn01g8BGtXO3UQ=";
};
# tarball contains multiple files/directories
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/catgirl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/catgirl/default.nix
index 936524918e0..f45f803e805 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/catgirl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/catgirl/default.nix
@@ -9,6 +9,16 @@ stdenv.mkDerivation rec {
sha256 = "sha256-MEm5mrrWfNp+mBHFjGSOGvvfvBJ+Ho/K+mPUxzJDkV0=";
};
+ # catgirl's configure script uses pkg-config --variable exec_prefix openssl
+ # to discover the install location of the openssl(1) utility. exec_prefix
+ # is the "out" output of libressl in our case (where the libraries are
+ # installed), so we need to fix this up.
+ postConfigure = ''
+ substituteInPlace config.mk --replace \
+ "$($PKG_CONFIG --variable exec_prefix openssl)" \
+ "${lib.getBin libressl}"
+ '';
+
nativeBuildInputs = [ ctags pkg-config ];
buildInputs = [ libressl ncurses ];
strictDeps = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/hexchat/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/hexchat/default.nix
index 2a91e3ebddc..504481479ed 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/hexchat/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/hexchat/default.nix
@@ -1,25 +1,25 @@
-{ lib, stdenv, fetchFromGitHub, fetchpatch, pkg-config, gtk2, lua, perl, python3
+{ lib, stdenv, fetchFromGitHub, fetchpatch, pkg-config, gtk2, lua, perl, python3Packages
, pciutils, dbus-glib, libcanberra-gtk2, libproxy
, enchant2, libnotify, openssl, isocodes
, desktop-file-utils
-, meson, ninja
+, meson, ninja, makeWrapper
}:
stdenv.mkDerivation rec {
pname = "hexchat";
- version = "2.14.3";
+ version = "2.16.0";
src = fetchFromGitHub {
owner = "hexchat";
repo = "hexchat";
rev = "v${version}";
- sha256 = "08kvp0dcn3bvmlqcfp9312075bwkqkpa8m7zybr88pfp210gfl85";
+ sha256 = "08zhlf9d3xdis62byxzgizhfg8kbppxl7cgxkzhwdc1srpj7vpx6";
};
- nativeBuildInputs = [ meson ninja pkg-config ];
+ nativeBuildInputs = [ meson ninja pkg-config makeWrapper ];
buildInputs = [
- gtk2 lua perl python3 pciutils dbus-glib libcanberra-gtk2 libproxy
+ gtk2 lua perl python3Packages.python python3Packages.cffi pciutils dbus-glib libcanberra-gtk2 libproxy
libnotify openssl desktop-file-utils
isocodes
];
@@ -30,9 +30,10 @@ stdenv.mkDerivation rec {
sed -i "/flag.startswith('-I')/i if flag.contains('no-such-path')\ncontinue\nendif" plugins/perl/meson.build
chmod +x meson_post_install.py
for f in meson_post_install.py \
- src/common/make-te.py \
plugins/perl/generate_header.py \
- po/validate-textevent-translations
+ plugins/python/generate_plugin.py \
+ po/validate-textevent-translations \
+ src/common/make-te.py
do
patchShebangs $f
done
@@ -40,6 +41,10 @@ stdenv.mkDerivation rec {
mesonFlags = [ "-Dwith-lua=lua" "-Dwith-text=true" ];
+ postInstall = ''
+ wrapProgram $out/bin/hexchat --prefix PYTHONPATH : "$PYTHONPATH"
+ '';
+
meta = with lib; {
description = "A popular and easy to use graphical IRC (chat) client";
homepage = "https://hexchat.github.io/";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/tiny/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/tiny/default.nix
index c9637fef4ca..253143d0004 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/tiny/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/tiny/default.nix
@@ -26,6 +26,8 @@ rustPlatform.buildRustPackage rec {
./fix-Cargo.lock.patch
];
+ cargoBuildFlags = lib.optionals stdenv.isLinux [ "--features=desktop-notifications" ];
+
nativeBuildInputs = lib.optional stdenv.isLinux pkg-config;
buildInputs = lib.optionals stdenv.isLinux [ dbus openssl ] ++ lib.optional stdenv.isDarwin Foundation;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/default.nix
index 69fca696d4d..80f15eeff3c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/default.nix
@@ -28,25 +28,16 @@ let
in
assert lib.all (p: p.enabled -> ! (builtins.elem null p.buildInputs)) plugins;
stdenv.mkDerivation rec {
- version = "3.2";
+ version = "3.3";
pname = "weechat";
hardeningEnable = [ "pie" ];
src = fetchurl {
url = "https://weechat.org/files/src/weechat-${version}.tar.bz2";
- sha256 = "0pck4lczkk52mgwa1n0habp1xqi9xsgsh5q6bbsjmdbandvy5vc8";
+ sha256 = "sha256-GnSi7uMxiyWSQau75q07NlX1ikaBeWOdrzOf9f0jnBM=";
};
- patches = [
- # weechat 3.2 fails to build on Darwin, but is fixed for the next release:
- (fetchpatch {
- url = "https://github.com/weechat/weechat/commit/0b7e4977bef763993e361c23db0f52117b799949.patch";
- sha256 = "eVdrhr4mrqv+OkqYOv1E7mUkmzd5NC3LmZhbXJnCpFg=";
- excludes = [ "ChangeLog.adoc" ];
- })
- ];
-
outputs = [ "out" "man" ] ++ map (p: p.name) enabledPlugins;
cmakeFlags = with lib; [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/scripts/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/scripts/default.nix
index ccbf78ec4c7..a3cf55d6a03 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/scripts/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/scripts/default.nix
@@ -3,6 +3,8 @@
{
colorize_nicks = callPackage ./colorize_nicks { };
+ edit = callPackage ./edit { };
+
multiline = callPackage ./multiline {
inherit (perlPackages) PodParser;
};
@@ -28,4 +30,6 @@
buffer_autoset = callPackage ./buffer_autoset { };
highmon = callPackage ./highmon { };
+
+ zncplayback = callPackage ./zncplayback { };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/scripts/edit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/scripts/edit/default.nix
new file mode 100644
index 00000000000..5f91417b76f
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/scripts/edit/default.nix
@@ -0,0 +1,30 @@
+{ lib, stdenv, fetchFromGitHub, weechat }:
+
+stdenv.mkDerivation rec {
+ pname = "edit-weechat";
+ version = "1.0.2";
+
+ src = fetchFromGitHub {
+ owner = "keith";
+ repo = "edit-weechat";
+ rev = version;
+ sha256 = "1s42r0l0xkhlp6rbc23cm4vlda91il6cg53w33hqfhd2wz91s66w";
+ };
+
+ dontBuild = true;
+
+ passthru.scripts = [ "edit.py" ];
+
+ installPhase = ''
+ runHook preInstall
+ install -D edit.py $out/share/edit.py
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ inherit (weechat.meta) platforms;
+ description = "This simple weechat plugin allows you to compose messages in your $EDITOR.";
+ license = licenses.mit;
+ maintainers = with maintainers; [ eraserhd ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/scripts/zncplayback/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/scripts/zncplayback/default.nix
new file mode 100644
index 00000000000..d15b130cae2
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/irc/weechat/scripts/zncplayback/default.nix
@@ -0,0 +1,28 @@
+{ lib, stdenv, fetchurl }:
+
+stdenv.mkDerivation {
+ pname = "weechat-zncplayback";
+ version = "0.2.1";
+
+ src = fetchurl {
+ url = "https://github.com/weechat/scripts/raw/bcc9643136addd2cd68ac957dd64e336e4f88aa1/python/zncplayback.py";
+ sha256 = "1k32p6naxg40g664ip48zvm61xza7l9az3v3rawmjw97i0mwz7y3";
+ };
+
+ dontUnpack = true;
+
+ installPhase = ''
+ mkdir -p $out/share
+ cp $src $out/share/zncplayback.py
+ '';
+
+ passthru = {
+ scripts = [ "zncplayback.py" ];
+ };
+
+ meta = with lib; {
+ description = "Add support for the ZNC Playback module";
+ license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ qyliss ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/juju/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/juju/default.nix
index dce623897f7..1adb61b8934 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/juju/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/juju/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "juju";
- version = "2.9.10";
+ version = "2.9.11";
src = fetchFromGitHub {
owner = "juju";
repo = "juju";
rev = "juju-${version}";
- sha256 = "sha256-2gCJ6aN6uN0KtOVddLDry4pLhScSh4JHmdsFws59phk=";
+ sha256 = "sha256-KcvlnEfDzwhFzwaWLYuRGa8nh6MkjqZ+u+qJSJZl13U=";
};
- vendorSha256 = "sha256-vFO3Rv+7CLIkl1qS4zp177GmerewfgmyjxEbzdt/RsE=";
+ vendorSha256 = "sha256-0KGeMJDv1BdqM1/uMk+mKpK+Nejz9PiCAfRy96pu3OQ=";
# Disable tests because it attempts to use a mongodb instance
doCheck = false;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/maestral-qt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/maestral-qt/default.nix
index 2040aa39ceb..7fffc5abc5b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/maestral-qt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/maestral-qt/default.nix
@@ -6,14 +6,14 @@
python3.pkgs.buildPythonApplication rec {
pname = "maestral-qt";
- version = "1.4.8";
+ version = "1.5.0";
disabled = python3.pkgs.pythonOlder "3.6";
src = fetchFromGitHub {
owner = "SamSchott";
repo = "maestral-qt";
rev = "v${version}";
- sha256 = "sha256-lP6ASWizIQC3TkkIOHS6cBbgLNoGrSx/sThtl9bMjys=";
+ sha256 = "sha256-JY7AkTnrH/92IfUx/ujK7jMF3zwLsnEE9+/At4UnTNw=";
};
propagatedBuildInputs = with python3.pkgs; [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/alpine/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/alpine/default.nix
index d169e1fdea6..04f1732f7a5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/alpine/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/alpine/default.nix
@@ -4,11 +4,11 @@
stdenv.mkDerivation rec {
pname = "alpine";
- version = "2.24";
+ version = "2.25";
src = fetchurl {
url = "http://alpine.x10host.com/alpine/release/src/${pname}-${version}.tar.xz";
- sha256 = "1vxw19nx10y7nx01d9i6gah2f3y5r2idbq56l13bdqi91bx9y6k5";
+ sha256 = "0xppxhcbafq9qa1rns5zl0n238gai08xhvcf2as0nx7nh84ib2k5";
};
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/evolution/evolution-ews/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/evolution/evolution-ews/default.nix
index 7792361145a..baad7602cf0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/evolution/evolution-ews/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/evolution/evolution-ews/default.nix
@@ -3,11 +3,11 @@
stdenv.mkDerivation rec {
pname = "evolution-ews";
- version = "3.40.3";
+ version = "3.42.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "ZAIE5rpPOyZT3VSPYOR143bP8Na7Kv0NQRhQ+p2oxJY=";
+ sha256 = "1byi1ksimbycd0daxp5j240r3n5qlaa4b3c5l9jzkjr9g3gkclsq";
};
nativeBuildInputs = [ cmake gettext intltool pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/evolution/evolution/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/evolution/evolution/default.nix
index eff838256f5..564a4c5499e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/evolution/evolution/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/evolution/evolution/default.nix
@@ -42,11 +42,11 @@
stdenv.mkDerivation rec {
pname = "evolution";
- version = "3.40.3";
+ version = "3.42.0";
src = fetchurl {
url = "mirror://gnome/sources/evolution/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "/SkjomENe/6212+FMLpAJkBOIf0nOrKKLFtQCJIeDVw=";
+ sha256 = "0yj2hifis5m2cy59skn07d8n69444vlsw62ildr1fv67zxbblib8";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/mailspring/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/mailspring/default.nix
index 8fe93fb1a44..d2e5beb1718 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/mailspring/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/mailspring/default.nix
@@ -19,11 +19,11 @@
stdenv.mkDerivation rec {
pname = "mailspring";
- version = "1.9.1";
+ version = "1.9.2";
src = fetchurl {
url = "https://github.com/Foundry376/Mailspring/releases/download/${version}/mailspring-${version}-amd64.deb";
- sha256 = "mfpwDYRpFULD9Th8tI5yqb5RYWZJHarbWYpfKS3Q6mE=";
+ sha256 = "sha256-o7w2XHd5FnPYt9j8IIGy6OgKtdeNb/qZ+EiXGEn0NUQ=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/meli/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/meli/default.nix
index ec50e913176..d0575b60653 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/meli/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/meli/default.nix
@@ -15,15 +15,15 @@
rustPlatform.buildRustPackage rec {
pname = "meli";
- version = "alpha-0.6.2";
+ version = "alpha-0.7.2";
src = fetchgit {
url = "https://git.meli.delivery/meli/meli.git";
rev = version;
- sha256 = "0ycyksrrp4llwklzx3ipac8hmpfxa1pa7dqsm82wic0f6p5d1dp6";
+ sha256 = "sha256-cbigEJhX6vL+gHa40cxplmPsDhsqujkzQxe0Dr6+SK0=";
};
- cargoSha256 = "sha256:0lxwhb2c16w5z7rqzch0ij8n8hxb5xcin31w9i28mzv1xm7sg8ks";
+ cargoSha256 = "sha256-ZE653OtXyZ9454bKPApmuL2kVko/hGBWEAya1L1KIoc=";
cargoBuildFlags = lib.optional withNotmuch "--features=notmuch";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/mutt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/mutt/default.nix
index 0c2ffdc7fff..a94555e7959 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/mutt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/mutt/default.nix
@@ -27,11 +27,11 @@ with lib;
stdenv.mkDerivation rec {
pname = "mutt";
- version = "2.1.1";
+ version = "2.1.3";
src = fetchurl {
url = "http://ftp.mutt.org/pub/mutt/${pname}-${version}.tar.gz";
- sha256 = "0jjjvqkqmpj55v111p1a1i2ry7mpd1bpphn1bhvlr18rgw7xdrja";
+ sha256 = "0z74slnq3y9wr1xr07jigz4n8dgxhk9qb0787sd0j6wj9g4rqxgg";
};
patches = optional smimeSupport (fetchpatch {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/neomutt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/neomutt/default.nix
index 50b3b66f15d..23b636458c9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/neomutt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/neomutt/default.nix
@@ -1,27 +1,19 @@
-{ lib, stdenv, fetchFromGitHub, gettext, makeWrapper, tcl, which, fetchpatch
+{ lib, stdenv, fetchFromGitHub, gettext, makeWrapper, tcl, which
, ncurses, perl , cyrus_sasl, gss, gpgme, libkrb5, libidn, libxml2, notmuch, openssl
, lmdb, libxslt, docbook_xsl, docbook_xml_dtd_42, w3m, mailcap, sqlite, zlib
}:
stdenv.mkDerivation rec {
- version = "20210205";
+ version = "20211015";
pname = "neomutt";
src = fetchFromGitHub {
owner = "neomutt";
repo = "neomutt";
rev = version;
- sha256 = "sha256-ADg/+gmndOiuQHsncOzS5K4chthXeUFz6RRJsrZNeZY=";
+ sha256 = "sha256-ObYeh9Q/WZ1N60pxR2LoDNCU8rP4tQt/oIxnqALqMhs=";
};
- patches = [
- (fetchpatch {
- name = "CVE-2021-32055.patch";
- url = "https://github.com/neomutt/neomutt/commit/fa1db5785e5cfd9d3cd27b7571b9fe268d2ec2dc.patch";
- sha256 = "0bb7gisjynq3w7hhl6vxa469h609bcz6fkdi8vf740pqrwhk68yn";
- })
- ];
-
buildInputs = [
cyrus_sasl gss gpgme libkrb5 libidn ncurses
notmuch openssl perl lmdb
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/notmuch/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/notmuch/default.nix
index 624d3240c47..5e6dc6c6bb6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/notmuch/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/notmuch/default.nix
@@ -1,4 +1,4 @@
-{ fetchurl, fetchgit, lib, stdenv
+{ fetchurl, lib, stdenv
, pkg-config, gnupg
, xapian, gmime, talloc, zlib
, doxygen, perl, texinfo
@@ -11,11 +11,11 @@
stdenv.mkDerivation rec {
pname = "notmuch";
- version = "0.32.2";
+ version = "0.33.2";
src = fetchurl {
url = "https://notmuchmail.org/releases/notmuch-${version}.tar.xz";
- sha256 = "1myylb19hj5nb1vriqng252vfjwwkgbi3gxj93pi2q1fzyw7w2lf";
+ hash = "sha256:1bic1f2va136aygfy53bsgziwiidcpb7qf1v05mlza2jmgv94j14";
};
nativeBuildInputs = [
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
doxygen # (optional) api docs
pythonPackages.sphinx # (optional) documentation -> doc/INSTALL
texinfo # (optional) documentation -> doc/INSTALL
+ pythonPackages.cffi
] ++ lib.optional withEmacs emacs;
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird-bin/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird-bin/default.nix
index a2be79f5589..6d9f62e75ab 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird-bin/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird-bin/default.nix
@@ -1,3 +1,8 @@
+# Update instructions:
+#
+# To update `thunderbird-bin`'s `release_sources.nix`, run from the nixpkgs root:
+#
+# nix-shell maintainers/scripts/update.nix --argstr package pkgs.firefox-bin-unwrapped
{ stdenv, lib, fetchurl, config, makeWrapper
, alsa-lib
, at-spi2-atk
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird-bin/release_sources.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird-bin/release_sources.nix
index 0652ff8f7c5..1cdce2638be 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird-bin/release_sources.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird-bin/release_sources.nix
@@ -1,655 +1,655 @@
{
- version = "91.0.3";
+ version = "91.1.2";
sources = [
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/af/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/af/thunderbird-91.1.2.tar.bz2";
locale = "af";
arch = "linux-x86_64";
- sha256 = "e9fa155cb5f1a2c4530cb568fbb2c4074f12232fa9949c2d5cdffb0d29c105d2";
+ sha256 = "f786ba47061600b2a4fce6dc537e4d5f41ef7e496ddd24e06e5cf2d2bc7ae615";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/ar/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/ar/thunderbird-91.1.2.tar.bz2";
locale = "ar";
arch = "linux-x86_64";
- sha256 = "23264b0c80c0da6bbd4f10403c8a51429a36dd5befac65c6506d0b87ad18168e";
+ sha256 = "70e13fa57939ec35fed7e537c282411e022e2e596af298ff68ed06d29149ad44";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/ast/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/ast/thunderbird-91.1.2.tar.bz2";
locale = "ast";
arch = "linux-x86_64";
- sha256 = "3028d99c36c899ce52306d11b9c26e85266e6793b306627f2c4d4f2eae280024";
+ sha256 = "22ac54e15cc8d89412f26906b10d7274a90d86f298948998dabbbb63000fd9bd";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/be/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/be/thunderbird-91.1.2.tar.bz2";
locale = "be";
arch = "linux-x86_64";
- sha256 = "0ca92e3875ea62e50449f576dfb7bb0e892bccd9093d623dc577262a3a386dec";
+ sha256 = "bb59b38220fc5a2e429df9bf521610678b7b3c7e47e4a3208c9e0e54860ae098";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/bg/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/bg/thunderbird-91.1.2.tar.bz2";
locale = "bg";
arch = "linux-x86_64";
- sha256 = "7c4289991f83cc2b8f6bd57e1f81ca9f49635a7582aba1935737f7dd81fad795";
+ sha256 = "7a0d50876f51664074b6eefd20dc727cea2d4a0feceb721c63fa9e3872ea6d07";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/br/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/br/thunderbird-91.1.2.tar.bz2";
locale = "br";
arch = "linux-x86_64";
- sha256 = "d34baf04a9daa69bcb83d8f312faf0436142c2b5850790764628327677c956ee";
+ sha256 = "8a49fe9b26d1a5c5b3c28209cbb6d81e785235f4e1b24e4638cf5a5fa720d68e";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/ca/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/ca/thunderbird-91.1.2.tar.bz2";
locale = "ca";
arch = "linux-x86_64";
- sha256 = "ba561ffd34db81a6401754d80f6987da62ff043987a228842b4c4cf2e2008efe";
+ sha256 = "380d655a39c7f20067045cf2ec75e5bca0ba0e8291d187fd87ac42abbbce7dc7";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/cak/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/cak/thunderbird-91.1.2.tar.bz2";
locale = "cak";
arch = "linux-x86_64";
- sha256 = "ec086e9a2709d953df3953d69eae2fe72b219b0191a04e9c8837ae16b6729adb";
+ sha256 = "ff12816d6dac6311b2f0a358ee4a30e80d3a346c9a2fc08c9c4d72b2e7421b03";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/cs/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/cs/thunderbird-91.1.2.tar.bz2";
locale = "cs";
arch = "linux-x86_64";
- sha256 = "960eafc572d6d45f549f295ae861fe6d95c98da159147bc8ef180177261c70bc";
+ sha256 = "fc8ed1c83b76329aecd9b6b7b4c2278b2703dc267ef25ad973deefff01cbb29d";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/cy/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/cy/thunderbird-91.1.2.tar.bz2";
locale = "cy";
arch = "linux-x86_64";
- sha256 = "ed664202a59d8f2de0fd8a9e1eb89b55af790316e03b4432a83f0d8356a0d115";
+ sha256 = "50e10c11f341b75e4ca464911a7229d22073d72b53731ba92cbd39c52694e0d2";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/da/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/da/thunderbird-91.1.2.tar.bz2";
locale = "da";
arch = "linux-x86_64";
- sha256 = "914f30fe4b271ee3f9842636286411c0d2ceaaa7cd93dab139c45db3090fd9df";
+ sha256 = "1c041fb7c71e9d0f07c82652129a6b48f2f633a7781c41a3c439dec1d7fcabee";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/de/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/de/thunderbird-91.1.2.tar.bz2";
locale = "de";
arch = "linux-x86_64";
- sha256 = "1d0cecb39dd7e9361cef22705e2d8590d25afc6f0b23c9058a2f19c4a8dccc48";
+ sha256 = "c9ed27ee3f1a631c6a7d7a5a854e48f3285b9f01c81bc9ee3611bbdd9f483cdc";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/dsb/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/dsb/thunderbird-91.1.2.tar.bz2";
locale = "dsb";
arch = "linux-x86_64";
- sha256 = "5d857231f6d061b0b7f508801dbbabd872e7048b91b9fb685fa53c211003d0c8";
+ sha256 = "3c00604247dee961915f2aff628bd7d1f53c4f7e48bb848ef6065e41f189495d";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/el/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/el/thunderbird-91.1.2.tar.bz2";
locale = "el";
arch = "linux-x86_64";
- sha256 = "4b8353aae1f416acfc39dd8dd62a121bfb4e722da3907e7be433af294e87680a";
+ sha256 = "b9ad1ab6b7d33f477f51e4337d914f8f8d2f6d7bc1b3b884d8b71b17547c3fa0";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/en-CA/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/en-CA/thunderbird-91.1.2.tar.bz2";
locale = "en-CA";
arch = "linux-x86_64";
- sha256 = "68e404576c3fd4a619a3965298e5198bd507a6f6206c2723eebbb41063163e06";
+ sha256 = "80e6b5785d334bec69455ca5f5039bbd4fbebd663ea91d17d0fbe8e33d747670";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/en-GB/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/en-GB/thunderbird-91.1.2.tar.bz2";
locale = "en-GB";
arch = "linux-x86_64";
- sha256 = "06aa97bc593ae38d9cceed6ad91db90edee7d73a0f36310b9cc67b274fa7120b";
+ sha256 = "da2388577784df3faad7b40566e2e1eab2b95dd9455a1e4e3ee43433f4fb189e";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/en-US/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/en-US/thunderbird-91.1.2.tar.bz2";
locale = "en-US";
arch = "linux-x86_64";
- sha256 = "e16e01e5dfc6f9da50809ee063d3873f9039f68e975a70ecec8de2de07893712";
+ sha256 = "1354e3ad2989749fe79b404ccae3002de8b4e269c98388d9abebe456f3de47d2";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/es-AR/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/es-AR/thunderbird-91.1.2.tar.bz2";
locale = "es-AR";
arch = "linux-x86_64";
- sha256 = "313f2ac3b4dad0fb6952d9717cfd957efcd676771f4fca0c89848185bd1b4f9b";
+ sha256 = "f51d4a1109d30d4857673575aef173026e2c90fc7ece6835a34a0e792672cf8b";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/es-ES/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/es-ES/thunderbird-91.1.2.tar.bz2";
locale = "es-ES";
arch = "linux-x86_64";
- sha256 = "e6b2b53c901051602f4d1f8827b3daad1783dce24bee856cea8aa7ae4a536ca9";
+ sha256 = "38196b265eeaef2222e624e2fb0cb7742b2171965aa0725b3d524e9199ea4f91";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/et/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/et/thunderbird-91.1.2.tar.bz2";
locale = "et";
arch = "linux-x86_64";
- sha256 = "4f5801287495d738b7757c85a150e6ac8cb5ef22b056e899b74a26425a2ba165";
+ sha256 = "ab3b04c02b730f92db4f24daac688e1966349cf4c978ed06138285fcb2d72769";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/eu/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/eu/thunderbird-91.1.2.tar.bz2";
locale = "eu";
arch = "linux-x86_64";
- sha256 = "b90304636198df5b90965073aee3f333e6f69686ba6ebb2b87f4f8ea57bae123";
+ sha256 = "4431e16f70b6182b1ec2bed64d149ffc7e46f1b2536268e973eb984439eda400";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/fi/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/fi/thunderbird-91.1.2.tar.bz2";
locale = "fi";
arch = "linux-x86_64";
- sha256 = "0548dd85e75c60e4d6aa46054d548ff2d826ec669607c3f35a4c2010ca2c661b";
+ sha256 = "8ee9b2983d1f214f4589d7d99d1ac1a577f92dd3cc73f516dcc050079ed85904";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/fr/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/fr/thunderbird-91.1.2.tar.bz2";
locale = "fr";
arch = "linux-x86_64";
- sha256 = "1fca39902328c4808e7851f3596ca894d8f9c43c12a4f8795802f325f58b62d5";
+ sha256 = "b614dadf34774ebf45c88ae0c72c6d8779beb8310a8353aedeca1a493178c376";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/fy-NL/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/fy-NL/thunderbird-91.1.2.tar.bz2";
locale = "fy-NL";
arch = "linux-x86_64";
- sha256 = "1120b79a6ce2a926c8b539aad09e6d574d103880ed0623f6e13ba0013c606310";
+ sha256 = "00693bbfda9377d2695fc8c7c242b0e4a3c1b745e8779ebabe5686eca4fc928a";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/ga-IE/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/ga-IE/thunderbird-91.1.2.tar.bz2";
locale = "ga-IE";
arch = "linux-x86_64";
- sha256 = "67d912e2b4d70b553ff9ad2106beab3cf9495fd24a5e947659dd900f576c515f";
+ sha256 = "00d26b39726e2de2e799b3dff97c79a590f712f3347232600d1f2771523d0ab4";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/gd/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/gd/thunderbird-91.1.2.tar.bz2";
locale = "gd";
arch = "linux-x86_64";
- sha256 = "5ce277a93edb95dcb9e645f353d9b48181db8b491303ba24241e9833f9d52a8c";
+ sha256 = "d9a35fbf9f4069c6f4dd796c8f9465053413d806093d1456e643c9bdb081ad45";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/gl/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/gl/thunderbird-91.1.2.tar.bz2";
locale = "gl";
arch = "linux-x86_64";
- sha256 = "b57d5a4fb9c14fbdbb6de402d0469d681b2f5fc5a7f8ed51b1defc0da672cb8b";
+ sha256 = "9e7f237b55f81a44a984be4b4e1001c8ffd7742eb14e654397e80b4e4b765d0c";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/he/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/he/thunderbird-91.1.2.tar.bz2";
locale = "he";
arch = "linux-x86_64";
- sha256 = "cf4fdcce74dcb24ad180f45b31e8165e23ebcedaf28c867fc74e4ac8b79da5dd";
+ sha256 = "b86d479dd64ac86d43fbfb54c8ec36ea6b4516ded0383f81b78c11365290f21b";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/hr/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/hr/thunderbird-91.1.2.tar.bz2";
locale = "hr";
arch = "linux-x86_64";
- sha256 = "8d26131d28ee06df1ce63eb21db285f752dac4d3ae71d5c2349c76630e6ebd13";
+ sha256 = "cb7e8d0dd04c5883f2ec0f47d81a751b901e0036f151ab1c0f3043ba7ebf4a74";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/hsb/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/hsb/thunderbird-91.1.2.tar.bz2";
locale = "hsb";
arch = "linux-x86_64";
- sha256 = "7d38fee7c4a897d01f0e2254c5094962a7ae1908f071b32510bae408d3647bbd";
+ sha256 = "d3141a413d82814067de2791091473e0b44f8939825cc3071b1fbe86e08dd49a";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/hu/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/hu/thunderbird-91.1.2.tar.bz2";
locale = "hu";
arch = "linux-x86_64";
- sha256 = "7271548b70d0d378fb191ca2932b7382d9f5dcc4072eae9c4db0e3260558a97d";
+ sha256 = "b76860152f68b2dfabef9847c83356af34b8fb1913d0d55a397be3d4e4e08b31";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/hy-AM/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/hy-AM/thunderbird-91.1.2.tar.bz2";
locale = "hy-AM";
arch = "linux-x86_64";
- sha256 = "06d9de4a86cb0791f2c3ea683a637ec5965f9014c656b142601e9c3753231067";
+ sha256 = "5aa35ed5d577befb7a37d5407bc7ff78c54314a7e5ed77bda588bd74111e263b";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/id/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/id/thunderbird-91.1.2.tar.bz2";
locale = "id";
arch = "linux-x86_64";
- sha256 = "51ae3415517df352525750a4926e4587f5edce09f88294670a7cc5c5fa830ef4";
+ sha256 = "0bb53b2cbed8a9412c6776435381d5c859a9993b4bd2cdf5ecd4145d13776d09";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/is/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/is/thunderbird-91.1.2.tar.bz2";
locale = "is";
arch = "linux-x86_64";
- sha256 = "d7e9406a3a782bf0e4b80caeb42c1607fa3d45e9982550dd17ed1b7cb7279950";
+ sha256 = "566058b39d98a777cb1c333b66cac66851d0c369918e58c592b8e0151b778f6f";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/it/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/it/thunderbird-91.1.2.tar.bz2";
locale = "it";
arch = "linux-x86_64";
- sha256 = "7d6005c6017f87e0374833106de045f9115cbc3ec1825429315a928f3ad19db1";
+ sha256 = "b88fb1b473a7b0b1a4af08a09aadf5b7502f03462a1f4661ed2897c2705e5b4d";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/ja/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/ja/thunderbird-91.1.2.tar.bz2";
locale = "ja";
arch = "linux-x86_64";
- sha256 = "7930323cecd2002b37baabf771f1f9a4be304d7b84ce9dac4bab6856d7f9f1d3";
+ sha256 = "6b69cd834280b36182656bd97b117c3f70bbcd947ab25e1936294a85149d3501";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/ka/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/ka/thunderbird-91.1.2.tar.bz2";
locale = "ka";
arch = "linux-x86_64";
- sha256 = "10a9e2a23bcde3c94f72701849e3ca0833cc9dbcb821eea65bf412a5feab5ddb";
+ sha256 = "87d8bc04c278d8c675665d0211917a854d43a17d24173627703268a785ff2206";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/kab/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/kab/thunderbird-91.1.2.tar.bz2";
locale = "kab";
arch = "linux-x86_64";
- sha256 = "12e1ccc30b98bb9a363ab824187c5504fd2c14cf0891455681730968cb7e8126";
+ sha256 = "fad11f653198314683faaa758422506d27706b6dca90a4d5b0d3693810843fba";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/kk/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/kk/thunderbird-91.1.2.tar.bz2";
locale = "kk";
arch = "linux-x86_64";
- sha256 = "3de1bbb675ceeff35e1a8e581e8c6a200dd68e97bb9e363e5d6b42fd6a9420c9";
+ sha256 = "67469c2c4e1352db94339687f93c0afefe41244bfc952d77c2e41e31a652f095";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/ko/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/ko/thunderbird-91.1.2.tar.bz2";
locale = "ko";
arch = "linux-x86_64";
- sha256 = "a7b011c57df5ee00dd80a6ff9df50f957190c14156e8e3557480177a73ac2379";
+ sha256 = "93bb5a6973bbd0eaac721ffd59c19edce400471c08d76aa629b2fe66fc98ddf9";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/lt/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/lt/thunderbird-91.1.2.tar.bz2";
locale = "lt";
arch = "linux-x86_64";
- sha256 = "fc59f081a579f837afed05b394a8f503f8c24a10c282aaacc522f3fc873281e1";
+ sha256 = "f4dda73c80cee8aaceee0f4ea0956303f9a50aa2431c6eb8a34d7d22b5fe53e9";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/lv/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/lv/thunderbird-91.1.2.tar.bz2";
locale = "lv";
arch = "linux-x86_64";
- sha256 = "c2e43c9f3bcd1889f40917238b6da71919c4ed248ab507bb71d74882d7ea2e27";
+ sha256 = "65325a804f5aec439501bd70e5423d56ddc5a10636b639e8db85ce8881c1586e";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/ms/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/ms/thunderbird-91.1.2.tar.bz2";
locale = "ms";
arch = "linux-x86_64";
- sha256 = "6bf1c022f9384894e4047d7d96e3236cf92427ba6de99a0d650e3da985ff7698";
+ sha256 = "f2715978bc8e2d7878f8ec47b4a29cccaa42a24bd97f013f6b66aaf47db83359";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/nb-NO/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/nb-NO/thunderbird-91.1.2.tar.bz2";
locale = "nb-NO";
arch = "linux-x86_64";
- sha256 = "82797bc5227b429a51801f60edf485b62c774be19c9befc055d695f9e4ba4e47";
+ sha256 = "c252fdee3a9d9c43b46786c528bb8ac39203b7d7c746f9c9f04287cb1253ded6";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/nl/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/nl/thunderbird-91.1.2.tar.bz2";
locale = "nl";
arch = "linux-x86_64";
- sha256 = "0e07d3cea432f914d17016998d5a6cebfe43549e1fb4599f0a92cc73c265fde4";
+ sha256 = "1708531ca0b765292206fa9c533200266f5eb48fbbc74daade404bdcbfdcc750";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/nn-NO/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/nn-NO/thunderbird-91.1.2.tar.bz2";
locale = "nn-NO";
arch = "linux-x86_64";
- sha256 = "70dc2d1d427bc93105fc6cba79c27e9f5062a6a9572c5adbe55bad737e359bbc";
+ sha256 = "dc26c1333787accc73624bc5bac820af1743ea30d85e9da9a0c30f6b9b0c3bcf";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/pa-IN/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/pa-IN/thunderbird-91.1.2.tar.bz2";
locale = "pa-IN";
arch = "linux-x86_64";
- sha256 = "2e07c0661e29e35bc42360894dbc5d4ca0313a3da9f2b96ddcc82936665339e2";
+ sha256 = "fc508dd719c18c250560b5d4fc4672ce83a9f52b6103d3f33034eca89ed2935f";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/pl/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/pl/thunderbird-91.1.2.tar.bz2";
locale = "pl";
arch = "linux-x86_64";
- sha256 = "5f16cafaa287f67dd33eebd2f0cd3d128b0d0c7119c0721de8e5434be4dbecd0";
+ sha256 = "eb54040a841d0da1e84dd2a6ba3c894a57d40fdb0bf99f21b7fbbe3ea8cd755c";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/pt-BR/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/pt-BR/thunderbird-91.1.2.tar.bz2";
locale = "pt-BR";
arch = "linux-x86_64";
- sha256 = "6e1eb47c6c794f27d7cbb442954450fafc5d22a17c6c4e53dad80d38e8e4a885";
+ sha256 = "45226857a691f8568c769f652820eb5b86b0928c271b2751014bd6e7ab29ab80";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/pt-PT/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/pt-PT/thunderbird-91.1.2.tar.bz2";
locale = "pt-PT";
arch = "linux-x86_64";
- sha256 = "606df5acad3221f4f9eaf9da7c0b6ad30bd8b87ea71dae30b93796342315ea51";
+ sha256 = "532f18bbe7fc09793bd688e5bc48c65658e2a48285b97c611b68611e9f13257d";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/rm/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/rm/thunderbird-91.1.2.tar.bz2";
locale = "rm";
arch = "linux-x86_64";
- sha256 = "efe31e78f7e6a6351366186e608c680533c89c7947575792b05ecf706727af89";
+ sha256 = "8d0f2ec43e6e00118d7c1d5877bfbc5b5c87a8e449a0358acc6e71244a0716b3";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/ro/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/ro/thunderbird-91.1.2.tar.bz2";
locale = "ro";
arch = "linux-x86_64";
- sha256 = "a9c829b1e367ea7ce0176901fd07e6c5f67c8d5d178a7e998f01859c53f59ecf";
+ sha256 = "dbfd5500b337132ab14266d2b87224c917086afe3f210127d73848d360299241";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/ru/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/ru/thunderbird-91.1.2.tar.bz2";
locale = "ru";
arch = "linux-x86_64";
- sha256 = "94c4dc9fbacb07942978decabd0f9e26fe5183c671f865c6a93e906aebbc0254";
+ sha256 = "06f6077ba98fc2605718266e57b9c5c54c3d7901f2b7233f38d7fd02d6d063a0";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/sk/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/sk/thunderbird-91.1.2.tar.bz2";
locale = "sk";
arch = "linux-x86_64";
- sha256 = "3a52978887a7c52d37704f264f8ec640f6110ea64b568995794ce62b6ae86228";
+ sha256 = "af1224613b3e962265d83b154cbf69053906197f2b7f12e5004ad862bef09aaa";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/sl/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/sl/thunderbird-91.1.2.tar.bz2";
locale = "sl";
arch = "linux-x86_64";
- sha256 = "c2b3b28c3bdfa969af465a9aa5dc75334f7372a6317df6075ba7d594f52c0294";
+ sha256 = "597cd2732960eadd0121c4089a703cc86a0d9a361ff024fe047c8c624dc05afc";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/sq/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/sq/thunderbird-91.1.2.tar.bz2";
locale = "sq";
arch = "linux-x86_64";
- sha256 = "5524ce37c39018b9b60034ca06b3cd5f862149b7885733af8f840e1b39f13865";
+ sha256 = "c107fb5653cb7adfa79aad501e585943159fa9297ef360b193075a9b49e91d54";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/sr/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/sr/thunderbird-91.1.2.tar.bz2";
locale = "sr";
arch = "linux-x86_64";
- sha256 = "8cbfac96a6ce6d35dd68d6d4cf9fcafc146e69a81bc8144f6407621fe992fc1a";
+ sha256 = "33964cc6308a8e7ebc154c057f90729a92d2a9127f9d8c4592f884531d094334";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/sv-SE/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/sv-SE/thunderbird-91.1.2.tar.bz2";
locale = "sv-SE";
arch = "linux-x86_64";
- sha256 = "6887854f7efa083f25a21874becd7e34c4b57a35b3898a790ad9988415f143e2";
+ sha256 = "91fa282c3baee03653ffe5164844e06a9813a40c360ef24e94ff525638f187de";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/th/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/th/thunderbird-91.1.2.tar.bz2";
locale = "th";
arch = "linux-x86_64";
- sha256 = "0e220caaf692550e8424c64bafbc3b9f5efb608fa8dc54f007c9e378e1bc9ec6";
+ sha256 = "99ea8b61e102c3394073f3a817d3eeddc3cedb51436b66303730394f362e91f7";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/tr/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/tr/thunderbird-91.1.2.tar.bz2";
locale = "tr";
arch = "linux-x86_64";
- sha256 = "7c0d780968a1dab36372320ca47ea812e2b522074ba124f29472026d5ee88f73";
+ sha256 = "bb1d417239c31c6ae9bf62cd545f2fad316915ce6bcb707f2deb65f0cc24425c";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/uk/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/uk/thunderbird-91.1.2.tar.bz2";
locale = "uk";
arch = "linux-x86_64";
- sha256 = "34c1e098b7746bfeb531886463114479278d98de450136d49c594f30f537a07e";
+ sha256 = "8464520b025c29dcf3376d7c47d6c7596ff60eeabe63fc5c41082ceb4fbe148c";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/uz/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/uz/thunderbird-91.1.2.tar.bz2";
locale = "uz";
arch = "linux-x86_64";
- sha256 = "edeee2d40fe634bc34733511895f1345d8b4e0eed49fa5ef8f5598245884c20d";
+ sha256 = "d4ba9eaafed3d475dd0fe3a7df7f9910fe3a95a74b9a83f2a00aa73441ae8a64";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/vi/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/vi/thunderbird-91.1.2.tar.bz2";
locale = "vi";
arch = "linux-x86_64";
- sha256 = "2d51311b5e8811254a1a8b68236f60029e3ac8f3528c5d46bef0040917b520f6";
+ sha256 = "8c7f222e0c65ad2daaf37ab46fbe58e005aa89379a0a87f4b2a5f19528e0e5b2";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/zh-CN/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/zh-CN/thunderbird-91.1.2.tar.bz2";
locale = "zh-CN";
arch = "linux-x86_64";
- sha256 = "23a97b03c84ba34164a2ea3a80444040da404bcbf702c171e45a12bf1220623f";
+ sha256 = "1cc053e2e9e751ca14da4a09c276d2c78f61ef4e7d74ac4019849f6ebc044d0d";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-x86_64/zh-TW/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-x86_64/zh-TW/thunderbird-91.1.2.tar.bz2";
locale = "zh-TW";
arch = "linux-x86_64";
- sha256 = "66e3a359752d1a1dabb881189e9a898729f273b420c57c94c5bfa459967cb364";
+ sha256 = "b77a3eb6d1e51388d1b084956b7cc579e1e3c8ed2bc72d7943ac5d6188e43938";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/af/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/af/thunderbird-91.1.2.tar.bz2";
locale = "af";
arch = "linux-i686";
- sha256 = "369393b32dd642c757c86f793be6acbf5d9b2cb4e8b893e76cbc422964ef5df2";
+ sha256 = "c2015b0cfa07309ca6afe5fefb24c1393a397b1d592dd80ec8b62bd4ef8a3d35";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/ar/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/ar/thunderbird-91.1.2.tar.bz2";
locale = "ar";
arch = "linux-i686";
- sha256 = "c1cf67bf674031da9c0d6d9aa76c86acb89a6227b029f9b0b80f555d6bb46a4f";
+ sha256 = "f36b4e7452ae39bd2bf63231ab884356c7b77d6015993e09046b3d6a63443920";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/ast/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/ast/thunderbird-91.1.2.tar.bz2";
locale = "ast";
arch = "linux-i686";
- sha256 = "7eb25e0238fcbcd779bd1c0ccb6193750f88aae4eec8544b0ca98734c9253259";
+ sha256 = "600d102bbb18bac81e3d50c9ef9a578820b0fa1ba2a6f6d756da6e391fe0f241";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/be/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/be/thunderbird-91.1.2.tar.bz2";
locale = "be";
arch = "linux-i686";
- sha256 = "8ae8e53c28005eefd99ea21109fd100359a7a157a72558882781b4fa28420adb";
+ sha256 = "46032acc1c16e2c9bd7905799db6253cb16fb6269bb79edf6141b9d2bd5c0b15";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/bg/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/bg/thunderbird-91.1.2.tar.bz2";
locale = "bg";
arch = "linux-i686";
- sha256 = "ea01a55258e25c897bf5792f0001bfc332aabefbc09ef24d5cc145831ab3a48a";
+ sha256 = "d21bfe3ad0c2c900de1ab9a88d62fc74c4c1767bb41121159c5c0c9bfe270a8c";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/br/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/br/thunderbird-91.1.2.tar.bz2";
locale = "br";
arch = "linux-i686";
- sha256 = "cdef12d70df4a407adbb8c9f370daed965d20b243433942cabffecdb3a225737";
+ sha256 = "8e20c1ce0867bafde00c3e8fc55d5841a14e91fa8039fc7259269da8bfbd4373";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/ca/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/ca/thunderbird-91.1.2.tar.bz2";
locale = "ca";
arch = "linux-i686";
- sha256 = "01864d608a98d179cdd2d67480c650c4764d883b4b6a6d9d73256112d5eccffe";
+ sha256 = "175bfb1b0ef94897ecd359c54a2767ca039a259300a5716211fa0c0593b81023";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/cak/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/cak/thunderbird-91.1.2.tar.bz2";
locale = "cak";
arch = "linux-i686";
- sha256 = "968fdc7d1feb0247f159489e6600a8e18ef1715c355418e7341de193da418d26";
+ sha256 = "65cf8763200cd10cbc016c9d447703b640c52165c691a604092376de09dc1376";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/cs/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/cs/thunderbird-91.1.2.tar.bz2";
locale = "cs";
arch = "linux-i686";
- sha256 = "fbd819e3f5a3be0d0624472b902af1f29c18cf3c9e524826882efacedc6965fe";
+ sha256 = "8d019c4f92f60c44f1340f96892c0a4060d4ceb86d188f5f81911d92ff2957f0";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/cy/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/cy/thunderbird-91.1.2.tar.bz2";
locale = "cy";
arch = "linux-i686";
- sha256 = "f2ed1bc1544046b30ecffaeb62ec09328da115eb7d5da9ae1bada33960624917";
+ sha256 = "29049a5f4849f7e2bde8ec122de33edb7c86e87eca46b72086e53caedcad7ef1";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/da/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/da/thunderbird-91.1.2.tar.bz2";
locale = "da";
arch = "linux-i686";
- sha256 = "f1b7fcb4b904bab9b1e669505d477225b5178dcf3b0ab7ebae5d45092284b04b";
+ sha256 = "39d9b429b8ee92b045abf48a605e32a577da1f61459b597698f87b1972993f2d";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/de/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/de/thunderbird-91.1.2.tar.bz2";
locale = "de";
arch = "linux-i686";
- sha256 = "8f8c81448ec9c82d18d764ba323577687381d67b839a804eec6a48709866a334";
+ sha256 = "b8ccae9622a8fa684c48a39a409af461238325d91db5edd8d9ecbeaebf2fa999";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/dsb/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/dsb/thunderbird-91.1.2.tar.bz2";
locale = "dsb";
arch = "linux-i686";
- sha256 = "8ff175908da6d2032f47d0a74c58576b1552c0e9bf304b678c66c43f9e282289";
+ sha256 = "a32e1ec050968c94c2b2c1c175d13629fb5feda14e91a0e6c78a9e1bf4092ebe";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/el/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/el/thunderbird-91.1.2.tar.bz2";
locale = "el";
arch = "linux-i686";
- sha256 = "da644e9386ff3526a9332869a240ed07b15f40c57a90eaa0678f1d5cad58bc79";
+ sha256 = "7599c18f5c79d6aebb652308fa3fa9b13a4883c0dfc47e8bef6b6c118a2ed909";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/en-CA/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/en-CA/thunderbird-91.1.2.tar.bz2";
locale = "en-CA";
arch = "linux-i686";
- sha256 = "fa5fbc0e60a93ec657a9c8a8140c1318423c5a58e1a6df70706095347a150780";
+ sha256 = "47c49908cf59a8fa6ec1de512cd01907412cfc5b0f56709611b71eb0b3e6cdee";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/en-GB/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/en-GB/thunderbird-91.1.2.tar.bz2";
locale = "en-GB";
arch = "linux-i686";
- sha256 = "db11e5ce13b48cb2d8456c2c542eb24adc51fb6d0151b49459b894266fb62b4d";
+ sha256 = "9f379c2837dab6ece5306117065ddb1f19d3fa08900d5ed63abc34fff8755dda";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/en-US/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/en-US/thunderbird-91.1.2.tar.bz2";
locale = "en-US";
arch = "linux-i686";
- sha256 = "b76a3db6b41ed843471fdec8394b58ddfd231d6ff7575ebe650f0c5fa91535e7";
+ sha256 = "97aaf105ff5fd3ac8b2b85ba0de87b1fe6ba01f647d32571b787591ba5f6e1cd";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/es-AR/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/es-AR/thunderbird-91.1.2.tar.bz2";
locale = "es-AR";
arch = "linux-i686";
- sha256 = "f1182cbc6f3760555ba41a4d2db415519f2a2f2c6c7c6abde94f6c72b5864a52";
+ sha256 = "4db46b699d6a65fe482dd8f7bde005b5a4cccfbe7ef777f23f1aa57577d33a33";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/es-ES/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/es-ES/thunderbird-91.1.2.tar.bz2";
locale = "es-ES";
arch = "linux-i686";
- sha256 = "19e538e90ee435ee29e347720fea42eca5533e4025ef285820c18c62e981023a";
+ sha256 = "0a63e85f6992ce683f35ecfe6f0e10854fd8cada33f8a2e066d5ab140ef8c401";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/et/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/et/thunderbird-91.1.2.tar.bz2";
locale = "et";
arch = "linux-i686";
- sha256 = "c3ca7abbd340306b30ed4a60c8f238d18d06be11fe3ffaa9b1bb917f629bdd31";
+ sha256 = "522ec0185345054abf61b84dfdb36ce3dbe01c70f5bae11aa17321d18091d759";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/eu/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/eu/thunderbird-91.1.2.tar.bz2";
locale = "eu";
arch = "linux-i686";
- sha256 = "27c4d06f073e52c631a46174eff52b4dbc8db509f08e1e394a46552a56a8798b";
+ sha256 = "c4e28df0193175149303d80617f04df4d229d8eee2a75129b315a0c23b22aba5";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/fi/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/fi/thunderbird-91.1.2.tar.bz2";
locale = "fi";
arch = "linux-i686";
- sha256 = "57ef2dff097e9cb32ffd4540925c798e13174ee3f6d08473c745952cc2efcf71";
+ sha256 = "046b39db1f3f7c4fbe23e93053d43fe81e1b8751bb0558ad1bad3a50ab698673";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/fr/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/fr/thunderbird-91.1.2.tar.bz2";
locale = "fr";
arch = "linux-i686";
- sha256 = "3e4bf7cef09c1c2f787ff37f07fb3284b03d5b579330b6cb0fd1a3d9f5ac137f";
+ sha256 = "39d15a1aa3f7c3e360e817baeb3747a49ae8f42d1b46208832eccb0107ca1b3b";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/fy-NL/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/fy-NL/thunderbird-91.1.2.tar.bz2";
locale = "fy-NL";
arch = "linux-i686";
- sha256 = "867e4f64830ddd7918fd6f1e1f5a4814b174d4ac21ce1755691493b852732742";
+ sha256 = "17c971a57634050faa9fe747055a671ac1ae0022a9b06a957eb05f7bb64f31cb";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/ga-IE/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/ga-IE/thunderbird-91.1.2.tar.bz2";
locale = "ga-IE";
arch = "linux-i686";
- sha256 = "6e5bc42c2e923d37c843b1b971a8afccdaf1de3b271bdde003f58aa2c43c09c2";
+ sha256 = "58c17ea964de2b60440bb1a078222ab5b6199b83fa5f2854926b9f0c2a6cb3d3";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/gd/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/gd/thunderbird-91.1.2.tar.bz2";
locale = "gd";
arch = "linux-i686";
- sha256 = "d0138ebe2ae5550f5d911d6ca8f0a27aa27338de7cd948b0665ec3e0cdee0947";
+ sha256 = "4ee45ae272d53f523d2855083f27a0ce005d93ca95d13c2037621a87c294413c";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/gl/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/gl/thunderbird-91.1.2.tar.bz2";
locale = "gl";
arch = "linux-i686";
- sha256 = "233b0fc6394a3dd6c96fa3fd800e4254c5cff026bc6d91e53d872f46de06895a";
+ sha256 = "68012e665dea95fd4ce4f76dee0b246d2f94890e5a9b3c797e93ae7d450adc58";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/he/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/he/thunderbird-91.1.2.tar.bz2";
locale = "he";
arch = "linux-i686";
- sha256 = "73b9546fb5b7a98be1f4431b374e0ebe59557a765747e04688b0a0319b30c845";
+ sha256 = "57125635f8fe2cb50cfe9aecdfe06502cce9c746b346083b329d5e1123d4956d";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/hr/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/hr/thunderbird-91.1.2.tar.bz2";
locale = "hr";
arch = "linux-i686";
- sha256 = "c500cf6adb60131010054d5f99976559ac2f7f2e83799715c5de0e62765df71c";
+ sha256 = "f6f28200c32cc2faa4a4e4a49eed5b4343586b52ca123dbce43d32a1c5059835";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/hsb/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/hsb/thunderbird-91.1.2.tar.bz2";
locale = "hsb";
arch = "linux-i686";
- sha256 = "e67b1e15c968334476ec5775e68893dcffd1dae7ce012ef95a9c97edd506be3b";
+ sha256 = "6290282252b9a61fc7ffb1e29b14f31c87832bd60a066c73f9966a10f75ac327";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/hu/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/hu/thunderbird-91.1.2.tar.bz2";
locale = "hu";
arch = "linux-i686";
- sha256 = "7b452c3dcf394c04f534c03f55f5fd0cad1c4026a818676e85ac57a988f6ca3f";
+ sha256 = "fbd6be01153d67870565fc7230fba7b4a1f6151eeda54e84008b0943acfc4564";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/hy-AM/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/hy-AM/thunderbird-91.1.2.tar.bz2";
locale = "hy-AM";
arch = "linux-i686";
- sha256 = "36b89d8d88a80fc1964eb56895df0da4e75ec64837fdca3cfd0681ec14375889";
+ sha256 = "3bfb7979fbfbf0cbdecb8b8030dd209a6e18020ff34a30223ce893c0cfe0a282";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/id/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/id/thunderbird-91.1.2.tar.bz2";
locale = "id";
arch = "linux-i686";
- sha256 = "f91517767696f5b248cdea262dfaf7b7a2fb6a0542481cb1ceba6360c0aa5497";
+ sha256 = "4a8801e97b001c0e30ffc4f4a7c712017c1b1a96bf226ddc341728b22599920d";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/is/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/is/thunderbird-91.1.2.tar.bz2";
locale = "is";
arch = "linux-i686";
- sha256 = "427f4e82a60587ebfe30987c21731840010dcb92471814501237ed5efe78e866";
+ sha256 = "871a6393a716c4c8b2255a8903a4584c8ad4a7f5e1423550d3d96b9866929433";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/it/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/it/thunderbird-91.1.2.tar.bz2";
locale = "it";
arch = "linux-i686";
- sha256 = "92f3e2bda56bdd4fccf431103ecfbfd897959e3bc2c15ef64fb1bafe3f8ff15c";
+ sha256 = "8919dbd9e7b0155de288322f10bbb664189d03c1442657d07d577b33cfce0929";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/ja/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/ja/thunderbird-91.1.2.tar.bz2";
locale = "ja";
arch = "linux-i686";
- sha256 = "9fb9f0f323246dc94045c6bb09c74d98bfba1005b8b0e68bca843ef34d363b73";
+ sha256 = "42e1e1a2b55c97b05ec5424f6318d286f7fa497276ff745c6c221ee2b4c072cd";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/ka/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/ka/thunderbird-91.1.2.tar.bz2";
locale = "ka";
arch = "linux-i686";
- sha256 = "5b4d7c8a6d8818fb9e336e0b595139138be5a4fedcbafa0895a62aceb8ef6007";
+ sha256 = "4da9353667f109938ebc6740039a915f67d518c109915c1ed42f1552c3be719d";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/kab/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/kab/thunderbird-91.1.2.tar.bz2";
locale = "kab";
arch = "linux-i686";
- sha256 = "7661d1af8b25f727219f75ddc0e9c5c75f36d2a84b2af27ac09b548767f01c5b";
+ sha256 = "87c960236895eb1af70d2f50a839e55befc6486c4883d786b14a67e569c396ae";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/kk/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/kk/thunderbird-91.1.2.tar.bz2";
locale = "kk";
arch = "linux-i686";
- sha256 = "a0db8097caceb8f3d58e4c77ee137790b087c569587a06c78cbd5aa2d9b2819d";
+ sha256 = "38fdc0aa8fe98d83e52cf266776ebe7a52d7c80e98bc2372afcdeaf709ee8a06";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/ko/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/ko/thunderbird-91.1.2.tar.bz2";
locale = "ko";
arch = "linux-i686";
- sha256 = "5352f1d9fdff63913c137aa54b5d16893a374c0f1ca245f6de3a4f17ed7d8f47";
+ sha256 = "c960038e1764cc3a0203e2cdf8349ecfee951dbeb470cb58b66c66f0542ee790";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/lt/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/lt/thunderbird-91.1.2.tar.bz2";
locale = "lt";
arch = "linux-i686";
- sha256 = "a3bc0caeb2c1c3f81e3d705186ccc83e831c2f094742e93304483cc61666e3ad";
+ sha256 = "6387197f1fa9095d64ef3e7c73272f0e0a4a7b857d4be29899bfe2c7aa88a5ec";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/lv/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/lv/thunderbird-91.1.2.tar.bz2";
locale = "lv";
arch = "linux-i686";
- sha256 = "4bf8b846a0ffa95a3e74a9dc7a05f5283abdb907529dac2ea346570d7e5e4d97";
+ sha256 = "66021a590bb89b9fb50c90bc07788cbbb3d1acaceac5ebf562805d39bb59be3c";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/ms/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/ms/thunderbird-91.1.2.tar.bz2";
locale = "ms";
arch = "linux-i686";
- sha256 = "4d0678116562ab28a7a4bd1ca39b94f87e2f09d79acf2baac51b69c179cf8207";
+ sha256 = "a120efceac13b976b77a49dd2883f66a03c13f3243a53b66afbb372b87c15b16";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/nb-NO/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/nb-NO/thunderbird-91.1.2.tar.bz2";
locale = "nb-NO";
arch = "linux-i686";
- sha256 = "4f3e467cb652e2c92631723ce599716f6e1b6ba9137aa2fd51bfdda2016b75b8";
+ sha256 = "ac5f404b3635b9b327458eb461148d94b52501621e78f2fafeff09c019651948";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/nl/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/nl/thunderbird-91.1.2.tar.bz2";
locale = "nl";
arch = "linux-i686";
- sha256 = "522186f15b6ff574e8b5a7c64d7f376d2bfcd148fb54b5faddb5647a23703076";
+ sha256 = "f9dbbb9789a81ee6a40756039afefe542e1369b5de15d4ea728bd5fb5326c728";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/nn-NO/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/nn-NO/thunderbird-91.1.2.tar.bz2";
locale = "nn-NO";
arch = "linux-i686";
- sha256 = "3dc1dadd4cb1af7bb11b4c77ebab20a7c43bd75639a866fcdc3a51099e078b0c";
+ sha256 = "36d0cf0f3132f5365a9cfe5b2175ac6f42dbe25c41a03fbd177509b2cf13abce";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/pa-IN/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/pa-IN/thunderbird-91.1.2.tar.bz2";
locale = "pa-IN";
arch = "linux-i686";
- sha256 = "586e6a3fd41903dd4eef357207839d195c1eb7e40b152baa2394880db539499a";
+ sha256 = "776c3c215fd0e66eb81c2c91855233c4a7476aad534de555a6317b6a4f664b67";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/pl/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/pl/thunderbird-91.1.2.tar.bz2";
locale = "pl";
arch = "linux-i686";
- sha256 = "2a064b763ae9b0b11d266cf413cec98f00ab6d350f44469a2dea4713ba17521a";
+ sha256 = "ba2aa2dda6c477f3ecb06d0f1d223928adc9a82e46432055783741064cf1e8f6";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/pt-BR/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/pt-BR/thunderbird-91.1.2.tar.bz2";
locale = "pt-BR";
arch = "linux-i686";
- sha256 = "a897c43eccb7c91732e1fa623ff675877de014a176119ea049e9eadc579e59e9";
+ sha256 = "314023714b6babde392b8a30d11e67fe5af9f47e2738d63a6231aa72e6e0b792";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/pt-PT/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/pt-PT/thunderbird-91.1.2.tar.bz2";
locale = "pt-PT";
arch = "linux-i686";
- sha256 = "4aa4f70dad8116e2f6fe4dbd6c7bf7cf3c090b6f0e776dfde34c594bc785f33b";
+ sha256 = "ea5895b796bbdf9ed5be1277dc0f32c70abb46f37a7d48ecacf39e7b7a5af082";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/rm/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/rm/thunderbird-91.1.2.tar.bz2";
locale = "rm";
arch = "linux-i686";
- sha256 = "f437ac48a9888abc4c63aa14c1e5561ea06a3e0287596fdae10f0f3dd071b0e6";
+ sha256 = "d295f9390b7dedec8592751142a42bc134ff3fca5a228d084eb176677c15c4bc";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/ro/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/ro/thunderbird-91.1.2.tar.bz2";
locale = "ro";
arch = "linux-i686";
- sha256 = "dfc376eb4baac472c634b4b25c43f6eaebb27c98bbda68a5fbe905b545bcf858";
+ sha256 = "b4504dd29ce68009c78b7194914c20d41024f92420564d6f4f34369717a49a90";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/ru/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/ru/thunderbird-91.1.2.tar.bz2";
locale = "ru";
arch = "linux-i686";
- sha256 = "3707b961d3b42b23a3883ebf091f7456521257f16fbf906aeda436e85019679e";
+ sha256 = "a8ba363a9bee130d05d028a84bfc10e8614ac3e3ee7e747d4987691d25423bb0";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/sk/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/sk/thunderbird-91.1.2.tar.bz2";
locale = "sk";
arch = "linux-i686";
- sha256 = "6361c53e1908c308929054a87a276e5a43d2eefda75edc4991e845e4055a59c7";
+ sha256 = "347a0e3e794bebc570aac65005edef1c311d7685d9b7ee4559121945cec1a40e";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/sl/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/sl/thunderbird-91.1.2.tar.bz2";
locale = "sl";
arch = "linux-i686";
- sha256 = "de5d84cacd50edd00184316d9122e881217017e78f0a73a79b745b130c58fb6d";
+ sha256 = "1ae4c2615d9fc4e6b1ab270988de63ff425779945684811a1c9093940e7a9d0a";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/sq/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/sq/thunderbird-91.1.2.tar.bz2";
locale = "sq";
arch = "linux-i686";
- sha256 = "2ab70162fd53943f6a46c1c9459dadcd6e7ca5ee19c1bca161368739c74068b1";
+ sha256 = "207fb12cf9415e5a66bee33ee2f50adb970343b90bdde2c00c5b149e9ec829ad";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/sr/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/sr/thunderbird-91.1.2.tar.bz2";
locale = "sr";
arch = "linux-i686";
- sha256 = "4baaa9d43c1f4c9db85b2a12fa52cbe2d2807b5781ac5c7287901153c1b6c81f";
+ sha256 = "45e7cb91506dfe353d86b8c6ae172b4a925f6b9ee631b542bc9a0fc77315d482";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/sv-SE/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/sv-SE/thunderbird-91.1.2.tar.bz2";
locale = "sv-SE";
arch = "linux-i686";
- sha256 = "6151beb596c9742345ee749239e1fcf983feaa8bebc06908fbfefbe169d8cb3e";
+ sha256 = "634b1581237baa140d8711458cff99e979b3e33316b24925c6e5700da9603127";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/th/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/th/thunderbird-91.1.2.tar.bz2";
locale = "th";
arch = "linux-i686";
- sha256 = "e063338beec45e1d4ec69ac4c3502df243d98802dd1ce0df28634e003e5a0477";
+ sha256 = "a09336e75d270e9fdfaefd4f9e90cddf1f5135602998bfdd9a198e3f1544838c";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/tr/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/tr/thunderbird-91.1.2.tar.bz2";
locale = "tr";
arch = "linux-i686";
- sha256 = "38be40e163b0b0426318d20dca127f257861441e29b9d282f2fa352cfa2c2ccc";
+ sha256 = "37874416c7bdd2c2b4303a55d14a82ce55a7d8cc6d51bc3b3d215489be3bc055";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/uk/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/uk/thunderbird-91.1.2.tar.bz2";
locale = "uk";
arch = "linux-i686";
- sha256 = "ac1bdb90a15bc245b55e0dcda57e381ce9521d0fbcac240a55806c5ee859d90f";
+ sha256 = "faa0c411431a9b27a7c58c0c394804d3125e4f4e927387df8580c37738c2db44";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/uz/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/uz/thunderbird-91.1.2.tar.bz2";
locale = "uz";
arch = "linux-i686";
- sha256 = "584cd95cc34193c868949d9aac3213a779cdedde14f6f3088657ab61d32197c7";
+ sha256 = "095e56a0fa0e85bebe9bc0044fc13f5da67c7267461b27fb8024947da3f423ba";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/vi/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/vi/thunderbird-91.1.2.tar.bz2";
locale = "vi";
arch = "linux-i686";
- sha256 = "1725bbed0bba4e6c3fa7a44df700c4a04b47eaffda3189b8b6efff33d6e30c3a";
+ sha256 = "cae3582b504a38497dc63ba25d4be45e450b14cb588a9f52919d0fb4a5a04446";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/zh-CN/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/zh-CN/thunderbird-91.1.2.tar.bz2";
locale = "zh-CN";
arch = "linux-i686";
- sha256 = "9afeaf173047c708595e0e58cbd6cdbd8a55b390420e100f4aea33597803c01a";
+ sha256 = "58d542c3ceb5e36a83e424250c171477543bcd046f325c89b06f76090410b633";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.0.3/linux-i686/zh-TW/thunderbird-91.0.3.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/91.1.2/linux-i686/zh-TW/thunderbird-91.1.2.tar.bz2";
locale = "zh-TW";
arch = "linux-i686";
- sha256 = "60367168ee3e92774b040f82b5ec733be00c958ac6c2fa07f7821020a571158f";
+ sha256 = "13dfa3e7a8b5a69ab9072c21eb22373ff36bd54c9c7c39c3480681bd911043c0";
}
];
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird/no-buildconfig-90.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird/no-buildconfig-90.patch
deleted file mode 100644
index c4e29f6355c..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird/no-buildconfig-90.patch
+++ /dev/null
@@ -1,13 +0,0 @@
-Remove about:buildconfig. If used as-is, it would add unnecessary runtime dependencies.
---- a/comm/mail/base/jar.mn
-+++ b/comm/mail/base/jar.mn
-@@ -119,9 +119,6 @@ messenger.jar:
- % override chrome://mozapps/content/profile/profileDowngrade.js chrome://messenger/content/profileDowngrade.js
- % override chrome://mozapps/content/profile/profileDowngrade.xhtml chrome://messenger/content/profileDowngrade.xhtml
-
--* content/messenger/buildconfig.html (content/buildconfig.html)
--% override chrome://global/content/buildconfig.html chrome://messenger/content/buildconfig.html
--
- # L10n resources and overrides.
- % override chrome://mozapps/locale/profile/profileDowngrade.dtd chrome://messenger/locale/profileDowngrade.dtd
- % override chrome://global/locale/netError.dtd chrome://messenger/locale/netError.dtd
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird/packages.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird/packages.nix
index fe6ead5c8d3..bc36c81155a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird/packages.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/thunderbird/packages.nix
@@ -10,15 +10,14 @@ in
rec {
thunderbird = common rec {
pname = "thunderbird";
- version = "91.0.3";
+ version = "91.2.0";
application = "comm/mail";
binaryName = pname;
src = fetchurl {
url = "mirror://mozilla/thunderbird/releases/${version}/source/thunderbird-${version}.source.tar.xz";
- sha512 = "1c7b4c11066ab64ee1baa9f07bc6bd4478c2ece0bcf8ac381c2f0774582bb781b8151b54326cd38742d039c5de718022649d804dfceaf142863249b1edb68e1e";
+ sha512 = "1f21f77069490be2de131f6125a498c6ed0a7d10b2ff787891d8dea10019719fea8014a8b2d626a2365f10f6307b616e5f1eef2c601e4da5402c9d5fc7d35049";
};
patches = [
- ./no-buildconfig-90.patch
];
meta = with lib; {
@@ -38,12 +37,12 @@ rec {
thunderbird-78 = common rec {
pname = "thunderbird";
- version = "78.13.0";
+ version = "78.14.0";
application = "comm/mail";
binaryName = pname;
src = fetchurl {
url = "mirror://mozilla/thunderbird/releases/${version}/source/thunderbird-${version}.source.tar.xz";
- sha512 = "daee9ea9e57bdfce231a35029807f279a06f8790d71efc8998c78eb42d99a93cf98623170947df99202da038f949ba9111a7ff7adbd43c161794deb6791370a0";
+ sha512 = "0zan30jvv45pd6i59l2kfyfjwivqk5qq6vyf77xhss2dk8qhk3mfrfxpfbkrab676l14b9hs09nr6ni1h1iwn82zx5k7fx5x8sh5dx6";
};
patches = [
./no-buildconfig-78.patch
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/tutanota-desktop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/tutanota-desktop/default.nix
new file mode 100644
index 00000000000..19354df40ad
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mailreaders/tutanota-desktop/default.nix
@@ -0,0 +1,61 @@
+{ stdenv, lib, fetchurl, makeDesktopItem, copyDesktopItems, makeWrapper,
+electron, libsecret }:
+
+stdenv.mkDerivation rec {
+ pname = "tutanota-desktop";
+ version = "3.88.4";
+
+ src = fetchurl {
+ url = "https://github.com/tutao/tutanota/releases/download/tutanota-release-${version}/${pname}-${version}-unpacked-linux.tar.gz";
+ name = "tutanota-desktop-${version}.tar.gz";
+ sha256 = "sha256-UOb63+NfW6mHKaj3PDEzvz5hcmJBIISq02rtwgSZMjo=";
+ };
+
+ nativeBuildInputs = [
+ copyDesktopItems
+ makeWrapper
+ ];
+
+ dontConfigure = true;
+ dontBuild = true;
+
+ desktopItems = makeDesktopItem {
+ name = pname;
+ exec = "tutanota-desktop";
+ icon = "tutanota-desktop";
+ comment = meta.description;
+ desktopName = "Tutanota Desktop";
+ genericName = "Email Reader";
+ };
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/bin $out/opt/tutanota-desktop $out/share/tutanota-desktop
+
+ cp -r ./ $out/opt/tutanota-desktop
+ mv $out/opt/tutanota-desktop/{locales,resources} $out/share/tutanota-desktop
+
+ for icon_size in 64 512; do
+ icon=resources/icons/icon/$icon_size.png
+ path=$out/share/icons/hicolor/$icon_size'x'$icon_size/apps/tutanota-desktop.png
+ install -Dm644 $icon $path
+ done
+
+ makeWrapper ${electron}/bin/electron \
+ $out/bin/tutanota-desktop \
+ --add-flags $out/share/tutanota-desktop/resources/app.asar \
+ --run "mkdir /tmp/tutanota" \
+ --prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath [ libsecret ]}
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Tutanota official desktop client";
+ homepage = "https://tutanota.com/";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ wolfangaukang ];
+ platforms = [ "x86_64-linux" ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mhost/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mhost/default.nix
new file mode 100644
index 00000000000..f6aad5eaa08
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mhost/default.nix
@@ -0,0 +1,26 @@
+{ fetchFromGitHub, rustPlatform, lib }:
+
+rustPlatform.buildRustPackage rec {
+ pname = "mhost";
+ version = "0.3.0";
+
+ src = fetchFromGitHub {
+ owner = "lukaspustina";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "1j0378f8gj8hdcdhpj6lqlnriasmjxzri42wjj9pygzkmpd3ym86";
+ };
+
+ cargoSha256 = "0gqrya0bpdd67k2sxib7f4npnrx84d9r4hjq2sg2xz4j8pmgs018";
+
+ CARGO_CRATE_NAME = "mhost";
+
+ doCheck = false;
+
+ meta = with lib; {
+ description = "A modern take on the classic host DNS lookup utility including an easy to use and very fast Rust lookup library";
+ homepage = "https://github.com/lukaspustina/mhost";
+ license = with licenses; [ asl20 /* or */ mit ];
+ maintainers = [ maintainers.mgttlinger ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mpop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mpop/default.nix
index 613226a2dc1..a14e55e51f2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mpop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/mpop/default.nix
@@ -1,26 +1,42 @@
-{ lib, stdenv, fetchurl, pkg-config, gnutls, gsasl, libidn, Security }:
-
-with lib;
+{ lib
+, stdenv
+, fetchurl
+, gnutls
+, gsasl
+, libidn
+, pkg-config
+, Security
+}:
stdenv.mkDerivation rec {
pname = "mpop";
- version = "1.4.13";
+ version = "1.4.15";
src = fetchurl {
url = "https://marlam.de/${pname}/releases/${pname}-${version}.tar.xz";
- sha256 = "sha256-s0mEZsZbZQrdGm55IJsnuoY3VnOkXJalknvtaFoyfcE=";
+ sha256 = "sha256-P1KytdS8WO2TzwsRRs7k903oHCwHol7gMu+mWUZaAnA=";
};
- nativeBuildInputs = [ pkg-config ];
- buildInputs = [ gnutls gsasl libidn ]
- ++ optional stdenv.isDarwin Security;
+ nativeBuildInputs = [
+ pkg-config
+ ];
+
+ buildInputs = [
+ gnutls
+ gsasl
+ libidn
+ ] ++ lib.optional stdenv.isDarwin [
+ Security
+ ];
- configureFlags = optional stdenv.isDarwin [ "--with-macosx-keyring" ];
+ configureFlags = lib.optional stdenv.isDarwin [
+ "--with-macosx-keyring"
+ ];
- meta = {
- description = "POP3 mail retrieval agent";
- homepage = "https://marlam.de/mpop";
- license = licenses.gpl3Plus;
- platforms = platforms.unix;
- };
+ meta = with lib;{
+ description = "POP3 mail retrieval agent";
+ homepage = "https://marlam.de/mpop";
+ license = licenses.gpl3Plus;
+ platforms = platforms.unix;
+ };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/msmtp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/msmtp/default.nix
index d8f53f4b256..884e5a644fc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/msmtp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/msmtp/default.nix
@@ -9,11 +9,11 @@ let
in stdenv.mkDerivation rec {
pname = "msmtp";
- version = "1.8.15";
+ version = "1.8.17";
src = fetchurl {
url = "https://marlam.de/${pname}/releases/${pname}-${version}.tar.xz";
- sha256 = "sha256-ImXcY56/Lt8waf/+CjvXZ0n4tY9AAdXN6uGYc5SQmc4=";
+ sha256 = "sha256-D92+dMGp3PZGG0obDbPk00JmGEUAxAPX8QetQttOxNM=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/nextcloud-client/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/nextcloud-client/default.nix
index 53168307ea7..d3612321c35 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/nextcloud-client/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/nextcloud-client/default.nix
@@ -21,13 +21,13 @@
mkDerivation rec {
pname = "nextcloud-client";
- version = "3.3.2";
+ version = "3.3.5";
src = fetchFromGitHub {
owner = "nextcloud";
repo = "desktop";
rev = "v${version}";
- sha256 = "sha256-sqoOppq0QdLcA2IFZYnixMNnFWb3x83tqTp6hqqVU14=";
+ sha256 = "sha256-kqNN9P0G/Obi/8PStmLxImQdqkhLnJoFZ7dLpqe11TI=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/nextdns/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/nextdns/default.nix
index 4c0f9c1a0fb..561a1c77b1c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/nextdns/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/nextdns/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "nextdns";
- version = "1.36.0";
+ version = "1.37.2";
src = fetchFromGitHub {
owner = "nextdns";
repo = "nextdns";
rev = "v${version}";
- sha256 = "sha256-aYWnopMRN0CDFpiWymhFT+f7vbKaP2HpjekVIr2rsME=";
+ sha256 = "sha256-R0n/wRCaQ8WvQer3bBLUmOdIojtfjXU0bs0pTn7L0lc=";
};
vendorSha256 = "sha256-YZm+DUrH+1xdJrGjmlajbcsnqVODVbZKivVjmqZ2e48=";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/onionshare/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/onionshare/default.nix
index f80fb3a7395..5b1a1fad5b1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/onionshare/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/onionshare/default.nix
@@ -1,52 +1,53 @@
-{
- lib,
- buildPythonApplication,
- substituteAll,
- fetchFromGitHub,
- isPy3k,
- colorama,
- flask,
- flask-httpauth,
- flask-socketio,
- stem,
- psutil,
- pyqt5,
- pycrypto,
- pyside2,
- pytestCheckHook,
- qrcode,
- qt5,
- requests,
- unidecode,
- tor,
- obfs4,
+{ lib
+, stdenv
+, buildPythonApplication
+, substituteAll
+, fetchFromGitHub
+, isPy3k
+, colorama
+, flask
+, flask-httpauth
+, flask-socketio
+, stem
+, psutil
+, pyqt5
+, pycrypto
+, pynacl
+, pyside2
+, pytestCheckHook
+, qrcode
+, qt5
+, requests
+, unidecode
+, tor
+, obfs4
}:
let
- version = "2.3.3";
+ version = "2.4";
src = fetchFromGitHub {
- owner = "micahflee";
+ owner = "onionshare";
repo = "onionshare";
rev = "v${version}";
- sha256 = "sha256-wU2020RNXlwJ2y9uzcLxIX4EECev1Z9YvNyiBalLj/Y=";
+ sha256 = "sha256-Lclm7mIkaAkQpWcNILTRJtLA43dpiyHtWAeHS2r3+ZQ=";
};
meta = with lib; {
description = "Securely and anonymously send and receive files";
longDescription = ''
- OnionShare is an open source tool for securely and anonymously sending
- and receiving files using Tor onion services. It works by starting a web
- server directly on your computer and making it accessible as an
- unguessable Tor web address that others can load in Tor Browser to
- download files from you, or upload files to you. It doesn't require
- setting up a separate server, using a third party file-sharing service,
- or even logging into an account.
-
- Unlike services like email, Google Drive, DropBox, WeTransfer, or nearly
- any other way people typically send files to each other, when you use
- OnionShare you don't give any companies access to the files that you're
- sharing. So long as you share the unguessable web address in a secure way
- (like pasting it in an encrypted messaging app), no one but you and the
- person you're sharing with can access the files.
+ OnionShare is an open source tool for securely and anonymously sending
+ and receiving files using Tor onion services. It works by starting a web
+ server directly on your computer and making it accessible as an
+ unguessable Tor web address that others can load in Tor Browser to
+ download files from you, or upload files to you. It doesn't require
+ setting up a separate server, using a third party file-sharing service,
+ or even logging into an account.
+
+ Unlike services like email, Google Drive, DropBox, WeTransfer, or nearly
+ any other way people typically send files to each other, when you use
+ OnionShare you don't give any companies access to the files that you're
+ sharing. So long as you share the unguessable web address in a secure way
+ (like pasting it in an encrypted messaging app), no one but you and the
+ person you're sharing with can access the files.
'';
homepage = "https://onionshare.org/";
@@ -54,8 +55,19 @@ let
license = licenses.gpl3Plus;
maintainers = with maintainers; [ lourkeur ];
};
+ stem' = stem.overridePythonAttrs (_: rec {
+ version = "1.8.1";
+
+ src = fetchFromGitHub {
+ owner = "onionshare";
+ repo = "stem";
+ rev = version;
+ sha256 = "Dzpvx7CgAr5OtGmfubWAYDLqq5LkGqcwjr3bxpfL/3A=";
+ };
+ });
-in rec {
+in
+rec {
onionshare = buildPythonApplication {
pname = "onionshare-cli";
inherit version meta;
@@ -74,9 +86,10 @@ in rec {
flask
flask-httpauth
flask-socketio
- stem
+ stem'
psutil
pycrypto
+ pynacl
requests
unidecode
];
@@ -98,6 +111,12 @@ in rec {
disabledTests = [
"test_firefox_like_behavior"
"test_if_unmodified_since"
+ "test_get_tor_paths_linux" # expects /usr instead of /nix/store
+ ] ++ lib.optionals stdenv.isDarwin [
+ # on darwin (and only on darwin) onionshare attempts to discover
+ # user's *real* homedir via /etc/passwd, making it more painful
+ # to fake
+ "test_receive_mode_webhook"
];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/opsdroid/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/opsdroid/default.nix
index ddf06a784f1..3560e8066d8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/opsdroid/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/opsdroid/default.nix
@@ -2,13 +2,13 @@
python3Packages.buildPythonPackage rec {
pname = "opsdroid";
- version = "0.23.0";
+ version = "0.24.1";
src = fetchFromGitHub {
owner = "opsdroid";
repo = "opsdroid";
rev = "v${version}";
- sha256 = "1p1x7jbp0jx8anfwvavyn3x8i1vfhmbzyzrm014n26v5y39gabj1";
+ sha256 = "15l2jvcpb9l8sgdd9zsvxqglf1r3vap0pp9cklpfa9jj0aik6nx9";
};
disabled = !python3Packages.isPy3k;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/owncloud-client/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/owncloud-client/default.nix
index 34ab19f6e27..15688d383b3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/owncloud-client/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/owncloud-client/default.nix
@@ -2,11 +2,11 @@
mkDerivation rec {
pname = "owncloud-client";
- version = "2.8.2.4246";
+ version = "2.9.1.5500";
src = fetchurl {
url = "https://download.owncloud.com/desktop/ownCloud/stable/${version}/source/ownCloud-${version}.tar.xz";
- sha256 = "0cc2e5154a1349bd21941ac3c32e8621778a9ff150730a19de2710e22d32fc43";
+ sha256 = "0h4dclxr6kmhmx318wvxz36lhyqw84q0mg4c6di6p230mp8b1l4v";
};
nativeBuildInputs = [ pkg-config cmake extra-cmake-modules ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/dht/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/dht/default.nix
new file mode 100644
index 00000000000..0088e517914
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/dht/default.nix
@@ -0,0 +1,24 @@
+{ stdenv, lib, fetchFromGitHub, cmake }:
+
+stdenv.mkDerivation rec {
+ pname = "dht";
+ version = "0.25";
+
+ src = fetchFromGitHub {
+ # Use transmission fork from post-0.25-transmission branch
+ owner = "transmission";
+ repo = pname;
+ rev = "25e12bb39eea3d433602de6390796fec8a8f3620";
+ sha256 = "fksi8WBQPydgSlISaZMMnxzt4xN7/Hh7aN6QQ+g/L7s=";
+ };
+
+ nativeBuildInputs = [ cmake ];
+
+ meta = with lib; {
+ description = "BitTorrent DHT library";
+ homepage = "https://github.com/transmission/dht";
+ license = licenses.mit;
+ maintainers = with maintainers; [ angustrau ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/fragments/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/fragments/default.nix
new file mode 100644
index 00000000000..721f0ca259f
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/fragments/default.nix
@@ -0,0 +1,78 @@
+{ lib
+, stdenv
+, fetchFromGitLab
+, meson
+, vala
+, ninja
+, pkg-config
+, wrapGAppsHook
+, desktop-file-utils
+, appstream-glib
+, python3
+, glib
+, gtk3
+, libhandy
+, libtransmission
+, libb64
+, libutp
+, miniupnpc
+, dht
+, libnatpmp
+, libevent
+, curl
+, openssl
+, zlib
+}:
+
+stdenv.mkDerivation rec {
+ pname = "fragments";
+ version = "1.5";
+
+ src = fetchFromGitLab {
+ domain = "gitlab.gnome.org";
+ owner = "World";
+ repo = "Fragments";
+ rev = version;
+ sha256 = "0x1kafhlgyi65l4w67c24r8mpvasg3q3c4wlgnjc9sxvp6ki7xbn";
+ };
+
+ patches = [
+ # Fix dependency resolution
+ ./dependency-resolution.patch
+ ];
+
+ nativeBuildInputs = [
+ meson
+ vala
+ ninja
+ pkg-config
+ wrapGAppsHook
+ desktop-file-utils
+ appstream-glib
+ python3
+ ];
+
+ buildInputs = [
+ glib
+ gtk3
+ libhandy
+ libtransmission
+ libb64
+ libutp
+ miniupnpc
+ dht
+ libnatpmp
+ libevent
+ curl
+ openssl
+ zlib
+ ];
+
+ meta = with lib; {
+ homepage = "https://gitlab.gnome.org/World/Fragments";
+ description = "A GTK3 BitTorrent Client";
+ maintainers = with maintainers; [ angustrau ];
+ license = licenses.gpl3Plus;
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/fragments/dependency-resolution.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/fragments/dependency-resolution.patch
new file mode 100644
index 00000000000..0446bc1390c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/fragments/dependency-resolution.patch
@@ -0,0 +1,25 @@
+diff --git a/meson.build b/meson.build
+index 5030d0c..6de7a20 100644
+--- a/meson.build
++++ b/meson.build
+@@ -32,10 +32,11 @@ transmission_dep = declare_dependency(
+ meson.get_compiler('c').find_library('dht'),
+ meson.get_compiler('c').find_library('natpmp'),
+ meson.get_compiler('c').find_library('event'),
+- meson.get_compiler('c').find_library('libcurl'),
+- meson.get_compiler('c').find_library('libcrypto'),
++ meson.get_compiler('c').find_library('curl'),
++ meson.get_compiler('c').find_library('crypto'),
++ meson.get_compiler('c').find_library('ssl'),
+ meson.get_compiler('c').find_library('libpthread'),
+- meson.get_compiler('c').find_library('libz'),
++ meson.get_compiler('c').find_library('z'),
+ transmission_vapi,
+ transmission_lib
+ ])
+@@ -45,4 +46,4 @@ subdir('data')
+ subdir('po')
+ subdir('src')
+
+-meson.add_install_script('build-aux/postinstall.py')
++meson.add_install_script('python3', '../build-aux/postinstall.py')
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/freenet/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/freenet/default.nix
index cfb228514cb..ad791f46d73 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/freenet/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/freenet/default.nix
@@ -15,7 +15,7 @@ let
url = "https://downloads.freenetproject.org/alpha/opennet/seednodes.fref";
sha256 = "08awwr8n80b4cdzzb3y8hf2fzkr1f2ly4nlq779d6pvi5jymqdvv";
};
- version = "build01475";
+ version = "build01480";
freenet-jars = stdenv.mkDerivation {
pname = "freenet-jars";
@@ -25,7 +25,7 @@ let
owner = "freenet";
repo = "fred";
rev = version;
- sha256 = "0k02fna9x219j7dhginbnf27i36bibb0rmm4qdwr5xm28hy1nd08";
+ sha256 = "0wddkfyhsgs7bcq9svicz6l0a35yv82yqzmji3c345hg4hbch3kb";
};
patchPhase = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/gnunet/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/gnunet/default.nix
index fb1abae6bf3..2ccf4ff4b86 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/gnunet/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/gnunet/default.nix
@@ -7,11 +7,11 @@
stdenv.mkDerivation rec {
pname = "gnunet";
- version = "0.15.0";
+ version = "0.15.3";
src = fetchurl {
url = "mirror://gnu/gnunet/${pname}-${version}.tar.gz";
- sha256 = "sha256-zKI9b7QIkKXrLMrkuPfnTI5OhNP8ovQZ13XLSljdmmc=";
+ sha256 = "sha256-1iZpqPQeB46qIgznejL08/gB4wmTV66McFSY/nOITsU=";
};
enableParallelBuilding = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/libutp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/libutp/default.nix
new file mode 100644
index 00000000000..6fba4faf50c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/libutp/default.nix
@@ -0,0 +1,24 @@
+{ stdenv, lib, fetchFromGitHub, cmake }:
+
+stdenv.mkDerivation rec {
+ pname = "libutp";
+ version = "unstable-2017-01-02";
+
+ src = fetchFromGitHub {
+ # Use transmission fork from post-3.3-transmission branch
+ owner = "transmission";
+ repo = pname;
+ rev = "fda9f4b3db97ccb243fcbed2ce280eb4135d705b";
+ sha256 = "CvuZLOBksIl/lS6LaqOIuzNvX3ihlIPjI3Eqwo7YJH0=";
+ };
+
+ nativeBuildInputs = [ cmake ];
+
+ meta = with lib; {
+ description = "uTorrent Transport Protocol library";
+ homepage = "https://github.com/transmission/libutp";
+ license = licenses.mit;
+ maintainers = with maintainers; [ angustrau ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/qbittorrent/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/qbittorrent/default.nix
index bd4fafed111..38e1b7cfceb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/qbittorrent/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/qbittorrent/default.nix
@@ -12,13 +12,13 @@ assert trackerSearch -> (python3 != null);
with lib;
mkDerivation rec {
pname = "qbittorrent";
- version = "4.3.5";
+ version = "4.3.8";
src = fetchFromGitHub {
owner = "qbittorrent";
repo = "qBittorrent";
rev = "release-${version}";
- sha256 = "1vdk42f8rxffyfydjk5cgzg5gl88ng2pynlyxw5ajh08wvkkjzgy";
+ sha256 = "sha256-on5folzKuRoVlvDOpme+aWxUKUC5PnO+N3L51qwG2gY=";
};
enableParallelBuilding = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/retroshare/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/retroshare/default.nix
index e69155d4c2f..7a213b88034 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/retroshare/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/retroshare/default.nix
@@ -45,7 +45,7 @@ mkDerivation rec {
meta = with lib; {
description = "Decentralized peer to peer chat application.";
- homepage = "http://retroshare.sourceforge.net/";
+ homepage = "https://retroshare.cc/";
license = licenses.gpl2Plus;
platforms = platforms.linux;
maintainers = with maintainers; [ StijnDW ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/tixati/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/tixati/default.nix
index d80165c4405..e5c17581cb5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/tixati/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/tixati/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "tixati";
- version = "2.81";
+ version = "2.84";
src = fetchurl {
url = "https://download2.tixati.com/download/tixati-${version}-1.x86_64.manualinstall.tar.gz";
- sha256 = "sha256-qwKxlmE59V+rXtuYWBMwD1O7OO4gb36lN8syFyQ6uLc=";
+ sha256 = "sha256-l3giWCMymUk5z4r4sEBZoeCh3K8jAp8TSf+xvhjeAEU=";
};
installPhase = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/torrential/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/torrential/default.nix
index cbeb6afa408..7290ec65ad5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/torrential/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/torrential/default.nix
@@ -1,56 +1,70 @@
{ lib, stdenv
, fetchFromGitHub
, nix-update-script
-, cmake
+, desktop-file-utils
+, meson
+, ninja
, pkg-config
-, vala_0_40
-, pantheon
+, python3
+, vala
+, wrapGAppsHook
, curl
+, dht
, glib
, gtk3
, libb64
, libevent
, libgee
, libnatpmp
-, libunity
+, libtransmission
+, libutp
, miniupnpc
, openssl
-, wrapGAppsHook
+, pantheon
}:
stdenv.mkDerivation rec {
pname = "torrential";
- version = "1.1.0";
+ version = "2.0.0";
src = fetchFromGitHub {
owner = "davidmhewitt";
repo = "torrential";
rev = version;
- fetchSubmodules = true;
- sha256 = "17aby0c17ybyzyzyc1cg1j6q1a186801fy84avlaxahqp7vdammx";
+ sha256 = "sha256-78eNIz7Lgeq4LTog04TMNuL27Gv0UZ0poBaw8ia1R/g=";
};
nativeBuildInputs = [
- cmake
- vala_0_40 # https://github.com/davidmhewitt/torrential/issues/135
+ desktop-file-utils
+ meson
+ ninja
pkg-config
+ python3
+ vala
wrapGAppsHook
];
buildInputs = [
curl
+ dht
glib
gtk3
libb64
libevent
libgee
libnatpmp
- libunity
+ libtransmission
+ libutp
miniupnpc
openssl
pantheon.granite
];
+ postPatch = ''
+ chmod +x meson/post_install.py
+ patchShebangs meson/post_install.py
+ '';
+
passthru = {
updateScript = nix-update-script {
attrPath = pname;
@@ -60,8 +74,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Download torrents in style with this speedy, minimalist torrent client for elementary OS";
homepage = "https://github.com/davidmhewitt/torrential";
- maintainers = with maintainers; [ xiorcale ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ xiorcale ] ++ teams.pantheon.members;
platforms = platforms.linux;
- license = licenses.gpl3;
+ license = licenses.gpl2Plus;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/transmission/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/transmission/default.nix
index 1efa9ec76da..312023566b0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/transmission/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/transmission/default.nix
@@ -10,6 +10,11 @@
, systemd
, zlib
, pcre
+, libb64
+, libutp
+, miniupnpc
+, dht
+, libnatpmp
# Build options
, enableGTK3 ? false
, gtk3
@@ -69,6 +74,11 @@ in stdenv.mkDerivation {
libevent
zlib
pcre
+ libb64
+ libutp
+ miniupnpc
+ dht
+ libnatpmp
]
++ lib.optionals enableQt [ qt5.qttools qt5.qtbase ]
++ lib.optionals enableGTK3 [ gtk3 xorg.libpthreadstubs ]
@@ -87,7 +97,7 @@ in stdenv.mkDerivation {
include
include
include "${apparmorRulesFromClosure { name = "transmission-daemon"; } ([
- curl libevent openssl pcre zlib
+ curl libevent openssl pcre zlib libnatpmp miniupnpc
] ++ lib.optionals enableSystemd [ systemd ]
++ lib.optionals stdenv.isLinux [ inotify-tools ]
)}"
@@ -106,6 +116,7 @@ in stdenv.mkDerivation {
'';
passthru.tests = {
+ apparmor = nixosTests.transmission; # starts the service with apparmor enabled
smoke-test = nixosTests.bittorrent;
};
@@ -123,7 +134,7 @@ in stdenv.mkDerivation {
* Full encryption, DHT, and PEX support
'';
homepage = "http://www.transmissionbt.com/";
- license = lib.licenses.gpl2; # parts are under MIT
+ license = lib.licenses.gpl2Plus; # parts are under MIT
maintainers = with lib.maintainers; [ astsmtl vcunat wizeman ];
platforms = lib.platforms.unix;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/tremc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/tremc/default.nix
index 3518c86790b..ea6b92c08e7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/tremc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/p2p/tremc/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, python3Packages
+{ lib, stdenv, fetchFromGitHub, fetchpatch, python3Packages
, x11Support ? !stdenv.isDarwin
, xclip ? null
, pbcopy ? null
@@ -21,6 +21,15 @@ python3Packages.buildPythonApplication rec {
sha256 = "1fqspp2ckafplahgba54xmx0sjidx1pdzyjaqjhz0ivh98dkx2n5";
};
+ patches = [
+ # Remove when version >0.9.2 is released
+ (fetchpatch {
+ url = "https://github.com/tremc/tremc/commit/bdffff2bd76186a4e3488b83f719fc7f7e3362b6.patch";
+ sha256 = "1zip2skh22v0yyv2hmszxn5jshp9m1jpw0fsyfvmqfxzq7m3czy5";
+ name = "replace-decodestring-with-decodebytes.patch";
+ })
+ ];
+
buildInputs = with python3Packages; [
python
wrapPython
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/pcloud/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/pcloud/default.nix
index b2eb18bd7b1..50a26ef50f6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/pcloud/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/pcloud/default.nix
@@ -26,13 +26,13 @@
let
pname = "pcloud";
- version = "1.9.5";
- code = "XZy4VwXZjkvoMGM3x6kCTkIGLFYVKjqKbefX";
+ version = "1.9.7";
+ code = "XZ0FAtXZNxFJbda6KhLejU9tKAg4N0TEqx3V";
# Archive link's code thanks to: https://aur.archlinux.org/cgit/aur.git/tree/PKGBUILD?h=pcloud-drive
src = fetchzip {
url = "https://api.pcloud.com/getpubzip?code=${code}&filename=${pname}-${version}.zip";
- hash = "sha256-GuO4wsSRT6WMlqYs2X+5oA7CykHb/NmhZ7UGA1FA6y4=";
+ hash = "sha256-6eMRFuZOLcoZd2hGw7QV+kAmzE5lK8uK6ZpGs4n7/zw=";
};
appimageContents = appimageTools.extractType2 {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ping/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ping/default.nix
index 40240565973..82194e38eae 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ping/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/ping/default.nix
@@ -61,7 +61,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A helpful tool that lets you debug what part of your API is causing you issues";
homepage = "https://github.com/jeremyvaartjes/ping";
- maintainers = with maintainers; [ xiorcale ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ xiorcale ] ++ teams.pantheon.members;
platforms = platforms.linux;
license = licenses.gpl3;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/pjsip/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/pjsip/default.nix
index 84e52128b66..5a0d3e4870a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/pjsip/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/pjsip/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "pjsip";
- version = "2.10";
+ version = "2.11.1";
src = fetchFromGitHub {
owner = pname;
repo = "pjproject";
rev = version;
- sha256 = "1aklicpgwc88578k03i5d5cm5h8mfm7hmx8vfprchbmaa2p8f4z0";
+ sha256 = "sha256-mqtlxQDIFee93wpdn8oNWmMPDyjYTCmVqF6IJvJbRBM=";
};
patches = [
@@ -21,9 +21,6 @@ stdenv.mkDerivation rec {
preConfigure = ''
export LD=$CC
- '' # Fixed on master, remove with 2.11
- + lib.optionalString stdenv.isDarwin ''
- NIX_CFLAGS_COMPILE+=" -framework Security"
'';
postInstall = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/pjsip/fix-aarch64.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/pjsip/fix-aarch64.patch
index f4aabf7a9bb..1680bde707c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/pjsip/fix-aarch64.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/pjsip/fix-aarch64.patch
@@ -1,9 +1,9 @@
--- a/aconfigure
+++ b/aconfigure
-@@ -8945,6 +8945,10 @@
- ac_webrtc_instset=neon
- ac_webrtc_cflags="-DWEBRTC_ARCH_ARMV7 -mfloat-abi=hard -mfpu=neon"
- ;;
+@@ -9174,6 +9174,10 @@
+ ac_webrtc_instset=neon
+ ac_webrtc_cflags="-DWEBRTC_ARCH_ARM64"
+ ;;
+ arm64*|aarch64*)
+ ac_webrtc_instset=neon
+ ac_webrtc_cflags="-DWEBRTC_ARCH_ARM64"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/protonvpn-cli/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/protonvpn-cli/default.nix
index a13f8409686..e46909d2a7c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/protonvpn-cli/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/protonvpn-cli/default.nix
@@ -30,7 +30,8 @@ python3Packages.buildPythonApplication rec {
description = "Linux command-line client for ProtonVPN";
homepage = "https://github.com/protonvpn/linux-cli";
maintainers = with maintainers; [ jtcoolen jefflabonte shamilton ];
- license = licenses.gpl3;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
+ mainProgram = "protonvpn";
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/protonvpn-gui/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/protonvpn-gui/default.nix
index 8029264fdaa..ee92f4727c7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/protonvpn-gui/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/protonvpn-gui/default.nix
@@ -83,7 +83,8 @@ in python3Packages.buildPythonApplication rec {
description = "Linux GUI for ProtonVPN, written in Python";
homepage = "https://github.com/ProtonVPN/linux-gui";
maintainers = with maintainers; [ offline ];
- license = licenses.gpl3;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
+ mainProgram = "protonvpn-gui";
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/qv2ray/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/qv2ray/default.nix
index 7b97bd8543d..62327a8e9a8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/qv2ray/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/qv2ray/default.nix
@@ -1,10 +1,11 @@
{ lib
+, stdenv
, mkDerivation
, fetchFromGitHub
, qmake
, qttools
, cmake
-, clang
+, clang_8
, grpc
, protobuf
, openssl
@@ -13,20 +14,26 @@
, abseil-cpp
, libGL
, zlib
+, curl
}:
mkDerivation rec {
pname = "qv2ray";
- version = "2.6.3";
+ version = "2.7.0";
src = fetchFromGitHub {
owner = "Qv2ray";
repo = "Qv2ray";
rev = "v${version}";
- sha256 = "sha256-zf3IlpRbZGDZMEny0jp7S+kWtcE1Z10U9GzKC0W0mZI=";
+ sha256 = "sha256-afFTGX/zrnwq/p5p1kj+ANU4WeN7jNq3ieeW+c+GO5M=";
fetchSubmodules = true;
};
+ patchPhase = lib.optionals stdenv.isDarwin ''
+ substituteInPlace cmake/platforms/macos.cmake \
+ --replace \''${QV2RAY_QtX_DIR}/../../../bin/macdeployqt macdeployqt
+ '';
+
cmakeFlags = [
"-DCMAKE_BUILD_TYPE=Release"
"-DQV2RAY_DISABLE_AUTO_UPDATE=on"
@@ -50,10 +57,14 @@ mkDerivation rec {
nativeBuildInputs = [
cmake
- clang
+
+ # The default clang_7 will result in reproducible ICE.
+ clang_8
+
pkg-config
qmake
qttools
+ curl
];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/aws-workspaces/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/aws-workspaces/default.nix
index 9efaa18e31f..48b44656ff0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/aws-workspaces/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/aws-workspaces/default.nix
@@ -5,15 +5,15 @@
stdenv.mkDerivation rec {
pname = "aws-workspaces";
- version = "3.1.8.1198";
+ version = "4.0.1.1302";
src = fetchurl {
# ref https://d3nt0h4h6pmmc4.cloudfront.net/ubuntu/dists/bionic/main/binary-amd64/Packages
urls = [
"https://d3nt0h4h6pmmc4.cloudfront.net/ubuntu/dists/bionic/main/binary-amd64/workspacesclient_${version}_amd64.deb"
- "https://web.archive.org/web/20210626165043/https://d3nt0h4h6pmmc4.cloudfront.net/ubuntu/dists/bionic/main/binary-amd64/workspacesclient_${version}_amd64.deb"
+ "https://web.archive.org/web/20210921220718/https://d3nt0h4h6pmmc4.cloudfront.net/ubuntu/dists/bionic/main/binary-amd64/workspacesclient_${version}_amd64.deb"
];
- sha256 = "e784bc4401c2ffaf19f3cc42cb6c6f229c73adba36df49093a1d8cd30c86aaf0";
+ sha256 = "208e67a544be5be7ff25218d68b4eb2ea9e65abfed444c99a0f7a6738d69ab9a";
};
nativeBuildInputs = [
@@ -45,14 +45,21 @@ stdenv.mkDerivation rec {
${dpkg}/bin/dpkg -x $src $out
'';
+ preFixup = ''
+ patchelf --replace-needed liblttng-ust.so.0 liblttng-ust.so $out/lib/libcoreclrtraceptprovider.so
+ '';
+
installPhase = ''
- mkdir -p $out/bin
- mv $out/opt/workspacesclient/* $out/bin
+ mkdir -p $out/bin $out/lib
+ mv $out/opt/workspacesclient/* $out/lib
+ rm -rf $out/opt
- wrapProgram $out/bin/workspacesclient \
+ wrapProgram $out/lib/workspacesclient \
--prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath buildInputs}" \
--set GDK_PIXBUF_MODULE_FILE "${librsvg.out}/lib/gdk-pixbuf-2.0/2.10.0/loaders.cache" \
--set GIO_EXTRA_MODULES "${glib-networking.out}/lib/gio/modules"
+
+ mv $out/lib/workspacesclient $out/bin
'';
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/citrix-workspace/generic.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/citrix-workspace/generic.nix
index 8159ae25167..2f9c5f76c5e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/citrix-workspace/generic.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/citrix-workspace/generic.nix
@@ -3,7 +3,7 @@
, heimdal, krb5, libsoup, libvorbis, speex, openssl, zlib, xorg, pango, gtk2
, gnome2, mesa, nss, nspr, gtk_engines, freetype, dconf, libpng12, libxml2
, libjpeg, libredirect, tzdata, cacert, systemd, libcxxabi, libcxx, e2fsprogs, symlinkJoin
-, libpulseaudio, pcsclite
+, libpulseaudio, pcsclite, glib-networking
, homepage, version, prefix, hash
@@ -102,6 +102,7 @@ stdenv.mkDerivation rec {
runtimeDependencies = [
glib
+ glib-networking
pcsclite
xorg.libX11
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/citrix-workspace/sources.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/citrix-workspace/sources.nix
index 3fadac3f291..7311acf8278 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/citrix-workspace/sources.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/citrix-workspace/sources.nix
@@ -21,7 +21,7 @@ let
x86hash = "A2E2E1882723DA6796E68916B3BB2B44DD575A83DEB03CA90A262F6C81B1A53F";
x64suffix = "21";
x86suffix = "21";
- homepage = "https://www.citrix.com/de-de/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2004.html";
+ homepage = "https://www.citrix.com/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2004.html";
};
"20.06.0" = {
@@ -32,7 +32,7 @@ let
x86hash = "1di29hrimbw3myjnf2nn26a14klidhdwvjqla6yxhwd3s6lil194";
x64suffix = "15";
x86suffix = "15";
- homepage = "https://www.citrix.com/de-de/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2006.html";
+ homepage = "https://www.citrix.com/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2006.html";
};
"20.09.0" = {
@@ -43,7 +43,7 @@ let
x86hash = "1b4gdmnnpa61ydiv2fnmap8cnfhskrq6swcs6i1nqrp5zvvkqrv4";
x64suffix = "15";
x86suffix = "15";
- homepage = "https://www.citrix.com/de-de/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2009.html";
+ homepage = "https://www.citrix.com/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2009.html";
};
"20.10.0" = {
@@ -54,7 +54,7 @@ let
x86hash = "04cr2da25v8x098ccyjwa47d4krk3jpldqkyf4kk2j3hwzbqh9yx";
x64suffix = "6";
x86suffix = "6";
- homepage = "https://www.citrix.com/de-de/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2010.html";
+ homepage = "https://www.citrix.com/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2010.html";
};
"20.12.0" = {
@@ -65,7 +65,7 @@ let
x86hash = "0f982d5y9k4hscqfmqpfs277cqw1pvp191ybvg5p8rxk12fh67vf";
x64suffix = "12";
x86suffix = "12";
- homepage = "https://www.citrix.com/de-de/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2012.html";
+ homepage = "https://www.citrix.com/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2012.html";
};
"21.01.0" = {
@@ -76,7 +76,7 @@ let
x86hash = "1mmx5r3wi9i6bwh4kdlpw446m8kijkaar8shi0q1n21fv0ygg3r5";
x64suffix = "14";
x86suffix = "14";
- homepage = "https://www.citrix.com/de-de/downloads/workspace-app/linux/workspace-app-for-linux-latest.html";
+ homepage = "https://www.citrix.com/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2101.html";
};
"21.03.0" = {
@@ -87,7 +87,7 @@ let
x86hash = "11nn9734a515dm1q880z9wmhvx8ikyh3riayyn42z22q4kd852n3";
x64suffix = "38";
x86suffix = "38";
- homepage = "https://www.citrix.com/downloads/workspace-app/linux/workspace-app-for-linux-latest.html";
+ homepage = "https://www.citrix.com/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2103.html";
};
"21.06.0" = {
@@ -98,6 +98,28 @@ let
x86hash = "c2d9652ad9488a9ff171e62df8455ebe6890bcfade1cc289893ee35322d9d812";
x64suffix = "28";
x86suffix = "28";
+ homepage = "https://www.citrix.com/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2106.html";
+ };
+
+ "21.08.0" = {
+ major = "21";
+ minor = "8";
+ patch = "0";
+ x64hash = "69ddae29cc8b4b68341c3d9503a54ee70ab58a5795fd83e79573f013eda5518c";
+ x86hash = "b6d1bde5a8533f22374e1f5bbb3f5949e5b89773d0703e021fbe784b455aad3f";
+ x64suffix = "40";
+ x86suffix = "40";
+ homepage = "https://www.citrix.com/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-2108.html";
+ };
+
+ "21.09.0" = {
+ major = "21";
+ minor = "9";
+ patch = "0";
+ x64hash = "d58d5cbbcb5ace95b75b1400061d475b8e72dbdf5f03abacea6d39686991f848";
+ x86hash = "c646c52889e88aa0bb051070076763d5407f21fb6ad6dfcb0fe635ac01180c51";
+ x64suffix = "25";
+ x86suffix = "25";
homepage = "https://www.citrix.com/downloads/workspace-app/linux/workspace-app-for-linux-latest.html";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/teamviewer/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/teamviewer/default.nix
index 1b564e8daf4..158f2aa327e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/teamviewer/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/teamviewer/default.nix
@@ -1,16 +1,16 @@
{ mkDerivation, lib, fetchurl, autoPatchelfHook, makeWrapper, xdg-utils, dbus
-, qtbase, qtwebkit, qtx11extras, qtquickcontrols, glibc
-, libXrandr, libX11, libXext, libXdamage, libXtst, libSM, libXfixes
+, qtbase, qtwebkit, qtwebengine, qtx11extras, qtquickcontrols, getconf, glibc
+, libXrandr, libX11, libXext, libXdamage, libXtst, libSM, libXfixes, coreutils
, wrapQtAppsHook
}:
mkDerivation rec {
pname = "teamviewer";
- version = "15.15.5";
+ version = "15.22.3";
src = fetchurl {
url = "https://dl.tvcdn.de/download/linux/version_15x/teamviewer_${version}_amd64.deb";
- sha256 = "sha256-H/CSc2RcjI+Fm8awYcXm3ioAJpbSNEMwGVrTozMux3A=";
+ sha256 = "15fvzhdq7mnx2l2w4byvij8ww16qwdlkbadal60rm66yzv79mv9w";
};
unpackPhase = ''
@@ -19,7 +19,7 @@ mkDerivation rec {
'';
nativeBuildInputs = [ autoPatchelfHook makeWrapper wrapQtAppsHook ];
- buildInputs = [ dbus qtbase qtwebkit qtx11extras libX11 ];
+ buildInputs = [ dbus getconf qtbase qtwebkit qtwebengine qtx11extras libX11 ];
propagatedBuildInputs = [ qtquickcontrols ];
installPhase = ''
@@ -28,6 +28,7 @@ mkDerivation rec {
rm -R \
$out/share/teamviewer/logfiles \
$out/share/teamviewer/config \
+ $out/share/teamviewer/tv_bin/RTlib \
$out/share/teamviewer/tv_bin/xdg-utils \
$out/share/teamviewer/tv_bin/script/{teamviewer_setup,teamviewerd.sysv,teamviewerd.service,teamviewerd.*.conf,libdepend,tv-delayed-start.sh}
@@ -38,6 +39,27 @@ mkDerivation rec {
ln -s /var/log/teamviewer $out/share/teamviewer/logfiles
ln -s ${xdg-utils}/bin $out/share/teamviewer/tv_bin/xdg-utils
+ declare in_script_dir="./opt/teamviewer/tv_bin/script"
+
+ install -d "$out/share/dbus-1/services"
+ install -m 644 "$in_script_dir/com.teamviewer.TeamViewer.service" "$out/share/dbus-1/services"
+ substituteInPlace "$out/share/dbus-1/services/com.teamviewer.TeamViewer.service" \
+ --replace '/opt/teamviewer/tv_bin/TeamViewer' \
+ "$out/share/teamviewer/tv_bin/TeamViewer"
+ install -m 644 "$in_script_dir/com.teamviewer.TeamViewer.Desktop.service" "$out/share/dbus-1/services"
+ substituteInPlace "$out/share/dbus-1/services/com.teamviewer.TeamViewer.Desktop.service" \
+ --replace '/opt/teamviewer/tv_bin/TeamViewer_Desktop' \
+ "$out/share/teamviewer/tv_bin/TeamViewer_Desktop"
+
+ install -d "$out/share/dbus-1/system.d"
+ install -m 644 "$in_script_dir/com.teamviewer.TeamViewer.Daemon.conf" "$out/share/dbus-1/system.d"
+
+ install -d "$out/share/polkit-1/actions"
+ install -m 644 "$in_script_dir/com.teamviewer.TeamViewer.policy" "$out/share/polkit-1/actions"
+ substituteInPlace "$out/share/polkit-1/actions/com.teamviewer.TeamViewer.policy" \
+ --replace '/opt/teamviewer/tv_bin/script/execscript' \
+ "$out/share/teamviewer/tv_bin/script/execscript"
+
for i in 16 20 24 32 48 256; do
size=$i"x"$i
@@ -51,17 +73,23 @@ mkDerivation rec {
--replace '/lib64/ld-linux-x86-64.so.2' '${glibc.out}/lib/ld-linux-x86-64.so.2'
substituteInPlace $out/share/teamviewer/tv_bin/script/tvw_config \
--replace '/var/run/' '/run/'
+ '';
- wrapProgram $out/share/teamviewer/tv_bin/script/teamviewer --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ libXrandr libX11 ]}"
- wrapProgram $out/share/teamviewer/tv_bin/teamviewerd --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ libXrandr libX11 ]}"
- wrapProgram $out/share/teamviewer/tv_bin/TeamViewer --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ libXrandr libX11 ]}"
- wrapProgram $out/share/teamviewer/tv_bin/TeamViewer_Desktop --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [libXrandr libX11 libXext libXdamage libXtst libSM libXfixes ]}"
+ makeWrapperArgs = [
+ "--prefix PATH : ${lib.makeBinPath [ getconf coreutils ]}"
+ "--prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath [ libXrandr libX11 libXext libXdamage libXtst libSM libXfixes dbus ]}"
+ ];
- wrapQtApp $out/share/teamviewer/tv_bin/script/teamviewer
- wrapQtApp $out/bin/teamviewer
+ postFixup = ''
+ wrapProgram $out/share/teamviewer/tv_bin/teamviewerd ''${makeWrapperArgs[@]}
+ # tv_bin/script/teamviewer runs tvw_main which runs tv_bin/TeamViewer
+ wrapProgram $out/share/teamviewer/tv_bin/script/teamviewer ''${makeWrapperArgs[@]} ''${qtWrapperArgs[@]}
+ wrapProgram $out/share/teamviewer/tv_bin/teamviewer-config ''${makeWrapperArgs[@]} ''${qtWrapperArgs[@]}
+ wrapProgram $out/share/teamviewer/tv_bin/TeamViewer_Desktop ''${makeWrapperArgs[@]} ''${qtWrapperArgs[@]}
'';
dontStrip = true;
+ dontWrapQtApps = true;
preferLocalBuild = true;
meta = with lib; {
@@ -69,6 +97,6 @@ mkDerivation rec {
license = licenses.unfree;
description = "Desktop sharing application, providing remote support and online meetings";
platforms = [ "x86_64-linux" ];
- maintainers = with maintainers; [ jagajaga dasuxullebt ];
+ maintainers = with maintainers; [ jagajaga dasuxullebt jraygauthier ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/vmware-horizon-client/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/vmware-horizon-client/default.nix
index 7c6e46c1379..033386afd1f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/vmware-horizon-client/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/vmware-horizon-client/default.nix
@@ -39,7 +39,7 @@
, zlib
}:
let
- version = "2103";
+ version = "2106.1";
sysArch =
if stdenv.hostPlatform.system == "x86_64-linux" then "x64"
@@ -50,8 +50,8 @@ let
name = "vmwareHorizonClientFiles";
inherit version;
src = fetchurl {
- url = "https://download3.vmware.com/software/view/viewclients/CART22FQ1/VMware-Horizon-Client-Linux-2103-8.2.0-17742757.tar.gz";
- sha256 = "62f95bb802b058a98f5ee6c2296b89bd7e15884a24dc8a8ba7ce89de7e0798e4";
+ url = "https://download3.vmware.com/software/view/viewclients/CART22FQ2/VMware-Horizon-Client-Linux-2106.1-8.3.1-18435609.tar.gz";
+ sha256 = "b42ddb9d7e9c8d0f8b86b69344fcfca45251c5a5f1e06a18a3334d5a04e18c39";
};
nativeBuildInputs = [ makeWrapper ];
installPhase = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/vmware-horizon-client/update.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/vmware-horizon-client/update.sh
index 126cb17a7c2..eec3d1de79e 100755
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/vmware-horizon-client/update.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/remote/vmware-horizon-client/update.sh
@@ -2,13 +2,13 @@
#!nix-shell -p curl -p jq -p common-updater-scripts -i bash
set -e
-entryPointURL='https://my.vmware.com/channel/public/api/v1.0/products/getRelatedDLGList?locale=en_US&category=desktop_end_user_computing&product=vmware_horizon_clients&version=horizon_8&dlgType=PRODUCT_BINARY'
+entryPointURL='https://customerconnect.vmware.com/channel/public/api/v1.0/products/getRelatedDLGList?locale=en_US&category=desktop_end_user_computing&product=vmware_horizon_clients&version=horizon_8&dlgType=PRODUCT_BINARY'
function getTarballMetaUrl {
curl "$entryPointURL" | jq -r '
.dlgEditionsLists | .[] | select(.name | contains("Client for Linux")) |
.dlgList | .[] | select(.name | contains("tarball version")) |
- @uri "https://my.vmware.com/channel/public/api/v1.0/dlg/details?locale=en_US&downloadGroup=\(.code)&productId=\(.productId)&rPId=\(.releasePackageId)"
+ @uri "https://customerconnect.vmware.com/channel/public/api/v1.0/dlg/details?locale=en_US&downloadGroup=\(.code)&productId=\(.productId)&rPId=\(.releasePackageId)"
'
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sniffers/sngrep/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sniffers/sngrep/default.nix
index ac6e3bc3a01..3b5742ec247 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sniffers/sngrep/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sniffers/sngrep/default.nix
@@ -1,4 +1,13 @@
-{ lib, stdenv, autoconf, automake, fetchFromGitHub, libpcap, ncurses, openssl, pcre }:
+{ lib
+, stdenv
+, autoconf
+, automake
+, fetchFromGitHub
+, libpcap
+, ncurses
+, openssl
+, pcre
+}:
stdenv.mkDerivation rec {
pname = "sngrep";
@@ -11,12 +20,17 @@ stdenv.mkDerivation rec {
sha256 = "sha256-92wPRDFSoIOYFv3XKdsuYH8j3D8kXyg++q6VpIIMGDg=";
};
- buildInputs = [
- libpcap ncurses pcre openssl ncurses
+ nativeBuildInputs = [
+ autoconf
+ automake
];
- nativeBuildInputs = [
- autoconf automake
+ buildInputs = [
+ libpcap
+ ncurses
+ ncurses
+ openssl
+ pcre
];
configureFlags = [
@@ -26,12 +40,14 @@ stdenv.mkDerivation rec {
"--enable-eep"
];
- preConfigure = "./bootstrap.sh";
+ preConfigure = ''
+ ./bootstrap.sh
+ '';
meta = with lib; {
description = "A tool for displaying SIP calls message flows from terminal";
homepage = "https://github.com/irontec/sngrep";
- license = licenses.gpl3;
+ license = licenses.gpl3Plus;
platforms = platforms.unix;
maintainers = with maintainers; [ jorise ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sniffers/whsniff/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sniffers/whsniff/default.nix
index 6674808e91b..514336e7a6b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sniffers/whsniff/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sniffers/whsniff/default.nix
@@ -20,6 +20,6 @@ stdenv.mkDerivation rec {
description = "Packet sniffer for 802.15.4 wireless networks";
maintainers = with maintainers; [ snicket2100 ];
platforms = platforms.linux;
- license = licenses.gpl2;
+ license = licenses.gpl2Only;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sniffers/wireshark/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sniffers/wireshark/default.nix
index 4e57bfe4b17..50a28b5998e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sniffers/wireshark/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sniffers/wireshark/default.nix
@@ -1,5 +1,5 @@
{ lib, stdenv, fetchurl, pkg-config, pcre, perl, flex, bison, gettext, libpcap, libnl, c-ares
-, gnutls, libgcrypt, libgpgerror, geoip, openssl, lua5, python3, libcap, glib
+, gnutls, libgcrypt, libgpg-error, geoip, openssl, lua5, python3, libcap, glib
, libssh, nghttp2, zlib, cmake, makeWrapper
, withQt ? true, qt5 ? null
, ApplicationServices, SystemConfiguration, gmp
@@ -10,7 +10,7 @@ assert withQt -> qt5 != null;
with lib;
let
- version = "3.4.8";
+ version = "3.4.9";
variant = if withQt then "qt" else "cli";
in stdenv.mkDerivation {
@@ -20,7 +20,7 @@ in stdenv.mkDerivation {
src = fetchurl {
url = "https://www.wireshark.org/download/src/all-versions/wireshark-${version}.tar.xz";
- sha256 = "09fpvfj4m7glisj6p4zb8wylkrjkqqw69xnwnz4ah410zs6zm9sq";
+ sha256 = "084nv4fbgpxsf6b6cfi6cinn8l3wsbn0g8lsd7p2aifjkf15wln6";
};
cmakeFlags = [
@@ -37,7 +37,7 @@ in stdenv.mkDerivation {
buildInputs = [
gettext pcre perl libpcap lua5 libssh nghttp2 openssl libgcrypt
- libgpgerror gnutls geoip c-ares python3 glib zlib
+ libgpg-error gnutls geoip c-ares python3 glib zlib
] ++ optionals withQt (with qt5; [ qtbase qtmultimedia qtsvg qttools ])
++ optionals stdenv.isLinux [ libcap libnl ]
++ optionals stdenv.isDarwin [ SystemConfiguration ApplicationServices gmp ]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sync/rclone/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sync/rclone/default.nix
index 6e19fb60f8e..8022c48a5c2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sync/rclone/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sync/rclone/default.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "rclone";
- version = "1.56.0";
+ version = "1.56.2";
src = fetchFromGitHub {
owner = pname;
repo = pname;
rev = "v${version}";
- sha256 = "03fqwsbpwb8vmgxg6knkp8f4xlvgg88n2c7inwjg8x91c7c77i0b";
+ sha256 = "sha256-cEh1SgIOgX04ECEF0K2pvwJdugapoUzh8xbboRaMdTs=";
};
- vendorSha256 = "1gryisn63f6ss889s162ncvlsaznwgvgxdwk2pn5c5zw8dkmjdmi";
+ vendorSha256 = "sha256-wQYVn8yGDIYlnlVTS7tiLEMwkNLF6p3OcY35nw1mvA8=";
subPackages = [ "." ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sync/rsync/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sync/rsync/default.nix
index 88304e56a40..dc3e8b25e70 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sync/rsync/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/sync/rsync/default.nix
@@ -63,6 +63,6 @@ stdenv.mkDerivation rec {
meta = base.meta // {
description = "A fast incremental file transfer utility";
- maintainers = with lib.maintainers; [ peti ehmry kampfschlaefer ];
+ maintainers = with lib.maintainers; [ ehmry kampfschlaefer ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/syncthing/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/syncthing/default.nix
index a0ab6304e34..4b1150159a4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/syncthing/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/syncthing/default.nix
@@ -4,16 +4,16 @@ let
common = { stname, target, postInstall ? "" }:
buildGoModule rec {
pname = stname;
- version = "1.18.1";
+ version = "1.18.2";
src = fetchFromGitHub {
owner = "syncthing";
repo = "syncthing";
rev = "v${version}";
- sha256 = "1sm4d0pjgk0spz9pddqb3i8hli10pibd5xs18mhcwrhnxj2xky1y";
+ sha256 = "1r5vd501p3ydi6rr2k4cqdl3pixdr79lfwpnc90xmd1i6mlyxrma";
};
- vendorSha256 = "1qqpxm4s1s2yp1zmi4m25y1a6r7kxc5rmvfsg50jmqsfnwligpz6";
+ vendorSha256 = "1v8hdr2na7bndx6q1kk0dkg1v9149gbhxcva1wq075xjl0kw21ip";
doCheck = false;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/testssl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/testssl/default.nix
index 3abc0458a00..cd0b47a3957 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/testssl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/testssl/default.nix
@@ -3,18 +3,18 @@
stdenv.mkDerivation rec {
pname = "testssl.sh";
- version = "3.0.5";
+ version = "3.0.6";
src = fetchFromGitHub {
owner = "drwetter";
repo = pname;
- rev = version;
- sha256 = "sha256-p2jPpPHtOOmv0CCsXOECgMT9sqa4ZykcJwuGOSkYLaY=";
+ rev = "v${version}";
+ sha256 = "016qpsb4dv9qb3ab3hmvk4vzf4ipr3xgmzv2cx46pxxsj0gnigd8";
};
nativeBuildInputs = [ makeWrapper ];
buildInputs = [
- coreutils # for pwd and printf
+ coreutils # for printf
dnsutils # for dig
nettools # for hostname
openssl # for openssl
@@ -24,7 +24,6 @@ stdenv.mkDerivation rec {
postPatch = ''
substituteInPlace testssl.sh \
- --replace /bin/pwd pwd \
--replace TESTSSL_INSTALL_DIR:-\"\" TESTSSL_INSTALL_DIR:-\"$out\" \
--replace PROG_NAME=\"\$\(basename\ \"\$0\"\)\" PROG_NAME=\"testssl.sh\"
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/trebleshot/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/trebleshot/default.nix
deleted file mode 100644
index 82f91ddef8b..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/trebleshot/default.nix
+++ /dev/null
@@ -1,29 +0,0 @@
-{ mkDerivation, lib, fetchFromGitHub
-, cmake, qtbase, kdnssd
-}:
-
-mkDerivation rec {
- pname = "trebleshot";
- version = "0.1.0-alpha2-15-ga7ac23c";
- # name="${pname}-${version}";
-
- src = fetchFromGitHub {
- owner = "genonbeta";
- repo = "TrebleShot-Desktop";
- rev = version;
- sha256 = "1k8wagw6arsi1lqkhn1nl6j11mb122vi1qs0q2np6nznwfy7pn1k";
- };
-
- nativeBuildInputs = [ cmake ];
-
- buildInputs = [ qtbase kdnssd ];
-
- meta = with lib; {
- description = "Android file transferring tool for desktop";
- homepage = "https://github.com/genonbeta/TrebleShot-Desktop";
- license = licenses.gpl2;
-
- platforms = platforms.linux;
- maintainers = with maintainers; [ woffs ];
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/vnstat/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/vnstat/default.nix
index f85f00bf0ae..77812f88ad1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/vnstat/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/networking/vnstat/default.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation rec {
pname = "vnstat";
- version = "2.7";
+ version = "2.8";
src = fetchFromGitHub {
owner = "vergoh";
repo = pname;
rev = "v${version}";
- sha256 = "105krrc7hl5mbj89i1k3w8yzqrg4f0q96lmyv4rc7fhhds5zam2h";
+ sha256 = "sha256-r+dmsL3bPgCDdBje7uzg+ArhMkqj+R/hepNLMDqe350=";
};
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/agenda/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/agenda/default.nix
index 6654ed529fc..c42052e5f7d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/agenda/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/agenda/default.nix
@@ -59,7 +59,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple, fast, no-nonsense to-do (task) list designed for elementary OS";
homepage = "https://github.com/dahenson/agenda";
- maintainers = with maintainers; [ xiorcale ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ xiorcale ] ++ teams.pantheon.members;
platforms = platforms.linux;
license = licenses.gpl3;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/antiword/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/antiword/default.nix
index 67a37b2d511..f0aa3235545 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/antiword/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/antiword/default.nix
@@ -25,7 +25,6 @@ stdenv.mkDerivation {
description = "Convert MS Word documents to plain text or PostScript";
license = lib.licenses.gpl2;
- maintainers = [ lib.maintainers.peti ];
platforms = with lib.platforms; linux ++ darwin;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/calligra/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/calligra/default.nix
index 2ecc334c3d2..03437742612 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/calligra/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/calligra/default.nix
@@ -1,4 +1,4 @@
-{ mkDerivation, lib, fetchurl, extra-cmake-modules, kdoctools
+{ mkDerivation, lib, fetchpatch, fetchurl, extra-cmake-modules, kdoctools
, boost, qtwebkit, qtx11extras, shared-mime-info
, breeze-icons, kactivities, karchive, kcodecs, kcompletion, kconfig, kconfigwidgets
, kcoreaddons, kdbusaddons, kdiagram, kguiaddons, khtml, ki18n
@@ -21,6 +21,17 @@ mkDerivation rec {
sha256 = "0iqi6z6gkck2afgy200dacgcspq7i7887alcj0pklm08hbmsdy5i";
};
+ patches = [
+ # Fix fontconfig underlinking: https://github.com/NixOS/nixpkgs/issues/137794
+ # Can be dropped on next release.
+ (fetchpatch {
+ name = "fix-fontconfig-linking.patch";
+ url = "https://github.com/KDE/calligra/commit/62f510702ef9c34ac50f8d8601a4290ab558464c.patch";
+ sha256 = "11dzrp9q05dmvnwp4vk4ihcibqcf4xyr0ijscpi716cyy730flma";
+ excludes = [ "CMakeLists.txt" ];
+ })
+ ];
+
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/elementary-planner/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/elementary-planner/default.nix
index 73888fbfe3d..550316b82c5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/elementary-planner/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/elementary-planner/default.nix
@@ -84,7 +84,7 @@ stdenv.mkDerivation rec {
description = "Task manager with Todoist support designed for GNU/Linux 🚀️";
homepage = "https://planner-todo.web.app";
license = licenses.gpl3;
- maintainers = with maintainers; [ dtzWill ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ dtzWill ] ++ teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/gnucash/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/gnucash/default.nix
index d4dad44d7da..5bd8450a0b9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/gnucash/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/gnucash/default.nix
@@ -110,7 +110,7 @@ stdenv.mkDerivation rec {
homepage = "http://www.gnucash.org/";
- maintainers = [ lib.maintainers.peti lib.maintainers.domenkozar ];
+ maintainers = [ lib.maintainers.domenkozar ];
platforms = lib.platforms.gnu ++ lib.platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/hledger-check-fancyassertions/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/hledger-check-fancyassertions/default.nix
new file mode 100644
index 00000000000..ed38bc29fa1
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/hledger-check-fancyassertions/default.nix
@@ -0,0 +1,41 @@
+{lib, stdenvNoCC, haskellPackages, fetchurl, writers}:
+
+stdenvNoCC.mkDerivation rec {
+ pname = "hledger-check-fancyassertions";
+ version = "1.23";
+
+ src = fetchurl {
+ url = "https://raw.githubusercontent.com/simonmichael/hledger/hledger-lib-${version}/bin/hledger-check-fancyassertions.hs";
+ sha256 = "08p2din1j7l4c29ipn68k8vvs3ys004iy8a3zf318lzby4h04h0n";
+ };
+
+ dontUnpack = true;
+ dontBuild = true;
+
+ executable = writers.writeHaskell
+ "hledger-check-fancyassertions"
+ {
+ libraries = with haskellPackages; [
+ base base-compat base-compat-batteries filepath hledger-lib_1_23
+ megaparsec microlens optparse-applicative string-qq text time
+ transformers
+ ];
+ inherit (haskellPackages) ghc;
+ }
+ src;
+
+ installPhase = ''
+ runHook preInstall
+ install -D $executable $out/bin/${pname}
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Complex account balance assertions for hledger journals";
+ homepage = "https://hledger.org/";
+ changelog = "https://github.com/simonmichael/hledger/blob/master/CHANGES.md";
+ license = licenses.gpl3;
+ maintainers = [ maintainers.DamienCassou ];
+ platforms = lib.platforms.all; # GHC can cross-compile
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/khronos/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/khronos/default.nix
index d35f8eec2eb..f319b419fbb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/khronos/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/khronos/default.nix
@@ -1,4 +1,5 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchFromGitHub
, nix-update-script
, meson
@@ -6,24 +7,24 @@
, vala
, pkg-config
, desktop-file-utils
-, pantheon
, python3
, glib
-, gtk3
+, gtk4
, json-glib
+, libadwaita
, libgee
-, wrapGAppsHook
+, wrapGAppsHook4
}:
stdenv.mkDerivation rec {
pname = "khronos";
- version = "1.0.8";
+ version = "3.6.0";
src = fetchFromGitHub {
owner = "lainsce";
repo = pname;
rev = version;
- sha256 = "0d5ma1d86lh2apagwrwk0d1v1cm3fifjivhf530nlznb67vi1x80";
+ sha256 = "sha256-AETyVCBUuBzHwDgTkGRIokFYwcmXrb/F85J5GEIu4dE=";
};
nativeBuildInputs = [
@@ -33,20 +34,23 @@ stdenv.mkDerivation rec {
vala
pkg-config
python3
- wrapGAppsHook
+ wrapGAppsHook4
];
buildInputs = [
glib
- gtk3
+ gtk4
json-glib
+ libadwaita
libgee
- pantheon.granite
];
postPatch = ''
- chmod +x meson/post_install.py
- patchShebangs meson/post_install.py
+ chmod +x build-aux/post_install.py
+ patchShebangs build-aux/post_install.py
+ # https://github.com/lainsce/khronos/pull/75
+ substituteInPlace build-aux/post_install.py \
+ --replace 'gtk-update-icon-cache' 'gtk4-update-icon-cache'
'';
passthru = {
@@ -58,8 +62,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Track each task's time in a simple inobtrusive way";
homepage = "https://github.com/lainsce/khronos";
- maintainers = with maintainers; [ xiorcale ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ xiorcale ] ++ teams.pantheon.members;
platforms = platforms.linux;
- license = licenses.gpl3;
+ license = licenses.gpl3Plus;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/kitsas/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/kitsas/default.nix
index 0adfa748c01..e8d010aee22 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/kitsas/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/kitsas/default.nix
@@ -2,13 +2,13 @@
mkDerivation rec {
pname = "kitsas";
- version = "2.3";
+ version = "3.0";
src = fetchFromGitHub {
owner = "artoh";
repo = "kitupiikki";
rev = "v${version}";
- sha256 = "1qac6cxkb45rs5pschsf2rvpa789g27shmrwpshwahqzhw42xvgl";
+ sha256 = "sha256-UH2bFJZd83APRjlv6JR+Uy+ng4DWnnLmavAgjgSOiRo=";
};
nativeBuildInputs = [ pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/minetime/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/minetime/default.nix
deleted file mode 100644
index 1971a782944..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/minetime/default.nix
+++ /dev/null
@@ -1,50 +0,0 @@
-{ appimageTools, fetchurl, lib, runCommand, stdenv, gsettings-desktop-schemas, gtk3, zlib }:
-
-let
- name = "${pname}-${version}";
- pname = "minetime";
- version = "1.8.10";
- appimage = fetchurl {
- url = "https://github.com/marcoancona/MineTime/releases/download/v${version}/${name}.AppImage";
- sha256 = "1a80lgk6v9kv9xb2y3i08gk25jm0pqyl57kfr5p1rbc33prhmcgw";
- };
- extracted = appimageTools.extractType2 {
- inherit name;
- src = appimage;
- };
- patched = runCommand "minetime-patchelf" {} ''
- cp -av ${extracted} $out
-
- x=$out/resources/app.asar.unpacked/services/scheduling/dist/MinetimeSchedulingService
- chmod +w $x
-
- patchelf \
- --set-interpreter ${stdenv.cc.bintools.dynamicLinker} \
- --replace-needed libz.so.1 ${zlib}/lib/libz.so.1 \
- $x
- '';
-in
-appimageTools.wrapAppImage rec {
- inherit name;
- src = patched;
-
- profile = ''
- export LC_ALL=C.UTF-8
- export XDG_DATA_DIRS=${gsettings-desktop-schemas}/share/gsettings-schemas/${gsettings-desktop-schemas.name}:${gtk3}/share/gsettings-schemas/${gtk3.name}:$XDG_DATA_DIRS
- '';
-
- multiPkgs = null; # no 32bit needed
- extraPkgs = ps:
- appimageTools.defaultFhsEnvArgs.multiPkgs ps
- ++ (with ps; [ at-spi2-core at-spi2-atk libsecret libnotify ]);
- extraInstallCommands = "mv $out/bin/{${name},${pname}}";
-
- meta = with lib; {
- description = "Modern, intuitive and smart calendar application";
- homepage = "https://minetime.ai";
- license = licenses.unfree;
- # Should be cross-platform, but for now we just grab the appimage
- platforms = [ "x86_64-linux" ];
- maintainers = with maintainers; [ dtzWill ];
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/onlyoffice-bin/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/onlyoffice-bin/default.nix
index 75e6924db9c..214b8376d8d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/onlyoffice-bin/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/onlyoffice-bin/default.nix
@@ -1,7 +1,7 @@
{ stdenv
, lib
, fetchurl
-# Alphabetic ordering below
+ # Alphabetic ordering below
, alsa-lib
, at-spi2-atk
, atk
@@ -59,7 +59,7 @@ let
let
version = "v20201206-cjk";
in
- "https://github.com/googlefonts/noto-cjk/raw/${version}/NotoSansCJKsc-Regular.otf";
+ "https://github.com/googlefonts/noto-cjk/raw/${version}/NotoSansCJKsc-Regular.otf";
sha256 = "sha256-aJXSVNJ+p6wMAislXUn4JQilLhimNSedbc9nAuPVxo4=";
};
@@ -70,13 +70,14 @@ let
pulseaudio
];
-in stdenv.mkDerivation rec {
+in
+stdenv.mkDerivation rec {
pname = "onlyoffice-desktopeditors";
- version = "6.2.0";
+ version = "6.3.1";
minor = null;
src = fetchurl {
url = "https://github.com/ONLYOFFICE/DesktopEditors/releases/download/v${version}/onlyoffice-desktopeditors_amd64.deb";
- sha256 = "sha256-nKmWxaVVul/rGDIh3u9zCpKu7U0nmrntFFf96xQyzdg=";
+ sha256 = "sha256-WCjCljA7yB7Zm/I4rDZnfgaUQpDUKwbUvL7hkIG8cVM=";
};
nativeBuildInputs = [
@@ -146,10 +147,6 @@ in stdenv.mkDerivation rec {
ln -s $out/share/desktopeditors/DesktopEditors $out/bin/DesktopEditors
- wrapProgram $out/bin/DesktopEditors \
- --set QT_XKB_CONFIG_ROOT ${xkeyboard_config}/share/X11/xkb \
- --set QTCOMPOSE ${xorg.libX11.out}/share/X11/locale
-
substituteInPlace $out/share/applications/onlyoffice-desktopeditors.desktop \
--replace "/usr/bin/onlyoffice-desktopeditor" "$out/bin/DesktopEditor"
@@ -157,9 +154,17 @@ in stdenv.mkDerivation rec {
'';
preFixup = ''
- gappsWrapperArgs+=(--prefix LD_LIBRARY_PATH : "${runtimeLibs}" )
+ gappsWrapperArgs+=(
+ --prefix LD_LIBRARY_PATH : "${runtimeLibs}" \
+ --set QT_XKB_CONFIG_ROOT "${xkeyboard_config}/share/X11/xkb" \
+ --set QTCOMPOSE "${xorg.libX11.out}/share/X11/locale" \
+ --set QT_QPA_PLATFORM "xcb"
+ # the bundled version of qt does not support wayland
+ )
'';
+ passthru.updateScript = ./update.sh;
+
meta = with lib; {
description = "Office suite that combines text, spreadsheet and presentation editors allowing to create, view and edit local documents";
homepage = "https://www.onlyoffice.com/";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/onlyoffice-bin/update.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/onlyoffice-bin/update.sh
new file mode 100644
index 00000000000..d7b0bc106fa
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/onlyoffice-bin/update.sh
@@ -0,0 +1,5 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i bash -p curl jq common-updater-scripts
+
+version="$(curl -sL "https://api.github.com/repos/ONLYOFFICE/DesktopEditors/releases?per_page=1" | jq -r ".[0].tag_name" | sed 's/^v//')"
+update-source-version onlyoffice-bin "$version"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/paperless-ng/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/paperless-ng/default.nix
index e84b3c79443..64ef525cfc8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/paperless-ng/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/paperless-ng/default.nix
@@ -5,7 +5,6 @@
, ghostscript
, imagemagick
, jbig2enc
-, ocrmypdf
, optipng
, pngquant
, qpdf
@@ -27,12 +26,20 @@ let
# https://github.com/Koed00/django-q/issues/526
django-q = super.django-q.overridePythonAttrs (oldAttrs: rec {
version = "1.3.4";
- src = super.fetchPypi {
- inherit (oldAttrs) pname;
+ src = oldAttrs.src.override {
inherit version;
sha256 = "Uj1U3PG2YVLBtlj5FPAO07UYo0MqnezUiYc4yo274Q8=";
};
});
+
+ # Incompatible with aioredis 2
+ aioredis = super.aioredis.overridePythonAttrs (oldAttrs: rec {
+ version = "1.3.1";
+ src = oldAttrs.src.override {
+ inherit version;
+ sha256 = "0fi7jd5hlx8cnv1m97kv9hc4ih4l8v15wzkqwsp73is4n0qazy0m";
+ };
+ });
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/portfolio/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/portfolio/default.nix
index 8533df46713..f5418f37298 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/portfolio/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/portfolio/default.nix
@@ -1,4 +1,5 @@
-{ lib, stdenv
+{ lib
+, stdenv
, autoPatchelfHook
, fetchurl
, glibc
@@ -24,11 +25,11 @@ let
in
stdenv.mkDerivation rec {
pname = "PortfolioPerformance";
- version = "0.54.2";
+ version = "0.55.0";
src = fetchurl {
url = "https://github.com/buchen/portfolio/releases/download/${version}/PortfolioPerformance-${version}-linux.gtk.x86_64.tar.gz";
- sha256 = "sha256-fKUKVeR0q8oylpwF4d3jnkON4vbQ80Fc9WYWStb67ek=";
+ sha256 = "0s7qb7z2wiypiahw1y1lz9pbhxcacj5myzy0qcqjrpnaq7ymvs05";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/qnotero/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/qnotero/default.nix
index 414312f24e5..92d2bba7770 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/qnotero/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/qnotero/default.nix
@@ -3,13 +3,13 @@
python3Packages.buildPythonPackage rec {
pname = "qnotero";
- version = "2.1.1";
+ version = "2.3.0";
src = fetchFromGitHub {
owner = "ealbiter";
repo = pname;
rev = "v${version}";
- sha256 = "16ckcjxa3dgmz1y8gd57q2h84akra3j4bgl4fwv4m05bam3ml1xs";
+ sha256 = "0y2xph4ha07slni039s034cn1wsk3q2d86hihy97h4ch47ignv20";
};
propagatedBuildInputs = [ python3Packages.pyqt5 wrapQtAppsHook ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/qownnotes/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/qownnotes/default.nix
index 4f3fde81e98..1bd29b06d9b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/qownnotes/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/qownnotes/default.nix
@@ -1,15 +1,17 @@
-{ mkDerivation, lib, stdenv, fetchurl, qmake, qttools, qtbase, qtsvg, qtdeclarative, qtxmlpatterns, qtwebsockets, qtx11extras
-, qtwayland }:
+{ mkDerivation, lib, stdenv, fetchurl
+, qmake, qttools, qtbase, qtsvg, qtdeclarative, qtxmlpatterns, qtwebsockets
+, qtx11extras, qtwayland
+}:
mkDerivation rec {
pname = "qownnotes";
- version = "21.7.4";
+ version = "21.10.9";
src = fetchurl {
url = "https://download.tuxfamily.org/${pname}/src/${pname}-${version}.tar.xz";
# Fetch the checksum of current version with curl:
# curl https://download.tuxfamily.org/qownnotes/src/qownnotes-.tar.xz.sha256
- sha256 = "3957dc623b419582ef7ccc5cb04b8f97bed4e96e8ecc2e99bef9dca7ce255b8e";
+ sha256 = "2c86d66ae427bdcd16d706b982cedaa669a27340f7819fc97a8e2b24c709e74f";
};
nativeBuildInputs = [ qmake qttools ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/semantik/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/semantik/default.nix
index 371dd52a840..41bf38fc4b4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/semantik/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/semantik/default.nix
@@ -24,13 +24,13 @@
mkDerivation rec {
pname = "semantik";
- version = "1.2.5";
+ version = "1.2.7";
src = fetchFromGitLab {
owner = "ita1024";
repo = "semantik";
rev = "semantik-${version}";
- sha256 = "0dkg6mbnsbvbis17iz8v59wlhld93nc51abnkbyqvvkyyiqb006c";
+ sha256 = "sha256-aXOokji6fYTpaeI/IIV+5RnTE2Cm8X3WfADf4Uftkss=";
};
patches = [ ./qt5.patch ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/spice-up/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/spice-up/default.nix
index 28e35d08e91..b51eeb1ad95 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/spice-up/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/spice-up/default.nix
@@ -70,7 +70,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Create simple and beautiful presentations";
homepage = "https://github.com/Philip-Scott/Spice-up";
- maintainers = with maintainers; [ samdroid-apps xiorcale ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ samdroid-apps xiorcale ] ++ teams.pantheon.members;
platforms = platforms.linux;
# The COPYING file has GPLv3; some files have GPLv2+ and some have GPLv3+
license = licenses.gpl3Plus;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/super-productivity/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/super-productivity/default.nix
index 7cb9a9b7e99..2b3ee7ddc23 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/super-productivity/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/super-productivity/default.nix
@@ -5,11 +5,11 @@ let
in
stdenv.mkDerivation rec {
pname = "super-productivity";
- version = "7.2.1";
+ version = "7.5.1";
src = fetchurl {
url = "https://github.com/johannesjo/super-productivity/releases/download/v${version}/superProductivity-${version}.AppImage";
- sha256 = "93eeb56fe923c48a9384cde0633e98a9d9dc5c0869fce63b9724ff74bb400049";
+ sha256 = "sha256-ezJN/t0iNk0haMLPioEQSNXU4ugVeJe44GNVGd+cOF4=";
name = "${pname}-${version}.AppImage";
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/timetable/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/timetable/default.nix
deleted file mode 100644
index 9c9df892a04..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/timetable/default.nix
+++ /dev/null
@@ -1,63 +0,0 @@
-{ lib, stdenv
-, fetchFromGitHub
-, nix-update-script
-, glib
-, gtk3
-, vala
-, json-glib
-, libgee
-, meson
-, ninja
-, pkg-config
-, pantheon
-, python3
-, wrapGAppsHook
-}:
-
-
-stdenv.mkDerivation rec {
- pname = "timetable";
- version = "1.1.0";
-
- src = fetchFromGitHub {
- owner = "lainsce";
- repo = pname;
- rev = version;
- sha256 = "12c8kdrbz6x2mlrvr0nq9y5khj0qiiwlxf7aqc2z3dnrawjgy1rb";
- };
-
- nativeBuildInputs = [
- meson
- ninja
- pkg-config
- vala
- python3
- wrapGAppsHook
- ];
-
- buildInputs = [
- glib
- gtk3
- json-glib
- libgee
- pantheon.granite
- ];
-
- postPatch = ''
- chmod +x meson/post_install.py
- patchShebangs meson/post_install.py
- '';
-
- passthru = {
- updateScript = nix-update-script {
- attrPath = pname;
- };
- };
-
- meta = with lib; {
- description = "Plot out your own timetable for the week and organize it";
- homepage = "https://github.com/lainsce/timetable";
- maintainers = [ maintainers.xiorcale ] ++ pantheon.maintainers;
- license = licenses.gpl2Plus;
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/trilium/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/trilium/default.nix
index 3cf7fd1eaeb..6cfdf3c8f92 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/trilium/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/trilium/default.nix
@@ -19,16 +19,16 @@ let
maintainers = with maintainers; [ fliegendewurst ];
};
- version = "0.47.7";
+ version = "0.47.8";
desktopSource = {
url = "https://github.com/zadam/trilium/releases/download/v${version}/trilium-linux-x64-${version}.tar.xz";
- sha256 = "1fcrc01wr8ln1i77q9h89i90wwyijpfp58fa717wbdvyly4860sh";
+ sha256 = "1vnwjiv4bidw5xspcd7d7fn8dbhvgia9ws363fs5zs48c9k2hwwz";
};
serverSource = {
url = "https://github.com/zadam/trilium/releases/download/v${version}/trilium-linux-x64-server-${version}.tar.xz";
- sha256 = "0qp37y3xgbhl6vj2bkwz1lfylkn82kx7n0lcfr58wxwkn00149ry";
+ sha256 = "1clgw0i3vbl8lrsjdjbn71yhim6356gm8h24831mnksb4sawhh7f";
};
in {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/vnote/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/vnote/default.nix
index b266ce86e2a..3519f015ffa 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/vnote/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/vnote/default.nix
@@ -1,27 +1,31 @@
-{ lib, mkDerivation, fetchFromGitHub, qmake, qtbase, qtwebengine }:
+{ lib
+, mkDerivation
+, fetchFromGitHub
+, qmake
+, qtbase
+, qtwebengine
+}:
-let
- description = "A note-taking application that knows programmers and Markdown better";
-in mkDerivation rec {
- version = "2.10";
+mkDerivation rec {
pname = "vnote";
+ version = "3.7.0";
src = fetchFromGitHub {
- owner = "tamlok";
- repo = "vnote";
+ owner = "vnotex";
+ repo = pname;
fetchSubmodules = true;
rev = "v${version}";
- sha256 = "EeeVGnKI0irLO1zJQxlVlIUhqG987JIgxNvKpUgLxUQ=";
+ sha256 = "sha256-D9/4BakXTComvGTV8F131G5PzA8LhWfNSZRBOMo5t5c=";
};
nativeBuildInputs = [ qmake ];
buildInputs = [ qtbase qtwebengine ];
meta = with lib; {
- inherit description;
- homepage = "https://tamlok.github.io/vnote";
+ homepage = "https://vnotex.github.io/vnote";
+ description = "A pleasant note-taking platform";
license = licenses.mit;
+ maintainers = with maintainers; [ AndersonTorres ];
platforms = platforms.linux;
- maintainers = [ maintainers.kuznero ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/watson/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/watson/default.nix
index 74e06d4311c..7584debc20f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/watson/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/watson/default.nix
@@ -1,6 +1,4 @@
-{ lib, fetchFromGitHub, pythonPackages, installShellFiles }:
-
-with pythonPackages;
+{ lib, fetchFromGitHub, python3, installShellFiles }:
let
# Watson is currently not compatible with Click 8. See the following
@@ -10,11 +8,12 @@ let
# https://github.com/TailorDev/Watson/pull/432
#
# Workaround the issue by providing click 7 explicitly.
- click7 = pythonPackages.callPackage ../../../development/python-modules/click/7.nix {};
- click7-didyoumean = click-didyoumean.override {
- click = click7;
+ python = python3.override {
+ packageOverrides = self: super: {
+ click = self.callPackage ../../../development/python-modules/click/7.nix { };
+ };
};
-in buildPythonApplication rec {
+in with python.pkgs; buildPythonApplication rec {
pname = "watson";
# When you update Watson, please check whether the Click 7
@@ -31,10 +30,11 @@ in buildPythonApplication rec {
postInstall = ''
installShellCompletion --bash --name watson watson.completion
installShellCompletion --zsh --name _watson watson.zsh-completion
+ installShellCompletion --fish watson.fish
'';
checkInputs = [ pytestCheckHook pytest-mock mock pytest-datafiles ];
- propagatedBuildInputs = [ arrow click7 click7-didyoumean requests ];
+ propagatedBuildInputs = [ arrow click click-didyoumean requests ];
nativeBuildInputs = [ installShellFiles ];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/wpsoffice/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/wpsoffice/default.nix
index ada2804ccba..178b2351a6b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/wpsoffice/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/office/wpsoffice/default.nix
@@ -1,7 +1,6 @@
{ lib, stdenv
, mkDerivation
, fetchurl
-, autoPatchelfHook
, dpkg
, wrapGAppsHook
, wrapQtAppsHook
@@ -34,6 +33,8 @@
, unixODBC
, xorg
, zlib
+, steam
+, makeWrapper
}:
stdenv.mkDerivation rec {
@@ -53,7 +54,7 @@ stdenv.mkDerivation rec {
rm opt/kingsoft/wps-office/office6/{libjsetapi.so,libjswppapi.so,libjswpsapi.so}
'';
- nativeBuildInputs = [ autoPatchelfHook dpkg wrapGAppsHook wrapQtAppsHook ];
+ nativeBuildInputs = [ dpkg wrapGAppsHook wrapQtAppsHook makeWrapper ];
meta = with lib; {
description = "Office suite, formerly Kingsoft Office";
@@ -107,6 +108,7 @@ stdenv.mkDerivation rec {
sqlite
unixODBC
zlib
+ cups.lib
];
dontPatchELF = true;
@@ -137,7 +139,12 @@ stdenv.mkDerivation rec {
"tcmalloc" # gperftools
];
- installPhase = ''
+ installPhase = let
+ steam-run = (steam.override {
+ extraPkgs = p: buildInputs;
+ nativeOnly = true;
+ }).run;
+ in ''
prefix=$out/opt/kingsoft/wps-office
mkdir -p $out
cp -r opt $out
@@ -153,11 +160,14 @@ stdenv.mkDerivation rec {
substituteInPlace $i \
--replace /usr/bin $out/bin
done
- '';
- runtimeLibPath = lib.makeLibraryPath [
- cups.lib
- ];
+ for i in wps wpp et wpspdf; do
+ mv $out/bin/$i $out/bin/.$i-orig
+ makeWrapper ${steam-run}/bin/steam-run $out/bin/$i \
+ --add-flags $out/bin/.$i-orig \
+ --argv0 $i
+ done
+ '';
dontWrapQtApps = true;
dontWrapGApps = true;
@@ -166,8 +176,7 @@ stdenv.mkDerivation rec {
echo "Wrapping $f"
wrapProgram "$f" \
"''${gappsWrapperArgs[@]}" \
- "''${qtWrapperArgs[@]}" \
- --suffix LD_LIBRARY_PATH : "$runtimeLibPath"
+ "''${qtWrapperArgs[@]}"
done
'';
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/alligator.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/alligator.nix
new file mode 100644
index 00000000000..b88c8e3c60e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/alligator.nix
@@ -0,0 +1,40 @@
+{ lib
+, mkDerivation
+
+, cmake
+, extra-cmake-modules
+
+, kconfig
+, kcoreaddons
+, ki18n
+, kirigami2
+, qtquickcontrols2
+, syndication
+}:
+
+mkDerivation rec {
+ pname = "alligator";
+
+ nativeBuildInputs = [
+ cmake
+ extra-cmake-modules
+ ];
+
+ buildInputs = [
+ kconfig
+ kcoreaddons
+ ki18n
+ kirigami2
+ qtquickcontrols2
+ syndication
+ ];
+
+ meta = with lib; {
+ description = "RSS reader made with kirigami";
+ homepage = "https://invent.kde.org/plasma-mobile/alligator";
+ # https://invent.kde.org/plasma-mobile/alligator/-/commit/db30f159c4700244532b17a260deb95551045b7a
+ # * SPDX-License-Identifier: GPL-2.0-only OR GPL-3.0-only OR LicenseRef-KDE-Accepted-GPL
+ license = with licenses; [ gpl2Only gpl3Only ];
+ maintainers = with maintainers; [ samueldr ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/calindori.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/calindori.nix
new file mode 100644
index 00000000000..bb10fa7bb10
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/calindori.nix
@@ -0,0 +1,46 @@
+{ lib
+, mkDerivation
+
+, cmake
+, extra-cmake-modules
+
+, kcalendarcore
+, kconfig
+, kcoreaddons
+, kdbusaddons
+, ki18n
+, kirigami2
+, knotifications
+, kpeople
+, kservice
+, qtquickcontrols2
+}:
+
+mkDerivation rec {
+ pname = "calindori";
+
+ nativeBuildInputs = [
+ cmake
+ extra-cmake-modules
+ ];
+
+ buildInputs = [
+ kcalendarcore
+ kconfig
+ kcoreaddons
+ kdbusaddons
+ ki18n
+ kirigami2
+ knotifications
+ kpeople
+ kservice
+ qtquickcontrols2
+ ];
+
+ meta = with lib; {
+ description = "Calendar for Plasma Mobile";
+ homepage = "https://invent.kde.org/plasma-mobile/calindori";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ samueldr ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/default.nix
new file mode 100644
index 00000000000..18f550955c5
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/default.nix
@@ -0,0 +1,76 @@
+/*
+
+# New packages
+
+READ THIS FIRST
+
+This module is for official packages in the Plasma Mobile Gear. All
+available packages are listed in `./srcs.nix`, although some are not yet
+packaged in Nixpkgs.
+
+IF YOUR PACKAGE IS NOT LISTED IN `./srcs.nix`, IT DOES NOT GO HERE.
+
+See also `pkgs/applications/kde` as this is what this is based on.
+
+# Updates
+
+1. Update the URL in `./fetch.sh`.
+2. Run `./maintainers/scripts/fetch-kde-qt.sh pkgs/applications/plasma-mobile`
+ from the top of the Nixpkgs tree.
+3. Use `nox-review wip` to check that everything builds.
+4. Commit the changes and open a pull request.
+
+*/
+
+{ lib
+, libsForQt5
+, fetchurl
+}:
+
+let
+ minQtVersion = "5.15";
+ broken = lib.versionOlder libsForQt5.qtbase.version minQtVersion;
+
+ mirror = "mirror://kde";
+ srcs = import ./srcs.nix { inherit fetchurl mirror; };
+
+ mkDerivation = args:
+ let
+ inherit (args) pname;
+ inherit (srcs.${pname}) src version;
+ mkDerivation =
+ libsForQt5.callPackage ({ mkDerivation }: mkDerivation) {};
+ in
+ mkDerivation (args // {
+ inherit pname version src;
+
+ outputs = args.outputs or [ "out" ];
+
+ meta =
+ let meta = args.meta or {}; in
+ meta // {
+ homepage = meta.homepage or "https://www.plasma-mobile.org/";
+ platforms = meta.platforms or lib.platforms.linux;
+ broken = meta.broken or broken;
+ };
+ });
+
+ packages = self: with self;
+ let
+ callPackage = self.newScope {
+ inherit mkDerivation;
+ };
+ in {
+ alligator = callPackage ./alligator.nix {};
+ calindori = callPackage ./calindori.nix {};
+ kalk = callPackage ./kalk.nix {};
+ kclock = callPackage ./kclock.nix {};
+ koko = callPackage ./koko.nix {};
+ krecorder = callPackage ./krecorder.nix {};
+ ktrip = callPackage ./ktrip.nix {};
+ plasma-dialer = callPackage ./plasma-dialer.nix {};
+ plasma-phonebook = callPackage ./plasma-phonebook.nix {};
+ spacebar = callPackage ./spacebar.nix {};
+ };
+
+in lib.makeScope libsForQt5.newScope packages
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/fetch.sh b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/fetch.sh
new file mode 100644
index 00000000000..29a8e6b4c79
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/fetch.sh
@@ -0,0 +1 @@
+WGET_ARGS=( http://download.kde.org/stable/plasma-mobile/21.05 -A '*.tar.xz' )
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/kalk.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/kalk.nix
new file mode 100644
index 00000000000..8d63991fb08
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/kalk.nix
@@ -0,0 +1,50 @@
+{ lib
+, mkDerivation
+
+, cmake
+, extra-cmake-modules
+, bison
+, flex
+
+, gmp
+, mpfr
+
+, kconfig
+, kcoreaddons
+, ki18n
+, kirigami2
+, kunitconversion
+, qtfeedback
+, qtquickcontrols2
+}:
+
+mkDerivation rec {
+ pname = "kalk";
+
+ nativeBuildInputs = [
+ cmake
+ extra-cmake-modules
+ bison
+ flex
+ ];
+
+ buildInputs = [
+ gmp
+ mpfr
+
+ kconfig
+ kcoreaddons
+ ki18n
+ kirigami2
+ kunitconversion
+ qtfeedback
+ qtquickcontrols2
+ ];
+
+ meta = with lib; {
+ description = "Calculator built with kirigami";
+ homepage = "https://invent.kde.org/plasma-mobile/kalk";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ samueldr ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/kclock.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/kclock.nix
new file mode 100644
index 00000000000..058f536d790
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/kclock.nix
@@ -0,0 +1,44 @@
+{ lib
+, mkDerivation
+
+, cmake
+, extra-cmake-modules
+
+, kconfig
+, kcoreaddons
+, kdbusaddons
+, ki18n
+, kirigami2
+, knotifications
+, plasma-framework
+, qtmultimedia
+, qtquickcontrols2
+}:
+
+mkDerivation rec {
+ pname = "kclock";
+
+ nativeBuildInputs = [
+ cmake
+ extra-cmake-modules
+ ];
+
+ buildInputs = [
+ kconfig
+ kcoreaddons
+ kdbusaddons
+ ki18n
+ kirigami2
+ knotifications
+ plasma-framework
+ qtmultimedia
+ qtquickcontrols2
+ ];
+
+ meta = with lib; {
+ description = "Clock app for plasma mobile";
+ homepage = "https://invent.kde.org/plasma-mobile/kclock";
+ license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ samueldr ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/koko.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/koko.nix
new file mode 100644
index 00000000000..3543a7284bf
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/koko.nix
@@ -0,0 +1,81 @@
+{ lib
+, mkDerivation
+
+, fetchurl
+, cmake
+, extra-cmake-modules
+
+, exiv2
+, kconfig
+, kcoreaddons
+, kdeclarative
+, kfilemetadata
+, kguiaddons
+, ki18n
+, kio
+, kirigami2
+, knotifications
+, kpurpose
+, kquickimageedit
+, qtgraphicaleffects
+, qtlocation
+, qtquickcontrols2
+}:
+
+let
+ # URLs snapshotted through
+ # https://web.archive.org/save/$url
+ # Update when stale enough I guess?
+ admin1 = fetchurl {
+ url = "https://web.archive.org/web/20210714035424if_/http://download.geonames.org/export/dump/admin1CodesASCII.txt";
+ sha256 = "0r783yzajs26hvccdy4jv2v06xfgadx2g90fz3yn7lx8flz4nhwm";
+ };
+ admin2 = fetchurl {
+ url = "https://web.archive.org/web/20210714035427if_/http://download.geonames.org/export/dump/admin2Codes.txt";
+ sha256 = "1n5nzp3xblhr93rb1sadi5vfbw29slv5lc6cxq21h3x3cg0mwqh3";
+ };
+ cities1000 = fetchurl {
+ url = "https://web.archive.org/web/20210714035406if_/http://download.geonames.org/export/dump/cities1000.zip";
+ sha256 = "0cwbfff8gzci5zrahh6d53b9b3bfv1cbwlv0k6076531i1c7md9p";
+ };
+in
+mkDerivation rec {
+ pname = "koko";
+
+ nativeBuildInputs = [
+ cmake
+ extra-cmake-modules
+ ];
+
+ buildInputs = [
+ exiv2
+ kconfig
+ kcoreaddons
+ kdeclarative
+ kfilemetadata
+ kguiaddons
+ ki18n
+ kio
+ kirigami2
+ knotifications
+ kpurpose
+ kquickimageedit
+ qtgraphicaleffects
+ qtlocation
+ qtquickcontrols2
+ ];
+
+ prePatch = ''
+ ln -s ${admin1} src/admin1CodesASCII.txt
+ ln -s ${admin2} src/admin2Codes.txt
+ ln -s ${cities1000} src/cities1000.zip
+ '';
+
+ meta = with lib; {
+ description = "Image gallery mobile application";
+ homepage = "https://apps.kde.org/koko/";
+ # LGPL-2.1-only OR LGPL-3.0-only OR LicenseRef-KDE-Accepted-LGPL
+ license = [ licenses.lgpl3Only licenses.lgpl21Only ];
+ maintainers = with maintainers; [ samueldr ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/krecorder.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/krecorder.nix
new file mode 100644
index 00000000000..c41413be884
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/krecorder.nix
@@ -0,0 +1,36 @@
+{ lib
+, mkDerivation
+
+, cmake
+, extra-cmake-modules
+
+, kconfig
+, ki18n
+, kirigami2
+, qtmultimedia
+, qtquickcontrols2
+}:
+
+mkDerivation rec {
+ pname = "krecorder";
+
+ nativeBuildInputs = [
+ cmake
+ extra-cmake-modules
+ ];
+
+ buildInputs = [
+ kconfig
+ ki18n
+ kirigami2
+ qtmultimedia
+ qtquickcontrols2
+ ];
+
+ meta = with lib; {
+ description = "Audio recorder for Plasma Mobile";
+ homepage = "https://invent.kde.org/plasma-mobile/krecorder";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ samueldr ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/ktrip.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/ktrip.nix
new file mode 100644
index 00000000000..cc1404d064f
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/ktrip.nix
@@ -0,0 +1,45 @@
+{ lib
+, mkDerivation
+
+, cmake
+, extra-cmake-modules
+
+, kconfig
+, kcontacts
+, kcoreaddons
+, ki18n
+, kirigami-addons
+, kirigami2
+, kitemmodels
+, kpublictransport
+, qtquickcontrols2
+}:
+
+mkDerivation rec {
+ pname = "ktrip";
+
+ nativeBuildInputs = [
+ cmake
+ extra-cmake-modules
+ ];
+
+ buildInputs = [
+ kconfig
+ kcontacts
+ kcoreaddons
+ ki18n
+ kirigami-addons
+ kirigami2
+ kitemmodels
+ kpublictransport
+ qtquickcontrols2
+ ];
+
+ meta = with lib; {
+ description = "Public transport trip planner";
+ homepage = "https://apps.kde.org/ktrip/";
+ # GPL-2.0-or-later
+ license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ samueldr ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/plasma-dialer.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/plasma-dialer.nix
new file mode 100644
index 00000000000..eb71c497084
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/plasma-dialer.nix
@@ -0,0 +1,54 @@
+{ lib
+, mkDerivation
+
+, cmake
+, extra-cmake-modules
+
+, kcontacts
+, kcoreaddons
+, kdbusaddons
+, ki18n
+, kirigami2
+, knotifications
+, kpeople
+, libphonenumber
+, libpulseaudio
+, libqofono
+, protobuf
+, pulseaudio-qt
+, qtquickcontrols2
+, telepathy
+}:
+
+mkDerivation rec {
+ pname = "plasma-dialer";
+
+ nativeBuildInputs = [
+ cmake
+ extra-cmake-modules
+ ];
+
+ buildInputs = [
+ kcontacts
+ kcoreaddons
+ kdbusaddons
+ ki18n
+ kirigami2
+ knotifications
+ kpeople
+ libphonenumber
+ libpulseaudio
+ libqofono
+ protobuf # Needed by libphonenumber
+ pulseaudio-qt
+ qtquickcontrols2
+ telepathy
+ ];
+
+ meta = with lib; {
+ description = "Dialer for Plasma Mobile";
+ homepage = "https://invent.kde.org/plasma-mobile/plasma-dialer";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ samueldr ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/plasma-phonebook.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/plasma-phonebook.nix
new file mode 100644
index 00000000000..7e465260da8
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/plasma-phonebook.nix
@@ -0,0 +1,41 @@
+{ lib
+, mkDerivation
+
+, cmake
+, extra-cmake-modules
+
+, kcontacts
+, kcoreaddons
+, kirigami2
+, kirigami-addons
+, kpeople
+, kpeoplevcard
+, qtquickcontrols2
+}:
+
+mkDerivation rec {
+ pname = "plasma-phonebook";
+
+ nativeBuildInputs = [
+ cmake
+ extra-cmake-modules
+ ];
+
+ buildInputs = [
+ kcontacts
+ kcoreaddons
+ kirigami2
+ kirigami-addons
+ kpeople
+ kpeoplevcard
+ qtquickcontrols2
+ ];
+
+ meta = with lib; {
+ description = "Phone book for Plasma Mobile";
+ homepage = "https://invent.kde.org/plasma-mobile/plasma-phonebook";
+ # https://invent.kde.org/plasma-mobile/plasma-phonebook/-/commit/3ac27760417e51c051c5dd44155c3f42dd000e4f
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ samueldr ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/spacebar.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/spacebar.nix
new file mode 100644
index 00000000000..1c661041f7a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/spacebar.nix
@@ -0,0 +1,40 @@
+{ lib
+, mkDerivation
+
+, cmake
+, extra-cmake-modules
+
+, kcontacts
+, ki18n
+, kirigami2
+, knotifications
+, kpeople
+, libqofono
+, telepathy
+}:
+
+mkDerivation rec {
+ pname = "spacebar";
+
+ nativeBuildInputs = [
+ cmake
+ extra-cmake-modules
+ ];
+
+ buildInputs = [
+ kcontacts
+ ki18n
+ kirigami2
+ knotifications
+ kpeople
+ libqofono
+ telepathy
+ ];
+
+ meta = with lib; {
+ description = "SMS application for Plasma Mobile";
+ homepage = "https://invent.kde.org/plasma-mobile/spacebar";
+ license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ samueldr ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/srcs.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/srcs.nix
new file mode 100644
index 00000000000..4df185aa0ae
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/plasma-mobile/srcs.nix
@@ -0,0 +1,118 @@
+# DO NOT EDIT! This file is generated automatically.
+# Command: ./maintainers/scripts/fetch-kde-qt.sh pkgs/applications/plasma-mobile/
+{ fetchurl, mirror }:
+
+{
+ alligator = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/alligator-21.05.tar.xz";
+ sha256 = "04zgxfx2zmn1p2ap08i5sfsnrly3smip4ylr07ghkhkiyjzbv9w6";
+ name = "alligator-21.05.tar.xz";
+ };
+ };
+ angelfish = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/angelfish-21.05.tar.xz";
+ sha256 = "11jd5dwy0xa7kh5z5rc29xy3wfn20hm31908zjax4x83qqjrm075";
+ name = "angelfish-21.05.tar.xz";
+ };
+ };
+ calindori = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/calindori-21.05.tar.xz";
+ sha256 = "110f9ri9r1nb6q1ybhkfxljl4q5gqxikh9z0xkzjjbxjjqfscqcj";
+ name = "calindori-21.05.tar.xz";
+ };
+ };
+ kalk = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/kalk-21.05.tar.xz";
+ sha256 = "04n65hx0j9rx6b3jq69zgypi8qjd4ig3rfw7d44c3q7dgh4k451l";
+ name = "kalk-21.05.tar.xz";
+ };
+ };
+ kclock = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/kclock-21.05.tar.xz";
+ sha256 = "0pa5hvax0y80l8yrqczh9mcknfm3z0vdq3xc35cxdiz1vc6fwqmd";
+ name = "kclock-21.05.tar.xz";
+ };
+ };
+ koko = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/koko-21.05.tar.xz";
+ sha256 = "00hnzkl8dvf15psrcfh96b8wfb3pbggd2f7xnadzcb87sbaml035";
+ name = "koko-21.05.tar.xz";
+ };
+ };
+ kongress = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/kongress-21.05.tar.xz";
+ sha256 = "0qxgpi04ra9crc6drgbdm9arjbvcx52pprjr1dj8acch07f6i2gs";
+ name = "kongress-21.05.tar.xz";
+ };
+ };
+ krecorder = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/krecorder-21.05.tar.xz";
+ sha256 = "0ydaidxx2616bixihyaagvyym1r5s9rjkgg04vq9k4608d4vnn5c";
+ name = "krecorder-21.05.tar.xz";
+ };
+ };
+ ktrip = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/ktrip-21.05.tar.xz";
+ sha256 = "0hxgnncyc2ir6i9p6s9fy1r4mhxgm643pxvp8lj3j5y0c5wk2kp9";
+ name = "ktrip-21.05.tar.xz";
+ };
+ };
+ plasma-dialer = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/plasma-dialer-21.05.tar.xz";
+ sha256 = "0kwkjn7ry6snc86qi1j7kcq5qa6rbyk5i7v6gqf7a7wywkk9n045";
+ name = "plasma-dialer-21.05.tar.xz";
+ };
+ };
+ plasma-phonebook = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/plasma-phonebook-21.05.tar.xz";
+ sha256 = "0aqqi3gvcsh4zg41zf8y0c626lwrabjchhr8pxj4n9la7y0wdvca";
+ name = "plasma-phonebook-21.05.tar.xz";
+ };
+ };
+ plasma-settings = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/plasma-settings-21.05.tar.xz";
+ sha256 = "16bhx0i8gvi9ina4jxzx02xyzypyjic9646lahxvzvzmd9hn9ipi";
+ name = "plasma-settings-21.05.tar.xz";
+ };
+ };
+ qmlkonsole = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/qmlkonsole-21.05.tar.xz";
+ sha256 = "1ga48n09zlgvf5vvk2m26ak3ih5gjf361xxnyfprimmd7yj23han";
+ name = "qmlkonsole-21.05.tar.xz";
+ };
+ };
+ spacebar = {
+ version = "21.05";
+ src = fetchurl {
+ url = "${mirror}/stable/plasma-mobile/21.05/spacebar-21.05.tar.xz";
+ sha256 = "16lvi5yzmvk6gb5m7csk44y2jbkk7psn1lkljmj1938p2475b94c";
+ name = "spacebar-21.05.tar.xz";
+ };
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/direwolf/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/direwolf/default.nix
index 7b8f60819c4..ffd608edf7f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/direwolf/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/direwolf/default.nix
@@ -22,22 +22,23 @@ stdenv.mkDerivation rec {
espeak gpsd hamlib perl python3
] ++ (optionals stdenv.isLinux [alsa-lib udev]);
- patches = [
- ./udev-fix.patch
- ];
-
postPatch = ''
+ substituteInPlace conf/CMakeLists.txt \
+ --replace /etc/udev/rules.d/ $out/lib/udev/rules.d/
substituteInPlace src/symbols.c \
--replace /usr/share/direwolf/symbols-new.txt $out/share/direwolf/symbols-new.txt \
--replace /opt/local/share/direwolf/symbols-new.txt $out/share/direwolf/symbols-new.txt
substituteInPlace src/decode_aprs.c \
--replace /usr/share/direwolf/tocalls.txt $out/share/direwolf/tocalls.txt \
--replace /opt/local/share/direwolf/tocalls.txt $out/share/direwolf/tocalls.txt
+ patchShebangs scripts/dwespeak.sh
substituteInPlace scripts/dwespeak.sh \
--replace espeak ${espeak}/bin/espeak
substituteInPlace cmake/cpack/direwolf.desktop.in \
--replace 'Terminal=false' 'Terminal=true' \
- --replace 'Exec=@APPLICATION_DESKTOP_EXEC@' 'Exec=direwolf' \
+ --replace 'Exec=@APPLICATION_DESKTOP_EXEC@' 'Exec=direwolf'
+ substituteInPlace src/dwgpsd.c \
+ --replace 'GPSD_API_MAJOR_VERSION > 11' 'GPSD_API_MAJOR_VERSION > 12'
'';
meta = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/direwolf/udev-fix.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/direwolf/udev-fix.patch
deleted file mode 100644
index cff17fb8862..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/direwolf/udev-fix.patch
+++ /dev/null
@@ -1,11 +0,0 @@
---- direwolf/conf/CMakeLists.txt.orig 2020-12-04 11:12:59.739390894 -0600
-+++ direwolf/conf/CMakeLists.txt 2020-12-04 11:23:09.146594795 -0600
-@@ -26,7 +26,7 @@
-
- # install udev rules for CM108
- if(LINUX)
-- install(FILES "${CUSTOM_CONF_DIR}/99-direwolf-cmedia.rules" DESTINATION /etc/udev/rules.d/)
-+ install(FILES "${CUSTOM_CONF_DIR}/99-direwolf-cmedia.rules" DESTINATION "${CMAKE_INSTALL_PREFIX}/lib/udev/rules.d/")
- endif()
-
- install(FILES "${CMAKE_BINARY_DIR}/direwolf.conf" DESTINATION ${INSTALL_CONF_DIR})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/flex-ncat/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/flex-ncat/default.nix
new file mode 100644
index 00000000000..360769a44dd
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/flex-ncat/default.nix
@@ -0,0 +1,22 @@
+{ lib, buildGoModule, fetchFromGitHub }:
+
+buildGoModule rec {
+ pname = "flex-ncat";
+ version = "0.0-20210420.0";
+
+ src = fetchFromGitHub {
+ owner = "kc2g-flex-tools";
+ repo = "nCAT";
+ rev = "v${version}";
+ sha256 = "0wrdmlp9rrr4n0g9pj0j20ddskllyr59dr3p5fm9z0avkncn3a0m";
+ };
+
+ vendorSha256 = "0npzhvpyaxvfaivycnscvh45lp0ycdg9xrlfm8vhfr835yj2adiv";
+
+ meta = with lib; {
+ homepage = "https://github.com/kc2g-flex-tools/nCAT";
+ description = "FlexRadio remote control (CAT) via hamlib/rigctl protocol";
+ license = licenses.mit;
+ maintainers = with maintainers; [ mvs ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/flex-ndax/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/flex-ndax/default.nix
new file mode 100644
index 00000000000..4d27907cdd1
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/flex-ndax/default.nix
@@ -0,0 +1,24 @@
+{ lib, buildGoModule, fetchFromGitHub, pulseaudio }:
+
+buildGoModule rec {
+ pname = "flex-ndax";
+ version = "0.1-20210714.0";
+
+ src = fetchFromGitHub {
+ owner = "kc2g-flex-tools";
+ repo = "nDAX";
+ rev = "v${version}";
+ sha256 = "16zx6kbax59rcxyz9dhq7m8yx214knz3xayna1gzb85m6maly8v8";
+ };
+
+ buildInputs = [ pulseaudio ];
+
+ vendorSha256 = "0qn8vg84j9kp0ycn24lkaqjnnk339j3vis4bn48ia3z5vfc22gi5";
+
+ meta = with lib; {
+ homepage = "https://github.com/kc2g-flex-tools/nDAX";
+ description = "FlexRadio digital audio transport (DAX) connector for PulseAudio";
+ license = licenses.mit;
+ maintainers = with maintainers; [ mvs ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/hackrf/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/hackrf/default.nix
index 98dce802c5c..a81227ddd46 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/hackrf/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/hackrf/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "hackrf";
- version = "2018.01.1";
+ version = "2021.03.1";
src = fetchFromGitHub {
- owner = "mossmann";
+ owner = "greatscottgadgets";
repo = "hackrf";
rev = "v${version}";
- sha256 = "0idh983xh6gndk9kdgx5nzz76x3mxb42b02c5xvdqahadsfx3b9w";
+ sha256 = "sha256-2kEfTco95I9YLz/18nfjJSd7U/HE5sBCEioWL2t804k=";
};
nativeBuildInputs = [
@@ -27,6 +27,11 @@ stdenv.mkDerivation rec {
cd host
'';
+ postPatch = ''
+ substituteInPlace host/cmake/modules/FindFFTW.cmake \
+ --replace "find_library (FFTW_LIBRARIES NAMES fftw3)" "find_library (FFTW_LIBRARIES NAMES fftw3f)"
+ '';
+
meta = with lib; {
description = "An open source SDR platform";
homepage = "https://greatscottgadgets.com/hackrf/";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/kappanhang/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/kappanhang/default.nix
new file mode 100644
index 00000000000..a236de8d946
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/kappanhang/default.nix
@@ -0,0 +1,25 @@
+{ lib, buildGoModule, fetchFromGitHub, pkg-config, pulseaudio }:
+
+buildGoModule rec {
+ pname = "kappanhang";
+ version = "1.3";
+
+ src = fetchFromGitHub {
+ owner = "nonoo";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "1ycy8avq5s7zspfi0d9klqcwwkpmcaz742cigd7pmcnbbhspcicp";
+ };
+
+ nativeBuildInputs = [ pkg-config ];
+ buildInputs = [ pulseaudio ];
+
+ vendorSha256 = "1srjngcis42wfskwfqxxj101y9xyzrans1smy53bh1c9zm856xha";
+
+ meta = with lib; {
+ homepage = "https://github.com/nonoo/kappanhang";
+ description = "Remote control for Icom radio transceivers";
+ license = licenses.mit;
+ maintainers = with maintainers; [ mvs ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/noaa-apt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/noaa-apt/default.nix
index 390bd128ef7..802e79fbd5e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/noaa-apt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/noaa-apt/default.nix
@@ -13,13 +13,13 @@
rustPlatform.buildRustPackage rec {
pname = "noaa-apt";
- version = "1.3.0";
+ version = "1.3.1";
src = fetchFromGitHub {
owner = "martinber";
repo = "noaa-apt";
rev = "v${version}";
- sha256 = "0fmbg6lw7lmm402hzddpzgi7y9mc6kic14x8rif7fampk20mv3ms";
+ sha256 = "sha256-A78O5HkD/LyfvjLJjf7PpJDuftkNbaxq7Zs5kNUaULk=";
};
nativeBuildInputs = [
@@ -36,7 +36,7 @@ rustPlatform.buildRustPackage rec {
pango
];
- cargoSha256 = "167q9w45lh05l27cdssg8sfz3qfskfaxayzjy6q1cj50jrn0gq13";
+ cargoSha256 = "sha256-o39RvJkaJ8ZPOfLWDqykCLadwHhgBbmOWGQ4hZ6/6BI=";
preBuild = ''
# Used by macro pointing to resource location at compile time.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/sdrangel/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/sdrangel/default.nix
index e9a15aaed19..fd742474ec1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/sdrangel/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/sdrangel/default.nix
@@ -33,13 +33,13 @@
mkDerivation rec {
pname = "sdrangel";
- version = "6.16.2";
+ version = "6.16.3";
src = fetchFromGitHub {
owner = "f4exb";
repo = "sdrangel";
rev = "v${version}";
- sha256 = "sha256-wWGKJWd3JDaT0dDMUrxv9ShMVe+q4zvH8SjyKw7UIbo=";
+ sha256 = "sha256-qgFnl9IliKRI4TptpXyK9JHzpLEUQ7NZLIfc0AROCvA=";
fetchSubmodules = false;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/soapysdr/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/soapysdr/default.nix
index c6335b51c41..efd438adf63 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/soapysdr/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/soapysdr/default.nix
@@ -50,6 +50,6 @@ in stdenv.mkDerivation {
description = "Vendor and platform neutral SDR support library";
license = licenses.boost;
maintainers = with maintainers; [ markuskowa ];
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/tqsl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/tqsl/default.nix
index f0dd916a335..fb55672c457 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/tqsl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/radio/tqsl/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "tqsl";
- version = "2.5.1";
+ version = "2.5.7";
src = fetchurl {
url = "https://www.arrl.org/files/file/LoTW%20Instructions/${pname}-${version}.tar.gz";
- sha256 = "00v4n8pvi5qi2psjnrw611w5gg5bdlaxbsny535fsci3smyygpc0";
+ sha256 = "sha256-0QlTUNwKeuuR+n8eT04kiywAsY3hrPGPYH1A84MmxIs=";
};
nativeBuildInputs = [ cmake makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/astronomy/celestia/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/astronomy/celestia/default.nix
index 37f04e0ba5a..6b76c2de4b0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/astronomy/celestia/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/astronomy/celestia/default.nix
@@ -31,7 +31,6 @@ stdenv.mkDerivation rec {
description = "Real-time 3D simulation of space";
changelog = "https://github.com/CelestiaProject/Celestia/releases/tag/${version}";
license = licenses.gpl2Plus;
- maintainers = with maintainers; [ peti ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/astronomy/kstars/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/astronomy/kstars/default.nix
index 861dc66293e..c7e76b6ad92 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/astronomy/kstars/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/astronomy/kstars/default.nix
@@ -14,11 +14,11 @@
mkDerivation rec {
pname = "kstars";
- version = "3.5.3";
+ version = "3.5.5";
src = fetchurl {
url = "mirror://kde/stable/kstars/kstars-${version}.tar.xz";
- sha256 = "sha256-kgUsG2k2YSAAH7ea2qfGw4gON5CFdUoQ3EwOnATXZ5g=";
+ sha256 = "sha256-cD31YFBnKvEPyBQils6qJxNKagDoIi8/Znfxj/Gsa0M=";
};
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/astronomy/stellarium/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/astronomy/stellarium/default.nix
index 2e28d9d83e5..898885047a0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/astronomy/stellarium/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/astronomy/stellarium/default.nix
@@ -6,13 +6,13 @@
mkDerivation rec {
pname = "stellarium";
- version = "0.21.1";
+ version = "0.21.2";
src = fetchFromGitHub {
owner = "Stellarium";
repo = "stellarium";
rev = "v${version}";
- sha256 = "sha256-dAdB57phD5phl8dQZIHtqtnA2LZqR+JoXTzIBtqBevA=";
+ sha256 = "sha256-bh00o++l3sqELX5kgRhiCcQOLVqvjEyEMcJTnnVPNU8=";
};
nativeBuildInputs = [ cmake perl wrapQtAppsHook ];
@@ -37,6 +37,6 @@ mkDerivation rec {
homepage = "http://stellarium.org/";
license = licenses.gpl2;
platforms = platforms.unix;
- maintainers = with maintainers; [ peti ma27 ];
+ maintainers = with maintainers; [ ma27 ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/ants/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/ants/default.nix
index bdcd82ed432..ac332f27166 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/ants/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/ants/default.nix
@@ -20,7 +20,7 @@ stdenv.mkDerivation rec {
];
nativeBuildInputs = [ cmake makeWrapper ];
- buildInputs = [ itk4 vtk_7 ] ++ lib.optional stdenv.isDarwin [ Cocoa ];
+ buildInputs = [ itk4 vtk_7 ] ++ lib.optionals stdenv.isDarwin [ Cocoa ];
cmakeFlags = [ "-DANTS_SUPERBUILD=FALSE" "-DUSE_VTK=TRUE" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/blast/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/blast/default.nix
index 877b5b7d34c..15e1b3eb989 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/blast/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/blast/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "blast";
- version = "2.11.0";
+ version = "2.12.0";
src = fetchurl {
url = "https://ftp.ncbi.nlm.nih.gov/blast/executables/blast+/${version}/ncbi-blast-${version}+-src.tar.gz";
- sha256 = "0m0r9vkw631ky1za1wilsfk9k9spwqh22nkrb9a57rbwmrc1i3nq";
+ sha256 = "122bf45cyj3s3zv2lw1y1rhz7g22v0va560ai30xdjl8sk4wk8zx";
};
sourceRoot = "ncbi-blast-${version}+-src/c++";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/bowtie/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/bowtie/default.nix
index 0722ffe09fc..81cdaecf2c1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/bowtie/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/bowtie/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "bowtie";
- version = "1.3.0";
+ version = "1.3.1";
src = fetchFromGitHub {
owner = "BenLangmead";
repo = pname;
rev = "v${version}";
- sha256 = "0da2kzyfsn6xv8mlqsv2vv7k8g0c9d2vgqzq8yqk888yljdzcrjp";
+ sha256 = "sha256-mWItmrTMPst/NnzSpxxTHcBztDqHPCza9yOsZPwp7G4=";
};
buildInputs = [ zlib ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/fastp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/fastp/default.nix
index c4cae59d1c4..2e44113f40e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/fastp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/fastp/default.nix
@@ -1,20 +1,23 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchFromGitHub
, zlib
+, libdeflate
+, isa-l
}:
stdenv.mkDerivation rec {
pname = "fastp";
- version = "0.22.0";
+ version = "0.23.1";
src = fetchFromGitHub {
owner = "OpenGene";
repo = "fastp";
rev = "v${version}";
- sha256 = "sha256-XR76hNz7iGXQYSBbBandHZ+oU3wyTf1AKlu9Xeq/GyE=";
+ sha256 = "sha256-vRJlNtg2JabBAUaX91Y04z8MdyxEnreBAlIHn7VB+u4=";
};
- buildInputs = [ zlib ];
+ buildInputs = [ zlib libdeflate isa-l ];
installPhase = ''
install -D fastp $out/bin/fastp
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/mosdepth/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/mosdepth/default.nix
index 715f2ea313b..b6cc5e40615 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/mosdepth/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/mosdepth/default.nix
@@ -1,23 +1,9 @@
-{lib, stdenv, fetchFromGitHub, nim, htslib, pcre}:
+{lib, nimPackages, fetchFromGitHub, pcre}:
-let
- hts-nim = fetchFromGitHub {
- owner = "brentp";
- repo = "hts-nim";
- rev = "v0.3.4";
- sha256 = "0670phk1bq3l9j2zaa8i5wcpc5dyfrc0l2a6c21g0l2mmdczffa7";
- };
-
- docopt = fetchFromGitHub {
- owner = "docopt";
- repo = "docopt.nim";
- rev = "v0.6.7";
- sha256 = "1ga7ckg21fzwwvh26jp2phn2h3pvkn8g8sm13dxif33rp471bv37";
- };
-
-in stdenv.mkDerivation rec {
+nimPackages.buildNimPackage rec {
pname = "mosdepth";
version = "0.3.2";
+ nimBinOnly = true;
src = fetchFromGitHub {
owner = "brentp";
@@ -26,15 +12,7 @@ in stdenv.mkDerivation rec {
sha256 = "sha256-uui4yC7ok+pvbXVKfBVsAarH40fnH4fnP8P4uzOqztQ=";
};
- nativeBuildInputs = [ nim ];
- buildInputs = [ htslib pcre ];
-
- buildPhase = ''
- HOME=$TMPDIR
- nim -p:${hts-nim}/src -p:${docopt}/src c --nilseqs:on -d:release mosdepth.nim
- '';
-
- installPhase = "install -Dt $out/bin mosdepth";
+ buildInputs = with nimPackages; [ docopt hts-nim pcre ];
meta = with lib; {
description = "fast BAM/CRAM depth calculation for WGS, exome, or targeted sequencing";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/obitools/obitools3.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/obitools/obitools3.nix
index 082a779e12b..c636942ca78 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/obitools/obitools3.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/obitools/obitools3.nix
@@ -6,11 +6,11 @@ in
pythonPackages.buildPythonApplication rec {
pname = "obitools3";
- version = "3.0.0-beta14";
+ version = "3.0.1b11";
src = fetchurl {
url = "https://git.metabarcoding.org/obitools/${pname}/repository/v${version}/archive.tar.gz";
- sha256 = "17krklxfvxl6baf2m394gm1a88y0lg0bwqx20cf5q39zyw04z442";
+ sha256 = "1x7a0nrr9agg1pfgq8i1j8r1p6c0jpyxsv196ylix1dd2iivmas1";
};
preBuild = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/picard-tools/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/picard-tools/default.nix
index 345a38ae24a..a5b226bd0a9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/picard-tools/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/picard-tools/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "picard-tools";
- version = "2.26.0";
+ version = "2.26.2";
src = fetchurl {
url = "https://github.com/broadinstitute/picard/releases/download/${version}/picard.jar";
- sha256 = "sha256-sz/7MtcCJlUlrNy16W1YB/zXMhYeLLbQOIOdzNsGW7w=";
+ sha256 = "sha256-mfqxaZpzX9BIoFl1okN3TxzJnoepsoMR1KqHLQY5BHQ=";
};
nativeBuildInputs = [ makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/sambamba/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/sambamba/default.nix
index abfac6ce083..03ee40013c7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/sambamba/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/sambamba/default.nix
@@ -2,21 +2,21 @@
stdenv.mkDerivation rec {
pname = "sambamba";
- version = "0.8.0";
+ version = "0.8.1";
src = fetchFromGitHub {
owner = "biod";
repo = "sambamba";
rev = "v${version}";
- sha256 = "sha256:0kx5a0fmvv9ldz2hnh7qavgf7711kqc73zxf51k4cca4hr58zxr9";
+ sha256 = "0f4qngnys2zjb0ri54k6kxqnssg938mnnscs4z9713hjn41rk7yd";
fetchSubmodules = true;
};
patches = [
- # Fixes hardcoded gcc, making clang build possible.
+ # make ldc 1.27.1 compatible
(fetchpatch {
- url = "https://github.com/biod/sambamba/commit/c50a1c91e1ba062635467f197139bf6784e9be15.patch";
- sha256 = "1y0vlybmb9wpg4z1nca7m96mk9hxmvd3yrg7w8rxscj45hcqvf8q";
+ url = "https://github.com/biod/sambamba/pull/480/commits/b5c80feb62683d24ec0529f685a1d7a36962a1d4.patch";
+ sha256 = "0yr9baxqbhyb4scwcwczk77z8gazhkl60jllhz9dnrb7p5qsvs7r";
})
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/samtools/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/samtools/default.nix
index 20d9565bce4..99210092a15 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/samtools/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/samtools/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "samtools";
- version = "1.11";
+ version = "1.13";
src = fetchurl {
url = "https://github.com/samtools/samtools/releases/download/${version}/${pname}-${version}.tar.bz2";
- sha256 = "1dp5wknak4arnw5ghhif9mmljlfnw5bgm91wib7z0j8wdjywx0z2";
+ sha256 = "sha256-YWyi4FHMgAmh6cAc/Yx8r4twkW3f9m87dpFAeUZfjGA=";
};
nativeBuildInputs = [ perl ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/spades/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/spades/default.nix
index e6f33d6cde9..b41d266dbab 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/spades/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/spades/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "SPAdes";
- version = "3.15.2";
+ version = "3.15.3";
src = fetchurl {
url = "http://cab.spbu.ru/files/release${version}/${pname}-${version}.tar.gz";
- sha256 = "03cxz4m1n4rc81lqb4p1pz2ammms7f31wvi4daywfkc13aal6fz9";
+ sha256 = "sha256-suWp/XplruWriGIi1q9Pe3vH91XaegOUFXH6vWueFJk=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/star/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/star/default.nix
index 9ad53502cd7..4328bbd975a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/star/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/biology/star/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "star";
- version = "2.7.8a";
+ version = "2.7.9a";
src = fetchFromGitHub {
repo = "STAR";
owner = "alexdobin";
rev = version;
- sha256 = "sha256-2qqdCan67bcoUGgr5ro2LGGHDAyS/egTrT8pWX1chX0=";
+ sha256 = "sha256-p1yaIbSGu8K5AkqJj0BAzuoWsXr25eCNoQmLXYQeg4E=";
};
sourceRoot = "source/source";
@@ -33,7 +33,7 @@ stdenv.mkDerivation rec {
description = "Spliced Transcripts Alignment to a Reference";
homepage = "https://github.com/alexdobin/STAR";
license = licenses.gpl3Plus;
- platforms = platforms.linux;
+ platforms = [ "x86_64-linux" ];
maintainers = [ maintainers.arcadio ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/avogadro2/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/avogadro2/default.nix
index 437123faafd..6931c8621c9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/avogadro2/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/avogadro2/default.nix
@@ -2,17 +2,29 @@
, openbabel, qttools, wrapQtAppsHook
}:
-stdenv.mkDerivation rec {
+let
+ avogadroI18N = fetchFromGitHub {
+ owner = "OpenChemistry";
+ repo = "avogadro-i18n";
+ rev = "3b8a86cc37e988b043d1503d2f11068389b0aca3";
+ sha256 = "9wLY7/EJyIZYnlUAMsViCwD5kGc1vCNbk8vUhb90LMQ=";
+ };
+
+in stdenv.mkDerivation rec {
pname = "avogadro2";
- version = "1.94.0";
+ version = "1.95.1";
src = fetchFromGitHub {
owner = "OpenChemistry";
repo = "avogadroapp";
rev = version;
- sha256 = "6RaiX23YUMfTYAuSighcLGGlJtqeydNgi3PWGF77Jp8=";
+ sha256 = "9GnsxQsMuik6CPDmJbJPF0/+LXbZHf/JLevpSsMEoP0=";
};
+ postUnpack = ''
+ cp -r ${avogadroI18N} avogadro-i18n
+ '';
+
nativeBuildInputs = [ cmake wrapQtAppsHook ];
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/octopus/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/octopus/default.nix
index 1927950c4fc..732e97b9b09 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/octopus/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/octopus/default.nix
@@ -1,6 +1,10 @@
{ lib, stdenv, fetchFromGitLab, gfortran, perl, procps
, libyaml, libxc, fftw, blas, lapack, gsl, netcdf, arpack, autoreconfHook
, python3
+, enableFma ? stdenv.hostPlatform.fmaSupport
+, enableFma4 ? stdenv.hostPlatform.fma4Support
+, enableAvx ? stdenv.hostPlatform.avx2Support
+, enableAvx512 ? stdenv.hostPlatform.avx512Support
}:
assert (!blas.isILP64) && (!lapack.isILP64);
@@ -35,14 +39,18 @@ stdenv.mkDerivation rec {
(python3.withPackages (ps: [ ps.pyyaml ]))
];
- configureFlags = [
+ configureFlags = with lib; [
"--with-yaml-prefix=${libyaml}"
"--with-blas=-lblas"
"--with-lapack=-llapack"
"--with-fftw-prefix=${fftw.dev}"
"--with-gsl-prefix=${gsl}"
"--with-libxc-prefix=${libxc}"
- ];
+ "--enable-openmp"
+ ] ++ optional enableFma "--enable-fma3"
+ ++ optional enableFma4 "--enable-fma4"
+ ++ optional enableAvx "--enable-avx"
+ ++ optional enableAvx512 "--enable-avx512";
doCheck = false;
checkTarget = "check-short";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/openmolcas/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/openmolcas/default.nix
index 8efe537dcac..3cd99179698 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/openmolcas/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/openmolcas/default.nix
@@ -27,9 +27,15 @@ in stdenv.mkDerivation {
./openblasPath.patch
];
- nativeBuildInputs = [ perl cmake texlive.combined.scheme-minimal makeWrapper ];
- buildInputs = [
+ nativeBuildInputs = [
+ perl
gfortran
+ cmake
+ texlive.combined.scheme-minimal
+ makeWrapper
+ ];
+
+ buildInputs = [
openblas
hdf5-cpp
python
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/quantum-espresso/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/quantum-espresso/default.nix
index a348c51c281..9933d0d8c72 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/quantum-espresso/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/quantum-espresso/default.nix
@@ -1,5 +1,10 @@
-{ lib, stdenv, fetchurl
-, gfortran, fftw, blas, lapack
+{ lib
+, stdenv
+, fetchFromGitLab
+, gfortran
+, fftw
+, blas
+, lapack
, useMpi ? false
, mpi
}:
@@ -8,9 +13,11 @@ stdenv.mkDerivation rec {
version = "6.6";
pname = "quantum-espresso";
- src = fetchurl {
- url = "https://gitlab.com/QEF/q-e/-/archive/qe-${version}/q-e-qe-${version}.tar.gz";
- sha256 = "0b3718bwdqfyssyz25jknijar79qh5cf1bbizv9faliz135mcilj";
+ src = fetchFromGitLab {
+ owner = "QEF";
+ repo = "q-e";
+ rev = "qe-${version}";
+ sha256 = "1mkfmw0fq1dabplzdn6v1abhw0ds55gzlvbx3a9brv493whk21yp";
};
passthru = {
@@ -21,21 +28,23 @@ stdenv.mkDerivation rec {
patchShebangs configure
'';
- buildInputs = [ fftw blas lapack gfortran ]
+ nativeBuildInputs = [ gfortran ];
+
+ buildInputs = [ fftw blas lapack ]
++ (lib.optionals useMpi [ mpi ]);
-configureFlags = if useMpi then [ "LD=${mpi}/bin/mpif90" ] else [ "LD=${gfortran}/bin/gfortran" ];
+ configureFlags = if useMpi then [ "LD=${mpi}/bin/mpif90" ] else [ "LD=${gfortran}/bin/gfortran" ];
makeFlags = [ "all" ];
meta = with lib; {
description = "Electronic-structure calculations and materials modeling at the nanoscale";
longDescription = ''
- Quantum ESPRESSO is an integrated suite of Open-Source computer codes for
- electronic-structure calculations and materials modeling at the
- nanoscale. It is based on density-functional theory, plane waves, and
- pseudopotentials.
- '';
+ Quantum ESPRESSO is an integrated suite of Open-Source computer codes for
+ electronic-structure calculations and materials modeling at the
+ nanoscale. It is based on density-functional theory, plane waves, and
+ pseudopotentials.
+ '';
homepage = "https://www.quantum-espresso.org/";
license = licenses.gpl2;
platforms = [ "x86_64-linux" "x86_64-darwin" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/siesta/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/siesta/default.nix
index 02ff4c1ca44..7ee46f7d7e2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/siesta/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/chemistry/siesta/default.nix
@@ -1,23 +1,28 @@
-{ lib, stdenv, fetchurl
+{ lib, stdenv
, gfortran, blas, lapack, scalapack
, useMpi ? false
, mpi
+, fetchFromGitLab
}:
-stdenv.mkDerivation {
- version = "4.1-b3";
+stdenv.mkDerivation rec {
+ version = "4.1.5";
pname = "siesta";
- src = fetchurl {
- url = "https://launchpad.net/siesta/4.1/4.1-b3/+download/siesta-4.1-b3.tar.gz";
- sha256 = "1450jsxj5aifa0b5fcg7mxxq242fvqnp4zxpgzgbkdp99vrp06gm";
+ src = fetchFromGitLab {
+ owner = "siesta-project";
+ repo = "siesta";
+ rev = "v${version}";
+ sha256 = "0lz8rfl5xwdj17zn7a30ipi7cgjwqki21a7wg9rdg7iwx27bpnmg";
};
passthru = {
inherit mpi;
};
- buildInputs = [ blas lapack gfortran ]
+ nativeBuildInputs = [ gfortran ];
+
+ buildInputs = [ blas lapack ]
++ lib.optionals useMpi [ mpi scalapack ];
enableParallelBuilding = true;
@@ -62,7 +67,7 @@ stdenv.mkDerivation {
matching the quality of other approaches, such as plane-wave
and all-electron methods.
'';
- homepage = "https://www.quantum-espresso.org/";
+ homepage = "https://siesta-project.org/siesta/";
license = licenses.gpl2;
platforms = [ "x86_64-linux" ];
maintainers = [ maintainers.costrouc ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/alliance/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/alliance/default.nix
index 081a4cab1df..909a3ec767c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/alliance/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/alliance/default.nix
@@ -1,52 +1,39 @@
-{ lib, stdenv, fetchurl
-, xorgproto, motif, libX11, libXt, libXpm, bison
-, flex, automake, autoconf, libtool, runtimeShell
+{ lib, stdenv, fetchFromGitLab, xorgproto, motif, libX11, libXt, libXpm, bison
+, flex, automake, autoconf, libtool
}:
stdenv.mkDerivation rec {
pname = "alliance";
- version = "5.1.1";
-
- src = fetchurl {
- url = "http://www-asim.lip6.fr/pub/alliance/distribution/5.0/${pname}-${version}.tar.bz2";
- sha256 = "046c9qwl1vbww0ljm4xyxf5jpz9nq62b2q0wdz9xjimgh4c207w1";
+ version = "unstable-2021-09-15";
+
+ src = fetchFromGitLab {
+ domain = "gitlab.lip6.fr";
+ owner = "vlsi-eda";
+ repo = "alliance";
+ rev = "5e83c92d0307cce9d599f7099fb0023f81d26d65";
+ sha256 = "Vd3MTT4eKn4FMt0/F4fQUPcWq25kH0FpeGxQUOetKPY=";
};
+ prePatch = "cd alliance/src";
nativeBuildInputs = [ libtool automake autoconf flex ];
buildInputs = [ xorgproto motif libX11 libXt libXpm bison ];
- sourceRoot = "alliance/src/";
+ ALLIANCE_TOP = placeholder "out";
configureFlags = [
- "--prefix=$(out)"
+ "--prefix=${placeholder "out"}" "--enable-alc-shared"
];
- preConfigure = ''
- mkdir -p $out/etc
-
- #texlive for docs seems extreme
- mkdir -p $out/share/alliance
- mv ./documentation $out/share/alliance
+ postPatch = ''
+ # texlive for docs seems extreme
substituteInPlace autostuff \
- --replace "$newdirs documentation" "$newdirs" \
- --replace documentation Solaris
+ --replace "$newdirs documentation" "$newdirs"
- substituteInPlace sea/src/DEF_grammar_lex.l \
- --replace "ifndef FLEX_BETA" "if (YY_FLEX_MAJOR_VERSION <= 2) && (YY_FLEX_MINOR_VERSION < 6)"
- ./autostuff
- '';
+ substituteInPlace sea/src/DEF_grammar_lex.l --replace "ifndef FLEX_BETA" \
+ "if (YY_FLEX_MAJOR_VERSION <= 2) && (YY_FLEX_MINOR_VERSION < 6)"
- allianceInstaller = ''
- #!${runtimeShell}
- cp -v -r -n --no-preserve=mode $out/etc/* /etc/ > /etc/alliance-install.log
- '';
-
- allianceUnInstaller = ''
- #!${runtimeShell}
- awk '{print \$3}' /etc/alliance-install.log | xargs rm
- awk '{print \$3}' /etc/alliance-install.log | xargs rmdir
- rm /etc/alliance-install.log
+ ./autostuff
'';
postInstall = ''
@@ -55,20 +42,13 @@ stdenv.mkDerivation rec {
cp -p distrib/*.desktop $out/share/applications/
mkdir -p $out/icons/hicolor/48x48/apps/
cp -p distrib/*.png $out/icons/hicolor/48x48/apps/
-
- echo "${allianceInstaller}" > $out/bin/alliance-install
- chmod +x $out/bin/alliance-install
-
- echo "${allianceUnInstaller}" > $out/bin/alliance-uninstall
- chmod +x $out/bin/alliance-uninstall
'';
meta = with lib; {
- description = "Complete set of free CAD tools and portable libraries for VLSI design";
- homepage = "http://www-asim.lip6.fr/recherche/alliance/";
+ description = "(deprecated) Complete set of free CAD tools and portable libraries for VLSI design";
+ homepage = "http://coriolis.lip6.fr/";
license = with licenses; gpl2Plus;
- maintainers = with maintainers; [ ];
+ maintainers = with maintainers; [ l-as ];
platforms = with platforms; linux;
- broken = true;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/dwfv/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/dwfv/default.nix
new file mode 100644
index 00000000000..ed340271f26
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/dwfv/default.nix
@@ -0,0 +1,20 @@
+{ lib, rustPlatform, fetchCrate }:
+
+rustPlatform.buildRustPackage rec {
+ pname = "dwfv";
+ version = "0.4.1";
+
+ src = fetchCrate {
+ inherit version pname;
+ sha256 = "0xxgwbbbzaldbl04k5ksk61wa6i4f9mc84q04ljg438z0k8q6cr7";
+ };
+
+ cargoSha256 = "1z51yx3psdxdzmwny0rzlch5hjx2pssll73q79qij2bc7wgyjscy";
+
+ meta = with lib; {
+ description = "A simple digital waveform viewer with vi-like key bindings";
+ homepage = "https://github.com/psurply/dwfv";
+ license = licenses.mit;
+ maintainers = with maintainers; [ newam ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/gtkwave/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/gtkwave/default.nix
index b539df7592a..bb6af8a20fd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/gtkwave/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/gtkwave/default.nix
@@ -1,16 +1,29 @@
-{ lib, stdenv, fetchurl, glib, gtk3, gperf, pkg-config, bzip2, tcl, tk, wrapGAppsHook, judy, xz }:
+{ bzip2
+, fetchurl
+, glib
+, gperf
+, gtk3
+, judy
+, lib
+, pkg-config
+, stdenv
+, tcl
+, tk
+, wrapGAppsHook
+, xz
+}:
stdenv.mkDerivation rec {
pname = "gtkwave";
- version = "3.3.110";
+ version = "3.3.111";
src = fetchurl {
- url = "mirror://sourceforge/gtkwave/${pname}-gtk3-${version}.tar.gz";
- sha256 = "sha256-Ku25IVa8ot3SWxODeMrOaxBY5X022TnvD3l2kAa3Wao=";
+ url = "mirror://sourceforge/gtkwave/${pname}-gtk3-${version}.tar.gz";
+ sha256 = "0cv222qhgldfniz6zys52zhrynfsp5v0h8ia857lng7v33vw5qdl";
};
nativeBuildInputs = [ pkg-config wrapGAppsHook ];
- buildInputs = [ glib gtk3 gperf bzip2 tcl tk judy xz ];
+ buildInputs = [ bzip2 glib gperf gtk3 judy tcl tk xz ];
configureFlags = [
"--with-tcl=${tcl}/lib"
@@ -21,9 +34,9 @@ stdenv.mkDerivation rec {
meta = {
description = "VCD/Waveform viewer for Unix and Win32";
- homepage = "http://gtkwave.sourceforge.net";
- license = lib.licenses.gpl2Plus;
+ homepage = "http://gtkwave.sourceforge.net";
+ license = lib.licenses.gpl2Plus;
maintainers = with lib.maintainers; [ thoughtpolice ];
- platforms = lib.platforms.linux;
+ platforms = lib.platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/horizon-eda/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/horizon-eda/default.nix
index c1ff99a2c3a..eec7830b2a1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/horizon-eda/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/horizon-eda/default.nix
@@ -23,13 +23,13 @@
stdenv.mkDerivation rec {
pname = "horizon-eda";
- version = "2.0.0";
+ version = "2.1.0";
src = fetchFromGitHub {
owner = "horizon-eda";
repo = "horizon";
rev = "v${version}";
- sha256 = "sha256-FS24B/ySKeF8r7Tro+mf5P0ALtlPwwJCU3YdDNYLe6o=";
+ sha256 = "sha256-3JNkwKkr/fdz/2UFAHwhn03PHqX9YFOMf3Q7kkbTZYk=";
};
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/kicad/base.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/kicad/base.nix
index d7398f39ec4..0b024d5c947 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/kicad/base.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/kicad/base.nix
@@ -183,7 +183,7 @@ stdenv.mkDerivation rec {
Just the build products, optionally with the i18n linked in
the libraries are passed via an env var in the wrapper, default.nix
'';
- homepage = "https://www.kicad-pcb.org/";
+ homepage = "https://www.kicad.org/";
license = lib.licenses.agpl3;
platforms = lib.platforms.all;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/kicad/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/kicad/default.nix
index 770b73c7124..9d7b1b7e563 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/kicad/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/kicad/default.nix
@@ -277,7 +277,7 @@ stdenv.mkDerivation rec {
then "Open Source Electronics Design Automation suite"
else "Open Source EDA suite, development build")
+ (if (!with3d) then ", without 3D models" else "");
- homepage = "https://www.kicad-pcb.org/";
+ homepage = "https://www.kicad.org/";
longDescription = ''
KiCad is an open source software suite for Electronic Design Automation.
The Programs handle Schematic Capture, and PCB Layout with Gerber output.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/openems/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/openems/default.nix
index 64afe3222c2..e7b947450ac 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/openems/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/openems/default.nix
@@ -15,13 +15,10 @@
, withQcsxcad ? true
, withMPI ? false
, withHyp2mat ? true
-, qcsxcad ? null
-, hyp2mat ? null
+, qcsxcad
+, hyp2mat
}:
-assert withQcsxcad -> qcsxcad != null;
-assert withHyp2mat -> hyp2mat != null;
-
stdenv.mkDerivation {
pname = "openems";
version = "unstable-2020-02-15";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/tkgate/1.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/tkgate/1.x.nix
index 5a46e8f6384..6a399958553 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/tkgate/1.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/tkgate/1.x.nix
@@ -36,7 +36,6 @@ stdenv.mkDerivation rec {
description = "Event driven digital circuit simulator with a TCL/TK-based graphical editor";
homepage = "http://www.tkgate.org/";
license = lib.licenses.gpl2Plus;
- maintainers = [ lib.maintainers.peti ];
hydraPlatforms = lib.platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/xoscope/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/xoscope/default.nix
index 5052a3715ef..7a9f58a0c6e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/xoscope/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/xoscope/default.nix
@@ -1,18 +1,26 @@
-{lib, stdenv, fetchurl, gtk2, pkg-config}:
+{ lib
+, stdenv
+, fetchurl
+, gtk3
+, gtkdatabox
+, fftw
+, gnum4
+, comedilib
+, alsa-lib
+, pkg-config
+}:
stdenv.mkDerivation rec {
- name = "xoscope-2.0";
+ pname = "xoscope";
+ version = "2.3";
src = fetchurl {
- url = "mirror://sourceforge/xoscope/${name}.tgz";
- sha256 = "00xlvvqyw6l1ljbsx1vgx2v1jfh0xacz1a0yhq1dj6yxf5wh58x8";
+ url = "mirror://sourceforge/xoscope/${pname}-${version}.tar.gz";
+ sha256 = "0a5ycfc1qdmibvagc82r2mhv2i99m6pndy5i6ixas3j2297g6pgq";
};
- nativeBuildInputs = [ pkg-config ];
- buildInputs = [ gtk2 ];
-
- # from: https://aur.archlinux.org/packages.php?ID=12140&detail=1
- patches = [ ./gtkdepre.diff ];
+ nativeBuildInputs = [ pkg-config gnum4 ];
+ buildInputs = [ gtk3 gtkdatabox fftw comedilib alsa-lib ];
meta = {
description = "Oscilloscope through the sound card";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/xoscope/gtkdepre.diff b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/xoscope/gtkdepre.diff
deleted file mode 100644
index 993df9cb550..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/electronics/xoscope/gtkdepre.diff
+++ /dev/null
@@ -1,58 +0,0 @@
-diff -ru xoscope-2.0-old//gtkdatabox-0.6.0.0/gtk/gtkdatabox.c xoscope-2.0/gtkdatabox-0.6.0.0/gtk/gtkdatabox.c
---- xoscope-2.0-old//gtkdatabox-0.6.0.0/gtk/gtkdatabox.c 2010-06-07 10:42:24.000000000 +0200
-+++ xoscope-2.0/gtkdatabox-0.6.0.0/gtk/gtkdatabox.c 2010-06-07 10:45:40.000000000 +0200
-@@ -661,7 +661,7 @@
- static void
- gtk_databox_calculate_hcanvas (GtkDatabox *box)
- {
-- if (!GTK_WIDGET_VISIBLE (box))
-+ if (!gtk_widget_get_visible (box))
- return;
-
- if (box->priv->adjX->page_size == 1.0)
-@@ -698,7 +698,7 @@
- static void
- gtk_databox_calculate_vcanvas (GtkDatabox *box)
- {
-- if (!GTK_WIDGET_VISIBLE (box))
-+ if (!gtk_widget_get_visible (box))
- return;
-
- if (box->priv->adjY->page_size == 1.0)
-@@ -780,7 +780,7 @@
- gtk_databox_draw (box, event);
-
- gdk_draw_drawable (widget->window,
-- widget->style->fg_gc[GTK_WIDGET_STATE (widget)],
-+ widget->style->fg_gc[gtk_widget_get_state (widget)],
- box->priv->canvas.pixmap, event->area.x, event->area.y,
- event->area.x, event->area.y, event->area.width,
- event->area.height);
-@@ -940,7 +940,7 @@
- GtkWidget *widget = GTK_WIDGET (box);
-
- g_return_if_fail (GTK_IS_DATABOX (box));
-- g_return_if_fail (GTK_WIDGET_VISIBLE (widget));
-+ g_return_if_fail (gtk_widget_get_visible (widget));
-
- gdk_draw_rectangle (box->priv->canvas.pixmap, widget->style->bg_gc[0],
- TRUE, 0, 0,
-@@ -1150,7 +1150,7 @@
- /* Copy a part of the pixmap to the screen */
- if (pixmapCopyRect)
- gdk_draw_drawable (widget->window,
-- widget->style->fg_gc[GTK_WIDGET_STATE (box)],
-+ widget->style->fg_gc[gtk_widget_get_state (box)],
- box->priv->canvas.pixmap,
- pixmapCopyRect->x,
- pixmapCopyRect->y,
---- xoscope-2.0-old//gtkdatabox-0.6.0.0/gtk/Makefile.in 2011-09-02 16:43:43.000000000 +0200
-+++ xoscope-2.0/gtkdatabox-0.6.0.0/gtk/Makefile.in 2011-09-02 16:43:57.000000000 +0200
-@@ -196,7 +196,6 @@
- -DG_DISABLE_DEPRECATED\
- -DGDK_DISABLE_DEPRECATED\
- -DGDK_PIXBUF_DISABLE_DEPRECATED\
-- -DGTK_DISABLE_DEPRECATED\
- `pkg-config gtk+-2.0 --cflags`
-
- all: all-am
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/abella/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/abella/default.nix
index 14ceb53f9bb..3d752b7d7b9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/abella/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/abella/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "abella";
- version = "2.0.6";
+ version = "2.0.7";
src = fetchurl {
url = "http://abella-prover.org/distributions/${pname}-${version}.tar.gz";
- sha256 = "164q9gngckg6q69k13lwx2pq3cnc9ckw1qi8dnpxqfjgwfqr7xyi";
+ sha256 = "sha256-/eOiebMFHgrurtrSHPlgZO3xmmxBOUmyAzswXZLd3Yc=";
};
buildInputs = [ rsync ] ++ (with ocamlPackages; [ ocaml ocamlbuild findlib ]);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/alt-ergo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/alt-ergo/default.nix
index 963015b11d5..837f25e320f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/alt-ergo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/alt-ergo/default.nix
@@ -2,13 +2,13 @@
let
pname = "alt-ergo";
- version = "2.4.0";
+ version = "2.4.1";
src = fetchFromGitHub {
owner = "OCamlPro";
repo = pname;
rev = version;
- sha256 = "1jm1yrvsg8iyfp9bb728zdx2i7yb6z7minjrfs27k5ncjqkjm65g";
+ sha256 = "0hglj1p0753w2isds01h90knraxa42d2jghr35dpwf9g8a1sm9d3";
};
useDune2 = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/cadical/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/cadical/default.nix
index f0cb1efb305..c6b1f665245 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/cadical/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/cadical/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
install -Dm0755 build/cadical "$out/bin/cadical"
install -Dm0755 build/mobical "$out/bin/mobical"
install -Dm0644 src/ccadical.h "$dev/include/ccadical.h"
+ install -Dm0644 src/cadical.hpp "$dev/include/cadical.hpp"
install -Dm0644 build/libcadical.a "$lib/lib/libcadical.a"
mkdir -p "$out/share/doc/${pname}/"
install -Dm0755 {LICEN?E,README*,VERSION} "$out/share/doc/${pname}/"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/coq/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/coq/default.nix
index cd19b9a9442..16db7384df1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/coq/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/coq/default.nix
@@ -9,7 +9,7 @@
, customOCamlPackages ? null
, ocamlPackages_4_05, ocamlPackages_4_09, ocamlPackages_4_10, ncurses
, buildIde ? true
-, glib, gnome, wrapGAppsHook
+, glib, gnome, wrapGAppsHook, makeDesktopItem, copyDesktopItems
, csdp ? null
, version, coq-version ? null,
}@args:
@@ -44,6 +44,7 @@ let
"8.13.0".sha256 = "0sjbqmz6qcvnz0hv87xha80qbhvmmyd675wyc5z4rgr34j2l1ymd";
"8.13.1".sha256 = "0xx2ns84mlip9bg2mkahy3pmc5zfcgrjxsviq9yijbzy1r95wf0n";
"8.13.2".sha256 = "1884vbmwmqwn9ngibax6dhnqh4cc02l0s2ajc6jb1xgr0i60whjk";
+ "8.14.0".sha256 = "04y2z0qyvag66zanfyc3f9agvmzbn4lsr0p1l7ck6yjhqx7vbm17";
};
releaseRev = v: "V${v}";
fetched = import ../../../../build-support/coq/meta-fetch/default.nix
@@ -124,7 +125,9 @@ self = stdenv.mkDerivation {
'';
};
- nativeBuildInputs = [ pkg-config ] ++ optional (!versionAtLeast "8.6") gnumake42;
+ nativeBuildInputs = [ pkg-config ]
+ ++ optional buildIde copyDesktopItems
+ ++ optional (!versionAtLeast "8.6") gnumake42;
buildInputs = [ ncurses ] ++ ocamlBuildInputs
++ optionals buildIde
(if versionAtLeast "8.10"
@@ -161,17 +164,31 @@ self = stdenv.mkDerivation {
prefixKey = "-prefix ";
- buildFlags = [ "revision" "coq" "coqide" "bin/votour" ];
+ buildFlags = [ "revision" "coq" "coqide" ] ++ optional (!versionAtLeast "8.14") "bin/votour";
enableParallelBuilding = true;
createFindlibDestdir = true;
- postInstall = ''
+ desktopItems = optional buildIde (makeDesktopItem {
+ name = "coqide";
+ exec = "coqide";
+ icon = "coq";
+ desktopName = "CoqIDE";
+ comment = "Graphical interface for the Coq proof assistant";
+ categories = "Development;Science;Math;IDE;GTK";
+ });
+
+ postInstall = let suffix = if versionAtLeast "8.14" then "-core" else ""; in ''
cp bin/votour $out/bin/
- ln -s $out/lib/coq $OCAMLFIND_DESTDIR/coq
+ ln -s $out/lib/coq${suffix} $OCAMLFIND_DESTDIR/coq${suffix}
+ '' + optionalString (versionAtLeast "8.14") ''
+ ln -s $out/lib/coqide-server $OCAMLFIND_DESTDIR/coqide-server
+ '' + optionalString buildIde ''
+ mkdir -p "$out/share/pixmaps"
+ ln -s "$out/share/coq/coq.png" "$out/share/pixmaps/"
'';
- meta = with lib; {
+ meta = {
description = "Coq proof assistant";
longDescription = ''
Coq is a formal proof management system. It provides a formal language
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/elan/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/elan/default.nix
index 1fb4693d64a..987068d9bbb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/elan/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/elan/default.nix
@@ -1,4 +1,5 @@
{ stdenv, lib, runCommand, patchelf, makeWrapper, pkg-config, curl
+, fetchpatch
, openssl, gmp, zlib, fetchFromGitHub, rustPlatform, libiconv }:
let
@@ -7,16 +8,16 @@ in
rustPlatform.buildRustPackage rec {
pname = "elan";
- version = "1.0.7";
+ version = "1.1.0";
src = fetchFromGitHub {
owner = "leanprover";
repo = "elan";
rev = "v${version}";
- sha256 = "sha256-SFY9RbUHoaOXCaK+uIqhnKbzSkbtWiS6os/JvsggagI=";
+ sha256 = "0xmml81krr0i18b14dymfdq43szpzws7qj8k404qab51lkqxyxsb";
};
- cargoSha256 = "sha256-6TFionZw76V4htYQrz8eLX7ioW7Fbgd63rtz53s0TLU=";
+ cargoSha256 = "sha256-xjJ39hoSDn0VUH0YcL+mQBXbzFcIvZ38dPjBxV/yVNc=";
nativeBuildInputs = [ pkg-config makeWrapper ];
@@ -40,12 +41,17 @@ rustPlatform.buildRustPackage rec {
--subst-var dynamicLinker \
--subst-var libPath
'')
+ # fix build, will be included in 1.1.1
+ (fetchpatch {
+ url = "https://github.com/leanprover/elan/commit/8d1dec09d67b2ac1768b111d24f1a1cabdd563fa.patch";
+ sha256 = "sha256-yMdnXqycu4VF9EKavZ85EuspvAqvzDSIm5894SB+3+A=";
+ })
];
postInstall = ''
pushd $out/bin
mv elan-init elan
- for link in lean leanpkg leanchecker leanc leanmake; do
+ for link in lean leanpkg leanchecker leanc leanmake lake; do
ln -s elan $link
done
popd
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/lean/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/lean/default.nix
index 5c6ad241cb7..0c21ce109b8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/lean/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/lean/default.nix
@@ -2,7 +2,7 @@
stdenv.mkDerivation rec {
pname = "lean";
- version = "3.32.1";
+ version = "3.33.0";
src = fetchFromGitHub {
owner = "leanprover-community";
@@ -11,8 +11,8 @@ stdenv.mkDerivation rec {
# from. this is then used to check whether an olean file should be
# rebuilt. don't use a tag as rev because this will get replaced into
# src/githash.h.in in preConfigure.
- rev = "35b3a9c4e2d35cccb5ed220ea2f2909a4ed2ca90";
- sha256 = "0s69smknsvycvydbk2f3vcqj1z3jrbv3k048z2r46391dai5iwhf";
+ rev = "a0fb1e8c7ac81dfd2e80ad0de08f4e57ee853d82";
+ sha256 = "03xz3c3dzjhvjzpa8811cgzzqzw8fpajmspykavmb259i391w0y7";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/leo2/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/leo2/default.nix
index fc2c1e5cba4..cbc85c5544c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/leo2/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/leo2/default.nix
@@ -1,20 +1,31 @@
-{ lib, stdenv, fetchurl, makeWrapper, eprover, ocaml, perl, zlib }:
+{ lib, stdenv, fetchurl, fetchpatch, makeWrapper, eprover, ocaml, camlp4, perl, zlib }:
stdenv.mkDerivation rec {
pname = "leo2";
- version = "1.6.2";
+ version = "1.7.0";
src = fetchurl {
url = "https://page.mi.fu-berlin.de/cbenzmueller/leo/leo2_v${version}.tgz";
- sha256 = "1wjpmizb181iygnd18lx7p77fwaci2clgzs5ix5j51cc8f3pazmv";
+ sha256 = "sha256:1b2q7vsz6s9ighypsigqjm1mzjiq3xgnz5id5ssb4rh9zm190r82";
};
nativeBuildInputs = [ makeWrapper ];
- buildInputs = [ eprover ocaml perl zlib ];
-
- sourceRoot = "leo2/src";
-
- preConfigure = "patchShebangs configure";
+ buildInputs = [ eprover ocaml camlp4 perl zlib ];
+
+ patches = [ (fetchpatch {
+ url = "https://github.com/niklasso/minisat/commit/7eb6015313561a2586032574788fcb133eeaa19f.patch";
+ stripLen = 1;
+ extraPrefix = "lib/";
+ sha256 = "sha256:01ln7hi6nvvkqkhn9hciqizizz5qspvqffgksvgmzn9x7kdd9pnh";
+ })
+ ];
+
+ preConfigure = ''
+ cd src
+ patchShebangs configure
+ substituteInPlace Makefile.pre \
+ --replace '+camlp4' "${camlp4}/lib/ocaml/${ocaml.version}/site-lib/camlp4"
+ '';
buildFlags = [ "opt" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/opensmt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/opensmt/default.nix
index fd22b7b1add..2ef494cca26 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/opensmt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/opensmt/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "opensmt";
- version = "2.1.0";
+ version = "2.1.1";
src = fetchFromGitHub {
owner = "usi-verification-and-security";
repo = "opensmt";
rev = "v${version}";
- sha256 = "sha256-m8TpMBY1r0h8GJTHM4FLBuZtX+WK/Q7RTXUnNmUWV+o=";
+ sha256 = "sha256-StnEvkSSKDHGYXIQsDUu9T9Ztl+RtDTP47JvnRyH0bE=";
};
nativeBuildInputs = [ cmake bison flex ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/symbiyosys/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/symbiyosys/default.nix
index 118bb8ecd20..87bd1e2e637 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/symbiyosys/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/symbiyosys/default.nix
@@ -5,13 +5,13 @@
stdenv.mkDerivation {
pname = "symbiyosys";
- version = "2020.08.22";
+ version = "2021.09.13";
src = fetchFromGitHub {
owner = "YosysHQ";
repo = "SymbiYosys";
- rev = "33b0bb7d836fe2a73dc7b10587222f2a718beef4";
- sha256 = "03rbrbwsji1sqcp2yhgbc0fca04zsryv2g4izjhdzv64nqjzjyhn";
+ rev = "15278f13467bea24a7300e23ebc5555b9261facf";
+ sha256 = "sha256-gp9F4MaGgD6XfD7AjuB/LmMVcxFurqWHEiXPeyzlQzk=";
};
buildInputs = [ ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/yices/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/yices/default.nix
index c26504bf7bd..c5fc3a73837 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/yices/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/yices/default.nix
@@ -1,18 +1,19 @@
-{ lib, stdenv, fetchFromGitHub, gmp-static, gperf, autoreconfHook, libpoly }:
+{ lib, stdenv, fetchFromGitHub, cudd, gmp-static, gperf, autoreconfHook, libpoly }:
stdenv.mkDerivation rec {
pname = "yices";
- version = "2.6.1";
+ # We never want X.Y.${odd} versions as they are moving development tags.
+ version = "2.6.2";
src = fetchFromGitHub {
owner = "SRI-CSL";
repo = "yices2";
rev = "Yices-${version}";
- sha256 = "04vf468spsh00jh7gj94cjnq8kjyfwy9l6r4z7l2pm0zgwkqgyhm";
+ sha256 = "1jx3854zxvfhxrdshbipxfgyq1yxb9ll9agjc2n0cj4vxkjyh9mn";
};
nativeBuildInputs = [ autoreconfHook ];
- buildInputs = [ gmp-static gperf libpoly ];
+ buildInputs = [ cudd gmp-static gperf libpoly ];
configureFlags =
[ "--with-static-gmp=${gmp-static.out}/lib/libgmp.a"
"--with-static-gmp-include-dir=${gmp-static.dev}/include"
@@ -25,12 +26,16 @@ stdenv.mkDerivation rec {
# Usual shenanigans
patchPhase = "patchShebangs tests/regress/check.sh";
- # Includes a fix for the embedded soname being libyices.so.2.5, but
- # only installing the libyices.so.2.5.x file.
+ # Includes a fix for the embedded soname being libyices.so.X.Y, but
+ # only installing the libyices.so.X.Y.Z file.
installPhase = let
ver_XdotY = lib.versions.majorMinor version;
in ''
make install LDCONFIG=true
+ # guard against packaging of unstable versions: they
+ # have a soname of hext (not current) release.
+ echo "Checking expected library version to be ${version}"
+ [ -f $out/lib/libyices.so.${version} ]
ln -sfr $out/lib/libyices.so.{${version},${ver_XdotY}}
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/z3/4.4.0.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/z3/4.4.0.nix
index 9b7dabeb720..2fbaa0a28ca 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/z3/4.4.0.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/z3/4.4.0.nix
@@ -19,7 +19,7 @@ stdenv.mkDerivation rec {
# z3's install phase is stupid because it tries to calculate the
# python package store location itself, meaning it'll attempt to
# write files into the nix store, and fail.
- soext = if stdenv.system == "x86_64-darwin" then ".dylib" else ".so";
+ soext = stdenv.hostPlatform.extensions.sharedLibrary;
installPhase = ''
mkdir -p $out/bin $out/lib/${python.libPrefix}/site-packages $out/include
cp ../src/api/z3*.h $out/include
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/z3/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/z3/default.nix
index e482a071bb4..4153ba5f66f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/z3/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/logic/z3/default.nix
@@ -19,13 +19,13 @@ with lib;
stdenv.mkDerivation rec {
pname = "z3";
- version = "4.8.10";
+ version = "4.8.12";
src = fetchFromGitHub {
owner = "Z3Prover";
repo = pname;
rev = "z3-${version}";
- sha256 = "1w1ym2l0gipvjx322npw7lhclv8rslq58gnj0d9i96masi3gbycf";
+ sha256 = "1wbcdc7h3mag8infspvxxja2hiz4igjwxzvss2kqar1rjj4ivfx0";
};
nativeBuildInputs = optional stdenv.hostPlatform.isDarwin fixDarwinDylibNames;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/fasttext/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/fasttext/default.nix
index d05f93a4653..a04ac5a6945 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/fasttext/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/fasttext/default.nix
@@ -18,6 +18,6 @@ stdenv.mkDerivation rec {
homepage = "https://fasttext.cc/";
license = licenses.mit;
platforms = platforms.unix;
- maintainers = [ maintainers.danieldk ];
+ maintainers = [ ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/finalfrontier/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/finalfrontier/default.nix
index 0dd9a19c95c..1644ca3d143 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/finalfrontier/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/finalfrontier/default.nix
@@ -46,6 +46,6 @@ rustPlatform.buildRustPackage rec {
description = "Utility for training word and subword embeddings";
homepage = "https://github.com/finalfusion/finalfrontier/";
license = licenses.asl20;
- maintainers = with maintainers; [ danieldk ];
+ maintainers = with maintainers; [ ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/finalfusion-utils/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/finalfusion-utils/default.nix
index 4c04b3c0736..77dbbd7cdd1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/finalfusion-utils/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/finalfusion-utils/default.nix
@@ -50,6 +50,6 @@ rustPlatform.buildRustPackage rec {
description = "Utility for converting, quantizing, and querying word embeddings";
homepage = "https://github.com/finalfusion/finalfusion-utils/";
license = licenses.asl20;
- maintainers = with maintainers; [ danieldk ];
+ maintainers = with maintainers; [ ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/shogun/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/shogun/default.nix
index 47b826078f2..a7010966c26 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/shogun/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/shogun/default.nix
@@ -8,6 +8,7 @@
# extra support
, pythonSupport ? true, pythonPackages ? null
, opencvSupport ? false, opencv ? null
+, withSvmLight ? false
}:
assert pythonSupport -> pythonPackages != null;
@@ -60,7 +61,7 @@ stdenv.mkDerivation rec {
url = "https://github.com/awild82/shogun/commit/365ce4c4c700736d2eec8ba6c975327a5ac2cd9b.patch";
sha256 = "158hqv4xzw648pmjbwrhxjp7qcppqa7kvriif87gn3zdn711c49s";
})
- ];
+ ] ++ lib.optional (!withSvmLight) ./svmlight-scrubber.patch;
CCACHE_DISABLE="1";
CCACHE_DIR=".ccache";
@@ -86,12 +87,29 @@ stdenv.mkDerivation rec {
(flag "CMAKE_VERBOSE_MAKEFILE:BOOL" doCheck)
(flag "PythonModular" pythonSupport)
(flag "OpenCV" opencvSupport)
+ (flag "USE_SVMLIGHT" withSvmLight)
];
+ postPatch = ''
+ # Fix preprocessing SVMlight code
+ sed -i \
+ -e 's@#ifdef SVMLIGHT@#ifdef USE_SVMLIGHT@' \
+ -e '/^#ifdef USE_SVMLIGHT/,/^#endif/ s@#endif@#endif //USE_SVMLIGHT@' \
+ src/shogun/kernel/string/CommUlongStringKernel.cpp
+ sed -i -e 's/#if USE_SVMLIGHT/#ifdef USE_SVMLIGHT/' src/interfaces/swig/Machine.i
+ sed -i -e 's@// USE_SVMLIGHT@//USE_SVMLIGHT@' src/interfaces/swig/Transfer.i
+ sed -i -e 's@/\* USE_SVMLIGHT \*/@//USE_SVMLIGHT@' src/interfaces/swig/Transfer_includes.i
+ '' + lib.optionalString (!withSvmLight) ''
+ # Run SVMlight scrubber
+ patchShebangs scripts/light-scrubber.sh
+ echo "removing SVMlight code"
+ ./scripts/light-scrubber.sh
+ '';
+
meta = with lib; {
description = "A toolbox which offers a wide range of efficient and unified machine learning methods";
homepage = "http://shogun-toolbox.org/";
- license = licenses.gpl3;
+ license = if withSvmLight then licenses.unfree else licenses.gpl3Plus;
maintainers = with maintainers; [ edwtjo ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/shogun/svmlight-scrubber.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/shogun/svmlight-scrubber.patch
new file mode 100644
index 00000000000..2958e6ce5da
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/machine-learning/shogun/svmlight-scrubber.patch
@@ -0,0 +1,76 @@
+From: Sebastián Mancilla
+Subject: Update SVMlight scrubber script
+
+This requires previously fixing a few wrong preprocessor directives that
+are supposed to fence code using SVMlight.
+
+- The script was too eager and removing *.light files in SVMlight format
+ that are used by other tests. The code reading those files doesn't use
+ any SVMlight code so it should be fine to keep it and run the tests.
+
+- The Python test *domainadaptationsvm.py was not removed because of
+ wrong globbing.
+
+- Remove a couple of examples using SVMlight that were missed.
+
+- The script is actually modifying (and breaking) itself because the
+ grep for the USE_SVMLIGHT macro is too eager again and matches itself
+ (and the version stored in upstream's Debian package control tarball
+ is broken because of it). Just fix it by grepping for preprocessor
+ directives only.
+
+- No need to fix the Transfer_includes.i file in the script with a final
+ %} when its preprocessor directives have been fixed.
+
+- The Swig files were moved to a new directory at some point but the
+ script was not updated accordingly.
+---
+ scripts/light-scrubber.sh | 16 ++++++----------
+ 1 file changed, 6 insertions(+), 10 deletions(-)
+
+diff a/scripts/light-scrubber.sh b/scripts/light-scrubber.sh
+--- a/scripts/light-scrubber.sh
++++ b/scripts/light-scrubber.sh
+@@ -26,14 +26,16 @@
+ # You should have received a copy of the GNU General Public License
+ # along with this program. If not, see .
+ #
+-rm -rf examples/*/*/{*light*,*_domainadaptationsvm_*} \
++rm -rf examples/*/*/{*light*.*,*domainadaptationsvm*} \
+ examples/undocumented/matlab_and_octave/tests/*light* \
++ examples/undocumented/python/serialization_string_kernels.py \
++ examples/undocumented/python/mkl_binclass.py \
+ src/shogun/classifier/svm/SVMLight.* \
+ src/shogun/classifier/svm/SVMLightOneClass.* \
+ src/shogun/regression/svr/SVRLight.* \
+ doc/md/LICENSE_SVMlight*
+
+-for _file in `grep -rl USE_SVMLIGHT .`
++grep -rl '^#ifdef USE_SVMLIGHT' . | while read -r _file
+ do
+ sed -i.orig -e \
+ '/\#ifdef USE_SVMLIGHT/,/\#endif \/\/USE_SVMLIGHT/c \\' ${_file} && \
+@@ -41,7 +43,7 @@ do
+ rm -rf ${_file}.orig
+ done
+
+-for _file in `find . -depth -name 'CMakeLists.txt'`
++find . -depth -name 'CMakeLists.txt' | while read -r _file
+ do
+ sed -i.orig -e 's!.*_sv[mr]light_.*!!g' ${_file} && \
+ touch -r ${_file}.orig ${_file} && \
+@@ -56,13 +58,7 @@ do
+ rm -rf ${_file}.orig
+ done
+
+-_file="src/interfaces/modular/Transfer_includes.i" && \
+-cp -a ${_file} ${_file}.orig && \
+-echo '%}' >> ${_file} && \
+-touch -r ${_file}.orig ${_file} && \
+-rm -rf ${_file}.orig
+-
+-_file="src/interfaces/modular/Machine.i" && \
++_file="src/interfaces/swig/Machine.i" && \
+ sed -i.orig -e '/.*CSVRLight.*/d' ${_file} && \
+ touch -r ${_file}.orig ${_file} && \
+ rm -rf ${_file}.orig
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/R/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/R/default.nix
index 5de644dfdfa..c26964bb314 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/R/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/R/default.nix
@@ -4,6 +4,7 @@
, curl, Cocoa, Foundation, libobjc, libcxx, tzdata
, withRecommendedPackages ? true
, enableStrictBarrier ? false
+, enableMemoryProfiling ? false
# R as of writing does not support outputting both .so and .a files; it outputs:
# --enable-R-static-lib conflicts with --enable-R-shlib and will be ignored
, static ? false
@@ -56,6 +57,7 @@ stdenv.mkDerivation rec {
--with-libtiff
--with-ICU
${lib.optionalString enableStrictBarrier "--enable-strict-barrier"}
+ ${lib.optionalString enableMemoryProfiling "--enable-memory-profiling"}
${if static then "--enable-R-static-lib" else "--enable-R-shlib"}
AR=$(type -p ar)
AWK=$(type -p gawk)
@@ -116,8 +118,7 @@ stdenv.mkDerivation rec {
'';
platforms = platforms.all;
- hydraPlatforms = platforms.linux;
- maintainers = with maintainers; [ peti ] ++ teams.sage.members;
+ maintainers = with maintainers; teams.sage.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/caffe/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/caffe/default.nix
index 08bf84be7c8..462a05d300c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/caffe/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/caffe/default.nix
@@ -91,7 +91,11 @@ stdenv.mkDerivation rec {
inherit (python.sourceVersion) major minor; # Should be changed in case of PyPy
});
- postPatch = lib.optionalString (cudaSupport && lib.versionAtLeast cudatoolkit.version "9.0") ''
+ postPatch = ''
+ substituteInPlace src/caffe/util/io.cpp --replace \
+ 'SetTotalBytesLimit(kProtoReadBytesLimit, 536870912)' \
+ 'SetTotalBytesLimit(kProtoReadBytesLimit)'
+ '' + lib.optionalString (cudaSupport && lib.versionAtLeast cudatoolkit.version "9.0") ''
# CUDA 9.0 doesn't support sm_20
sed -i 's,20 21(20) ,,' cmake/Cuda.cmake
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/cntk/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/cntk/default.nix
index c007490c94d..ba2225b903f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/cntk/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/cntk/default.nix
@@ -1,4 +1,5 @@
{ lib, stdenv, fetchgit, fetchFromGitHub, cmake
+, fetchpatch
, openblas, blas, lapack, opencv3, libzip, boost, protobuf, mpi
, onebitSGDSupport ? false
, cudaSupport ? false, addOpenGLRunpath, cudatoolkit, nvidia_x11
@@ -28,6 +29,26 @@ in stdenv.mkDerivation rec {
sha256 = "18l9k7s966a26ywcf7flqyhm61788pcb9fj3wk61jrmgkhy2pcns";
};
+ patches = [
+ # Fix build with protobuf 3.18+
+ # Remove with onnx submodule bump to 1.9+
+ (fetchpatch {
+ url = "https://github.com/onnx/onnx/commit/d3bc82770474761571f950347560d62a35d519d7.patch";
+ extraPrefix = "Source/CNTKv2LibraryDll/proto/onnx/onnx_repo/";
+ stripLen = 1;
+ sha256 = "00raqj8wx30b06ky6cdp5vvc1mrzs7hglyi6h58hchw5lhrwkzxp";
+ })
+ ];
+
+ postPatch = ''
+ # Fix build with protobuf 3.18+
+ substituteInPlace Source/CNTKv2LibraryDll/Serialization.cpp \
+ --replace 'SetTotalBytesLimit(INT_MAX, INT_MAX)' \
+ 'SetTotalBytesLimit(INT_MAX)' \
+ --replace 'SetTotalBytesLimit(limit, limit)' \
+ 'SetTotalBytesLimit(limit)'
+ '';
+
nativeBuildInputs = [ cmake ] ++ lib.optional cudaSupport addOpenGLRunpath;
# Force OpenMPI to use g++ in PATH.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/eukleides/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/eukleides/default.nix
index 6b6bfd7d6e5..0c3ef25909b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/eukleides/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/eukleides/default.nix
@@ -63,6 +63,5 @@ lib.fix (eukleides: stdenv.mkDerivation rec {
'';
platforms = lib.platforms.unix;
- maintainers = [ lib.maintainers.peti ];
};
})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/geogebra/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/geogebra/default.nix
index eb98b3ad20c..124caf4180c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/geogebra/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/geogebra/default.nix
@@ -1,7 +1,7 @@
{ lib, stdenv, fetchurl, jre, makeDesktopItem, makeWrapper, unzip, language ? "en_US" }:
let
pname = "geogebra";
- version = "5-0-644-0";
+ version = "5-0-662-0";
srcIcon = fetchurl {
url = "http://static.geogebra.org/images/geogebra-logo.svg";
@@ -41,9 +41,9 @@ let
src = fetchurl {
urls = [
"https://download.geogebra.org/installers/5.0/GeoGebra-Linux-Portable-${version}.tar.bz2"
- "https://web.archive.org/web/20210604132513/https://download.geogebra.org/installers/5.0/GeoGebra-Linux-Portable-${version}.tar.bz2"
+ "https://web.archive.org/web/20210910014320/https://download.geogebra.org/installers/5.0/GeoGebra-Linux-Portable-${version}.tar.bz2"
];
- sha256 = "dd992654175812d8770d94f063fc1430a743e8e0efcae03f51bf9a3a073c2522";
+ sha256 = "08gaiid5qgdznd9f8hb32m4qa90z7qlcx1cs7y0jr9ilnbmrgs8n";
};
nativeBuildInputs = [ makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/jags/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/jags/default.nix
index 2e598665134..5c0de33a71a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/jags/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/jags/default.nix
@@ -6,7 +6,11 @@ stdenv.mkDerivation rec {
url = "mirror://sourceforge/mcmc-jags/${name}.tar.gz";
sha256 = "1z3icccg2ic56vmhyrpinlsvpq7kcaflk1731rgpvz9bk1bxvica";
};
- buildInputs = [gfortran blas lapack];
+
+ nativeBuildInputs = [ gfortran ];
+
+ buildInputs = [ blas lapack ];
+
configureFlags = [ "--with-blas=-lblas" "--with-lapack=-llapack" ];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/maxima/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/maxima/default.nix
index 2b82a8f8170..3f295b416fb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/maxima/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/maxima/default.nix
@@ -113,6 +113,5 @@ stdenv.mkDerivation ({
'';
platforms = lib.platforms.unix;
- maintainers = [ lib.maintainers.peti ];
};
})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/nasc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/nasc/default.nix
index 431668f0c58..dffbdaa23aa 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/nasc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/nasc/default.nix
@@ -80,7 +80,7 @@ stdenv.mkDerivation rec {
the equations it’s used in.
'';
homepage = "https://github.com/parnold-x/nasc";
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
platforms = platforms.linux;
license = licenses.gpl3Plus;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/sage/sage-src.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/sage/sage-src.nix
index 1e1fd0a4261..3ba21cf6c8b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/sage/sage-src.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/sage/sage-src.nix
@@ -13,19 +13,41 @@ let
# Fetch a diff between `base` and `rev` on sage's git server.
# Used to fetch trac tickets by setting the `base` to the last release and the
# `rev` to the last commit of the ticket.
- fetchSageDiff = { base, name, rev, sha256, ...}@args: (
+ fetchSageDiff = { base, name, rev, sha256, squashed ? false, ...}@args: (
fetchpatch ({
inherit name sha256;
- # We used to use
- # "https://git.sagemath.org/sage.git/patch?id2=${base}&id=${rev}"
- # but the former way does not squash multiple patches together.
- url = "https://github.com/sagemath/sage/compare/${base}...${rev}.diff";
+ # There are three places to get changes from:
+ #
+ # 1) From Sage's Trac. Contains all release tags (like "9.4") and all developer
+ # branches (wip patches from tickets), but exports each commit as a separate
+ # patch, so merge commits can lead to conflicts. Used if squashed == false.
+ #
+ # 2) From GitHub's sagemath/sage repo. This lets us use a GH feature that allows
+ # us to choose between a .patch file, with one patch per commit, or a .diff file,
+ # which squashes all commits into a single diff. This is used if squashed ==
+ # true. This repo has all release tags. However, it has no developer branches, so
+ # this option can't be used if a change wasn't yet shipped in a (possibly beta)
+ # release.
+ #
+ # 3) From GitHub's sagemath/sagetrac-mirror repo. Mirrors all developer branches,
+ # but has no release tags. The only use case not covered by 1 or 2 is when we need
+ # to apply a patch from an open ticket that contains merge commits.
+ #
+ # Item 3 could cover all use cases if the sagemath/sagetrack-mirror repo had
+ # release tags, but it requires a sha instead of a release number in "base", which
+ # is inconvenient.
+ urls = if squashed
+ then [
+ "https://github.com/sagemath/sage/compare/${base}...${rev}.diff"
+ "https://github.com/sagemath/sagetrac-mirror/compare/${base}...${rev}.diff"
+ ]
+ else [ "https://git.sagemath.org/sage.git/patch?id2=${base}&id=${rev}" ];
# We don't care about sage's own build system (which builds all its dependencies).
# Exclude build system changes to avoid conflicts.
excludes = [ "build/*" ];
- } // builtins.removeAttrs args [ "rev" "base" "sha256" ])
+ } // builtins.removeAttrs args [ "rev" "base" "sha256" "squashed" ])
);
in
stdenv.mkDerivation rec {
@@ -80,6 +102,14 @@ stdenv.mkDerivation rec {
# now set the cache dir to be within the .sage directory. This is not
# strictly necessary, but keeps us from littering in the user's HOME.
./patches/sympow-cache.patch
+
+ # https://trac.sagemath.org/ticket/32305
+ (fetchSageDiff {
+ base = "9.4";
+ name = "networkx-2.6-upgrade.patch";
+ rev = "9808325853ba9eb035115e5b056305a1c9d362a0";
+ sha256 = "sha256-gJSqycCtbAVr5qnVEbHFUvIuTOvaxFIeffpzd6nH4DE=";
+ })
];
patches = nixPatches ++ bugfixPatches ++ packageUpgradePatches;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/sage/threejs-sage.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/sage/threejs-sage.nix
index 0e4ad4dee95..bc7230f333a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/sage/threejs-sage.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/sage/threejs-sage.nix
@@ -12,7 +12,8 @@ stdenv.mkDerivation rec {
};
installPhase = ''
- mkdir -p $out/lib/node_modules/three
- cp -r build version $out/lib/node_modules/three
+ mkdir -p "$out/lib/node_modules/three/"
+ cp version "$out/lib/node_modules/three"
+ cp -r build "$out/lib/node_modules/three/$(cat version)"
'';
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/scilab/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/scilab/default.nix
index 95c6c23fb09..4ce04340f3a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/scilab/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/scilab/default.nix
@@ -19,11 +19,12 @@ stdenv.mkDerivation rec {
sha256 = "1adk6jqlj7i3gjklvlf1j3il1nb22axnp4rvwl314an62siih0sc";
};
- buildInputs = [gfortran ncurses]
- ++ lib.optionals withGtk [gtk2]
- ++ lib.optionals withOCaml [ocaml]
- ++ lib.optional withX xlibsWrapper
- ;
+ nativeBuildInputs = [ gfortran ];
+
+ buildInputs = [ ncurses ]
+ ++ lib.optionals withGtk [ gtk2 ]
+ ++ lib.optionals withOCaml [ ocaml ]
+ ++ lib.optional withX xlibsWrapper;
/*
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/wxmaxima/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/wxmaxima/default.nix
index 2205e96383a..57e4a6f1d33 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/wxmaxima/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/math/wxmaxima/default.nix
@@ -26,6 +26,5 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
homepage = "https://wxmaxima-developers.github.io/wxmaxima/";
platforms = platforms.linux;
- maintainers = [ maintainers.peti ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/gplates/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/gplates/default.nix
index d0315d15967..67ab202160c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/gplates/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/gplates/default.nix
@@ -1,31 +1,69 @@
-{ lib, stdenv, fetchurl, qt4, qwt6_qt4, libGLU, libGL, glew, gdal, cgal
-, proj, boost, cmake, python2, doxygen, graphviz, gmp, mpfr }:
+{ lib
+, mkDerivation
+, fetchurl
+, cmake
+, doxygen
+, graphviz
+, boost
+, cgal_5
+, gdal
+, glew
+, gmp
+, libGL
+, libGLU
+, mpfr
+, proj
+, python3
+, qtxmlpatterns
+, qwt
+}:
-stdenv.mkDerivation rec {
+let
+ python = python3.withPackages (ps: with ps; [
+ numpy
+ ]);
+ boost' = boost.override {
+ enablePython = true;
+ inherit python;
+ };
+ cgal = cgal_5.override {
+ boost = boost';
+ };
+in mkDerivation rec {
pname = "gplates";
- version = "2.2.0";
+ version = "2.3.0";
src = fetchurl {
- url = "mirror://sourceforge/gplates/${pname}-${version}-unixsrc.tar.bz2";
- sha256 = "1jrcv498vpcs8xklhbsgg12yfa90f96p2mwq6x5sjnrlpf8mh50b";
+ name = "gplates_${version}_src.tar.bz2";
+ url = "https://www.earthbyte.org/download/8421/?uid=b89bb31428";
+ sha256 = "0lrcmcxc924ixddii8cyglqlwwxvk7f00g4yzbss5i3fgcbh8n96";
};
- nativeBuildInputs = [ cmake ];
- buildInputs = [
- qt4 qwt6_qt4 libGLU libGL glew gdal cgal proj python2
- doxygen graphviz gmp mpfr
- (boost.override {
- enablePython = true;
- python = python2;
- })
+ nativeBuildInputs = [
+ cmake
+ doxygen
+ graphviz
];
- NIX_CFLAGS_LINK="-ldl -lpthread -lutil";
+ buildInputs = [
+ boost'
+ cgal
+ gdal
+ glew
+ gmp
+ libGL
+ libGLU
+ mpfr
+ proj
+ python
+ qtxmlpatterns
+ qwt
+ ];
meta = with lib; {
description = "Desktop software for the interactive visualisation of plate-tectonics";
homepage = "https://www.gplates.org";
- license = licenses.gpl2;
+ license = licenses.gpl2Only;
platforms = platforms.all;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/combined/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/combined/default.nix
index 459a325111e..0c2220b7ea2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/combined/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/combined/default.nix
@@ -24,7 +24,7 @@ symlinkJoin {
description = "An open-source Modelica-based modeling and simulation environment intended for industrial and academic usage";
homepage = "https://openmodelica.org";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ smironov ];
+ maintainers = with maintainers; [ balodja smironov ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/mkderivation/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/mkderivation/default.nix
index 94029fead48..088fa83b7d9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/mkderivation/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/mkderivation/default.nix
@@ -87,7 +87,7 @@ stdenv.mkDerivation (pkg // {
inherit omtarget postPatch preAutoreconf configureFlags configurePhase preBuild makeFlags installFlags;
src = fetchgit (import ./src-main.nix);
- version = "1.17.0";
+ version = "1.18.0";
nativeBuildInputs = getAttrDef "nativeBuildInputs" [ ] pkg
++ [ autoconf automake libtool cmake autoreconfHook ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/mkderivation/src-main.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/mkderivation/src-main.nix
index c31b23d2f94..1ab8d9390db 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/mkderivation/src-main.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/mkderivation/src-main.nix
@@ -1,7 +1,7 @@
{
url = "https://github.com/OpenModelica/OpenModelica/";
- rev = "08fd3f9144235f209a4ed7602bfadb32b1823628";
- sha256 = "0clgqk9ilnr43iyl5sdzwfzqpnw9amfy1npdgkpgm1wfnsvz6xrw";
+ rev = "49be4faa5a625a18efbbd74cc2f5be86aeea37bb";
+ sha256 = "0klqiy4sdizl1djb9hb0arcvfcjz2mmnakrjx81mmxcbr8yq2016";
fetchSubmodules = true;
}
-# Update with: nix run -f ./nixpkgs/default.nix nix-prefetch-git -c nix-prefetch-git 'https://github.com/OpenModelica/OpenModelica/' 'v1.17.0' --fetch-submodules
+# Update with: nix run -f ./nixpkgs/default.nix nix-prefetch-git -c nix-prefetch-git 'https://github.com/OpenModelica/OpenModelica/' 'v1.18.0' --fetch-submodules
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omcompiler/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omcompiler/default.nix
index b49c0f0f60c..39591eceb40 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omcompiler/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omcompiler/default.nix
@@ -55,7 +55,7 @@ mkOpenModelicaDerivation ({
description = "Modelica compiler from OpenModelica suite";
homepage = "https://openmodelica.org";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ smironov ];
+ maintainers = with maintainers; [ balodja smironov ];
platforms = platforms.linux;
};
} // lib.optionalAttrs isCross {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omedit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omedit/default.nix
index b0cc530ba37..b24a43f702b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omedit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omedit/default.nix
@@ -32,7 +32,7 @@ mkOpenModelicaDerivation rec {
description = "A Modelica connection editor for OpenModelica";
homepage = "https://openmodelica.org";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ smironov ];
+ maintainers = with maintainers; [ balodja smironov ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omlibrary/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omlibrary/default.nix
index 006daf18812..a89b73eb866 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omlibrary/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omlibrary/default.nix
@@ -31,7 +31,7 @@ mkOpenModelicaDerivation {
including Modelica Standard Library";
homepage = "https://openmodelica.org";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ smironov ];
+ maintainers = with maintainers; [ balodja smironov ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omlibrary/src-libs.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omlibrary/src-libs.nix
index c91addf7804..dff5ee78936 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omlibrary/src-libs.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omlibrary/src-libs.nix
@@ -1,83 +1,81 @@
[
- { url = "https://github.com/modelica-3rdparty/AdvancedNoise.git"; rev = "5ce57acd279dadd0d25b76a6b02d3f9e9d061246"; sha256 = "07jjbj0y6bak269md3xniqb5lgc33m92ar5qixqxj5yxdjaahfs2"; fetchSubmodules = true; }
- { url = "https://github.com/RWTH-EBC/AixLib.git"; rev = "b00e01d911e2e54e148f24e36ee387a8b457d89c"; sha256 = "1sljddxkx208nill0975sz9b1xd701n97aia4wxihr140dgs4dgb"; fetchSubmodules = true; }
- { url = "https://github.com/RWTH-EBC/AixLib.git"; rev = "v0.4.0"; sha256 = "0dw34mjq29n55xh51g1c9a9d0d8gbpn16gj309dfxn4v2hbnfvzx"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/AlgebraTestSuite.git"; rev = "b937e1a7f447138c59abec9b2092f84f16bf02e8"; sha256 = "0406inasx61dk7vcnziiyhxkna7g61a5hn0znnbxj817hz6q11zn"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/ApproxSpline.git"; rev = "28420f5c1a88c9cd069defbd8c05e4a78a090675"; sha256 = "07gpyi2brj5zpvrlsnflqjnhbrgxvpqbdshp8lp4lh9mnj5jv95d"; fetchSubmodules = true; }
- { url = "https://github.com/OpenModelica/BioChem.git"; rev = "v1.0.2"; sha256 = "037bvj2lqrslg8k5r0rjgdzccslj9bj25b55k4g440vabm5p05qm"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/BondGraph.git"; rev = "20c23e60d12989bd4668ccac47659d82d39d29cc"; sha256 = "0yrkk708v4bvf423xb4zgpmnaj8qhq5primdg758ayddgli23wa9"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/BrineProp.git"; rev = "c2f564ae284726a2df6252a8561856691681572b"; sha256 = "01c2i2rlry7b4a6f2skkvzphcrfg5a2waxv4i7zgx5q275fg06i1"; fetchSubmodules = true; }
- { url = "https://github.com/EDF-TREE/BuildSysPro.git"; rev = "v3.3.0"; sha256 = "1cvcany3q9p1xndarxa2d8mmqxdnqk22476q8l61nayz5qy25x61"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/BuildingControlLib.git"; rev = "v1.0.0"; sha256 = "0ckdxway0m755mbrl94k4458sijzgknlzsrf7xs5bjymxchm8r2m"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/BuildingSystems.git"; rev = "1e07bb475b921a4eedc6155c5310d1f9f3ef7550"; sha256 = "1xg831vqh6zw88cxxcb3sjgz44l7ygsgxddl05fp6xvz5sjpfcna"; fetchSubmodules = true; }
- { url = "https://github.com/lbl-srg/modelica-buildings.git"; rev = "v6.0.0"; sha256 = "0rnnk1clji0myzr7adggki6knbl6v8381vwnqgiz8mkxbmzdwm4f"; fetchSubmodules = true; }
- { url = "https://github.com/lbl-srg/modelica-buildings.git"; rev = "v7.0.0"; sha256 = "04n04pp4zvyg8n8h7h79c3wyk7lmn940mh7qzs0lv76g1ybypnlz"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/Chemical.git"; rev = "5645573fced862430b7b598b4d7ec1a39c7aa0fa"; sha256 = "1kh7kpmjfz55pb8553srlnrh8l00nw21xf5mjzh7nx9b1rndnmyg"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/DeployStructLib.git"; rev = "v1.0"; sha256 = "1k4zw9lnd0javw4zigxc15l58yf7xdz36b7808g65qxy89w6ksr2"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/DisHeatLib.git"; rev = "b11f53379c122870a52f2da9b1705d2c911cd21d"; sha256 = "1vm96a4z0b40r0nisxrrzyvan4yphjdkx4ad655phva2636xb5rr"; fetchSubmodules = true; }
- { url = "https://github.com/AHaumer/DriveControl.git"; rev = "b7233fd97a92867bb4ec2c3647c7f7e888398644"; sha256 = "0nyp1n8wrkjzfypsmjjzac0g9p4wbc1cxxr040fj20bqdg9l3h1b"; fetchSubmodules = true; }
- # A broken one. The revision is lost.
- # { url = "https://github.com/AHaumer/EMOTH.git"; rev = "fa890c8c2781f0c0b2f8efe955ed8a27875dd9ac"; sha256 = ""; fetchSubmodules = true; }
- { url = "https://github.com/christiankral/ElectroMechanicalDrives.git"; rev = "v2.2.0"; sha256 = "0012phmn1y9fgpph45lwbjk0yhm5czidf2z6khm8lddvk93wf31b"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/ExternData.git"; rev = "v2.5.0"; sha256 = "19dsyq1mk5vl54fqaffzqafm5w94l011cy7pg16c7i933dbqnkki"; fetchSubmodules = true; }
- { url = "https://github.com/modelica/ExternalMedia.git"; rev = "159518edd538b64e28cd70983a9cc47730323cc4"; sha256 = "0qjd5fk65bln3s1jhs0cqcv54c22m6x2akbmxj09y4x0lkd1kgqn"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/ExternalMemoryLib.git"; rev = "6488d5815bda23c665123baa916789e283e16d2c"; sha256 = "06y1i5w690b3b9x23nzls8y67fl7yd7bn4xl5j0dmyi4qx33aqda"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/FMITest.git"; rev = "a67a276083f4010b249802ad8fc70dc30c09adfd"; sha256 = "0mg8jlvlwql2nsjiy7c3rdibv73bkfk149ac0450d5pc0hfn9mln"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/FailureModes.git"; rev = "v1.2.1"; sha256 = "1z8bwrld1rkydgssab5gnrd76frrbky8qxi1lvlaf2jidj6bzn1l"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/FaultTriggering.git"; rev = "v0.6.6"; sha256 = "0a08yyrbg4a49s0bgqgyds6pidx9xr47yspvl9bdak1mq34qibip"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/FeedDriveLibrary.git"; rev = "1.0.1"; sha256 = "15fi9dj6zgl0fr90cwxqjbpphj0dwrrmk74hf25j6zd85w2ycqdz"; fetchSubmodules = true; }
- { url = "https://github.com/DLR-SR/FractionalOrder.git"; rev = "99918820e346c362c3ad52d782c8215e5deeac4c"; sha256 = "1pycss6fqh86frfdbdfffjhaz09fz1558f9azgckhf8drx6ry1qs"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/Greenhouses-Library.git"; rev = "89ae0e8097eb0751abce2013d304fa5f9c09b885"; sha256 = "1q77xj6aysqsn3d7kjmcq7dihbw18iqm35ifzdi75xgf3cgwla4f"; fetchSubmodules = true; }
- { url = "https://github.com/christiankral/HanserModelica.git"; rev = "v1.1.0"; sha256 = "0zwkrhg2y42m18p4z51izrickiv1vikgz0z7fpjia4dbppckav8i"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/HelmholtzMedia.git"; rev = "3b4a4bca94d388744b2d045344ea2f9b0b4d405b"; sha256 = "17fzpan89075vb5vbhw5ylgxcdsmj2vjnmmka7cgzh06izb69nvh"; fetchSubmodules = true; }
- { url = "https://github.com/ibpsa/modelica-ibpsa.git"; rev = "v3.0.0"; sha256 = "0xwgfndlw76zfmiiqadl85l9na9igsqlmfcawx526sdw2lhhgics"; fetchSubmodules = true; }
- { url = "https://github.com/open-ideas/IDEAS.git"; rev = "v2.1.0"; sha256 = "0xp0zg6ib5536d5vl361lsn5w5faqdf6djhcmfxns629wjima8rn"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/IndustrialControlSystems.git"; rev = "v1.1.0"; sha256 = "1nvgx94iy1pws0768anrl7ssjlzslb5mbp21j7xvf6wpqfmj0npc"; fetchSubmodules = true; }
- { url = "https://github.com/christiankral/KeyWordIO.git"; rev = "v0.9.0"; sha256 = "10kvj6zn2r6m3403ja8nkkxbfcchkz0pfk3g70ibr76zivxb5nim"; fetchSubmodules = true; }
- { url = "https://github.com/FishSim/LibRAS.git"; rev = "fca9de50a484a2213f3ca1b39e275c237c471688"; sha256 = "0w1c87sifq8klq0f2l70qxjrlvahyxy1cx9rln80rni4d427yc1k"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/LinearMPC.git"; rev = "v1.0"; sha256 = "1crj60i5f33l9pgip0xbv6ankcga7px0644cj7c2wnzn1fjmn2k8"; fetchSubmodules = true; }
- { url = "https://github.com/looms-polimi/MEV.git"; rev = "v1.0.1"; sha256 = "1a7ih9lc01wzaq8a8aznggpi4aqnczyzq49q5hc4fqvmfwl7l0j3"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/ModPowerSystems.git"; rev = "df3afce27d5e935c4111f392275744a655abe216"; sha256 = "1b1fikm92lv6gj82imka3hxbjwv04i4h33y69yhcxdpqa6z6hm4z"; fetchSubmodules = true; }
- { url = "https://github.com/OpenModelica/OpenModelica-ModelicaStandardLibrary.git"; rev = "614a148f61c1ab5d6788d8c11197803132ec7c2f"; sha256 = "0fg0pbahybx3srv5npk8pw49k23kaw2ns6c00f15iy93mvfrmfsk"; fetchSubmodules = true; }
- { url = "https://github.com/OpenModelica/OpenModelica-ModelicaStandardLibrary.git"; rev = "34fe8cf3c7127ae09ca5f41e26b48fb6044e1e34"; sha256 = "0yz82k9dsp9d1jxqgxcm27fw1jz718km43qfginmgg0m9kfh2336"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/Modelica-Arduino.git"; rev = "v0.1.0"; sha256 = "1n34dksqhrn1synv2mp2ifk4dxyhp15f5v1jb1b3dbw9n19951qb"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/Modelica-GNU_ScientificLibrary.git"; rev = "9235ab28bdd7f0fe3e7abba48af53d73332858ec"; sha256 = "168g9gg12lfa863ifs41bnx6yd0yyjnal6986dgpm51dj5arw6id"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/Modelica-MVEM.git"; rev = "v1.0.1"; sha256 = "1p68691dnl06lgwm4bl9g036brn4vl7m5x3gq4rxc291339frixk"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/ModelicaADS.git"; rev = "v1.0.1"; sha256 = "0fhxrl07d7v3wa79d30psm1gxydc0p7s2akfirdx6dai0633skp9"; fetchSubmodules = true; }
- { url = "https://github.com/xogeny/ModelicaBook.git"; rev = "v0.6.0"; sha256 = "0yqbll6p738yvpi1x11cjngpz2glda07mljrkjlm23p7l53x63dc"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-compliance/compliance.git"; rev = "8a91e75d8a26acc4de30fc0e5d5e9db83c970bd6"; sha256 = "1cym1wlgsvfrryq8zqzzrgs4wam1l7pc20q07hk3d615nhq21lg6"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/ModelicaDFR.git"; rev = "37a441934d05330cf3d13e9ec551954d27eca84c"; sha256 = "13rpcs8cl9x15vi655150zmhmg1iaxpzvxrl3rqif46zpl5dhlj2"; fetchSubmodules = true; }
- { url = "https://github.com/modelica/Modelica_DeviceDrivers.git"; rev = "v1.8.2"; sha256 = "16c0p9zn0qrraz59ivinibmikdd251plm1vqngznzhksjwvz6bja"; fetchSubmodules = true; }
- { url = "https://github.com/modelica/Modelica_LinearSystems2.git"; rev = "v2.3.5"; sha256 = "0rzicynqgayydxqynnairxk7ybg4alv1xnfz8cgkrpicl2g9bacg"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/Modelica_Requirements.git"; rev = "a427b5cb7997e9036c577d219e6b8a5d0c28389a"; sha256 = "1ihx46kifnfi9kw1g8nmd9sarl766whbzdk6a44alczsya4gg45k"; fetchSubmodules = true; }
- { url = "https://github.com/modelica/Modelica_Synchronous.git"; rev = "c8350276bfd945086962cf4150ba941b9c57ed13"; sha256 = "12ad7fpjy50ky3lvl65r9d5xvlzvw5yqdnbp4rsgl3qw7s3wrmja"; fetchSubmodules = true; }
- { url = "https://github.com/jwindahlModelon/MultiPhaseMixtureMedia.git"; rev = "0bda0c58af6384f8e0edf7aa7520afb369af3e38"; sha256 = "11bqm69504bh4h05dxlwdmjfxwls06mr49cz47kl8jmrygkfi4i2"; fetchSubmodules = true; }
- { url = "https://github.com/OpenIPSL/OpenIPSL.git"; rev = "v1.5.0"; sha256 = "09xrcz0rdxdy220ki5zyl7920y0a4lg24p0aibna4ad15vszhhwj"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/Optimisers.git"; rev = "e33c69edaad6dad8029167b0ca00533964a6fe37"; sha256 = "0hcxsrr2n4fzaxdjvgvqayz38kpfk86cclvg5pzcfmjc5bznb8bs"; fetchSubmodules = true; }
- { url = "https://github.com/lochel/PNlib.git"; rev = "ab9b6b8527c0c78140365e7b105ae469d4954a64"; sha256 = "0y7bfbnvzv9bnz4v8wvmy42dji3cqpy5b2fmd2jj0rhlzs1infzh"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/PVSystems.git"; rev = "v0.6.2"; sha256 = "0vcgvdaqfbn46lpzk0kvsif3d55wf8yzhkbdpf5zv04kv7zw25w9"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/PhotoVoltaics.git"; rev = "v1.6.0"; sha256 = "0zqx77z217iln3vfxn2v3c2jl0jz5kgcd96ylvimjnwr30mxr09n"; fetchSubmodules = true; }
- { url = "https://github.com/MarekMatejak/Physiolibrary.git"; rev = "v2.3.1"; sha256 = "0nxfw63m278gaff18zz29n2s1vk4kwdbv2qvbjmcq86fl1i5b3bg"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/Physiomodel.git"; rev = "v1.0.0"; sha256 = "1sdhv5qgjqv3zdq57pkkrh04ainwv9n5zqd8mb9a3ybjmwdjf6f9"; fetchSubmodules = true; }
- { url = "https://github.com/dzimmer/PlanarMechanics.git"; rev = "55224a9e76de8aa7f708236bd4d7dee624ecba50"; sha256 = "0hf7vi44adss86x5ahk5if7bdjgw773d8mb3d8ianq12g8azycyd"; fetchSubmodules = true; }
- { url = "https://github.com/PowerGrids/PowerGrids.git"; rev = "v1.0.0"; sha256 = "06bx8mqvmizhfwg99djdfgh2mblc4wzmg0zq4ilrp586jwfninmz"; fetchSubmodules = true; }
- { url = "https://github.com/modelica/PowerSystems.git"; rev = "v1.0.0"; sha256 = "1xwhwich7gi6vl33zl2r78xdjklchgkjcnvww6390j20l1wjznkn"; fetchSubmodules = true; }
- { url = "https://github.com/modelica/PowerSystems.git"; rev = "7369976265a9d7b62097340aba5e463c62cc5061"; sha256 = "1f0h148v2g057l6ixf646d8ymsx1jzqn14xlram8h62la2k6nmvw"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/RealTimeCoordinationLibrary.git"; rev = "v1.0.2"; sha256 = "0ch4la04hm059ii5wzph9gsbvqhnfqrvvpqi57qn27bm10c4la0m"; fetchSubmodules = true; }
- { url = "https://github.com/casella/ScalableTestSuite.git"; rev = "v1.11.5"; sha256 = "0bhj1q9b8d29nrbr253zszy1w1yvyizvyr3law1pqjj6mhbqmg4i"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/Servomechanisms.git"; rev = "3bf82ba5d3f31b4a0ae05f99ae690037358e153e"; sha256 = "1swka7d58wkg5pqv59lqgfi7gv6rg5vra4j6r76pn9czx9ddal8w"; fetchSubmodules = true; }
- { url = "https://github.com/SolarTherm/SolarTherm.git"; rev = "d80fc335d1fa5d1628c45c2e73204bcb8a614b21"; sha256 = "18d8cqlh0ic2yfcxzkz0ar9z19486z9x4sc7c9mpggxib28p39aa"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/Soltermica.git"; rev = "9f7224bd89335f95dffe1ccdaa094df5a3279fdf"; sha256 = "1bif3cnwjas6x7b8ahwkm7dbrqrfdqwwa26zmdc6zrpfncl3kqd0"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/SystemDynamics.git"; rev = "2f6bd9382c5aac2aff9148cd9113a418767734b6"; sha256 = "0ii2mj6ngwjir3gzyad8wsj86pvd6wzal91nz2y7gzwj1djchb3x"; fetchSubmodules = true; }
- { url = "https://github.com/thom-marx/ThermalSeparation.git"; rev = "ffa0495ba829ecab105be4bfb3b7652625ec9c03"; sha256 = "1czm97bcrpp2jv0a0kd31a929wqlrlzdhdxvyy4w499dn20jzv1l"; fetchSubmodules = true; }
- { url = "https://github.com/casella/ThermoPower.git"; rev = "82d21eba0d330005899dd50a6a0ceb7d09c4caeb"; sha256 = "0n83b40hjisy7lpnbz692947d2q3hw5hk4rak7fg0w5dbm4i719p"; fetchSubmodules = true; }
- { url = "https://openmodelica.org/git/ThermoSysPro.git"; rev = "db81ae1b5a6a85f6c6c7693244cafa6087e18ff5"; sha256 = "12fsf0xxxc1ja6vmm9ff85f8j5sg1lb7w4g57s2w3fkf4d3a7d0c"; fetchSubmodules = true; }
- { url = "https://openmodelica.org/git/ThermoSysPro.git"; rev = "5cef9acb4dedf8af6f4638a4448f08a544ebd30b"; sha256 = "0ihnz1s4rs42yis9zym9nw29ia2lqz2yx2wblc50p6f221w7q78s"; fetchSubmodules = true; }
- { url = "https://github.com/lenaRB/VVDRlib.git"; rev = "eae4981674642eddffc7f2aa3690320fcaddee0e"; sha256 = "0qxxk2xlas5mqyc1h8ndic208qj1sm5mr5y8664kv3py7i8jdqi4"; fetchSubmodules = true; }
- { url = "https://github.com/modelica/VehicleInterfaces.git"; rev = "v1.2.5"; sha256 = "044k17cpc88wprrvw03p6crm6dy6x9a6xj5104d5nln71lqz5sdq"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/WasteWater.git"; rev = "v2.1.0"; sha256 = "1dxr4m9j7b5266daj4klbrhvnkqr73sximdw9bk9v5qf0s28li99"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/WindPowerPlants.git"; rev = "v1.2.0"; sha256 = "1lyrqwsb6sm1wc7vlj72zk5cpjhhzh27fviiqayddqy2b903xish"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/ipsl.git"; rev = "v1.1.1"; sha256 = "1w2iah8c5d8n01wmxydjk0rrcxh88g8yjy2zmv403azcccq7byzp"; fetchSubmodules = true; }
- { url = "https://github.com/modelica-3rdparty/netCDF-DataReader.git"; rev = "v2.5.0"; sha256 = "1pd5xf5bgz010lryv8bj6lvlfqn9p184csiffwj8icx7rycnlcqb"; fetchSubmodules = true; }
- { url = "https://github.com/joewa/open-bldc-modelica.git"; rev = "58a83b5b36f267613de4676c95163489b1ddc2e7"; sha256 = "0wf6dn64d2psv9b3xg5227vzpk109r3dqzi4m2wwhrilaxs3v004"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/AdvancedNoise.git"; rev = "5ce57acd279dadd0d25b76a6b02d3f9e9d061246"; sha256 = "07jjbj0y6bak269md3xniqb5lgc33m92ar5qixqxj5yxdjaahfs2"; fetchSubmodules = true; }
+{ url = "https://github.com/RWTH-EBC/AixLib.git"; rev = "65e49ddf5c935846888a61aa303e52c909619079"; sha256 = "18xn8j3x3j4x9bpjgqnq0b6p3yzzsg5n62fv1ldqbbjcmi0vimd5"; fetchSubmodules = true; }
+{ url = "https://github.com/RWTH-EBC/AixLib.git"; rev = "v0.4.0"; sha256 = "0dw34mjq29n55xh51g1c9a9d0d8gbpn16gj309dfxn4v2hbnfvzx"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/AlgebraTestSuite.git"; rev = "b937e1a7f447138c59abec9b2092f84f16bf02e8"; sha256 = "0406inasx61dk7vcnziiyhxkna7g61a5hn0znnbxj817hz6q11zn"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/ApproxSpline.git"; rev = "28420f5c1a88c9cd069defbd8c05e4a78a090675"; sha256 = "07gpyi2brj5zpvrlsnflqjnhbrgxvpqbdshp8lp4lh9mnj5jv95d"; fetchSubmodules = true; }
+{ url = "https://github.com/OpenModelica/BioChem.git"; rev = "v1.0.2"; sha256 = "037bvj2lqrslg8k5r0rjgdzccslj9bj25b55k4g440vabm5p05qm"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/BondGraph.git"; rev = "20c23e60d12989bd4668ccac47659d82d39d29cc"; sha256 = "0yrkk708v4bvf423xb4zgpmnaj8qhq5primdg758ayddgli23wa9"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/BrineProp.git"; rev = "834fb3519ca8f89efe268582d39d00a7c3991150"; sha256 = "1iwqh4kr36wgxc0gci63gdgbqln2sap1w4bkydk1vkss2s302lg4"; fetchSubmodules = true; }
+{ url = "https://github.com/EDF-TREE/BuildSysPro.git"; rev = "v3.3.0"; sha256 = "1cvcany3q9p1xndarxa2d8mmqxdnqk22476q8l61nayz5qy25x61"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/BuildingControlLib.git"; rev = "v1.0.0"; sha256 = "0ckdxway0m755mbrl94k4458sijzgknlzsrf7xs5bjymxchm8r2m"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/BuildingSystems.git"; rev = "c3070d48015ee75c1577f349cb388a498bef7270"; sha256 = "0r876wm6f1xx4cli1lqlylpl3zgaddmy06hcafbnzry9j38vbz4y"; fetchSubmodules = true; }
+{ url = "https://github.com/lbl-srg/modelica-buildings.git"; rev = "v6.0.0"; sha256 = "0rnnk1clji0myzr7adggki6knbl6v8381vwnqgiz8mkxbmzdwm4f"; fetchSubmodules = true; }
+{ url = "https://github.com/lbl-srg/modelica-buildings.git"; rev = "v7.0.0"; sha256 = "04n04pp4zvyg8n8h7h79c3wyk7lmn940mh7qzs0lv76g1ybypnlz"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/Chemical.git"; rev = "5645573fced862430b7b598b4d7ec1a39c7aa0fa"; sha256 = "1kh7kpmjfz55pb8553srlnrh8l00nw21xf5mjzh7nx9b1rndnmyg"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/DeployStructLib.git"; rev = "v1.0"; sha256 = "1k4zw9lnd0javw4zigxc15l58yf7xdz36b7808g65qxy89w6ksr2"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/DisHeatLib.git"; rev = "b11f53379c122870a52f2da9b1705d2c911cd21d"; sha256 = "1vm96a4z0b40r0nisxrrzyvan4yphjdkx4ad655phva2636xb5rr"; fetchSubmodules = true; }
+{ url = "https://github.com/AHaumer/DriveControl.git"; rev = "b7233fd97a92867bb4ec2c3647c7f7e888398644"; sha256 = "0nyp1n8wrkjzfypsmjjzac0g9p4wbc1cxxr040fj20bqdg9l3h1b"; fetchSubmodules = true; }
+{ url = "https://github.com/christiankral/ElectroMechanicalDrives.git"; rev = "v2.2.0"; sha256 = "0012phmn1y9fgpph45lwbjk0yhm5czidf2z6khm8lddvk93wf31b"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/ExternData.git"; rev = "v2.5.0"; sha256 = "19dsyq1mk5vl54fqaffzqafm5w94l011cy7pg16c7i933dbqnkki"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica/ExternalMedia.git"; rev = "6138312c96142ff3c01190147e6277991bfa2fca"; sha256 = "1d9g2hbdvgz13j7kdi1kglkkllj9f00x3dwdp5piyypvs464jsn5"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/ExternalMemoryLib.git"; rev = "6488d5815bda23c665123baa916789e283e16d2c"; sha256 = "06y1i5w690b3b9x23nzls8y67fl7yd7bn4xl5j0dmyi4qx33aqda"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/FMITest.git"; rev = "a67a276083f4010b249802ad8fc70dc30c09adfd"; sha256 = "0mg8jlvlwql2nsjiy7c3rdibv73bkfk149ac0450d5pc0hfn9mln"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/FailureModes.git"; rev = "v1.2.1"; sha256 = "1z8bwrld1rkydgssab5gnrd76frrbky8qxi1lvlaf2jidj6bzn1l"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/FaultTriggering.git"; rev = "v0.6.6"; sha256 = "0a08yyrbg4a49s0bgqgyds6pidx9xr47yspvl9bdak1mq34qibip"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/FeedDriveLibrary.git"; rev = "1.0.1"; sha256 = "15fi9dj6zgl0fr90cwxqjbpphj0dwrrmk74hf25j6zd85w2ycqdz"; fetchSubmodules = true; }
+{ url = "https://github.com/DLR-SR/FractionalOrder.git"; rev = "99918820e346c362c3ad52d782c8215e5deeac4c"; sha256 = "1pycss6fqh86frfdbdfffjhaz09fz1558f9azgckhf8drx6ry1qs"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/Greenhouses-Library.git"; rev = "89ae0e8097eb0751abce2013d304fa5f9c09b885"; sha256 = "1q77xj6aysqsn3d7kjmcq7dihbw18iqm35ifzdi75xgf3cgwla4f"; fetchSubmodules = true; }
+{ url = "https://github.com/christiankral/HanserModelica.git"; rev = "v1.1.0"; sha256 = "0zwkrhg2y42m18p4z51izrickiv1vikgz0z7fpjia4dbppckav8i"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/HelmholtzMedia.git"; rev = "3b4a4bca94d388744b2d045344ea2f9b0b4d405b"; sha256 = "17fzpan89075vb5vbhw5ylgxcdsmj2vjnmmka7cgzh06izb69nvh"; fetchSubmodules = true; }
+{ url = "https://github.com/ibpsa/modelica-ibpsa.git"; rev = "v3.0.0"; sha256 = "0xwgfndlw76zfmiiqadl85l9na9igsqlmfcawx526sdw2lhhgics"; fetchSubmodules = true; }
+{ url = "https://github.com/open-ideas/IDEAS.git"; rev = "v2.1.0"; sha256 = "0xp0zg6ib5536d5vl361lsn5w5faqdf6djhcmfxns629wjima8rn"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/IndustrialControlSystems.git"; rev = "v1.1.0"; sha256 = "1nvgx94iy1pws0768anrl7ssjlzslb5mbp21j7xvf6wpqfmj0npc"; fetchSubmodules = true; }
+{ url = "https://github.com/christiankral/KeyWordIO.git"; rev = "v0.9.0"; sha256 = "10kvj6zn2r6m3403ja8nkkxbfcchkz0pfk3g70ibr76zivxb5nim"; fetchSubmodules = true; }
+{ url = "https://github.com/FishSim/LibRAS.git"; rev = "fca9de50a484a2213f3ca1b39e275c237c471688"; sha256 = "0w1c87sifq8klq0f2l70qxjrlvahyxy1cx9rln80rni4d427yc1k"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/LinearMPC.git"; rev = "v1.0"; sha256 = "1crj60i5f33l9pgip0xbv6ankcga7px0644cj7c2wnzn1fjmn2k8"; fetchSubmodules = true; }
+{ url = "https://github.com/looms-polimi/MEV.git"; rev = "v1.0.1"; sha256 = "1a7ih9lc01wzaq8a8aznggpi4aqnczyzq49q5hc4fqvmfwl7l0j3"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/ModPowerSystems.git"; rev = "df3afce27d5e935c4111f392275744a655abe216"; sha256 = "1b1fikm92lv6gj82imka3hxbjwv04i4h33y69yhcxdpqa6z6hm4z"; fetchSubmodules = true; }
+{ url = "https://github.com/OpenModelica/OpenModelica-ModelicaStandardLibrary.git"; rev = "4a91d52248b0f17415bba1d58881fc730bd94215"; sha256 = "19caxz6hvlrsls3b2387a24zwwnykbb138jpb42gwpy8jlh93yzi"; fetchSubmodules = true; }
+{ url = "https://github.com/OpenModelica/OpenModelica-ModelicaStandardLibrary.git"; rev = "cab27240a4a3ed4ea137226f056bbc0d79543f7a"; sha256 = "06y911i2hs7hg4ykhb8wngvxhwnaww8rsakwa7ssd047a7glzsb0"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/Modelica-Arduino.git"; rev = "v0.1.0"; sha256 = "1n34dksqhrn1synv2mp2ifk4dxyhp15f5v1jb1b3dbw9n19951qb"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/Modelica-GNU_ScientificLibrary.git"; rev = "9235ab28bdd7f0fe3e7abba48af53d73332858ec"; sha256 = "168g9gg12lfa863ifs41bnx6yd0yyjnal6986dgpm51dj5arw6id"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/Modelica-MVEM.git"; rev = "v1.0.1"; sha256 = "1p68691dnl06lgwm4bl9g036brn4vl7m5x3gq4rxc291339frixk"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/ModelicaADS.git"; rev = "v1.0.1"; sha256 = "0fhxrl07d7v3wa79d30psm1gxydc0p7s2akfirdx6dai0633skp9"; fetchSubmodules = true; }
+{ url = "https://github.com/xogeny/ModelicaBook.git"; rev = "v0.6.0"; sha256 = "0yqbll6p738yvpi1x11cjngpz2glda07mljrkjlm23p7l53x63dc"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-compliance/compliance.git"; rev = "8a91e75d8a26acc4de30fc0e5d5e9db83c970bd6"; sha256 = "1cym1wlgsvfrryq8zqzzrgs4wam1l7pc20q07hk3d615nhq21lg6"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/ModelicaDFR.git"; rev = "37a441934d05330cf3d13e9ec551954d27eca84c"; sha256 = "13rpcs8cl9x15vi655150zmhmg1iaxpzvxrl3rqif46zpl5dhlj2"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica/Modelica_DeviceDrivers.git"; rev = "v1.8.2"; sha256 = "16c0p9zn0qrraz59ivinibmikdd251plm1vqngznzhksjwvz6bja"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica/Modelica_LinearSystems2.git"; rev = "v2.3.5"; sha256 = "0rzicynqgayydxqynnairxk7ybg4alv1xnfz8cgkrpicl2g9bacg"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/Modelica_Requirements.git"; rev = "a427b5cb7997e9036c577d219e6b8a5d0c28389a"; sha256 = "1ihx46kifnfi9kw1g8nmd9sarl766whbzdk6a44alczsya4gg45k"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica/Modelica_Synchronous.git"; rev = "c8350276bfd945086962cf4150ba941b9c57ed13"; sha256 = "12ad7fpjy50ky3lvl65r9d5xvlzvw5yqdnbp4rsgl3qw7s3wrmja"; fetchSubmodules = true; }
+{ url = "https://github.com/jwindahlModelon/MultiPhaseMixtureMedia.git"; rev = "0bda0c58af6384f8e0edf7aa7520afb369af3e38"; sha256 = "11bqm69504bh4h05dxlwdmjfxwls06mr49cz47kl8jmrygkfi4i2"; fetchSubmodules = true; }
+{ url = "https://github.com/OpenIPSL/OpenIPSL.git"; rev = "v1.5.0"; sha256 = "09xrcz0rdxdy220ki5zyl7920y0a4lg24p0aibna4ad15vszhhwj"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/Optimisers.git"; rev = "e33c69edaad6dad8029167b0ca00533964a6fe37"; sha256 = "0hcxsrr2n4fzaxdjvgvqayz38kpfk86cclvg5pzcfmjc5bznb8bs"; fetchSubmodules = true; }
+{ url = "https://github.com/lochel/PNlib.git"; rev = "059545d48dd9ceeccfa3b4e47689ec8dd334dcd8"; sha256 = "1a0hxkgsi4klw9c8zav1dy2p1c85ald29gx82hfacwv55xl9f127"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/PVSystems.git"; rev = "v0.6.2"; sha256 = "0vcgvdaqfbn46lpzk0kvsif3d55wf8yzhkbdpf5zv04kv7zw25w9"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/PhotoVoltaics.git"; rev = "v1.6.0"; sha256 = "0zqx77z217iln3vfxn2v3c2jl0jz5kgcd96ylvimjnwr30mxr09n"; fetchSubmodules = true; }
+{ url = "https://github.com/MarekMatejak/Physiolibrary.git"; rev = "v2.3.1"; sha256 = "0nxfw63m278gaff18zz29n2s1vk4kwdbv2qvbjmcq86fl1i5b3bg"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/Physiomodel.git"; rev = "v1.0.0"; sha256 = "1sdhv5qgjqv3zdq57pkkrh04ainwv9n5zqd8mb9a3ybjmwdjf6f9"; fetchSubmodules = true; }
+{ url = "https://github.com/dzimmer/PlanarMechanics.git"; rev = "55224a9e76de8aa7f708236bd4d7dee624ecba50"; sha256 = "0hf7vi44adss86x5ahk5if7bdjgw773d8mb3d8ianq12g8azycyd"; fetchSubmodules = true; }
+{ url = "https://github.com/PowerGrids/PowerGrids.git"; rev = "v1.0.0"; sha256 = "06bx8mqvmizhfwg99djdfgh2mblc4wzmg0zq4ilrp586jwfninmz"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica/PowerSystems.git"; rev = "v1.0.0"; sha256 = "1xwhwich7gi6vl33zl2r78xdjklchgkjcnvww6390j20l1wjznkn"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica/PowerSystems.git"; rev = "f0721333f4875143565147a7d043bee1c300873b"; sha256 = "0gbvx0gzf3akb0w7yvdxfq2y4ps91cy5b93iwnvnw7652x716813"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/RealTimeCoordinationLibrary.git"; rev = "v1.0.2"; sha256 = "0ch4la04hm059ii5wzph9gsbvqhnfqrvvpqi57qn27bm10c4la0m"; fetchSubmodules = true; }
+{ url = "https://github.com/casella/ScalableTestSuite.git"; rev = "v1.11.5"; sha256 = "0bhj1q9b8d29nrbr253zszy1w1yvyizvyr3law1pqjj6mhbqmg4i"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/Servomechanisms.git"; rev = "3bf82ba5d3f31b4a0ae05f99ae690037358e153e"; sha256 = "1swka7d58wkg5pqv59lqgfi7gv6rg5vra4j6r76pn9czx9ddal8w"; fetchSubmodules = true; }
+{ url = "https://github.com/SolarTherm/SolarTherm.git"; rev = "203fb5af3b95c731c7fcbe2833d51fd420e80796"; sha256 = "1bh4y4igzd0k59xm8j14p52gnlbwkiwwy6bhhyarpr361yrchn33"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/Soltermica.git"; rev = "9f7224bd89335f95dffe1ccdaa094df5a3279fdf"; sha256 = "1bif3cnwjas6x7b8ahwkm7dbrqrfdqwwa26zmdc6zrpfncl3kqd0"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/SystemDynamics.git"; rev = "2f6bd9382c5aac2aff9148cd9113a418767734b6"; sha256 = "0ii2mj6ngwjir3gzyad8wsj86pvd6wzal91nz2y7gzwj1djchb3x"; fetchSubmodules = true; }
+{ url = "https://github.com/thom-marx/ThermalSeparation.git"; rev = "ffa0495ba829ecab105be4bfb3b7652625ec9c03"; sha256 = "1czm97bcrpp2jv0a0kd31a929wqlrlzdhdxvyy4w499dn20jzv1l"; fetchSubmodules = true; }
+{ url = "https://github.com/casella/ThermoPower.git"; rev = "650be2c8cbd5abc3535e92b865e509073afc8aeb"; sha256 = "08ijrx8xw43dadz5s3kiwa17ax9faq2wyq9gm0vlz9ddbkj0hcaq"; fetchSubmodules = true; }
+{ url = "https://openmodelica.org/git/ThermoSysPro.git"; rev = "db81ae1b5a6a85f6c6c7693244cafa6087e18ff5"; sha256 = "12fsf0xxxc1ja6vmm9ff85f8j5sg1lb7w4g57s2w3fkf4d3a7d0c"; fetchSubmodules = true; }
+{ url = "https://openmodelica.org/git/ThermoSysPro.git"; rev = "5cef9acb4dedf8af6f4638a4448f08a544ebd30b"; sha256 = "0ihnz1s4rs42yis9zym9nw29ia2lqz2yx2wblc50p6f221w7q78s"; fetchSubmodules = true; }
+{ url = "https://github.com/lenaRB/VVDRlib.git"; rev = "eae4981674642eddffc7f2aa3690320fcaddee0e"; sha256 = "0qxxk2xlas5mqyc1h8ndic208qj1sm5mr5y8664kv3py7i8jdqi4"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica/VehicleInterfaces.git"; rev = "v1.2.5"; sha256 = "044k17cpc88wprrvw03p6crm6dy6x9a6xj5104d5nln71lqz5sdq"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/WasteWater.git"; rev = "v2.1.0"; sha256 = "1dxr4m9j7b5266daj4klbrhvnkqr73sximdw9bk9v5qf0s28li99"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/WindPowerPlants.git"; rev = "v1.2.0"; sha256 = "1lyrqwsb6sm1wc7vlj72zk5cpjhhzh27fviiqayddqy2b903xish"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/ipsl.git"; rev = "v1.1.1"; sha256 = "1w2iah8c5d8n01wmxydjk0rrcxh88g8yjy2zmv403azcccq7byzp"; fetchSubmodules = true; }
+{ url = "https://github.com/modelica-3rdparty/netCDF-DataReader.git"; rev = "v2.5.0"; sha256 = "1pd5xf5bgz010lryv8bj6lvlfqn9p184csiffwj8icx7rycnlcqb"; fetchSubmodules = true; }
+{ url = "https://github.com/joewa/open-bldc-modelica.git"; rev = "58a83b5b36f267613de4676c95163489b1ddc2e7"; sha256 = "0wf6dn64d2psv9b3xg5227vzpk109r3dqzi4m2wwhrilaxs3v004"; fetchSubmodules = true; }
]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omparser/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omparser/default.nix
index fcf5acd29ee..cbf8f2255e7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omparser/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omparser/default.nix
@@ -22,7 +22,7 @@ mkOpenModelicaDerivation rec {
suite";
homepage = "https://openmodelica.org";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ smironov ];
+ maintainers = with maintainers; [ balodja smironov ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omplot/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omplot/default.nix
index 7edc4b6efb2..51ab89407f4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omplot/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omplot/default.nix
@@ -28,7 +28,7 @@ mkOpenModelicaDerivation rec {
description = "Plotting tool for OpenModelica-generated results files";
homepage = "https://openmodelica.org";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ smironov ];
+ maintainers = with maintainers; [ balodja smironov ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omshell/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omshell/default.nix
index 2f8c5203c07..3c39d62f92d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omshell/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omshell/default.nix
@@ -34,7 +34,7 @@ mkOpenModelicaDerivation rec {
description = "Interactive OpenModelica session shell";
homepage = "https://openmodelica.org";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ smironov ];
+ maintainers = with maintainers; [ balodja smironov ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omsimulator/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omsimulator/default.nix
index 448cdee6c26..d91d427a077 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omsimulator/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/openmodelica/omsimulator/default.nix
@@ -20,7 +20,7 @@ mkOpenModelicaDerivation rec {
description = "The OpenModelica FMI & SSP-based co-simulation environment";
homepage = "https://openmodelica.org";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ smironov ];
+ maintainers = with maintainers; [ balodja smironov ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/root/5.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/root/5.nix
index 0da3014507a..715c5c90cbc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/root/5.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/root/5.nix
@@ -47,6 +47,17 @@ stdenv.mkDerivation rec {
# disable dictionary generation for stuff that includes libc headers
# our glibc requires a modern compiler
./disable_libc_dicts_root5.patch
+
+ (fetchpatch {
+ name = "root5-gcc9-fix.patch";
+ url = "https://github.com/root-project/root/commit/348f30a6a3b5905ef734a7bd318bc0ee8bca6dc9.diff";
+ sha256 = "0dvrsrkpacyn5z87374swpy7aciv9a8s6m61b4iqd7a956r67rn3";
+ })
+ (fetchpatch {
+ name = "root5-gcc10-fix.patch";
+ url = "https://github.com/root-project/root/commit/3c243b18768d3c3501faf3ca4e4acfc071021350.diff";
+ sha256 = "1hjmgnp4zx6im8ps78673x0rrhmfyy1nffhgxjlfl1r2z8cq210z";
+ })
];
preConfigure = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/root/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/root/default.nix
index 8aa9006d523..4ac41690813 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/root/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/misc/root/default.nix
@@ -1,6 +1,7 @@
{ stdenv
, lib
, fetchurl
+, fetchpatch
, makeWrapper
, cmake
, git
@@ -15,6 +16,7 @@
, libGLU
, libGL
, libxml2
+, llvm_9
, lz4
, xz
, pcre
@@ -29,6 +31,7 @@
, libjpeg
, libtiff
, libpng
+, tbb
, Cocoa
, CoreSymbolication
, OpenGL
@@ -37,11 +40,11 @@
stdenv.mkDerivation rec {
pname = "root";
- version = "6.24.02";
+ version = "6.24.06";
src = fetchurl {
url = "https://root.cern.ch/download/root_v${version}.source.tar.gz";
- sha256 = "sha256-BQfhCV4nnMxyQPZR0llmAkMlF5+oWhJZtpS1ZyOtfBw=";
+ sha256 = "sha256-kH9p9LrKHk8w7rSXlZjKdZm2qoA8oEboDiW2u6oO9SI=";
};
nativeBuildInputs = [ makeWrapper cmake pkg-config git ];
@@ -53,6 +56,7 @@ stdenv.mkDerivation rec {
zlib
zstd
libxml2
+ llvm_9
lz4
xz
gsl
@@ -64,6 +68,7 @@ stdenv.mkDerivation rec {
libpng
nlohmann_json
python.pkgs.numpy
+ tbb
]
++ lib.optionals (!stdenv.isDarwin) [ libX11 libXpm libXft libXext libGLU libGL ]
++ lib.optionals (stdenv.isDarwin) [ Cocoa CoreSymbolication OpenGL ]
@@ -71,8 +76,23 @@ stdenv.mkDerivation rec {
patches = [
./sw_vers.patch
+
+ # Fix builtin_llvm=OFF support
+ (fetchpatch {
+ url = "https://github.com/root-project/root/commit/0cddef5d3562a89fe254e0036bb7d5ca8a5d34d2.diff";
+ excludes = [ "interpreter/cling/tools/plugins/clad/CMakeLists.txt" ];
+ sha256 = "sha256-VxWUbxRHB3O6tERFQdbGI7ypDAZD3sjSi+PYfu1OAbM=";
+ })
];
+ # Fix build against vanilla LLVM 9
+ postPatch = ''
+ sed \
+ -e '/#include "llvm.*RTDyldObjectLinkingLayer.h"/i#define private protected' \
+ -e '/#include "llvm.*RTDyldObjectLinkingLayer.h"/a#undef private' \
+ -i interpreter/cling/lib/Interpreter/IncrementalJIT.h
+ '';
+
preConfigure = ''
rm -rf builtins/*
substituteInPlace cmake/modules/SearchInstalledSoftware.cmake \
@@ -99,6 +119,7 @@ stdenv.mkDerivation rec {
"-DCMAKE_CXX_STANDARD=17"
"-DCMAKE_INSTALL_LIBDIR=lib"
"-DCMAKE_INSTALL_INCLUDEDIR=include"
+ "-Dbuiltin_llvm=OFF"
"-Dbuiltin_nlohmannjson=OFF"
"-Dbuiltin_openui5=OFF"
"-Dalien=OFF"
@@ -112,7 +133,7 @@ stdenv.mkDerivation rec {
"-Dfftw3=OFF"
"-Dfitsio=OFF"
"-Dfortran=OFF"
- "-Dimt=OFF"
+ "-Dimt=ON"
"-Dgfal=OFF"
"-Dgviz=OFF"
"-Dhdfs=OFF"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/molecular-dynamics/dl-poly-classic/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/molecular-dynamics/dl-poly-classic/default.nix
index 16d858b00be..cf4584979ba 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/molecular-dynamics/dl-poly-classic/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/molecular-dynamics/dl-poly-classic/default.nix
@@ -11,7 +11,9 @@ stdenv.mkDerivation {
sha256 = "1r76zvln3bwycxlmqday0sqzv5j260y7mdh66as2aqny6jzd5ld7";
};
- buildInputs = [ mpi gfortran ];
+ nativeBuildInputs = [ gfortran ];
+
+ buildInputs = [ mpi ];
configurePhase = ''
cd source
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/physics/elmerfem/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/physics/elmerfem/default.nix
index 5033b28bd3b..cd9b7430b99 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/physics/elmerfem/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/physics/elmerfem/default.nix
@@ -13,8 +13,8 @@ stdenv.mkDerivation rec {
hardeningDisable = [ "format" ];
- nativeBuildInputs = [ cmake pkg-config git ];
- buildInputs = [ gfortran mpi blas liblapack qt4 qwt6_qt4 ];
+ nativeBuildInputs = [ cmake gfortran pkg-config git ];
+ buildInputs = [ mpi blas liblapack qt4 qwt6_qt4 ];
preConfigure = ''
patchShebangs ./
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/physics/sherpa/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/physics/sherpa/default.nix
index eb718be12e4..1c1bc22b0ff 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/physics/sherpa/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/physics/sherpa/default.nix
@@ -13,7 +13,10 @@ stdenv.mkDerivation rec {
sed -ie '/sys\/sysctl.h/d' ATOOLS/Org/Run_Parameter.C
'';
- buildInputs = [ gfortran sqlite lhapdf rivet ];
+
+ nativeBuildInputs = [ gfortran ];
+
+ buildInputs = [ sqlite lhapdf rivet ];
enableParallelBuilding = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/physics/xfitter/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/physics/xfitter/default.nix
index 230f2f0e0ef..51d6c9d68fe 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/physics/xfitter/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/physics/xfitter/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv, fetchurl, apfel, apfelgrid, applgrid, blas, gfortran, lhapdf, lapack, libyaml, lynx
, mela, root5, qcdnum, which, libtirpc
+, memorymappingHook, memstreamHook
}:
stdenv.mkDerivation rec {
@@ -36,9 +37,8 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [ gfortran which ];
buildInputs =
- [ apfel apfelgrid applgrid blas lhapdf lapack mela root5 qcdnum ]
- # pdf2yaml requires fmemopen and open_memstream which are not readily available on Darwin
- ++ lib.optional (!stdenv.isDarwin) libyaml
+ [ apfel apfelgrid applgrid blas lhapdf libyaml lapack mela root5 qcdnum ]
+ ++ lib.optionals (stdenv.system == "x86_64-darwin") [ memorymappingHook memstreamHook ]
++ lib.optional (stdenv.hostPlatform.libc == "glibc") libtirpc
;
propagatedBuildInputs = [ lynx ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/robotics/emuflight-configurator/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/robotics/emuflight-configurator/default.nix
index ab94df9ef18..ca486006fec 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/robotics/emuflight-configurator/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/robotics/emuflight-configurator/default.nix
@@ -3,11 +3,11 @@
stdenv.mkDerivation rec {
pname = "emuflight-configurator";
- version = "0.3.6";
+ version = "0.4.0";
src = fetchurl {
url = "https://github.com/emuflight/EmuConfigurator/releases/download/${version}/emuflight-configurator_${version}_linux64.zip";
- sha256 = "sha256-egSUd/+RNo0vr2EJibgk9nNnql5sHC11gctUMK+DzW0=";
+ sha256 = "sha256-s5AE+r9Fw6S7IG2cDW2T7vctcYIAY8al7eCFIDjD5oI=";
};
nativeBuildInputs = [ wrapGAppsHook unzip copyDesktopItems ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/robotics/mavproxy/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/robotics/mavproxy/default.nix
index 4f83f957dbf..12d8ef7c0bb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/robotics/mavproxy/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/robotics/mavproxy/default.nix
@@ -3,11 +3,11 @@
buildPythonApplication rec {
pname = "MAVProxy";
- version = "1.8.40";
+ version = "1.8.45";
src = fetchPypi {
inherit pname version;
- sha256 = "cad317e2e879f1f7cb59af078788aaf0d09cd761ecd91ad091adf7ac6cc1bcdb";
+ sha256 = "f1010cefb5b97a5d392d32aa1425bdb7df995161125f8686f2c7383c2a86e9e5";
};
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/robotics/qgroundcontrol/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/robotics/qgroundcontrol/default.nix
index 2759723841c..76d2318cead 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/robotics/qgroundcontrol/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/science/robotics/qgroundcontrol/default.nix
@@ -6,7 +6,7 @@
mkDerivation rec {
pname = "qgroundcontrol";
- version = "4.1.3";
+ version = "4.1.4";
qtInputs = [
qtbase qtcharts qtlocation qtserialport qtsvg qtquickcontrols2
@@ -62,7 +62,7 @@ mkDerivation rec {
owner = "mavlink";
repo = pname;
rev = "v${version}";
- sha256 = "0fbf564vzckvy1dc8f6yd8vpnzwzsgynva13bl2ks06768rrq9fb";
+ sha256 = "0lhc36jpy7a5bnysqi574nk5izglj557mf8n9lcsgvzwxlkb2rbf";
fetchSubmodules = true;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/search/recoll/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/search/recoll/default.nix
index a07340469fe..4c8a4b7850b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/search/recoll/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/search/recoll/default.nix
@@ -35,11 +35,11 @@
mkDerivation rec {
pname = "recoll";
- version = "1.28.6";
+ version = "1.31.0";
src = fetchurl {
url = "https://www.lesbonscomptes.com/${pname}/${pname}-${version}.tar.gz";
- sha256 = "sha256-fSblLddWTJKRzw4VAQp+p3xPe5grB2xITgN6vKr0xLc=";
+ sha256 = "sha256-TtkfohzeT0HO6ywCMNxrODW1DnJg5KMFkx9AbDfQt+c=";
};
configureFlags = [ "--enable-recollq" "--disable-webkit" ]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/system/monitor/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/system/monitor/default.nix
index 588839b859d..f5d36c332ae 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/system/monitor/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/system/monitor/default.nix
@@ -10,7 +10,6 @@
, gettext
, glib
, gtk3
-, bamf
, libwnck
, libgee
, libgtop
@@ -19,13 +18,13 @@
stdenv.mkDerivation rec {
pname = "monitor";
- version = "0.8.1";
+ version = "0.10.0";
src = fetchFromGitHub {
owner = "stsdc";
repo = "monitor";
rev = version;
- sha256 = "111g2f3y5lmz91m755jz0x8yx5cx9ym484gch8wcv80dmr7ilb1y";
+ sha256 = "sha256-Gin/1vbQbOAKFrjzDuDTNDQlTGTIlb0NUfIWWXd5tQ4=";
fetchSubmodules = true;
};
@@ -40,7 +39,6 @@ stdenv.mkDerivation rec {
];
buildInputs = [
- bamf
glib
gtk3
pantheon.granite
@@ -69,7 +67,7 @@ stdenv.mkDerivation rec {
section in the NixOS manual.
'';
homepage = "https://github.com/stsdc/monitor";
- maintainers = with maintainers; [ xiorcale ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ xiorcale ] ++ teams.pantheon.members;
platforms = platforms.linux;
license = licenses.gpl3;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/system/pantheon-tweaks/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/system/pantheon-tweaks/default.nix
new file mode 100644
index 00000000000..5834e8d9630
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/system/pantheon-tweaks/default.nix
@@ -0,0 +1,68 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, nix-update-script
+, meson
+, ninja
+, pkg-config
+, python3
+, vala
+, gtk3
+, libgee
+, pantheon
+}:
+
+stdenv.mkDerivation rec {
+ pname = "pantheon-tweaks";
+ version = "1.0.1";
+
+ src = fetchFromGitHub {
+ owner = "pantheon-tweaks";
+ repo = pname;
+ rev = version;
+ sha256 = "sha256-tAfDxX/RD7pO5PN/LaZ92Cj/iZtBI/EHb0+pORfYnPM=";
+ };
+
+ patches = [
+ ./fix-paths.patch
+ ];
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ python3
+ vala
+ ];
+
+ buildInputs = [
+ gtk3
+ libgee
+ pantheon.granite
+ pantheon.switchboard
+ ];
+
+ postPatch = ''
+ chmod +x meson/post_install.py
+ patchShebangs meson/post_install.py
+ '';
+
+ passthru = {
+ updateScript = nix-update-script {
+ attrPath = pname;
+ };
+ };
+
+ meta = with lib; {
+ description = "Unofficial system settings panel for Pantheon";
+ longDescription = ''
+ Unofficial system settings panel for Pantheon
+ that lets you easily and safely customise your desktop's appearance.
+ Use programs.pantheon-tweaks.enable to add this to your switchboard.
+ '';
+ homepage = "https://github.com/pantheon-tweaks/pantheon-tweaks";
+ license = licenses.gpl3Plus;
+ platforms = platforms.linux;
+ maintainers = teams.pantheon.members;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/system/pantheon-tweaks/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/system/pantheon-tweaks/fix-paths.patch
new file mode 100644
index 00000000000..b2e0e0a7b4c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/system/pantheon-tweaks/fix-paths.patch
@@ -0,0 +1,13 @@
+diff --git a/src/Settings/ThemeSettings.vala b/src/Settings/ThemeSettings.vala
+index 589121b..8e9c81e 100644
+--- a/src/Settings/ThemeSettings.vala
++++ b/src/Settings/ThemeSettings.vala
+@@ -29,7 +29,7 @@ public class PantheonTweaks.ThemeSettings {
+ var themes = new Gee.ArrayList ();
+
+ string[] dirs = {
+- "/usr/share/" + path + "/",
++ "/run/current-system/sw/share/" + path + "/",
+ Environment.get_home_dir () + "/." + path + "/",
+ Environment.get_home_dir () + "/.local/share/" + path + "/"};
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/aminal/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/aminal/default.nix
deleted file mode 100644
index 70d0d083dcf..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/aminal/default.nix
+++ /dev/null
@@ -1,69 +0,0 @@
-{ buildGoPackage
-, Carbon
-, Cocoa
-, Kernel
-, fetchFromGitHub
-, lib
-, mesa_glu
-, stdenv
-, xorg
-}:
-
-buildGoPackage rec {
- pname = "aminal";
- version = "0.9.0";
-
- goPackagePath = "github.com/liamg/aminal";
-
- buildInputs =
- lib.optionals stdenv.isLinux [
- mesa_glu
- xorg.libX11
- xorg.libXcursor
- xorg.libXi
- xorg.libXinerama
- xorg.libXrandr
- xorg.libXxf86vm
- ] ++ lib.optionals stdenv.isDarwin [ Carbon Cocoa Kernel ];
-
- src = fetchFromGitHub {
- owner = "liamg";
- repo = "aminal";
- rev = "v${version}";
- sha256 = "0syv9md7blnl6i19zf8s1xjx5vfz6s755fxyg2ply0qc1pwhsj8n";
- };
-
- ldflags = [
- "-X ${goPackagePath}/version.Version=${version}"
- ];
-
- meta = with lib; {
- description = "Golang terminal emulator from scratch";
- longDescription = ''
- Aminal is a modern terminal emulator for Mac/Linux implemented in Golang
- and utilising OpenGL.
-
- The project is experimental at the moment, so you probably won't want to
- rely on Aminal as your main terminal for a while.
-
- Features:
- - Unicode support
- - OpenGL rendering
- - Customisation options
- - True colour support
- - Support for common ANSI escape sequences a la xterm
- - Scrollback buffer
- - Clipboard access
- - Clickable URLs
- - Multi platform support (Windows coming soon...)
- - Sixel support
- - Hints/overlays
- - Built-in patched fonts for powerline
- - Retina display support
- '';
- homepage = "https://github.com/liamg/aminal";
- license = licenses.gpl3;
- maintainers = with maintainers; [ kalbasit ];
- platforms = platforms.linux ++ platforms.darwin;
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/ctx/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/ctx/default.nix
new file mode 100644
index 00000000000..78c673d035a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/ctx/default.nix
@@ -0,0 +1,58 @@
+{ lib
+, stdenv
+, fetchgit
+, SDL2
+, alsa-lib
+, babl
+, curl
+, libdrm # Not documented
+, pkg-config
+, enableFb ? false
+}:
+
+stdenv.mkDerivation rec {
+ pname = "ctx";
+ version = "0.pre+date=2021-10-09";
+
+ src = fetchgit {
+ name = "ctx-source"; # because of a dash starting the directory
+ url = "https://ctx.graphics/.git/";
+ rev = "d11d0d1a719a3c77712528e2feed8c0878e0ea64";
+ sha256 = "sha256-Az3POgdvDOVaaRtzLlISDODhAKbefpGx5KgwO3dttqs=";
+ };
+
+ nativeBuildInputs = [
+ pkg-config
+ ];
+
+ buildInputs = [
+ SDL2
+ alsa-lib
+ babl
+ curl
+ libdrm
+ ];
+
+ configureScript = "./configure.sh";
+ configureFlags = lib.optional enableFb "--enable-fb";
+ dontAddPrefix = true;
+
+ hardeningDisable = [ "format" ];
+
+ installFlags = [
+ "PREFIX=${placeholder "out"}"
+ ];
+
+ meta = with lib; {
+ homepage = "https://ctx.graphics/";
+ description = "Vector graphics terminal";
+ longDescription= ''
+ ctx is an interactive 2D vector graphics, audio, text- canvas and
+ terminal, with escape sequences that enable a 2D vector drawing API using
+ a vector graphics protocol.
+ '';
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ AndersonTorres];
+ platforms = platforms.unix;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/darktile/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/darktile/default.nix
new file mode 100644
index 00000000000..f6323294950
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/darktile/default.nix
@@ -0,0 +1,68 @@
+{ stdenv
+, buildGoModule
+, fetchFromGitHub
+, lib
+, go
+, pkg-config
+, libX11
+, libXcursor
+, libXrandr
+, libXinerama
+, libXi
+, libXext
+, libXxf86vm
+, libGL
+}:
+
+stdenv.mkDerivation rec {
+ pname = "darktile";
+ version = "0.0.10";
+
+ src = fetchFromGitHub {
+ owner = "liamg";
+ repo = "darktile";
+ rev = "v${version}";
+ sha256 = "0pdj4yv3qrq56gb67p85ara3g8qrzw5ha787bl2ls4vcx85q7303";
+ };
+
+ nativeBuildInputs = [ go pkg-config ];
+
+ buildInputs = [
+ libX11
+ libXcursor
+ libXrandr
+ libXinerama
+ libXi
+ libXext
+ libXxf86vm
+ libGL
+ ];
+
+ postPatch = ''
+ substituteInPlace scripts/build.sh \
+ --replace "bash" "sh"
+ '';
+
+ postConfigure = ''
+ export GOPATH=$TMP/go
+ '';
+
+ makeFlags = [ "HOME=$TMP" ];
+
+ installPhase = ''
+ runHook preInstall
+
+ install -Dm755 darktile -t $out/bin
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "A GPU rendered terminal emulator designed for tiling window managers";
+ homepage = "https://github.com/liamg/darktile";
+ downloadPage = "https://github.com/liamg/darktile/releases";
+ changelog = "https://github.com/liamg/darktile/releases/tag/v${version}";
+ license = licenses.mit;
+ maintainers = with maintainers; [ flexagoon ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/foot/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/foot/default.nix
index 8e7bbed9097..837b2b45a39 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/foot/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/foot/default.nix
@@ -2,7 +2,6 @@
, lib
, fetchFromGitea
, fetchurl
-, fetchpatch
, runCommand
, fcft
, freetype
@@ -28,7 +27,7 @@
}:
let
- version = "1.8.2";
+ version = "1.9.2";
# build stimuli file for PGO build and the script to generate it
# independently of the foot's build, so we can cache the result
@@ -37,8 +36,7 @@ let
#
# For every bump, make sure that the hash is still accurate.
stimulusGenerator = stdenv.mkDerivation {
- pname = "foot-generate-alt-random-writes";
- inherit version;
+ name = "foot-generate-alt-random-writes";
src = fetchurl {
url = "https://codeberg.org/dnkl/foot/raw/tag/${version}/scripts/generate-alt-random-writes.py";
@@ -89,6 +87,8 @@ let
# using a compiler which foot's PGO build supports (clang or gcc)
doPgo = allowPgo && (stdenv.hostPlatform == stdenv.buildPlatform)
&& compilerName != "unknown";
+
+ terminfoDir = "${placeholder "terminfo"}/share/terminfo";
in
stdenv.mkDerivation rec {
pname = "foot";
@@ -99,18 +99,9 @@ stdenv.mkDerivation rec {
owner = "dnkl";
repo = pname;
rev = version;
- sha256 = "1k0alz991cslls4926c5gq02pdq0vfw9jfpprh2a1vb59xgikv7h";
+ sha256 = "15h01ijx87i60bdgjjap1ymwlxggsxc6iziykh3bahj8432s1836";
};
- patches = [
- # Fixes PGO builds with clang
- (fetchpatch {
- url = "https://codeberg.org/dnkl/foot/commit/2acd4b34c57659d86dca76c58e4363de9b0a1f17.patch";
- sha256 = "13xi9ppaqx2p88cxbh6801ry9ral70ylh40agn6ij7pklybs4d7s";
- includes = [ "pgo/pgo.c" ];
- })
- ];
-
depsBuildBuild = [
pkg-config
];
@@ -152,9 +143,16 @@ stdenv.mkDerivation rec {
mesonBuildType = "release";
+ # See https://codeberg.org/dnkl/foot/src/tag/1.9.2/INSTALL.md#options
mesonFlags = [
+ # Use lto
"-Db_lto=true"
- "-Dterminfo-install-location=${placeholder "terminfo"}/share/terminfo"
+ # “Build” and install terminfo db
+ "-Dterminfo=enabled"
+ # Ensure TERM=foot is used
+ "-Ddefault-terminfo=foot"
+ # Tell foot to set TERMINFO and where to install the terminfo files
+ "-Dcustom-terminfo-install-location=${terminfoDir}"
];
# build and run binary generating PGO profiles,
@@ -174,13 +172,6 @@ stdenv.mkDerivation rec {
outputs = [ "out" "terminfo" ];
- # make sure nix-env and buildEnv also include the
- # terminfo output when the package is installed
- postInstall = ''
- mkdir -p "$out/nix-support"
- echo "$terminfo" >> "$out/nix-support/propagated-user-env-packages"
- '';
-
passthru.tests = {
clang-default-compilation = foot.override {
inherit (llvmPackages) stdenv;
@@ -189,6 +180,17 @@ stdenv.mkDerivation rec {
clang-latest-compilation = foot.override {
inherit (llvmPackages_latest) stdenv;
};
+
+ noPgo = foot.override {
+ allowPgo = false;
+ };
+
+ # By changing name, this will get rebuilt everytime we change version,
+ # even if the hash stays the same. Consequently it'll fail if we introduce
+ # a hash mismatch when updating.
+ stimulus-script-is-current = stimulusGenerator.src.overrideAttrs (_: {
+ name = "generate-alt-random-writes-${version}.py";
+ });
};
meta = with lib; {
@@ -198,5 +200,18 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = [ maintainers.sternenseemann ];
platforms = platforms.linux;
+ # From (presumably) ncurses version 6.3, it will ship a foot
+ # terminfo file. This however won't include some non-standard
+ # capabilities foot's bundled terminfo file contains. Unless we
+ # want to have some features in e. g. vim or tmux stop working,
+ # we need to make sure that the foot terminfo overwrites ncurses'
+ # one. Due to
+ # ncurses is always added to environment.systemPackages on
+ # NixOS with its priority increased by 3, so we need to go
+ # one bigger.
+ # This doesn't matter a lot for local use since foot sets
+ # TERMINFO to a store path, but allows installing foot.terminfo
+ # on remote systems for proper foot terminfo support.
+ priority = (ncurses.meta.priority or 5) + 3 + 1;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/hyper/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/hyper/default.nix
index 5aa14a04261..1d200824535 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/hyper/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/hyper/default.nix
@@ -15,11 +15,11 @@ let
in
stdenv.mkDerivation rec {
pname = "hyper";
- version = "3.1.2";
+ version = "3.1.3";
src = fetchurl {
url = "https://github.com/vercel/hyper/releases/download/v${version}/hyper_${version}_amd64.deb";
- sha256 = "1mixy9hlgdbbnwdgidady7q828dkf09lx1pacwxw386jj7kp4y5g";
+ sha256 = "sha256-w+FISIeGf3K1dnykIEzU3KevyaFNl4X0beT6DdLW+zQ=";
};
nativeBuildInputs = [ dpkg ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/nimmm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/nimmm/default.nix
index bb09fa776b6..0e0d75ab801 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/nimmm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/nimmm/default.nix
@@ -1,30 +1,9 @@
-{ lib, stdenv, fetchFromGitHub, nim, termbox, pcre }:
+{ lib, nimPackages, fetchFromGitHub, nim, termbox, pcre }:
-let
- noise = fetchFromGitHub {
- owner = "jangko";
- repo = "nim-noise";
- rev = "v0.1.14";
- sha256 = "0wndiphznfyb1pac6zysi3bqljwlfwj6ziarcwnpf00sw2zni449";
- };
-
- nimbox = fetchFromGitHub {
- owner = "dom96";
- repo = "nimbox";
- rev = "6a56e76c01481176f16ae29b7d7c526bd83f229b";
- sha256 = "15x1sdfxa1xcqnr68705jfnlv83lm0xnp2z9iz3pgc4bz5vwn4x1";
- };
-
- lscolors = fetchFromGitHub {
- owner = "joachimschmidt557";
- repo = "nim-lscolors";
- rev = "v0.3.3";
- sha256 = "0526hqh46lcfsvymb67ldsc8xbfn24vicn3b8wrqnh6mag8wynf4";
- };
-
-in stdenv.mkDerivation rec {
+nimPackages.buildNimPackage rec {
pname = "nimmm";
version = "0.2.0";
+ nimBinOnly = true;
src = fetchFromGitHub {
owner = "joachimschmidt557";
@@ -33,17 +12,8 @@ in stdenv.mkDerivation rec {
sha256 = "168n61avphbxsxfq8qzcnlqx6wgvz5yrjvs14g25cg3k46hj4xqg";
};
- nativeBuildInputs = [ nim ];
- buildInputs = [ termbox pcre ];
-
- buildPhase = ''
- export HOME=$TMPDIR;
- nim -p:${noise} -p:${nimbox} -p:${lscolors}/src c -d:release src/nimmm.nim
- '';
-
- installPhase = ''
- install -Dt $out/bin src/nimmm
- '';
+ buildInputs = [ termbox pcre ]
+ ++ (with nimPackages; [ noise nimbox lscolors ]);
meta = with lib; {
description = "Terminal file manager written in nim";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/rxvt-unicode/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/rxvt-unicode/default.nix
index 02f1b100f49..2c130bf02d3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/rxvt-unicode/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/rxvt-unicode/default.nix
@@ -49,7 +49,7 @@ stdenv.mkDerivation {
configureFlags = [
- "--with-terminfo=$terminfo/share/terminfo"
+ "--with-terminfo=${placeholder "terminfo"}/share/terminfo"
"--enable-256-color"
(enableFeature perlSupport "perl")
(enableFeature unicode3Support "unicode3")
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/default.nix
index 591b68b49ab..3a2180ce8d8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/default.nix
@@ -2,34 +2,33 @@
, stdenv
, fetchurl
, pkg-config
-, writeText
-, libX11
-, ncurses
, fontconfig
, freetype
+, libX11
, libXft
+, ncurses
+, writeText
, conf ? null
, patches ? [ ]
, extraLibs ? [ ]
}:
-with lib;
-
stdenv.mkDerivation rec {
pname = "st";
version = "0.8.4";
src = fetchurl {
url = "https://dl.suckless.org/st/${pname}-${version}.tar.gz";
- sha256 = "19j66fhckihbg30ypngvqc9bcva47mp379ch5vinasjdxgn3qbfl";
+ hash = "sha256-1C087OtNamXjLpClM249RG22EsP72evBeAvGyaAzRqY=";
};
inherit patches;
- configFile = optionalString (conf != null) (writeText "config.def.h" conf);
+ configFile = lib.optionalString (conf != null)
+ (writeText "config.def.h" conf);
- postPatch = optionalString (conf != null) "cp ${configFile} config.def.h"
- + optionalString stdenv.isDarwin ''
+ postPatch = lib.optionalString (conf != null) "cp ${configFile} config.def.h"
+ + lib.optionalString stdenv.isDarwin ''
substituteInPlace config.mk --replace "-lrt" ""
'';
@@ -52,11 +51,13 @@ stdenv.mkDerivation rec {
installPhase = ''
runHook preInstall
+
TERMINFO=$out/share/terminfo make install PREFIX=$out
+
runHook postInstall
'';
- meta = {
+ meta = with lib; {
homepage = "https://st.suckless.org/";
description = "Simple Terminal for X from Suckless.org Community";
license = licenses.mit;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/lukesmithxyz-st/0000-makefile-fix-install.diff b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/lukesmithxyz-st/0000-makefile-fix-install.diff
new file mode 100644
index 00000000000..f451297dffa
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/lukesmithxyz-st/0000-makefile-fix-install.diff
@@ -0,0 +1,14 @@
+diff -Naur old/Makefile new/Makefile
+--- old/Makefile 1969-12-31 21:00:01.000000000 -0300
++++ new/Makefile 2021-09-06 00:10:26.972466947 -0300
+@@ -40,8 +40,8 @@
+ rm -rf st-$(VERSION)
+
+ install: st
+- git submodule init
+- git submodule update
++# git submodule init
++# git submodule update
+ mkdir -p $(DESTDIR)$(PREFIX)/bin
+ cp -f st $(DESTDIR)$(PREFIX)/bin
+ cp -f st-copyout $(DESTDIR)$(PREFIX)/bin
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/lukesmithxyz-st/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/lukesmithxyz-st/default.nix
new file mode 100644
index 00000000000..2f1476697ea
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/lukesmithxyz-st/default.nix
@@ -0,0 +1,56 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, fontconfig
+, harfbuzz
+, libX11
+, libXext
+, libXft
+, ncurses
+, pkg-config
+}:
+
+stdenv.mkDerivation rec {
+ pname = "lukesmithxyz-st";
+ version = "0.pre+unstable=2021-08-10";
+
+ src = fetchFromGitHub {
+ owner = "LukeSmithxyz";
+ repo = "st";
+ rev = "e053bd6036331cc7d14f155614aebc20f5371d3a";
+ hash = "sha256-WwjuNxWoeR/ppJxJgqD20kzrn1kIfgDarkTOedX/W4k=";
+ };
+
+ nativeBuildInputs = [
+ pkg-config
+ ];
+ buildInputs = [
+ fontconfig
+ harfbuzz
+ libX11
+ libXext
+ libXft
+ ncurses
+ ];
+
+ patches = [
+ # eliminate useless calls to git inside Makefile
+ ./0000-makefile-fix-install.diff
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ TERMINFO=$out/share/terminfo make install PREFIX=$out
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/LukeSmithxyz/st";
+ description = "Luke Smith's fork of st";
+ license = licenses.mit;
+ maintainers = with maintainers; [ AndersonTorres ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/mcaimi-st.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/mcaimi-st.nix
new file mode 100644
index 00000000000..11c89cfab67
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/mcaimi-st.nix
@@ -0,0 +1,49 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, fontconfig
+, libX11
+, libXext
+, libXft
+, ncurses
+, pkg-config
+}:
+
+stdenv.mkDerivation rec {
+ pname = "mcaimi-st";
+ version = "0.pre+unstable=2021-08-30";
+
+ src = fetchFromGitHub {
+ owner = "mcaimi";
+ repo = "st";
+ rev = "1a8cad03692ee6d32c03a136cdc76bdb169e15d8";
+ hash = "sha256-xyVEvD8s1J9Wj9NB4Gg+0ldvde7M8IVpzCOTttC1IY0=";
+ };
+
+ nativeBuildInputs = [
+ pkg-config
+ ];
+ buildInputs = [
+ fontconfig
+ libX11
+ libXext
+ libXft
+ ncurses
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ TERMINFO=$out/share/terminfo make install PREFIX=$out
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/gnotclub/xst";
+ description = "Suckless Terminal fork";
+ license = licenses.mit;
+ maintainers = with maintainers; [ AndersonTorres ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/siduck76-st.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/siduck76-st.nix
new file mode 100644
index 00000000000..a6753a105c6
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/siduck76-st.nix
@@ -0,0 +1,51 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, fontconfig
+, harfbuzz
+, libX11
+, libXext
+, libXft
+, ncurses
+, pkg-config
+}:
+
+stdenv.mkDerivation rec {
+ pname = "siduck76-st";
+ version = "0.pre+unstable=2021-08-20";
+
+ src = fetchFromGitHub {
+ owner = "siduck76";
+ repo = "st";
+ rev = "c9bda1de1f3f94ba507fa0eacc96d6a4f338637f";
+ hash = "sha256-5n+QkSlVhhku7adtl7TuWhDl3zdwFaXc7Ot1RaIN54A=";
+ };
+
+ nativeBuildInputs = [
+ pkg-config
+ ];
+ buildInputs = [
+ fontconfig
+ harfbuzz
+ libX11
+ libXext
+ libXft
+ ncurses
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ TERMINFO=$out/share/terminfo make install PREFIX=$out
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/siduck76/st";
+ description = "A fork of st with many add-ons";
+ license = licenses.mit;
+ maintainers = with maintainers; [ AndersonTorres ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/xst.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/xst.nix
index baa71a09b9e..b8bceda50dc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/xst.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/st/xst.nix
@@ -1,4 +1,13 @@
-{ lib, stdenv, fetchFromGitHub, pkg-config, libX11, ncurses, libXext, libXft, fontconfig }:
+{ lib
+, stdenv
+, fetchFromGitHub
+, fontconfig
+, libX11
+, libXext
+, libXft
+, ncurses
+, pkg-config
+}:
stdenv.mkDerivation rec {
pname = "xst";
@@ -11,11 +20,23 @@ stdenv.mkDerivation rec {
sha256 = "nOJcOghtzFkl7B/4XeXptn2TdrGQ4QTKBo+t+9npxOA=";
};
- nativeBuildInputs = [ pkg-config ];
- buildInputs = [ libX11 ncurses libXext libXft fontconfig ];
+ nativeBuildInputs = [
+ pkg-config
+ ];
+ buildInputs = [
+ fontconfig
+ libX11
+ libXext
+ libXft
+ ncurses
+ ];
installPhase = ''
+ runHook preInstall
+
TERMINFO=$out/share/terminfo make install PREFIX=$out
+
+ runHook postInstall
'';
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/terminator/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/terminator/default.nix
index e922a34423c..67c7196e24d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/terminator/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/terminator/default.nix
@@ -56,6 +56,12 @@ python3.pkgs.buildPythonApplication rec {
doCheck = false;
+ dontWrapGApps = true;
+
+ preFixup = ''
+ makeWrapperArgs+=("''${gappsWrapperArgs[@]}")
+ '';
+
meta = with lib; {
description = "Terminal emulator with support for tiling and tabs";
longDescription = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/termonad/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/termonad/default.nix
index 4388cbcfb44..6a1dd0bec39 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/termonad/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/termonad/default.nix
@@ -1,7 +1,7 @@
-{ stdenv, ghcWithPackages, makeWrapper, packages ? (pkgSet: []) }:
+{ stdenv, haskellPackages, makeWrapper, packages ? (pkgSet: []) }:
let
- termonadEnv = ghcWithPackages (self: [ self.termonad ] ++ packages self);
+ termonadEnv = haskellPackages.ghcWithPackages (self: [ self.termonad ] ++ packages self);
in stdenv.mkDerivation {
name = "termonad-with-packages-${termonadEnv.version}";
@@ -16,4 +16,8 @@ in stdenv.mkDerivation {
# trivial derivation
preferLocalBuild = true;
allowSubstitutes = false;
+
+ meta = haskellPackages.termonad.meta // {
+ mainProgram = "termonad";
+ };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/xterm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/xterm/default.nix
index 378fd7df01a..4bb9386a112 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/xterm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/terminal-emulators/xterm/default.nix
@@ -4,14 +4,14 @@
stdenv.mkDerivation rec {
pname = "xterm";
- version = "368";
+ version = "369";
src = fetchurl {
urls = [
"ftp://ftp.invisible-island.net/xterm/${pname}-${version}.tgz"
"https://invisible-mirror.net/archives/xterm/${pname}-${version}.tgz"
];
- sha256 = "L/UWmTC2tJ7wuvteEzHJTxqYwxBEK7p3mK3YIcdq5xI=";
+ sha256 = "ce1qSNBkiT0hSXQaACeBqXNJb9JNUtrdNk9jQ5p2TiY=";
};
strictDeps = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/blackbox/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/blackbox/default.nix
index 5c802d8a300..06a941de7b4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/blackbox/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/blackbox/default.nix
@@ -24,7 +24,8 @@ stdenv.mkDerivation rec {
buildInputs = [ gnupg ];
- doCheck = true;
+ # https://github.com/NixOS/nixpkgs/issues/134445
+ doCheck = !stdenv.isDarwin && stdenv.isx86_64;
checkInputs = [
expect
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/danger-gitlab/Gemfile b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/danger-gitlab/Gemfile
new file mode 100644
index 00000000000..7c95dac9dd3
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/danger-gitlab/Gemfile
@@ -0,0 +1,2 @@
+source 'https://rubygems.org'
+gem 'danger-gitlab'
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/danger-gitlab/Gemfile.lock b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/danger-gitlab/Gemfile.lock
new file mode 100644
index 00000000000..d68ec3108ca
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/danger-gitlab/Gemfile.lock
@@ -0,0 +1,92 @@
+GEM
+ remote: https://rubygems.org/
+ specs:
+ addressable (2.8.0)
+ public_suffix (>= 2.0.2, < 5.0)
+ claide (1.0.3)
+ claide-plugins (0.9.2)
+ cork
+ nap
+ open4 (~> 1.3)
+ colored2 (3.1.2)
+ cork (0.3.0)
+ colored2 (~> 3.1)
+ danger (8.3.1)
+ claide (~> 1.0)
+ claide-plugins (>= 0.9.2)
+ colored2 (~> 3.1)
+ cork (~> 0.1)
+ faraday (>= 0.9.0, < 2.0)
+ faraday-http-cache (~> 2.0)
+ git (~> 1.7)
+ kramdown (~> 2.3)
+ kramdown-parser-gfm (~> 1.0)
+ no_proxy_fix
+ octokit (~> 4.7)
+ terminal-table (>= 1, < 4)
+ danger-gitlab (8.0.0)
+ danger
+ gitlab (~> 4.2, >= 4.2.0)
+ faraday (1.7.0)
+ faraday-em_http (~> 1.0)
+ faraday-em_synchrony (~> 1.0)
+ faraday-excon (~> 1.1)
+ faraday-httpclient (~> 1.0.1)
+ faraday-net_http (~> 1.0)
+ faraday-net_http_persistent (~> 1.1)
+ faraday-patron (~> 1.0)
+ faraday-rack (~> 1.0)
+ multipart-post (>= 1.2, < 3)
+ ruby2_keywords (>= 0.0.4)
+ faraday-em_http (1.0.0)
+ faraday-em_synchrony (1.0.0)
+ faraday-excon (1.1.0)
+ faraday-http-cache (2.2.0)
+ faraday (>= 0.8)
+ faraday-httpclient (1.0.1)
+ faraday-net_http (1.0.1)
+ faraday-net_http_persistent (1.2.0)
+ faraday-patron (1.0.0)
+ faraday-rack (1.0.0)
+ git (1.9.1)
+ rchardet (~> 1.8)
+ gitlab (4.17.0)
+ httparty (~> 0.18)
+ terminal-table (~> 1.5, >= 1.5.1)
+ httparty (0.18.1)
+ mime-types (~> 3.0)
+ multi_xml (>= 0.5.2)
+ kramdown (2.3.1)
+ rexml
+ kramdown-parser-gfm (1.1.0)
+ kramdown (~> 2.0)
+ mime-types (3.3.1)
+ mime-types-data (~> 3.2015)
+ mime-types-data (3.2021.0704)
+ multi_xml (0.6.0)
+ multipart-post (2.1.1)
+ nap (1.1.0)
+ no_proxy_fix (0.1.2)
+ octokit (4.21.0)
+ faraday (>= 0.9)
+ sawyer (~> 0.8.0, >= 0.5.3)
+ open4 (1.3.4)
+ public_suffix (4.0.6)
+ rchardet (1.8.0)
+ rexml (3.2.5)
+ ruby2_keywords (0.0.5)
+ sawyer (0.8.2)
+ addressable (>= 2.3.5)
+ faraday (> 0.8, < 2.0)
+ terminal-table (1.8.0)
+ unicode-display_width (~> 1.1, >= 1.1.1)
+ unicode-display_width (1.7.0)
+
+PLATFORMS
+ ruby
+
+DEPENDENCIES
+ danger-gitlab
+
+BUNDLED WITH
+ 2.1.4
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/danger-gitlab/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/danger-gitlab/default.nix
new file mode 100644
index 00000000000..e994739008d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/danger-gitlab/default.nix
@@ -0,0 +1,14 @@
+{ lib, bundlerApp }:
+
+bundlerApp {
+ pname = "danger-gitlab";
+ gemdir = ./.;
+ exes = [ "danger" ];
+
+ meta = with lib; {
+ description = "A gem that exists to ensure all dependencies are set up for Danger with GitLab";
+ homepage = "https://github.com/danger/danger-gitlab-gem";
+ license = licenses.mit;
+ maintainers = teams.serokell.members;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/danger-gitlab/gemset.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/danger-gitlab/gemset.nix
new file mode 100644
index 00000000000..299716a33bd
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/danger-gitlab/gemset.nix
@@ -0,0 +1,388 @@
+{
+ addressable = {
+ dependencies = ["public_suffix"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "022r3m9wdxljpbya69y2i3h9g3dhhfaqzidf95m6qjzms792jvgp";
+ type = "gem";
+ };
+ version = "2.8.0";
+ };
+ claide = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0kasxsms24fgcdsq680nz99d5lazl9rmz1qkil2y5gbbssx89g0z";
+ type = "gem";
+ };
+ version = "1.0.3";
+ };
+ claide-plugins = {
+ dependencies = ["cork" "nap" "open4"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0bhw5j985qs48v217gnzva31rw5qvkf7qj8mhp73pcks0sy7isn7";
+ type = "gem";
+ };
+ version = "0.9.2";
+ };
+ colored2 = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0jlbqa9q4mvrm73aw9mxh23ygzbjiqwisl32d8szfb5fxvbjng5i";
+ type = "gem";
+ };
+ version = "3.1.2";
+ };
+ cork = {
+ dependencies = ["colored2"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1g6l780z1nj4s3jr11ipwcj8pjbibvli82my396m3y32w98ar850";
+ type = "gem";
+ };
+ version = "0.3.0";
+ };
+ danger = {
+ dependencies = ["claide" "claide-plugins" "colored2" "cork" "faraday" "faraday-http-cache" "git" "kramdown" "kramdown-parser-gfm" "no_proxy_fix" "octokit" "terminal-table"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "12nmycrlwr8ca2s0fx76k81gjw12iz15k1n0qanszv5d4l1ykj2l";
+ type = "gem";
+ };
+ version = "8.3.1";
+ };
+ danger-gitlab = {
+ dependencies = ["danger" "gitlab"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1a530kx5s5rbx5yx3jqay56lkksqh0yj468hcpg16faiyv8dfza9";
+ type = "gem";
+ };
+ version = "8.0.0";
+ };
+ faraday = {
+ dependencies = ["faraday-em_http" "faraday-em_synchrony" "faraday-excon" "faraday-httpclient" "faraday-net_http" "faraday-net_http_persistent" "faraday-patron" "faraday-rack" "multipart-post" "ruby2_keywords"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0r6ik2yvsbx6jj30vck32da2bbvj4m0gf4jhp09vr75i1d6jzfvb";
+ type = "gem";
+ };
+ version = "1.7.0";
+ };
+ faraday-em_http = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "12cnqpbak4vhikrh2cdn94assh3yxza8rq2p9w2j34bqg5q4qgbs";
+ type = "gem";
+ };
+ version = "1.0.0";
+ };
+ faraday-em_synchrony = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1vgrbhkp83sngv6k4mii9f2s9v5lmp693hylfxp2ssfc60fas3a6";
+ type = "gem";
+ };
+ version = "1.0.0";
+ };
+ faraday-excon = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0h09wkb0k0bhm6dqsd47ac601qiaah8qdzjh8gvxfd376x1chmdh";
+ type = "gem";
+ };
+ version = "1.1.0";
+ };
+ faraday-http-cache = {
+ dependencies = ["faraday"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0lhfwlk4mhmw9pdlgdsl2bq4x45w7s51jkxjryf18wym8iiw36g7";
+ type = "gem";
+ };
+ version = "2.2.0";
+ };
+ faraday-httpclient = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0fyk0jd3ks7fdn8nv3spnwjpzx2lmxmg2gh4inz3by1zjzqg33sc";
+ type = "gem";
+ };
+ version = "1.0.1";
+ };
+ faraday-net_http = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1fi8sda5hc54v1w3mqfl5yz09nhx35kglyx72w7b8xxvdr0cwi9j";
+ type = "gem";
+ };
+ version = "1.0.1";
+ };
+ faraday-net_http_persistent = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0dc36ih95qw3rlccffcb0vgxjhmipsvxhn6cw71l7ffs0f7vq30b";
+ type = "gem";
+ };
+ version = "1.2.0";
+ };
+ faraday-patron = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "19wgsgfq0xkski1g7m96snv39la3zxz6x7nbdgiwhg5v82rxfb6w";
+ type = "gem";
+ };
+ version = "1.0.0";
+ };
+ faraday-rack = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1h184g4vqql5jv9s9im6igy00jp6mrah2h14py6mpf9bkabfqq7g";
+ type = "gem";
+ };
+ version = "1.0.0";
+ };
+ git = {
+ dependencies = ["rchardet"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0s6426k24ph44kbx1qb16ciar170iczs8ivyl29ckin2ygmrrlvm";
+ type = "gem";
+ };
+ version = "1.9.1";
+ };
+ gitlab = {
+ dependencies = ["httparty" "terminal-table"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "00p8z8sxk78zik2dwdhflkvaynp5ximy2xc8cw6bz93gkr1xy8n3";
+ type = "gem";
+ };
+ version = "4.17.0";
+ };
+ httparty = {
+ dependencies = ["mime-types" "multi_xml"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "17gpnbf2a7xkvsy20jig3ljvx8hl5520rqm9pffj2jrliq1yi3w7";
+ type = "gem";
+ };
+ version = "0.18.1";
+ };
+ kramdown = {
+ dependencies = ["rexml"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0jdbcjv4v7sj888bv3vc6d1dg4ackkh7ywlmn9ln2g9alk7kisar";
+ type = "gem";
+ };
+ version = "2.3.1";
+ };
+ kramdown-parser-gfm = {
+ dependencies = ["kramdown"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0a8pb3v951f4x7h968rqfsa19c8arz21zw1vaj42jza22rap8fgv";
+ type = "gem";
+ };
+ version = "1.1.0";
+ };
+ mime-types = {
+ dependencies = ["mime-types-data"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1zj12l9qk62anvk9bjvandpa6vy4xslil15wl6wlivyf51z773vh";
+ type = "gem";
+ };
+ version = "3.3.1";
+ };
+ mime-types-data = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0dlxwc75iy0dj23x824cxpvpa7c8aqcpskksrmb32j6m66h5mkcy";
+ type = "gem";
+ };
+ version = "3.2021.0704";
+ };
+ multi_xml = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0lmd4f401mvravi1i1yq7b2qjjli0yq7dfc4p1nj5nwajp7r6hyj";
+ type = "gem";
+ };
+ version = "0.6.0";
+ };
+ multipart-post = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1zgw9zlwh2a6i1yvhhc4a84ry1hv824d6g2iw2chs3k5aylpmpfj";
+ type = "gem";
+ };
+ version = "2.1.1";
+ };
+ nap = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0xm5xssxk5s03wjarpipfm39qmgxsalb46v1prsis14x1xk935ll";
+ type = "gem";
+ };
+ version = "1.1.0";
+ };
+ no_proxy_fix = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "006dmdb640v1kq0sll3dnlwj1b0kpf3i1p27ygyffv8lpcqlr6sf";
+ type = "gem";
+ };
+ version = "0.1.2";
+ };
+ octokit = {
+ dependencies = ["faraday" "sawyer"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0ak64rb48d8z98nw6q70r6i0i3ivv61iqla40ss5l79491qfnn27";
+ type = "gem";
+ };
+ version = "4.21.0";
+ };
+ open4 = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1cgls3f9dlrpil846q0w7h66vsc33jqn84nql4gcqkk221rh7px1";
+ type = "gem";
+ };
+ version = "1.3.4";
+ };
+ public_suffix = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1xqcgkl7bwws1qrlnmxgh8g4g9m10vg60bhlw40fplninb3ng6d9";
+ type = "gem";
+ };
+ version = "4.0.6";
+ };
+ rchardet = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1isj1b3ywgg2m1vdlnr41lpvpm3dbyarf1lla4dfibfmad9csfk9";
+ type = "gem";
+ };
+ version = "1.8.0";
+ };
+ rexml = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "08ximcyfjy94pm1rhcx04ny1vx2sk0x4y185gzn86yfsbzwkng53";
+ type = "gem";
+ };
+ version = "3.2.5";
+ };
+ ruby2_keywords = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1vz322p8n39hz3b4a9gkmz9y7a5jaz41zrm2ywf31dvkqm03glgz";
+ type = "gem";
+ };
+ version = "0.0.5";
+ };
+ sawyer = {
+ dependencies = ["addressable" "faraday"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0yrdchs3psh583rjapkv33mljdivggqn99wkydkjdckcjn43j3cz";
+ type = "gem";
+ };
+ version = "0.8.2";
+ };
+ terminal-table = {
+ dependencies = ["unicode-display_width"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1512cngw35hsmhvw4c05rscihc59mnj09m249sm9p3pik831ydqk";
+ type = "gem";
+ };
+ version = "1.8.0";
+ };
+ unicode-display_width = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "06i3id27s60141x6fdnjn5rar1cywdwy64ilc59cz937303q3mna";
+ type = "gem";
+ };
+ version = "1.7.0";
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/fossil/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/fossil/default.nix
index 6b445446d5b..ed2cf00291e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/fossil/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/fossil/default.nix
@@ -15,12 +15,11 @@
stdenv.mkDerivation rec {
pname = "fossil";
- version = "2.15.1";
+ version = "2.16";
src = fetchurl {
- url = "https://www.fossil-scm.org/index.html/uv/fossil-src-${version}.tar.gz";
- name = "${pname}-${version}.tar.gz";
- sha256 = "sha256-gNJ5I8ZjsqLHEPiujNVJhi4E+MBChXBidMNK48jKF9E=";
+ url = "https://www.fossil-scm.org/home/tarball/version-${version}/fossil-${version}.tar.gz";
+ sha256 = "1z5ji25f2rqaxd1nj4fj84afl1v0m3mnbskgfwsjr3fr0h5p9aqy";
};
nativeBuildInputs = [ installShellFiles tcl tcllib ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gerrit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gerrit/default.nix
index d9ebdbf5312..20b8c3c04d1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gerrit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gerrit/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "gerrit";
- version = "3.4.0";
+ version = "3.4.1";
src = fetchurl {
url = "https://gerrit-releases.storage.googleapis.com/gerrit-${version}.war";
- sha256 = "sha256-GNUpSK9cczGISyvo05KrLzeO+zRm5dEYOmX2Oy7TjzE=";
+ sha256 = "sha256-pHomYKYpV60SIKLoST5y9i3FprMV1VGy+5GjhpRhBUo=";
};
buildCommand = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/bump2version/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/bump2version/default.nix
index 47d31d6c18f..ffb9455bd19 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/bump2version/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/bump2version/default.nix
@@ -1,22 +1,37 @@
-{ buildPythonApplication, fetchFromGitHub, isPy27, pytest, testfixtures, lib }:
+{ lib
+, buildPythonApplication
+, fetchFromGitHub
+, pytestCheckHook
+, pythonOlder
+, testfixtures
+}:
buildPythonApplication rec {
pname = "bump2version";
- version = "1.0.0";
- disabled = isPy27;
+ version = "1.0.1";
+
+ disabled = pythonOlder "3.6";
src = fetchFromGitHub {
owner = "c4urself";
repo = pname;
- rev = "refs/tags/v${version}";
- sha256 = "10p7rg569rk3qvzs5kjj17894bqlsg3ihhbln6ciwwfhkfq1kpja";
+ rev = "v${version}";
+ sha256 = "sha256-j6HKi3jTwSgGBrA8PCJJNg+yQqRMo1aqaLgPGf4KAKU=";
};
- checkInputs = [ pytest testfixtures ];
- # X's in pytest are git tests which won't run in sandbox
- checkPhase = ''
- pytest tests/ -k 'not usage_string_fork'
- '';
+ checkInputs = [
+ pytestCheckHook
+ testfixtures
+ ];
+
+ disabledTests = [
+ # X's in pytest are git tests which won't run in sandbox
+ "usage_string_fork"
+ "test_usage_string"
+ "test_defaults_in_usage_with_config"
+ ];
+
+ pythonImportsCheck = [ "bumpversion" ];
meta = with lib; {
description = "Version-bump your software with a single command";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/fast-export/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/fast-export/default.nix
index 565fe180ba1..b3613c8ff48 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/fast-export/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/fast-export/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "fast-export";
- version = "200213";
+ version = "210917";
src = fetchFromGitHub {
owner = "frej";
repo = pname;
rev = "v${version}";
- sha256 = "0hzyh66rlawxip4n2pvz7pbs0cq82clqv1d6c7hf60v1drjxw287";
+ sha256 = "0xg8r9rbqv7mriraqxdks2mgj7j4c9gap3kc05y1kxi3nniywyd3";
};
nativeBuildInputs = [ makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gh/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gh/default.nix
index ceb2c36d961..9fa8e6e6253 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gh/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gh/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "gh";
- version = "2.0.0";
+ version = "2.1.0";
src = fetchFromGitHub {
owner = "cli";
repo = "cli";
rev = "v${version}";
- sha256 = "sha256-TjBUVP9/hMB8yFnupSxwHDr5bmtiMFwsDi1axsD5ykA=";
+ sha256 = "sha256-70FmFN76azRqnAZ9SLgr/V8moqkWoBbDB6IdSXM7Vmg=";
};
- vendorSha256 = "sha256-ZsMzLJ+eHAKNxhVFpQxRyTv/rcWvxA/luKPjXT+Zt4Y=";
+ vendorSha256 = "sha256-004TspNwjCWnrD86HEf5wGpt8OCP5qIrTwlGWSRNUmg=";
nativeBuildInputs = [ installShellFiles ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-branchless/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-branchless/default.nix
index c80db8ee958..25eb31936dc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-branchless/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-branchless/default.nix
@@ -1,49 +1,52 @@
-{ lib, fetchFromGitHub
-
-, coreutils
+{ lib
+, fetchFromGitHub
+, fetchpatch
, git
+, libiconv
, ncurses
+, openssl
+, pkg-config
, rustPlatform
, sqlite
+, stdenv
+, Security
+, SystemConfiguration
}:
rustPlatform.buildRustPackage rec {
pname = "git-branchless";
- version = "0.3.2";
+ version = "0.3.6-nixos.0";
src = fetchFromGitHub {
owner = "arxanas";
repo = "git-branchless";
rev = "v${version}";
- sha256 = "0pfiyb23ah1h6risrhjr8ky7b1k1f3yfc3z70s92q3czdlrk6k07";
+ sha256 = "sha256-Sq+43w7xgrCe2w+9A/gfe/34+K2IgZVholtD+WF59Qo=";
};
- cargoSha256 = "0gplx80xhpz8kwry7l4nv4rlj9z02jg0sgb6zy1y3vd9s2j5wals";
-
- # Remove path hardcodes patching if they get fixed upstream, see:
- # https://github.com/arxanas/git-branchless/issues/26
- postPatch = ''
- # Inline test hardcodes `echo` location.
- substituteInPlace ./src/commands/wrap.rs --replace '/bin/echo' '${coreutils}/bin/echo'
+ cargoSha256 = "sha256-tCpvIqGMklOUJ/+d8poq4uz2EyZTkBmtlkA/BUIVPxs=";
- # Tests in general hardcode `git` location.
- substituteInPlace ./src/testing.rs --replace '/usr/bin/git' '${git}/bin/git'
- '';
+ nativeBuildInputs = [ pkg-config ];
buildInputs = [
ncurses
+ openssl
sqlite
+ ] ++ lib.optionals stdenv.isDarwin [
+ Security
+ SystemConfiguration
+ libiconv
];
preCheck = ''
- # Tests require path to git.
export PATH_TO_GIT=${git}/bin/git
+ export GIT_EXEC_PATH=$(${git}/bin/git --exec-path)
'';
meta = with lib; {
description = "A suite of tools to help you visualize, navigate, manipulate, and repair your commit history";
homepage = "https://github.com/arxanas/git-branchless";
- license = licenses.asl20;
- maintainers = with maintainers; [ nh2 ];
+ license = licenses.gpl2Only;
+ maintainers = with maintainers; [ msfjarvis nh2 hmenke ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-cliff/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-cliff/default.nix
new file mode 100644
index 00000000000..33bb73eb634
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-cliff/default.nix
@@ -0,0 +1,27 @@
+{ lib, stdenv, fetchFromGitHub, rustPlatform, Security }:
+
+rustPlatform.buildRustPackage rec {
+ pname = "git-cliff";
+ version = "0.4.0";
+
+ src = fetchFromGitHub {
+ owner = "orhun";
+ repo = "git-cliff";
+ rev = "v${version}";
+ sha256 = "sha256-9F15XHyFxcE48/ePwjvB7lLkw9FxoQd49G758nupRuk=";
+ };
+
+ cargoSha256 = "sha256-gPf4sGDbZzfzVJy+9k3FSOdJ5b8Xci1LTjIrCmP9bW8=";
+
+ # attempts to run the program on .git in src which is not deterministic
+ doCheck = false;
+
+ buildInputs = lib.optionals stdenv.isDarwin [ Security ];
+
+ meta = with lib; {
+ description = "A highly customizable Changelog Generator that follows Conventional Commit specifications";
+ homepage = "https://github.com/orhun/git-cliff";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ siraben ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-cola/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-cola/default.nix
index f0ff57b2cb0..61061dad7c0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-cola/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-cola/default.nix
@@ -5,13 +5,13 @@ let
in buildPythonApplication rec {
pname = "git-cola";
- version = "3.10.1";
+ version = "3.11.0";
src = fetchFromGitHub {
owner = "git-cola";
repo = "git-cola";
rev = "v${version}";
- sha256 = "120hds7v29v70qxz20ppxf2glmgbah16v7jyy9i6hb6cfqp68vr8";
+ sha256 = "1s58wlpnndypx1q0m9fx8jmcd6hzqvrwdaxxrk7gn5nf423wq4xv";
};
buildInputs = [ git gettext ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-extras/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-extras/default.nix
index 387a1cb59c5..2037122bf27 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-extras/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-extras/default.nix
@@ -2,24 +2,30 @@
stdenv.mkDerivation rec {
pname = "git-extras";
- version = "6.2.0";
+ version = "6.3.0";
src = fetchFromGitHub {
owner = "tj";
repo = "git-extras";
rev = version;
- sha256 = "sha256-ACuTb1DGft2/32Ezg23jhpl9yua5kUTZ2kKL8KHU+BU=";
+ sha256 = "sha256-mmvDsK+SgBXQSKNKuPt+K4sgtdrtqPx9Df2E3kKLdJM=";
};
- nativeBuildInputs = [ unixtools.column which ];
+ postPatch = ''
+ patchShebangs check_dependencies.sh
+ '';
- dontBuild = true;
+ nativeBuildInputs = [
+ unixtools.column
+ which
+ ];
- preInstall = ''
- patchShebangs .
- '';
+ dontBuild = true;
- installFlags = [ "PREFIX=${placeholder "out"}" ];
+ installFlags = [
+ "PREFIX=${placeholder "out"}"
+ "SYSCONFDIR=${placeholder "out"}/share"
+ ];
postInstall = ''
# bash completion is already handled by make install
@@ -31,6 +37,6 @@ stdenv.mkDerivation rec {
description = "GIT utilities -- repo summary, repl, changelog population, author commit percentages and more";
license = licenses.mit;
platforms = platforms.all;
- maintainers = with maintainers; [ spwhitt cko ];
+ maintainers = with maintainers; [ spwhitt cko SuperSandro2000 ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-interactive-rebase-tool/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-interactive-rebase-tool/default.nix
index be6e96fc8ff..91f6f203983 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-interactive-rebase-tool/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-interactive-rebase-tool/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, rustPlatform, libiconv, Security }:
+{ lib, stdenv, fetchFromGitHub, fetchpatch, rustPlatform, libiconv, Security }:
rustPlatform.buildRustPackage rec {
pname = "git-interactive-rebase-tool";
@@ -11,7 +11,15 @@ rustPlatform.buildRustPackage rec {
sha256 = "sha256-DYl/GUbeNtKmXoR3gq8mK8EfsZNVNlrdngAwfzG+epw=";
};
- cargoSha256 = "sha256-1joMWPfn0s+pLsO6NHMT6AoXZ33R8MY2AWSrROY2mw8=";
+ cargoPatches = [
+ # update git2 crate to fix a compile error
+ (fetchpatch {
+ url = "https://github.com/MitMaro/git-interactive-rebase-tool/commit/f4d3026f23118d29a263bbca6c83f963e76c34c4.patch";
+ sha256 = "sha256-6ErPRcPbPRXbEslNiNInbbUhbOWb9ZRll7ZDRgTpWS4=";
+ })
+ ];
+
+ cargoSha256 = "sha256-2aHW9JIiqkO0X0B0D44tSZ8QkmKH/QZoYvKNEQWldo4=";
buildInputs = lib.optionals stdenv.isDarwin [ libiconv Security ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-machete/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-machete/default.nix
index d73ae5c960f..97b96f7461f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-machete/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-machete/default.nix
@@ -1,48 +1,53 @@
-{ lib, buildPythonApplication, fetchPypi
-, installShellFiles, pbr
-, flake8, mock, pycodestyle, pylint, tox
+{ lib
+, buildPythonApplication
+, fetchFromGitHub
+, installShellFiles
+, git
+, stestr
, nix-update-script
-, testVersion, git-machete
+, testVersion
+, git-machete
}:
buildPythonApplication rec {
pname = "git-machete";
- version = "3.3.0";
+ version = "3.4.1";
- src = fetchPypi {
- inherit pname version;
- sha256 = "0mq6hmb3wvj0ash27h4zyl46l3fikpf0mv3ng330lcy6v7bhy5b8";
+ src = fetchFromGitHub {
+ owner = "virtuslab";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "sha256-drfMD9tQe1dc61MH3Cxu9oin137f4FsZJY3X2kDHdh4=";
};
- nativeBuildInputs = [ installShellFiles pbr ];
+ nativeBuildInputs = [ installShellFiles ];
- # TODO: Add missing check inputs (2019-11-22):
- # - stestr
- doCheck = false;
- checkInputs = [ flake8 mock pycodestyle pylint tox ];
+ checkInputs = [ git stestr ];
+
+ postCheck = ''
+ stestr run
+ '';
postInstall = ''
- installShellCompletion --bash --name git-machete completion/git-machete.completion.bash
- installShellCompletion --zsh --name _git-machete completion/git-machete.completion.zsh
+ installShellCompletion --bash --name git-machete completion/git-machete.completion.bash
+ installShellCompletion --zsh --name _git-machete completion/git-machete.completion.zsh
+ '';
+
+ postInstallCheck = ''
+ git init
+ test "$($out/bin/git-machete version)" = "git-machete version ${version}"
'';
passthru = {
updateScript = nix-update-script {
attrPath = pname;
};
-
- tests = {
- version = testVersion {
- package = git-machete;
- };
- };
};
meta = with lib; {
homepage = "https://github.com/VirtusLab/git-machete";
description = "Git repository organizer and rebase/merge workflow automation tool";
license = licenses.mit;
- platforms = platforms.all;
- maintainers = [ maintainers.blitz ];
+ maintainers = with maintainers; [ blitz ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-open/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-open/default.nix
index c67a575172c..5d12c93b0b3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-open/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-open/default.nix
@@ -1,4 +1,4 @@
-{lib, stdenv, git, xdg-utils, gnugrep, fetchFromGitHub, makeWrapper}:
+{ lib, stdenv, git, xdg-utils, gnugrep, fetchFromGitHub, installShellFiles, makeWrapper, pandoc }:
stdenv.mkDerivation rec {
pname = "git-open";
@@ -11,13 +11,20 @@ stdenv.mkDerivation rec {
sha256 = "11n46bngvca5wbdbfcxzjhjbfdbad7sgf7h9gf956cb1q8swsdm0";
};
- nativeBuildInputs = [ makeWrapper ];
+ nativeBuildInputs = [ installShellFiles makeWrapper pandoc ];
- buildPhase = null;
+ buildPhase = ''
+ # marked-man is broken and severly outdated.
+ # pandoc with some extra metadata is good enough and produces a by man readable file.
+ cat <(echo echo '% git-open (1) Version ${version} | Git manual') git-open.1.md > tmp
+ mv tmp git-open.1.md
+ pandoc --standalone --to man git-open.1.md -o git-open.1
+ '';
installPhase = ''
mkdir -p $out/bin
cp git-open $out/bin
+ installManPage git-open.1
wrapProgram $out/bin/git-open \
--prefix PATH : "${lib.makeBinPath [ git xdg-utils gnugrep ]}"
'';
@@ -27,6 +34,6 @@ stdenv.mkDerivation rec {
description = "Open the GitHub page or website for a repository in your browser";
license = licenses.mit;
platforms = platforms.all;
- maintainers = [ maintainers.jlesquembre ];
+ maintainers = with maintainers; [ jlesquembre SuperSandro2000 ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-quickfix/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-quickfix/default.nix
new file mode 100644
index 00000000000..2b7f2650196
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-quickfix/default.nix
@@ -0,0 +1,38 @@
+{ lib, fetchFromGitHub
+, libiconv
+, openssl
+, pkg-config
+, rustPlatform
+, stdenv
+, Security
+, SystemConfiguration
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "git-quickfix";
+ version = "0.0.4";
+
+ src = fetchFromGitHub {
+ owner = "siedentop";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "sha256-JdRlrNzWMPS3yG1UvKKtHVRix3buSm9jfSoAUxP35BY=";
+ };
+
+ nativeBuildInputs = [ pkg-config ];
+ buildInputs = [ openssl ] ++ lib.optionals stdenv.isDarwin [
+ Security
+ SystemConfiguration
+ libiconv
+ ];
+
+ cargoSha256 = "sha256-ENeHPhEBniR9L3J5el6QZrIS1Q4O0pNiSzJqP1aQS9Q=";
+
+ meta = with lib; {
+ description = "Quickfix allows you to commit changes in your git repository to a new branch without leaving the current branch";
+ homepage = "https://github.com/siedentop/git-quickfix";
+ license = licenses.gpl3;
+ platforms = platforms.all;
+ maintainers = with maintainers; [ msfjarvis ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-remote-hg/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-remote-hg/default.nix
index 69689a49a92..4490f8068e0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-remote-hg/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git-remote-hg/default.nix
@@ -4,13 +4,13 @@
python3Packages.buildPythonApplication rec {
pname = "git-remote-hg";
- version = "unstable-2020-06-12";
+ version = "1.0.2.1";
src = fetchFromGitHub {
owner = "mnauw";
repo = "git-remote-hg";
- rev = "28ed63b707919734d230cb13bff7d231dfeee8fc";
- sha256 = "0dw48vbnk7pp0w6fzgl29mq8fyn52pacbya2w14z9c6jfvh5sha1";
+ rev = "v${version}";
+ sha256 = "1crgq13v2p9wmw1yhckmyzybh8h1nz3839qhqvzh48vxqkailzmn";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git/default.nix
index 775c4dd09cd..6bdefb8cb38 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git/default.nix
@@ -25,7 +25,7 @@ assert sendEmailSupport -> perlSupport;
assert svnSupport -> perlSupport;
let
- version = "2.32.0";
+ version = "2.33.0";
svn = subversionClient.override { perlBindings = perlSupport; };
gitwebPerlLibs = with perlPackages; [ CGI HTMLParser CGIFast FCGI FCGIProcManager HTMLTagCloud ];
@@ -37,7 +37,7 @@ stdenv.mkDerivation {
src = fetchurl {
url = "https://www.kernel.org/pub/software/scm/git/git-${version}.tar.xz";
- sha256 = "08rnm3ipjqdd2n31dw7mxl3iv9g4nxgc409krmz892a37kd43a38";
+ sha256 = "0kqcs8nj5h7rh3q86pw5777awq7gn77lgxk88ynjl1rfz2snlg5z";
};
outputs = [ "out" ] ++ lib.optional withManual "doc";
@@ -65,6 +65,9 @@ stdenv.mkDerivation {
# Fix references to gettext introduced by ./git-sh-i18n.patch
substituteInPlace git-sh-i18n.sh \
--subst-var-by gettext ${gettext}
+
+ # ensure we are using the correct shell when executing the test scripts
+ patchShebangs t/*.sh
'';
nativeBuildInputs = [ gettext perlPackages.perl makeWrapper ]
@@ -297,6 +300,8 @@ stdenv.mkDerivation {
disable_test t0001-init 'shared overrides system'
disable_test t0001-init 'init honors global core.sharedRepository'
disable_test t1301-shared-repo
+ # git-completion.bash: line 405: compgen: command not found:
+ disable_test t9902-completion 'option aliases are shown with GIT_COMPLETION_SHOW_ALL'
# Our patched gettext never fallbacks
disable_test t0201-gettext-fallbacks
@@ -316,6 +321,7 @@ stdenv.mkDerivation {
# Flaky tests:
disable_test t5319-multi-pack-index
+ disable_test t6421-merge-partial-clone
${lib.optionalString (!perlSupport) ''
# request-pull is a Bash script that invokes Perl, so it is not available
@@ -327,6 +333,11 @@ stdenv.mkDerivation {
# XXX: Some tests added in 2.24.0 fail.
# Please try to re-enable on the next release.
disable_test t7816-grep-binary-pattern
+ # fail (as of 2.33.0)
+ #===( 18623;1208 8/? 224/? 2/? )= =fatal: Not a valid object name refs/tags/signed-empty
+ disable_test t6300-for-each-ref
+ #===( 22665;1651 9/? 1/? 0/? 0/? )= =/private/tmp/nix-build-git-2.33.0.drv-2/git-2.33.0/t/../contrib/completion/git-completion.bash: line 405: compgen: command not found
+ disable_test t9902-completion
'' + lib.optionalString stdenv.hostPlatform.isMusl ''
# Test fails (as of 2.17.0, musl 1.1.19)
disable_test t3900-i18n-commit
@@ -356,6 +367,6 @@ stdenv.mkDerivation {
'';
platforms = lib.platforms.all;
- maintainers = with lib.maintainers; [ primeos peti wmertens globin ];
+ maintainers = with lib.maintainers; [ primeos wmertens globin ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git/git-send-email-honor-PATH.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git/git-send-email-honor-PATH.patch
index 9603d8e27c5..c7840084741 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git/git-send-email-honor-PATH.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/git/git-send-email-honor-PATH.patch
@@ -1,28 +1,31 @@
diff --git a/Documentation/git-send-email.txt b/Documentation/git-send-email.txt
-index 1afe9fc858..05dd7c3a90 100644
+index 3db4eab4ba..39bc0e77c9 100644
--- a/Documentation/git-send-email.txt
+++ b/Documentation/git-send-email.txt
-@@ -215,8 +215,7 @@ a password is obtained using 'git-credential'.
- specify a full pathname of a sendmail-like program instead;
- the program must support the `-i` option. Default value can
- be specified by the `sendemail.smtpServer` configuration
-- option; the built-in default is to search for `sendmail` in
-- `/usr/sbin`, `/usr/lib` and $PATH if such program is
-+ option; the built-in default is to search in $PATH if such program is
- available, falling back to `localhost` otherwise.
-
- --smtp-server-port=::
+@@ -220,9 +220,9 @@ a password is obtained using 'git-credential'.
+ --smtp-server=::
+ If set, specifies the outgoing SMTP server to use (e.g.
+ `smtp.example.com` or a raw IP address). If unspecified, and if
+- `--sendmail-cmd` is also unspecified, the default is to search
+- for `sendmail` in `/usr/sbin`, `/usr/lib` and $PATH if such a
+- program is available, falling back to `localhost` otherwise.
++ `--sendmail-cmd` is also unspecified, the default is to search for
++ `sendmail` in $PATH if such a program is available, falling back to
++ `localhost` otherwise.
+ +
+ For backward compatibility, this option can also specify a full pathname
+ of a sendmail-like program instead; the program must support the `-i`
diff --git a/git-send-email.perl b/git-send-email.perl
-index 8eb63b5a2f..74a61d8213 100755
+index e65d969d0b..508d49483d 100755
--- a/git-send-email.perl
+++ b/git-send-email.perl
-@@ -956,8 +956,7 @@ sub expand_one_alias {
+@@ -1066,8 +1066,7 @@ sub expand_one_alias {
}
- if (!defined $smtp_server) {
+ if (!defined $sendmail_cmd && !defined $smtp_server) {
- my @sendmail_paths = qw( /usr/sbin/sendmail /usr/lib/sendmail );
- push @sendmail_paths, map {"$_/sendmail"} split /:/, $ENV{PATH};
+ my @sendmail_paths = map {"$_/sendmail"} split /:/, $ENV{PATH};
foreach (@sendmail_paths) {
if (-x $_) {
- $smtp_server = $_;
+ $sendmail_cmd = $_;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gitstatus/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gitstatus/default.nix
index 1ab6bfd1a2f..d6f54497a33 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gitstatus/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gitstatus/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "gitstatus";
- version = "1.5.2";
+ version = "1.5.3";
src = fetchFromGitHub {
owner = "romkatv";
repo = "gitstatus";
rev = "v${version}";
- sha256 = "sha256-MQG4thW73gDqY68bKP2FO8z5uc2R/tED+/X9qas/GOA=";
+ sha256 = "sha256-ZTpnT4kuntHdMWK7c/pHS6mJrAHF9T51DydXnWXj8Z0=";
};
buildInputs = [ (callPackage ./romkatv_libgit2.nix { }) ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gitty/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gitty/default.nix
new file mode 100644
index 00000000000..45c0f2635a0
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gitty/default.nix
@@ -0,0 +1,25 @@
+{ lib, fetchFromGitHub, buildGoModule }:
+
+buildGoModule rec {
+ pname = "gitty";
+ version = "0.3.0";
+
+ src = fetchFromGitHub {
+ owner = "muesli";
+ repo = "gitty";
+ rev = "v${version}";
+ sha256 = "1byjcvzimwn6nmhz0agicq7zq0xhkj4idi9apm1mgd3m2l509ivj";
+ };
+
+ vendorSha256 = "1mbl585ja82kss5p8vli3hbykqxa00j8z63ypq6vi464qkh5x3py";
+
+ ldflags = [ "-s" "-w" "-X=main.Version=${version}" ];
+
+ meta = with lib; {
+ homepage = "https://github.com/muesli/gitty/";
+ description = "Contextual information about your git projects, right on the command-line";
+ license = licenses.mit;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ izorkin ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gitui/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gitui/default.nix
index 5d1e50d1213..b46cfb8ee8d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gitui/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/gitui/default.nix
@@ -1,22 +1,25 @@
-{ lib, stdenv, rustPlatform, fetchFromGitHub, libiconv, perl, python3, Security, AppKit, openssl, xclip }:
+{ lib, stdenv, rustPlatform, fetchFromGitHub, libiconv, perl, python3, Security, AppKit, openssl, xclip, pkg-config }:
rustPlatform.buildRustPackage rec {
pname = "gitui";
- version = "0.17";
+ version = "0.18.0";
src = fetchFromGitHub {
owner = "extrawurst";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-UM1L95VKmUh2E56dlKo3TkNYRlib5Hg5VHGokBqTP+s=";
+ sha256 = "sha256-NzE2eT3QxnbDW63Cnv6M7IlYgb2XuymphwaL1PTfcyQ=";
};
- cargoSha256 = "sha256-i/Z1pOrg7rKH5uDqkyh7V9jZRHXZ3Bhhw5UpzKWOjJ0=";
+ cargoSha256 = "sha256-9SWovdjYfeneqOVl+I+tuJTIC/htC7h1tXi2KUbdYb8=";
- nativeBuildInputs = [ python3 perl ];
+ nativeBuildInputs = [ python3 perl pkg-config ];
buildInputs = [ openssl ]
++ lib.optional stdenv.isLinux xclip
++ lib.optionals stdenv.isDarwin [ libiconv Security AppKit ];
+ # Needed to get openssl-sys to use pkg-config.
+ OPENSSL_NO_VENDOR = 1;
+
meta = with lib; {
description = "Blazing fast terminal-ui for git written in rust";
homepage = "https://github.com/extrawurst/gitui";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/glab/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/glab/default.nix
index c06c70e2678..047cc021924 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/glab/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/glab/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "glab";
- version = "1.20.0";
+ version = "1.21.1";
src = fetchFromGitHub {
owner = "profclems";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-MHNhl6lrBqHZ6M4fVOnSDxEcF6RqfWHWx5cvUhRXJKw=";
+ sha256 = "sha256-naCCJ9s63UPDxRWbVjVikXtGUlM4Lp7vyDHlESEtXeI=";
};
- vendorSha256 = "sha256-9+WBKc8PI0v6bnkC+78Ygv/eocQ3D7+xBb8lcv16QTE=";
+ vendorSha256 = "sha256-iiHDxiP6Dg7MK5jhSwly5oEhFZ8ByCx5WEyrbzL/u4w=";
runVend = true;
ldflags = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/glitter/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/glitter/default.nix
new file mode 100644
index 00000000000..d256e212eca
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/glitter/default.nix
@@ -0,0 +1,27 @@
+{ lib, rustPlatform, fetchFromGitHub }:
+
+rustPlatform.buildRustPackage rec {
+ pname = "glitter";
+ version = "1.4.10";
+
+ src = fetchFromGitHub {
+ owner = "milo123459";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "sha256-5yv0RZfGLS/cxOxettHQHSPldcq+xa+TNj6dDIAmzOM=";
+ };
+
+ cargoSha256 = "sha256-xG7aic7NCcltz9YmQ4V40/h3OR8Vt5IgApp4yoDbPuc=";
+
+ # tests require it to be in a git repository
+ preCheck = ''
+ git init
+ '';
+
+ meta = with lib; {
+ description = "A git wrapper that allows you to compress multiple commands into one";
+ homepage = "https://github.com/milo123459/glitter";
+ license = licenses.mit;
+ maintainers = with maintainers; [ figsoda ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/lab/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/lab/default.nix
index 63f42963089..f1be6a4fc8d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/lab/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/lab/default.nix
@@ -2,18 +2,18 @@
buildGoModule rec {
pname = "lab";
- version = "0.22.0";
+ version = "0.23.0";
src = fetchFromGitHub {
owner = "zaquestion";
repo = "lab";
rev = "v${version}";
- sha256 = "sha256-CyXEmlsc40JtwDjRYNWqN+3cuoG8K07jAQdd1rktvS8=";
+ sha256 = "0g8v3cli8rvr0zsxiv4w0afzqmh0d85a832c4hc75b3f9amkr0dl";
};
subPackages = [ "." ];
- vendorSha256 = "sha256-PSS7OPbM+XsylqDlWc4h+oZrOua2kSWKLEuyjqo/cxM=";
+ vendorSha256 = "09xn5vycb9shygs13pfwxlb89j6rhrbplm10mfgxi4kzlvm7agl6";
doCheck = false;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/lefthook/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/lefthook/default.nix
index 374e68a71c7..bab5b5aa33d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/lefthook/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/lefthook/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "lefthook";
- version = "0.7.6";
+ version = "0.7.7";
src = fetchFromGitHub {
rev = "v${version}";
owner = "evilmartians";
repo = "lefthook";
- sha256 = "sha256-qCj6FsbzxnMISCITKFcIIYtqMiHzSNYNjlpgpE9S/Ss=";
+ sha256 = "sha256-XyuXegCTJSW4uO6fEaRKq/jZnE+JbrxZw0kcDvhpsVo=";
};
vendorSha256 = "sha256-Rp67FnFU27u85t02MIs7wZQoOa8oGsHVVPQ9OdIyTJg=";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/pass-git-helper/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/pass-git-helper/default.nix
index 1821dba0f00..a431a50af3b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/pass-git-helper/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/pass-git-helper/default.nix
@@ -2,13 +2,13 @@
buildPythonApplication rec {
pname = "pass-git-helper";
- version = "1.1.1";
+ version = "1.1.2";
src = fetchFromGitHub {
owner = "languitar";
repo = "pass-git-helper";
rev = "v${version}";
- sha256 = "sha256-GdsFPpBdoEaOCmdKxw5xTuFOcGFH94w5q/lV891lCUs=";
+ sha256 = "sha256-HEdOR6jS16c4UIatlgB6HeBtyyxePSab+6e2hu85dsI=";
};
propagatedBuildInputs = [ pyxdg ];
@@ -21,6 +21,6 @@ buildPythonApplication rec {
homepage = "https://github.com/languitar/pass-git-helper";
description = "A git credential helper interfacing with pass, the standard unix password manager";
license = licenses.gpl3Plus;
- maintainers = with maintainers; [ vanzef ];
+ maintainers = with maintainers; [ hmenke vanzef ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/radicle-upstream/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/radicle-upstream/default.nix
index 8774e69e48c..6d521151bd8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/radicle-upstream/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/radicle-upstream/default.nix
@@ -2,17 +2,17 @@
let
pname = "radicle-upstream";
- version = "0.2.9";
+ version = "0.2.10";
name = "${pname}-${version}";
srcs = {
x86_64-linux = fetchurl {
url = "https://releases.radicle.xyz/radicle-upstream-${version}.AppImage";
- sha256 = "sha256-chju3ZEFFLOzE9FakUK2izm/5ejELdL/iWMtM3bRpWY=";
+ sha256 = "sha256-iZC7FzYaQsCoAq/soi5g2oo/Xd2PtZgO/wR8zDgN4Fk=";
};
x86_64-darwin = fetchurl {
url = "https://releases.radicle.xyz/radicle-upstream-${version}.dmg";
- sha256 = "sha256-OOqe4diRcJWHHOa6jBpljPoA3FQOKlghMhKGQ242GnM=";
+ sha256 = "sha256-gc5OrNu7t0VJrjLQO7+7TWvEj7D51WmMJfL/KQDMgvA=";
};
};
src = srcs.${stdenv.hostPlatform.system};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/stgit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/stgit/default.nix
index 4393a9dc51c..02888b3f87e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/stgit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/git-and-tools/stgit/default.nix
@@ -4,6 +4,7 @@
, python3Packages
, asciidoc
, docbook_xsl
+, docbook_xml_dtd_45
, git
, perl
, xmlto
@@ -11,16 +12,16 @@
python3Packages.buildPythonApplication rec {
pname = "stgit";
- version = "1.1";
+ version = "1.3";
src = fetchFromGitHub {
owner = "stacked-git";
repo = "stgit";
rev = "v${version}";
- sha256 = "sha256-gfPf1yRmx1Mn1TyCBWmjQJBgXLlZrDcew32C9o6uNYk=";
+ sha256 = "0wa3ba7afnbb1h08n9xr0cqsg93rx0qd9jv8a34mmpp0lpijmjw6";
};
- nativeBuildInputs = [ installShellFiles asciidoc xmlto docbook_xsl ];
+ nativeBuildInputs = [ installShellFiles asciidoc xmlto docbook_xsl docbook_xml_dtd_45 ];
format = "other";
@@ -34,6 +35,14 @@ python3Packages.buildPythonApplication rec {
--replace http://docbook.sourceforge.net/release/xsl/current/html/docbook.xsl \
${docbook_xsl}/xml/xsl/docbook/html/docbook.xsl
done
+
+ substituteInPlace Documentation/texi.xsl \
+ --replace http://www.oasis-open.org/docbook/xml/4.5/docbookx.dtd \
+ ${docbook_xml_dtd_45}/xml/dtd/docbook/docbookx.dtd
+
+ cat > stgit/_version.py < 6.1.3.2'
gem 'rdoc', '~> 6.0'
gem 'gitlab-gollum-lib', '~> 4.2.7.10.gitlab.1', require: false
gem 'gitlab-gollum-rugged_adapter', '~> 0.4.4.4.gitlab.1', require: false
-gem 'grpc', '~> 1.38.0'
+gem 'grpc', '~> 1.30.2'
gem 'sentry-raven', '~> 3.0', require: false
gem 'faraday', '~> 1.0'
gem 'rbtrace', require: false
@@ -29,5 +29,5 @@ group :development, :test do
gem 'factory_bot', require: false
gem 'pry', '~> 0.12.2', require: false
- gem 'grpc-tools', '= 1.38.0'
+ gem 'grpc-tools', '= 1.30.2'
end
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitaly/Gemfile.lock b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitaly/Gemfile.lock
index e87bd703acd..dba345db638 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitaly/Gemfile.lock
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitaly/Gemfile.lock
@@ -77,10 +77,10 @@ GEM
google-protobuf (3.17.3)
googleapis-common-protos-types (1.1.0)
google-protobuf (~> 3.14)
- grpc (1.38.0)
- google-protobuf (~> 3.15)
+ grpc (1.30.2)
+ google-protobuf (~> 3.12)
googleapis-common-protos-types (~> 1.0)
- grpc-tools (1.38.0)
+ grpc-tools (1.30.2)
i18n (1.8.10)
concurrent-ruby (~> 1.0)
ice_nine (0.11.2)
@@ -108,7 +108,7 @@ GEM
minitest (5.14.4)
msgpack (1.3.3)
multipart-post (2.1.1)
- nokogiri (1.11.5)
+ nokogiri (1.11.7)
mini_portile2 (~> 2.5.0)
racc (~> 1.4)
nokogumbo (1.5.0)
@@ -225,8 +225,8 @@ DEPENDENCIES
gitlab-labkit (~> 0.20.0)
gitlab-markup (~> 1.7.1)
google-protobuf (~> 3.17.0)
- grpc (~> 1.38.0)
- grpc-tools (= 1.38.0)
+ grpc (~> 1.30.2)
+ grpc-tools (= 1.30.2)
licensee (~> 9.14.1)
pry (~> 0.12.2)
rbtrace
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitaly/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitaly/default.nix
index ac3bc1653ab..26deabcc230 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitaly/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitaly/default.nix
@@ -9,24 +9,40 @@ let
inherit ruby;
copyGemFiles = true;
gemdir = ./.;
+ gemset =
+ let x = import (gemdir + "/gemset.nix");
+ in x // {
+ # grpc expects the AR environment variable to contain `ar rpc`. See the
+ # discussion in nixpkgs #63056.
+ grpc = x.grpc // {
+ patches = [ ../fix-grpc-ar.patch ];
+ dontBuild = false;
+ };
+ };
};
-in buildGoModule rec {
- version = "14.2.1";
+ version = "14.3.3";
+ gitaly_package = "gitlab.com/gitlab-org/gitaly/v${lib.versions.major version}";
+in
+
+buildGoModule {
pname = "gitaly";
+ inherit version;
src = fetchFromGitLab {
owner = "gitlab-org";
repo = "gitaly";
rev = "v${version}";
- sha256 = "sha256-B3NtdS1UcT+nYIdoXs+tW2gnXZ0ew+NiIcCNi5z5fOc=";
+ sha256 = "sha256-WC361E+p3i02n2YCOwUzRxCWFt5UMEfJi6tHZPj1dgo=";
};
- vendorSha256 = "sha256-WhkNK+V7yXK+le1u8StAKajZIBzVKqV/WIau27oZBXE=";
+ vendorSha256 = "sha256-9RhPQosen70E9t1iAoc2SeKs9pYMMpMqgXLekWfKNf8=";
passthru = {
inherit rubyEnv;
};
+ ldflags = "-X ${gitaly_package}/internal/version.version=${version} -X ${gitaly_package}/internal/version.moduleVersion=${version}";
+
tags = [ "static,system_libgit2" ];
nativeBuildInputs = [ pkg-config ];
buildInputs = [ rubyEnv.wrappedRuby libgit2 openssl zlib pcre http-parser ];
@@ -35,6 +51,7 @@ in buildGoModule rec {
postInstall = ''
mkdir -p $ruby
cp -rv $src/ruby/{bin,lib,proto,git-hooks} $ruby
+ mv $out/bin/gitaly-git2go $out/bin/gitaly-git2go-${version}
'';
outputs = [ "out" "ruby" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitaly/gemset.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitaly/gemset.nix
index 7f3ba7d5014..dea32e94b13 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitaly/gemset.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitaly/gemset.nix
@@ -311,20 +311,20 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "16qxl287kkf34h71djlf9x3wxmd5ylcm83y2zhnrv81gbrhn8k12";
+ sha256 = "1rsglf7ag17n465iff7vlw83pn2rpl4kv9sb1rpf17nx6xpi7yl5";
type = "gem";
};
- version = "1.38.0";
+ version = "1.30.2";
};
grpc-tools = {
groups = ["development" "test"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0sfbf5s19nfgznlb7m2sfw9l0ppvypj46ijjvq5p35fc6b8by5aq";
+ sha256 = "0k9zhsqhamp02ryzgfb4y2bbick151vlhrhj0kqbbz9lyhms0bd4";
type = "gem";
};
- version = "1.38.0";
+ version = "1.30.2";
};
i18n = {
dependencies = ["concurrent-ruby"];
@@ -482,10 +482,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1i80ny61maqzqr1fq5wgpkijmh5j8abisrmhn16kv7mzmxqg5w0m";
+ sha256 = "1vrn31385ix5k9b0yalnlzv360isv6dincbcvi8psllnwz4sjxj9";
type = "gem";
};
- version = "1.11.5";
+ version = "1.11.7";
};
nokogumbo = {
dependencies = ["nokogiri"];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitlab-shell/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitlab-shell/default.nix
index ee039c4adb2..2ffc52f3b12 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitlab-shell/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitlab-shell/default.nix
@@ -2,19 +2,19 @@
buildGoModule rec {
pname = "gitlab-shell";
- version = "13.19.1";
+ version = "13.21.1";
src = fetchFromGitLab {
owner = "gitlab-org";
repo = "gitlab-shell";
rev = "v${version}";
- sha256 = "sha256-F0TW0VjO5hc/lHqZhhMJJvpHazWRyR7Q7W324Fgn7fA=";
+ sha256 = "sha256-FBkxJLl58ZbqM1P4LohsozGiKg38gQwVGOV9AAjVE0M=";
};
buildInputs = [ ruby ];
patches = [ ./remove-hardcoded-locations.patch ];
- vendorSha256 = "sha256-+nUMxHWo/d/WrQ4LAEG2+ghtNBF9vcnSyg6Ki412rPA=";
+ vendorSha256 = "sha256-cE6phpVYcZNCEk6bElEksIf4GOr/5vJPRdlGCubRafE=";
postInstall = ''
cp -r "$NIX_BUILD_TOP/source"/bin/* $out/bin
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitlab-workhorse/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitlab-workhorse/default.nix
index f34423ab15e..3e3bc25162b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitlab-workhorse/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/gitlab-workhorse/default.nix
@@ -5,7 +5,7 @@ in
buildGoModule rec {
pname = "gitlab-workhorse";
- version = "14.2.1";
+ version = "14.3.3";
src = fetchFromGitLab {
owner = data.owner;
@@ -16,7 +16,7 @@ buildGoModule rec {
sourceRoot = "source/workhorse";
- vendorSha256 = "sha256-q0LuXmjoO6mjVZpMRVVGL862mA+MaCejTCx99Zi5VEI=";
+ vendorSha256 = "sha256-piA14jYFV+FD20kR38rN1o329eeYAW/PmS0QI1GaU50=";
buildInputs = [ git ];
ldflags = [ "-X main.Version=${version}" ];
doCheck = false;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile
index ff806aa1ad7..08a9b090c66 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile
@@ -18,7 +18,7 @@ gem 'default_value_for', '~> 3.4.0'
gem 'pg', '~> 1.1'
gem 'rugged', '~> 1.1'
-gem 'grape-path-helpers', '~> 1.6.3'
+gem 'grape-path-helpers', '~> 1.7.0'
gem 'faraday', '~> 1.0'
gem 'marginalia', '~> 1.10.0'
@@ -32,7 +32,7 @@ gem 'bcrypt', '~> 3.1', '>= 3.1.14'
gem 'doorkeeper', '~> 5.5.0.rc2'
gem 'doorkeeper-openid_connect', '~> 1.7.5'
gem 'rexml', '~> 3.2.5'
-gem 'ruby-saml', '~> 1.12.1'
+gem 'ruby-saml', '~> 1.13.0'
gem 'omniauth', '~> 1.8'
gem 'omniauth-auth0', '~> 2.0.0'
gem 'omniauth-azure-activedirectory-v2', '~> 1.0'
@@ -101,7 +101,7 @@ gem 'graphql', '~> 1.11.8'
# TODO: remove app/views/graphiql/rails/editors/show.html.erb when https://github.com/rmosolgo/graphiql-rails/pull/71 is released:
# https://gitlab.com/gitlab-org/gitlab/issues/31747
gem 'graphiql-rails', '~> 1.4.10'
-gem 'apollo_upload_server', '~> 2.0.2'
+gem 'apollo_upload_server', '~> 2.1.0'
gem 'graphql-docs', '~> 1.6.0', group: [:development, :test]
gem 'graphlient', '~> 0.4.0' # Used by BulkImport feature (group::import)
@@ -120,7 +120,7 @@ gem 'carrierwave', '~> 1.3'
gem 'mini_magick', '~> 4.10.1'
# for backups
-gem 'fog-aws', '~> 3.9'
+gem 'fog-aws', '~> 3.12'
# Locked until fog-google resolves https://github.com/fog/fog-google/issues/421.
# Also see config/initializers/fog_core_patch.rb.
gem 'fog-core', '= 2.1.0'
@@ -233,7 +233,7 @@ gem 'redis', '~> 4.1.4'
gem 'connection_pool', '~> 2.0'
# Redis session store
-gem 'redis-rails', '~> 5.0.2'
+gem 'redis-actionpack', '~> 5.2.0'
# Discord integration
gem 'discordrb-webhooks', '~> 3.4', require: false
@@ -310,7 +310,7 @@ gem 'pg_query', '~> 2.1'
gem 'premailer-rails', '~> 1.10.3'
# LabKit: Tracing and Correlation
-gem 'gitlab-labkit', '~> 0.21.0'
+gem 'gitlab-labkit', '~> 0.21.1'
# Thrift is a dependency of gitlab-labkit, we want a version higher than 0.14.0
# because of https://gitlab.com/gitlab-org/gitlab/-/issues/321900
gem 'thrift', '>= 0.14.0'
@@ -333,7 +333,7 @@ gem 'snowplow-tracker', '~> 0.6.1'
# Metrics
gem 'method_source', '~> 1.0', require: false
gem 'webrick', '~> 1.6.1', require: false
-gem 'prometheus-client-mmap', '~> 0.12.0', require: 'prometheus/client'
+gem 'prometheus-client-mmap', '~> 0.15.0', require: 'prometheus/client'
gem 'warning', '~> 1.2.0'
@@ -372,7 +372,7 @@ group :development, :test do
gem 'spring', '~> 2.1.0'
gem 'spring-commands-rspec', '~> 1.0.4'
- gem 'gitlab-styles', '~> 6.2.0', require: false
+ gem 'gitlab-styles', '~> 6.3.0', require: false
gem 'haml_lint', '~> 0.36.0', require: false
gem 'bundler-audit', '~> 0.7.0.1', require: false
@@ -474,12 +474,12 @@ end
gem 'spamcheck', '~> 0.1.0'
# Gitaly GRPC protocol definitions
-gem 'gitaly', '~> 14.2.0.pre.rc2'
+gem 'gitaly', '~> 14.3.0.pre.rc1'
# KAS GRPC protocol definitions
gem 'kas-grpc', '~> 0.0.2'
-gem 'grpc', '~> 1.38.0'
+gem 'grpc', '~> 1.30.2'
gem 'google-protobuf', '~> 3.17.1'
@@ -522,7 +522,7 @@ gem 'lockbox', '~> 0.6.2'
gem 'valid_email', '~> 0.1'
# JSON
-gem 'json', '~> 2.3.0'
+gem 'json', '~> 2.5.1'
gem 'json_schemer', '~> 0.2.18'
gem 'oj', '~> 3.10.6'
gem 'multi_json', '~> 1.14.1'
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile.lock b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile.lock
index f43f8610196..51ccc8a03cc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile.lock
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile.lock
@@ -73,9 +73,9 @@ GEM
aes_key_wrap (1.1.0)
akismet (3.0.0)
android_key_attestation (0.3.0)
- apollo_upload_server (2.0.2)
+ apollo_upload_server (2.1.0)
+ actionpack (>= 4.2)
graphql (>= 1.8)
- rails (>= 4.2)
asana (0.10.3)
faraday (~> 1.0)
faraday_middleware (~> 1.0)
@@ -365,7 +365,7 @@ GEM
faraday_middleware
multi_json
fast_blank (1.0.0)
- fast_gettext (1.6.0)
+ fast_gettext (2.1.0)
ffaker (2.10.0)
ffi (1.15.3)
ffi-compiler (1.0.1)
@@ -388,7 +388,7 @@ GEM
fog-json
ipaddress (~> 0.8)
xml-simple (~> 1.1)
- fog-aws (3.9.0)
+ fog-aws (3.12.0)
fog-core (~> 2.1)
fog-json (~> 1.1)
fog-xml (~> 0.1)
@@ -446,7 +446,7 @@ GEM
rails (>= 3.2.0)
git (1.7.0)
rchardet (~> 1.8)
- gitaly (14.2.0.pre.rc2)
+ gitaly (14.3.0.pre.rc1)
grpc (~> 1.0)
github-markup (1.7.0)
gitlab (4.16.1)
@@ -468,10 +468,10 @@ GEM
fog-json (~> 1.2.0)
mime-types
ms_rest_azure (~> 0.12.0)
- gitlab-labkit (0.21.0)
+ gitlab-labkit (0.21.1)
actionpack (>= 5.0.0, < 7.0.0)
activesupport (>= 5.0.0, < 7.0.0)
- grpc (~> 1.38)
+ grpc (~> 1.30.2)
jaeger-client (~> 1.1)
opentracing (~> 0.4)
pg_query (~> 2.1)
@@ -486,7 +486,7 @@ GEM
openid_connect (~> 1.2)
gitlab-sidekiq-fetcher (0.5.6)
sidekiq (~> 5)
- gitlab-styles (6.2.0)
+ gitlab-styles (6.3.0)
rubocop (~> 0.91, >= 0.91.1)
rubocop-gitlab-security (~> 0.1.1)
rubocop-performance (~> 1.9.2)
@@ -539,7 +539,7 @@ GEM
grape-entity (0.9.0)
activesupport (>= 3.0.0)
multi_json (>= 1.3.2)
- grape-path-helpers (1.6.3)
+ grape-path-helpers (1.7.0)
activesupport
grape (~> 1.3)
rake (> 12)
@@ -566,8 +566,8 @@ GEM
graphql (~> 1.6)
html-pipeline (~> 2.8)
sass (~> 3.4)
- grpc (1.38.0)
- google-protobuf (~> 3.15)
+ grpc (1.30.2)
+ google-protobuf (~> 3.12)
googleapis-common-protos-types (~> 1.0)
gssapi (1.2.0)
ffi (>= 1.0.1)
@@ -650,7 +650,7 @@ GEM
character_set (~> 1.4)
regexp_parser (~> 2.1)
regexp_property_values (~> 1.0)
- json (2.3.0)
+ json (2.5.1)
json-jwt (1.13.0)
activesupport (>= 4.2)
aes_key_wrap
@@ -721,7 +721,7 @@ GEM
activesupport (>= 4)
railties (>= 4)
request_store (~> 1.0)
- loofah (2.11.0)
+ loofah (2.12.0)
crass (~> 1.0.2)
nokogiri (>= 1.5.9)
lru_redux (1.1.0)
@@ -893,7 +893,7 @@ GEM
orm_adapter (0.5.0)
os (1.1.1)
parallel (1.20.1)
- parser (3.0.0.0)
+ parser (3.0.2.0)
ast (~> 2.4.1)
parslet (1.8.2)
pastel (0.8.0)
@@ -918,7 +918,7 @@ GEM
coderay
parser
unparser
- prometheus-client-mmap (0.12.0)
+ prometheus-client-mmap (0.15.0)
pry (0.13.1)
coderay (~> 1.1)
method_source (~> 1.0)
@@ -982,7 +982,7 @@ GEM
rails-dom-testing (2.0.3)
activesupport (>= 4.2.0)
nokogiri (>= 1.6)
- rails-html-sanitizer (1.3.0)
+ rails-html-sanitizer (1.4.2)
loofah (~> 2.3)
rails-i18n (6.0.0)
i18n (>= 0.7, < 2)
@@ -1016,19 +1016,12 @@ GEM
actionpack (>= 5, < 7)
redis-rack (>= 2.1.0, < 3)
redis-store (>= 1.1.0, < 2)
- redis-activesupport (5.2.0)
- activesupport (>= 3, < 7)
- redis-store (>= 1.3, < 2)
redis-namespace (1.8.1)
redis (>= 3.0.4)
- redis-rack (2.1.2)
+ redis-rack (2.1.3)
rack (>= 2.0.8, < 3)
redis-store (>= 1.2, < 2)
- redis-rails (5.0.2)
- redis-actionpack (>= 5.0, < 6)
- redis-activesupport (>= 5.0, < 6)
- redis-store (>= 1.2, < 2)
- redis-store (1.8.1)
+ redis-store (1.9.0)
redis (>= 4, < 5)
regexp_parser (2.1.1)
regexp_property_values (1.0.0)
@@ -1124,7 +1117,7 @@ GEM
mini_portile2 (~> 2.5.0)
ruby-prof (1.3.1)
ruby-progressbar (1.11.0)
- ruby-saml (1.12.1)
+ ruby-saml (1.13.0)
nokogiri (>= 1.10.5)
rexml
ruby-statistics (2.1.2)
@@ -1385,7 +1378,7 @@ DEPENDENCIES
acts-as-taggable-on (~> 7.0)
addressable (~> 2.8)
akismet (~> 3.0)
- apollo_upload_server (~> 2.0.2)
+ apollo_upload_server (~> 2.1.0)
asana (~> 0.10.3)
asciidoctor (~> 2.0.10)
asciidoctor-include-ext (~> 0.3.1)
@@ -1453,7 +1446,7 @@ DEPENDENCIES
flipper-active_support_cache_store (~> 0.21.0)
flowdock (~> 0.7)
fog-aliyun (~> 0.3)
- fog-aws (~> 3.9)
+ fog-aws (~> 3.12)
fog-core (= 2.1.0)
fog-google (~> 1.15)
fog-local (~> 0.6)
@@ -1465,20 +1458,20 @@ DEPENDENCIES
gettext (~> 3.3)
gettext_i18n_rails (~> 1.8.0)
gettext_i18n_rails_js (~> 1.3)
- gitaly (~> 14.2.0.pre.rc2)
+ gitaly (~> 14.3.0.pre.rc1)
github-markup (~> 1.7.0)
gitlab-chronic (~> 0.10.5)
gitlab-dangerfiles (~> 2.3.0)
gitlab-experiment (~> 0.6.4)
gitlab-fog-azure-rm (~> 1.1.1)
- gitlab-labkit (~> 0.21.0)
+ gitlab-labkit (~> 0.21.1)
gitlab-license (~> 2.0)
gitlab-mail_room (~> 0.0.9)
gitlab-markup (~> 1.7.1)
gitlab-net-dns (~> 0.9.1)
gitlab-omniauth-openid-connect (~> 0.8.0)
gitlab-sidekiq-fetcher (= 0.5.6)
- gitlab-styles (~> 6.2.0)
+ gitlab-styles (~> 6.3.0)
gitlab_chronic_duration (~> 0.10.6.2)
gitlab_omniauth-ldap (~> 2.1.1)
gon (~> 6.4.0)
@@ -1487,13 +1480,13 @@ DEPENDENCIES
gpgme (~> 2.0.19)
grape (~> 1.5.2)
grape-entity (~> 0.9.0)
- grape-path-helpers (~> 1.6.3)
+ grape-path-helpers (~> 1.7.0)
grape_logging (~> 1.7)
graphiql-rails (~> 1.4.10)
graphlient (~> 0.4.0)
graphql (~> 1.11.8)
graphql-docs (~> 1.6.0)
- grpc (~> 1.38.0)
+ grpc (~> 1.30.2)
gssapi
guard-rspec
haml_lint (~> 0.36.0)
@@ -1510,7 +1503,7 @@ DEPENDENCIES
ipaddress (~> 0.8.3)
jira-ruby (~> 2.1.4)
js_regex (~> 3.7)
- json (~> 2.3.0)
+ json (~> 2.5.1)
json_schemer (~> 0.2.18)
jwt (~> 2.1.0)
kaminari (~> 1.0)
@@ -1567,7 +1560,7 @@ DEPENDENCIES
pg_query (~> 2.1)
png_quantizator (~> 0.2.1)
premailer-rails (~> 1.10.3)
- prometheus-client-mmap (~> 0.12.0)
+ prometheus-client-mmap (~> 0.15.0)
pry-byebug
pry-rails (~> 0.3.9)
pry-shell (~> 0.4.0)
@@ -1589,8 +1582,8 @@ DEPENDENCIES
re2 (~> 1.2.0)
recaptcha (~> 4.11)
redis (~> 4.1.4)
+ redis-actionpack (~> 5.2.0)
redis-namespace (~> 1.8.1)
- redis-rails (~> 5.0.2)
request_store (~> 1.5)
responders (~> 3.0)
retriable (~> 3.1.2)
@@ -1606,7 +1599,7 @@ DEPENDENCIES
ruby-magic (~> 0.4)
ruby-prof (~> 1.3.0)
ruby-progressbar (~> 1.10)
- ruby-saml (~> 1.12.1)
+ ruby-saml (~> 1.13.0)
ruby_parser (~> 3.15)
rubyzip (~> 2.0.0)
rugged (~> 1.1)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/rubyEnv/gemset.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/rubyEnv/gemset.nix
index 84c1222dbbc..0eb5dc16a3f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/rubyEnv/gemset.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/rubyEnv/gemset.nix
@@ -195,15 +195,15 @@
version = "0.3.0";
};
apollo_upload_server = {
- dependencies = ["graphql" "rails"];
+ dependencies = ["actionpack" "graphql"];
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0xk54h9mmzhrbgbmk33v38pavb8w6421mx2yrgsdarkfl9fr90y3";
+ sha256 = "0klhppx4vjfdvgz12wb63bcxy5ymk0mp8wkh01fpgjn2l3fwkwz5";
type = "gem";
};
- version = "2.0.2";
+ version = "2.1.0";
};
asana = {
dependencies = ["faraday" "faraday_middleware" "faraday_middleware-multi_json" "oauth2"];
@@ -1598,10 +1598,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1s42dsy3rh9h37d16pwhswf2q9cx25v5fn3q881b5iz6fvdjixv3";
+ sha256 = "05df0w58w748n3bwcb5cbbh6l203hwl6k59vl6p3qfq0bay5s28d";
type = "gem";
};
- version = "1.6.0";
+ version = "2.1.0";
};
ffaker = {
groups = ["development" "test"];
@@ -1705,10 +1705,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "10y32rm3vcfh82p2fdr2zq8ibknx1jslmai5m0r261bdr3brkssm";
+ sha256 = "0cl9b93mwhzm9fp0lmac1vzz359g3sq52k06kn0a0vnvxrxnhzjm";
type = "gem";
};
- version = "3.9.0";
+ version = "3.12.0";
};
fog-core = {
dependencies = ["builder" "excon" "formatador" "mime-types"];
@@ -1911,10 +1911,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0y4zsl1s7ysb1z6piczfkscbjnx7hchda3jsdam42dmi40z654dp";
+ sha256 = "0k0jrimdg0pij75hndkrl28hqgvsnl7sdn5k6mjv3sjwbm1p217w";
type = "gem";
};
- version = "14.2.0.pre.rc2";
+ version = "14.3.0.pre.rc1";
};
github-markup = {
groups = ["default"];
@@ -1987,10 +1987,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0dzdxrn2ra21nyfnabj44fbwbccjkp3i7cjpym99pzbsx8dkna8z";
+ sha256 = "09xci7jw5sckagnwfjlglz4cywylrf16r83f82asnnngvxadvvmq";
type = "gem";
};
- version = "0.21.0";
+ version = "0.21.1";
};
gitlab-license = {
groups = ["default"];
@@ -2060,10 +2060,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1lgjp6cfb92z7i03f9k519bjabnnh1k0bgzmagp5x15iza73sz4v";
+ sha256 = "1vxg5j9405r5xvwnswhm2r7pg9pn6pqg675pxz6f8d3sxy5z963p";
type = "gem";
};
- version = "6.2.0";
+ version = "6.3.0";
};
gitlab_chronic_duration = {
dependencies = ["numerizer"];
@@ -2202,10 +2202,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1jbajciakiq9wwax2x11jzhmwzkcpkb4c0gfl01aj8a3l99gvgs9";
+ sha256 = "1r9p47kcf1j56pd0zijakcqp1mi5563z3i2kkbhx2pc3y95zca6d";
type = "gem";
};
- version = "1.6.3";
+ version = "1.7.0";
};
grape_logging = {
dependencies = ["grape" "rack"];
@@ -2278,10 +2278,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "16qxl287kkf34h71djlf9x3wxmd5ylcm83y2zhnrv81gbrhn8k12";
+ sha256 = "1rsglf7ag17n465iff7vlw83pn2rpl4kv9sb1rpf17nx6xpi7yl5";
type = "gem";
};
- version = "1.38.0";
+ version = "1.30.2";
};
gssapi = {
dependencies = ["ffi"];
@@ -2648,10 +2648,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0nrmw2r4nfxlfgprfgki3hjifgrcrs3l5zvm3ca3gb4743yr25mn";
+ sha256 = "0lrirj0gw420kw71bjjlqkqhqbrplla61gbv1jzgsz6bv90qr3ci";
type = "gem";
};
- version = "2.3.0";
+ version = "2.5.1";
};
json-jwt = {
dependencies = ["activesupport" "aes_key_wrap" "bindata"];
@@ -2917,10 +2917,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0pwik3x5fa92g6hbv4imz3n46nlkzgj69pkgql22ppmcr36knk6m";
+ sha256 = "1nqcya57x2n58y1dify60i0dpla40n4yir928khp4nj5jrn9mgmw";
type = "gem";
};
- version = "2.11.0";
+ version = "2.12.0";
};
lru_redux = {
groups = ["default"];
@@ -3752,10 +3752,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1jixakyzmy0j5c1rb0fjrrdhgnyryvrr6vgcybs14jfw09akv5ml";
+ sha256 = "06ma6w87ph8lnc9z4hi40ynmcdnjv0p8x53x0s3fjkz4q2p6sxh5";
type = "gem";
};
- version = "3.0.0.0";
+ version = "3.0.2.0";
};
parslet = {
groups = ["default" "development" "test"];
@@ -3875,14 +3875,14 @@
version = "0.1.0";
};
prometheus-client-mmap = {
- groups = ["metrics"];
+ groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1i0h9ixdvxw1n9ynxsrbc1lkx3dvd6r78iiwgwnqfz3fap6jgd9p";
+ sha256 = "0vn736898qyyw29kjyjifx2bg18r6gfaw3q8xzjgmr0jk4jz29c3";
type = "gem";
};
- version = "0.12.0";
+ version = "0.15.0";
};
pry = {
dependencies = ["coderay" "method_source"];
@@ -4130,10 +4130,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1icpqmxbppl4ynzmn6dx7wdil5hhq6fz707m9ya6d86c7ys8sd4f";
+ sha256 = "09qrfi3pgllxb08r024lln9k0qzxs57v0slsj8616xf9c0cwnwbk";
type = "gem";
};
- version = "1.3.0";
+ version = "1.4.2";
};
rails-i18n = {
dependencies = ["i18n" "railties"];
@@ -4316,17 +4316,6 @@
};
version = "5.2.0";
};
- redis-activesupport = {
- dependencies = ["activesupport" "redis-store"];
- groups = ["default"];
- platforms = [];
- source = {
- remotes = ["https://rubygems.org"];
- sha256 = "14a3z8810j02ysvg53f3mvcfb4rw34m91yfd19zy9y5lb3yv2g59";
- type = "gem";
- };
- version = "5.2.0";
- };
redis-namespace = {
dependencies = ["redis"];
groups = ["default"];
@@ -4344,21 +4333,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0ldw5sxyd80pv0gr89kvn6ziszlbs8lv1a573fkm6d0f11fps413";
+ sha256 = "1nblbxg1f051dn83jp92lz3lc1wxm18nviglrabv2l0vz6rd0pkb";
type = "gem";
};
- version = "2.1.2";
- };
- redis-rails = {
- dependencies = ["redis-actionpack" "redis-activesupport" "redis-store"];
- groups = ["default"];
- platforms = [];
- source = {
- remotes = ["https://rubygems.org"];
- sha256 = "0hjvkyaw5hgz7v6fgwdk8pb966z44h1gv8jarmb0gwhkqmjnsh40";
- type = "gem";
- };
- version = "5.0.2";
+ version = "2.1.3";
};
redis-store = {
dependencies = ["redis"];
@@ -4366,10 +4344,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1isqzzds9kszc2nn8jiy8ikry01qspn7637ba9z2k6sk7vky46d9";
+ sha256 = "0cpzbf2svnk4j5awb24ncl0mih45zkbdrd7q23jdg1r8k3q7mdg6";
type = "gem";
};
- version = "1.8.1";
+ version = "1.9.0";
};
regexp_parser = {
groups = ["default" "development" "test"];
@@ -4752,10 +4730,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0hczs2s490x6lj8z9xczlgi4c159nk9b10njsnl37nqbgjfkjgsw";
+ sha256 = "1706dyk5jdma75bnl9rhmx8vgzjw12ixnj3y32inmpcgzgsvs76k";
type = "gem";
};
- version = "1.12.1";
+ version = "1.13.0";
};
ruby-statistics = {
groups = ["default"];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/update.py b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/update.py
index b644f59f780..c8b4efc2478 100755
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/update.py
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/update.py
@@ -1,5 +1,5 @@
#!/usr/bin/env nix-shell
-#! nix-shell -i python3 -p bundix bundler nix-update nix nix-universal-prefetch python3 python3Packages.requests python3Packages.click python3Packages.click-log yarn2nix
+#! nix-shell -I nixpkgs=../../../.. -i python3 -p bundix bundler nix-update nix nix-universal-prefetch python3 python3Packages.requests python3Packages.click python3Packages.click-log prefetch-yarn-deps
import click
import click_log
@@ -9,6 +9,7 @@ import logging
import subprocess
import json
import pathlib
+import tempfile
from distutils.version import LooseVersion
from typing import Iterable
@@ -42,6 +43,12 @@ class GitLabRepo:
def get_git_hash(self, rev: str):
return subprocess.check_output(['nix-universal-prefetch', 'fetchFromGitLab', '--owner', self.owner, '--repo', self.repo, '--rev', rev]).decode('utf-8').strip()
+ def get_yarn_hash(self, rev: str):
+ with tempfile.TemporaryDirectory() as tmp_dir:
+ with open(tmp_dir + '/yarn.lock', 'w') as f:
+ f.write(self.get_file('yarn.lock', rev))
+ return subprocess.check_output(['prefetch-yarn-deps', tmp_dir + '/yarn.lock']).decode('utf-8').strip()
+
@staticmethod
def rev2version(tag: str) -> str:
"""
@@ -74,6 +81,7 @@ class GitLabRepo:
return dict(version=self.rev2version(rev),
repo_hash=self.get_git_hash(rev),
+ yarn_hash=self.get_yarn_hash(rev),
owner=self.owner,
repo=self.repo,
rev=rev,
@@ -142,26 +150,6 @@ def update_rubyenv():
subprocess.check_output(['bundix'], cwd=rubyenv_dir)
-@cli.command('update-yarnpkgs')
-def update_yarnpkgs():
- """Update yarnPkgs"""
-
- repo = GitLabRepo()
- yarnpkgs_dir = pathlib.Path(__file__).parent
-
- # load rev from data.json
- data = _get_data_json()
- rev = data['rev']
-
- with open(yarnpkgs_dir / 'yarn.lock', 'w') as f:
- f.write(repo.get_file('yarn.lock', rev))
-
- with open(yarnpkgs_dir / 'yarnPkgs.nix', 'w') as f:
- subprocess.run(['yarn2nix'], cwd=yarnpkgs_dir, check=True, stdout=f)
-
- os.unlink(yarnpkgs_dir / 'yarn.lock')
-
-
@cli.command('update-gitaly')
def update_gitaly():
"""Update gitaly"""
@@ -203,7 +191,6 @@ def update_all(ctx, rev: str):
"""Update all gitlab components to the latest stable release"""
ctx.invoke(update_data, rev=rev)
ctx.invoke(update_rubyenv)
- ctx.invoke(update_yarnpkgs)
ctx.invoke(update_gitaly)
ctx.invoke(update_gitlab_shell)
ctx.invoke(update_gitlab_workhorse)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/yarnPkgs.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/yarnPkgs.nix
deleted file mode 100644
index 8ec9091b970..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitlab/yarnPkgs.nix
+++ /dev/null
@@ -1,14029 +0,0 @@
-{ fetchurl, fetchgit, linkFarm, runCommand, gnutar }: rec {
- offline_cache = linkFarm "offline" packages;
- packages = [
- {
- name = "_babel_code_frame___code_frame_7.12.11.tgz";
- path = fetchurl {
- name = "_babel_code_frame___code_frame_7.12.11.tgz";
- url = "https://registry.yarnpkg.com/@babel/code-frame/-/code-frame-7.12.11.tgz";
- sha1 = "f4ad435aa263db935b8f10f2c552d23fb716a63f";
- };
- }
- {
- name = "_babel_code_frame___code_frame_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_code_frame___code_frame_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/code-frame/-/code-frame-7.12.13.tgz";
- sha1 = "dcfc826beef65e75c50e21d3837d7d95798dd658";
- };
- }
- {
- name = "_babel_compat_data___compat_data_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_compat_data___compat_data_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/compat-data/-/compat-data-7.10.1.tgz";
- sha1 = "b1085ffe72cd17bf2c0ee790fc09f9626011b2db";
- };
- }
- {
- name = "_babel_core___core_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_core___core_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/core/-/core-7.12.13.tgz";
- sha1 = "b73a87a3a3e7d142a66248bf6ad88b9ceb093425";
- };
- }
- {
- name = "_babel_generator___generator_7.12.15.tgz";
- path = fetchurl {
- name = "_babel_generator___generator_7.12.15.tgz";
- url = "https://registry.yarnpkg.com/@babel/generator/-/generator-7.12.15.tgz";
- sha1 = "4617b5d0b25cc572474cc1aafee1edeaf9b5368f";
- };
- }
- {
- name = "_babel_helper_annotate_as_pure___helper_annotate_as_pure_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_helper_annotate_as_pure___helper_annotate_as_pure_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-annotate-as-pure/-/helper-annotate-as-pure-7.10.1.tgz";
- sha1 = "f6d08acc6f70bbd59b436262553fb2e259a1a268";
- };
- }
- {
- name = "_babel_helper_builder_binary_assignment_operator_visitor___helper_builder_binary_assignment_operator_visitor_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_helper_builder_binary_assignment_operator_visitor___helper_builder_binary_assignment_operator_visitor_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-builder-binary-assignment-operator-visitor/-/helper-builder-binary-assignment-operator-visitor-7.10.1.tgz";
- sha1 = "0ec7d9be8174934532661f87783eb18d72290059";
- };
- }
- {
- name = "_babel_helper_compilation_targets___helper_compilation_targets_7.10.2.tgz";
- path = fetchurl {
- name = "_babel_helper_compilation_targets___helper_compilation_targets_7.10.2.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-compilation-targets/-/helper-compilation-targets-7.10.2.tgz";
- sha1 = "a17d9723b6e2c750299d2a14d4637c76936d8285";
- };
- }
- {
- name = "_babel_helper_create_class_features_plugin___helper_create_class_features_plugin_7.10.2.tgz";
- path = fetchurl {
- name = "_babel_helper_create_class_features_plugin___helper_create_class_features_plugin_7.10.2.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-create-class-features-plugin/-/helper-create-class-features-plugin-7.10.2.tgz";
- sha1 = "7474295770f217dbcf288bf7572eb213db46ee67";
- };
- }
- {
- name = "_babel_helper_create_regexp_features_plugin___helper_create_regexp_features_plugin_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_helper_create_regexp_features_plugin___helper_create_regexp_features_plugin_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-create-regexp-features-plugin/-/helper-create-regexp-features-plugin-7.10.1.tgz";
- sha1 = "1b8feeab1594cbcfbf3ab5a3bbcabac0468efdbd";
- };
- }
- {
- name = "_babel_helper_define_map___helper_define_map_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_helper_define_map___helper_define_map_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-define-map/-/helper-define-map-7.10.1.tgz";
- sha1 = "5e69ee8308648470dd7900d159c044c10285221d";
- };
- }
- {
- name = "_babel_helper_explode_assignable_expression___helper_explode_assignable_expression_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_helper_explode_assignable_expression___helper_explode_assignable_expression_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-explode-assignable-expression/-/helper-explode-assignable-expression-7.10.1.tgz";
- sha1 = "e9d76305ee1162ca467357ae25df94f179af2b7e";
- };
- }
- {
- name = "_babel_helper_function_name___helper_function_name_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_helper_function_name___helper_function_name_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-function-name/-/helper-function-name-7.12.13.tgz";
- sha1 = "93ad656db3c3c2232559fd7b2c3dbdcbe0eb377a";
- };
- }
- {
- name = "_babel_helper_get_function_arity___helper_get_function_arity_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_helper_get_function_arity___helper_get_function_arity_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-get-function-arity/-/helper-get-function-arity-7.12.13.tgz";
- sha1 = "bc63451d403a3b3082b97e1d8b3fe5bd4091e583";
- };
- }
- {
- name = "_babel_helper_hoist_variables___helper_hoist_variables_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_helper_hoist_variables___helper_hoist_variables_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-hoist-variables/-/helper-hoist-variables-7.10.1.tgz";
- sha1 = "7e77c82e5dcae1ebf123174c385aaadbf787d077";
- };
- }
- {
- name = "_babel_helper_member_expression_to_functions___helper_member_expression_to_functions_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_helper_member_expression_to_functions___helper_member_expression_to_functions_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-member-expression-to-functions/-/helper-member-expression-to-functions-7.12.13.tgz";
- sha1 = "c5715695b4f8bab32660dbdcdc2341dec7e3df40";
- };
- }
- {
- name = "_babel_helper_module_imports___helper_module_imports_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_helper_module_imports___helper_module_imports_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-module-imports/-/helper-module-imports-7.12.13.tgz";
- sha1 = "ec67e4404f41750463e455cc3203f6a32e93fcb0";
- };
- }
- {
- name = "_babel_helper_module_transforms___helper_module_transforms_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_helper_module_transforms___helper_module_transforms_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-module-transforms/-/helper-module-transforms-7.12.13.tgz";
- sha1 = "01afb052dcad2044289b7b20beb3fa8bd0265bea";
- };
- }
- {
- name = "_babel_helper_optimise_call_expression___helper_optimise_call_expression_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_helper_optimise_call_expression___helper_optimise_call_expression_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-optimise-call-expression/-/helper-optimise-call-expression-7.12.13.tgz";
- sha1 = "5c02d171b4c8615b1e7163f888c1c81c30a2aaea";
- };
- }
- {
- name = "_babel_helper_plugin_utils___helper_plugin_utils_7.10.4.tgz";
- path = fetchurl {
- name = "_babel_helper_plugin_utils___helper_plugin_utils_7.10.4.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-plugin-utils/-/helper-plugin-utils-7.10.4.tgz";
- sha1 = "2f75a831269d4f677de49986dff59927533cf375";
- };
- }
- {
- name = "_babel_helper_regex___helper_regex_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_helper_regex___helper_regex_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-regex/-/helper-regex-7.10.1.tgz";
- sha1 = "021cf1a7ba99822f993222a001cc3fec83255b96";
- };
- }
- {
- name = "_babel_helper_remap_async_to_generator___helper_remap_async_to_generator_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_helper_remap_async_to_generator___helper_remap_async_to_generator_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-remap-async-to-generator/-/helper-remap-async-to-generator-7.10.1.tgz";
- sha1 = "bad6aaa4ff39ce8d4b82ccaae0bfe0f7dbb5f432";
- };
- }
- {
- name = "_babel_helper_replace_supers___helper_replace_supers_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_helper_replace_supers___helper_replace_supers_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-replace-supers/-/helper-replace-supers-7.12.13.tgz";
- sha1 = "00ec4fb6862546bd3d0aff9aac56074277173121";
- };
- }
- {
- name = "_babel_helper_simple_access___helper_simple_access_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_helper_simple_access___helper_simple_access_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-simple-access/-/helper-simple-access-7.12.13.tgz";
- sha1 = "8478bcc5cacf6aa1672b251c1d2dde5ccd61a6c4";
- };
- }
- {
- name = "_babel_helper_split_export_declaration___helper_split_export_declaration_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_helper_split_export_declaration___helper_split_export_declaration_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-split-export-declaration/-/helper-split-export-declaration-7.12.13.tgz";
- sha1 = "e9430be00baf3e88b0e13e6f9d4eaf2136372b05";
- };
- }
- {
- name = "_babel_helper_validator_identifier___helper_validator_identifier_7.12.11.tgz";
- path = fetchurl {
- name = "_babel_helper_validator_identifier___helper_validator_identifier_7.12.11.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-validator-identifier/-/helper-validator-identifier-7.12.11.tgz";
- sha1 = "c9a1f021917dcb5ccf0d4e453e399022981fc9ed";
- };
- }
- {
- name = "_babel_helper_wrap_function___helper_wrap_function_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_helper_wrap_function___helper_wrap_function_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/helper-wrap-function/-/helper-wrap-function-7.10.1.tgz";
- sha1 = "956d1310d6696257a7afd47e4c42dfda5dfcedc9";
- };
- }
- {
- name = "_babel_helpers___helpers_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_helpers___helpers_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/helpers/-/helpers-7.12.13.tgz";
- sha1 = "3c75e993632e4dadc0274eae219c73eb7645ba47";
- };
- }
- {
- name = "_babel_highlight___highlight_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_highlight___highlight_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/highlight/-/highlight-7.12.13.tgz";
- sha1 = "8ab538393e00370b26271b01fa08f7f27f2e795c";
- };
- }
- {
- name = "_babel_parser___parser_7.12.15.tgz";
- path = fetchurl {
- name = "_babel_parser___parser_7.12.15.tgz";
- url = "https://registry.yarnpkg.com/@babel/parser/-/parser-7.12.15.tgz";
- sha1 = "2b20de7f0b4b332d9b119dd9c33409c538b8aacf";
- };
- }
- {
- name = "_babel_plugin_proposal_async_generator_functions___plugin_proposal_async_generator_functions_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_proposal_async_generator_functions___plugin_proposal_async_generator_functions_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-proposal-async-generator-functions/-/plugin-proposal-async-generator-functions-7.10.1.tgz";
- sha1 = "6911af5ba2e615c4ff3c497fe2f47b35bf6d7e55";
- };
- }
- {
- name = "_babel_plugin_proposal_class_properties___plugin_proposal_class_properties_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_proposal_class_properties___plugin_proposal_class_properties_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-proposal-class-properties/-/plugin-proposal-class-properties-7.10.1.tgz";
- sha1 = "046bc7f6550bb08d9bd1d4f060f5f5a4f1087e01";
- };
- }
- {
- name = "_babel_plugin_proposal_dynamic_import___plugin_proposal_dynamic_import_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_proposal_dynamic_import___plugin_proposal_dynamic_import_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-proposal-dynamic-import/-/plugin-proposal-dynamic-import-7.10.1.tgz";
- sha1 = "e36979dc1dc3b73f6d6816fc4951da2363488ef0";
- };
- }
- {
- name = "_babel_plugin_proposal_json_strings___plugin_proposal_json_strings_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_proposal_json_strings___plugin_proposal_json_strings_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-proposal-json-strings/-/plugin-proposal-json-strings-7.10.1.tgz";
- sha1 = "b1e691ee24c651b5a5e32213222b2379734aff09";
- };
- }
- {
- name = "_babel_plugin_proposal_nullish_coalescing_operator___plugin_proposal_nullish_coalescing_operator_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_proposal_nullish_coalescing_operator___plugin_proposal_nullish_coalescing_operator_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-proposal-nullish-coalescing-operator/-/plugin-proposal-nullish-coalescing-operator-7.10.1.tgz";
- sha1 = "02dca21673842ff2fe763ac253777f235e9bbf78";
- };
- }
- {
- name = "_babel_plugin_proposal_numeric_separator___plugin_proposal_numeric_separator_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_proposal_numeric_separator___plugin_proposal_numeric_separator_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-proposal-numeric-separator/-/plugin-proposal-numeric-separator-7.10.1.tgz";
- sha1 = "a9a38bc34f78bdfd981e791c27c6fdcec478c123";
- };
- }
- {
- name = "_babel_plugin_proposal_object_rest_spread___plugin_proposal_object_rest_spread_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_proposal_object_rest_spread___plugin_proposal_object_rest_spread_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-proposal-object-rest-spread/-/plugin-proposal-object-rest-spread-7.10.1.tgz";
- sha1 = "cba44908ac9f142650b4a65b8aa06bf3478d5fb6";
- };
- }
- {
- name = "_babel_plugin_proposal_optional_catch_binding___plugin_proposal_optional_catch_binding_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_proposal_optional_catch_binding___plugin_proposal_optional_catch_binding_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-proposal-optional-catch-binding/-/plugin-proposal-optional-catch-binding-7.10.1.tgz";
- sha1 = "c9f86d99305f9fa531b568ff5ab8c964b8b223d2";
- };
- }
- {
- name = "_babel_plugin_proposal_optional_chaining___plugin_proposal_optional_chaining_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_proposal_optional_chaining___plugin_proposal_optional_chaining_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-proposal-optional-chaining/-/plugin-proposal-optional-chaining-7.10.1.tgz";
- sha1 = "15f5d6d22708629451a91be28f8facc55b0e818c";
- };
- }
- {
- name = "_babel_plugin_proposal_private_methods___plugin_proposal_private_methods_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_proposal_private_methods___plugin_proposal_private_methods_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-proposal-private-methods/-/plugin-proposal-private-methods-7.10.1.tgz";
- sha1 = "ed85e8058ab0fe309c3f448e5e1b73ca89cdb598";
- };
- }
- {
- name = "_babel_plugin_proposal_unicode_property_regex___plugin_proposal_unicode_property_regex_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_proposal_unicode_property_regex___plugin_proposal_unicode_property_regex_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-proposal-unicode-property-regex/-/plugin-proposal-unicode-property-regex-7.10.1.tgz";
- sha1 = "dc04feb25e2dd70c12b05d680190e138fa2c0c6f";
- };
- }
- {
- name = "_babel_plugin_syntax_async_generators___plugin_syntax_async_generators_7.8.4.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_async_generators___plugin_syntax_async_generators_7.8.4.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-async-generators/-/plugin-syntax-async-generators-7.8.4.tgz";
- sha1 = "a983fb1aeb2ec3f6ed042a210f640e90e786fe0d";
- };
- }
- {
- name = "_babel_plugin_syntax_bigint___plugin_syntax_bigint_7.8.3.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_bigint___plugin_syntax_bigint_7.8.3.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-bigint/-/plugin-syntax-bigint-7.8.3.tgz";
- sha1 = "4c9a6f669f5d0cdf1b90a1671e9a146be5300cea";
- };
- }
- {
- name = "_babel_plugin_syntax_class_properties___plugin_syntax_class_properties_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_class_properties___plugin_syntax_class_properties_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-class-properties/-/plugin-syntax-class-properties-7.10.1.tgz";
- sha1 = "d5bc0645913df5b17ad7eda0fa2308330bde34c5";
- };
- }
- {
- name = "_babel_plugin_syntax_dynamic_import___plugin_syntax_dynamic_import_7.8.3.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_dynamic_import___plugin_syntax_dynamic_import_7.8.3.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-dynamic-import/-/plugin-syntax-dynamic-import-7.8.3.tgz";
- sha1 = "62bf98b2da3cd21d626154fc96ee5b3cb68eacb3";
- };
- }
- {
- name = "_babel_plugin_syntax_import_meta___plugin_syntax_import_meta_7.10.4.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_import_meta___plugin_syntax_import_meta_7.10.4.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-import-meta/-/plugin-syntax-import-meta-7.10.4.tgz";
- sha1 = "ee601348c370fa334d2207be158777496521fd51";
- };
- }
- {
- name = "_babel_plugin_syntax_json_strings___plugin_syntax_json_strings_7.8.3.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_json_strings___plugin_syntax_json_strings_7.8.3.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-json-strings/-/plugin-syntax-json-strings-7.8.3.tgz";
- sha1 = "01ca21b668cd8218c9e640cb6dd88c5412b2c96a";
- };
- }
- {
- name = "_babel_plugin_syntax_logical_assignment_operators___plugin_syntax_logical_assignment_operators_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_logical_assignment_operators___plugin_syntax_logical_assignment_operators_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-logical-assignment-operators/-/plugin-syntax-logical-assignment-operators-7.10.1.tgz";
- sha1 = "fffee77b4934ce77f3b427649ecdddbec1958550";
- };
- }
- {
- name = "_babel_plugin_syntax_nullish_coalescing_operator___plugin_syntax_nullish_coalescing_operator_7.8.3.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_nullish_coalescing_operator___plugin_syntax_nullish_coalescing_operator_7.8.3.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-nullish-coalescing-operator/-/plugin-syntax-nullish-coalescing-operator-7.8.3.tgz";
- sha1 = "167ed70368886081f74b5c36c65a88c03b66d1a9";
- };
- }
- {
- name = "_babel_plugin_syntax_numeric_separator___plugin_syntax_numeric_separator_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_numeric_separator___plugin_syntax_numeric_separator_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-numeric-separator/-/plugin-syntax-numeric-separator-7.10.1.tgz";
- sha1 = "25761ee7410bc8cf97327ba741ee94e4a61b7d99";
- };
- }
- {
- name = "_babel_plugin_syntax_object_rest_spread___plugin_syntax_object_rest_spread_7.8.3.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_object_rest_spread___plugin_syntax_object_rest_spread_7.8.3.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-object-rest-spread/-/plugin-syntax-object-rest-spread-7.8.3.tgz";
- sha1 = "60e225edcbd98a640332a2e72dd3e66f1af55871";
- };
- }
- {
- name = "_babel_plugin_syntax_optional_catch_binding___plugin_syntax_optional_catch_binding_7.8.3.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_optional_catch_binding___plugin_syntax_optional_catch_binding_7.8.3.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-optional-catch-binding/-/plugin-syntax-optional-catch-binding-7.8.3.tgz";
- sha1 = "6111a265bcfb020eb9efd0fdfd7d26402b9ed6c1";
- };
- }
- {
- name = "_babel_plugin_syntax_optional_chaining___plugin_syntax_optional_chaining_7.8.3.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_optional_chaining___plugin_syntax_optional_chaining_7.8.3.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-optional-chaining/-/plugin-syntax-optional-chaining-7.8.3.tgz";
- sha1 = "4f69c2ab95167e0180cd5336613f8c5788f7d48a";
- };
- }
- {
- name = "_babel_plugin_syntax_top_level_await___plugin_syntax_top_level_await_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_syntax_top_level_await___plugin_syntax_top_level_await_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-syntax-top-level-await/-/plugin-syntax-top-level-await-7.10.1.tgz";
- sha1 = "8b8733f8c57397b3eaa47ddba8841586dcaef362";
- };
- }
- {
- name = "_babel_plugin_transform_arrow_functions___plugin_transform_arrow_functions_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_arrow_functions___plugin_transform_arrow_functions_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-arrow-functions/-/plugin-transform-arrow-functions-7.10.1.tgz";
- sha1 = "cb5ee3a36f0863c06ead0b409b4cc43a889b295b";
- };
- }
- {
- name = "_babel_plugin_transform_async_to_generator___plugin_transform_async_to_generator_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_async_to_generator___plugin_transform_async_to_generator_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-async-to-generator/-/plugin-transform-async-to-generator-7.10.1.tgz";
- sha1 = "e5153eb1a3e028f79194ed8a7a4bf55f862b2062";
- };
- }
- {
- name = "_babel_plugin_transform_block_scoped_functions___plugin_transform_block_scoped_functions_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_block_scoped_functions___plugin_transform_block_scoped_functions_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-block-scoped-functions/-/plugin-transform-block-scoped-functions-7.10.1.tgz";
- sha1 = "146856e756d54b20fff14b819456b3e01820b85d";
- };
- }
- {
- name = "_babel_plugin_transform_block_scoping___plugin_transform_block_scoping_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_block_scoping___plugin_transform_block_scoping_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-block-scoping/-/plugin-transform-block-scoping-7.10.1.tgz";
- sha1 = "47092d89ca345811451cd0dc5d91605982705d5e";
- };
- }
- {
- name = "_babel_plugin_transform_classes___plugin_transform_classes_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_classes___plugin_transform_classes_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-classes/-/plugin-transform-classes-7.10.1.tgz";
- sha1 = "6e11dd6c4dfae70f540480a4702477ed766d733f";
- };
- }
- {
- name = "_babel_plugin_transform_computed_properties___plugin_transform_computed_properties_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_computed_properties___plugin_transform_computed_properties_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-computed-properties/-/plugin-transform-computed-properties-7.10.1.tgz";
- sha1 = "59aa399064429d64dce5cf76ef9b90b7245ebd07";
- };
- }
- {
- name = "_babel_plugin_transform_destructuring___plugin_transform_destructuring_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_destructuring___plugin_transform_destructuring_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-destructuring/-/plugin-transform-destructuring-7.10.1.tgz";
- sha1 = "abd58e51337815ca3a22a336b85f62b998e71907";
- };
- }
- {
- name = "_babel_plugin_transform_dotall_regex___plugin_transform_dotall_regex_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_dotall_regex___plugin_transform_dotall_regex_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-dotall-regex/-/plugin-transform-dotall-regex-7.10.1.tgz";
- sha1 = "920b9fec2d78bb57ebb64a644d5c2ba67cc104ee";
- };
- }
- {
- name = "_babel_plugin_transform_duplicate_keys___plugin_transform_duplicate_keys_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_duplicate_keys___plugin_transform_duplicate_keys_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-duplicate-keys/-/plugin-transform-duplicate-keys-7.10.1.tgz";
- sha1 = "c900a793beb096bc9d4d0a9d0cde19518ffc83b9";
- };
- }
- {
- name = "_babel_plugin_transform_exponentiation_operator___plugin_transform_exponentiation_operator_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_exponentiation_operator___plugin_transform_exponentiation_operator_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-exponentiation-operator/-/plugin-transform-exponentiation-operator-7.10.1.tgz";
- sha1 = "279c3116756a60dd6e6f5e488ba7957db9c59eb3";
- };
- }
- {
- name = "_babel_plugin_transform_for_of___plugin_transform_for_of_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_for_of___plugin_transform_for_of_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-for-of/-/plugin-transform-for-of-7.10.1.tgz";
- sha1 = "ff01119784eb0ee32258e8646157ba2501fcfda5";
- };
- }
- {
- name = "_babel_plugin_transform_function_name___plugin_transform_function_name_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_function_name___plugin_transform_function_name_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-function-name/-/plugin-transform-function-name-7.10.1.tgz";
- sha1 = "4ed46fd6e1d8fde2a2ec7b03c66d853d2c92427d";
- };
- }
- {
- name = "_babel_plugin_transform_literals___plugin_transform_literals_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_literals___plugin_transform_literals_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-literals/-/plugin-transform-literals-7.10.1.tgz";
- sha1 = "5794f8da82846b22e4e6631ea1658bce708eb46a";
- };
- }
- {
- name = "_babel_plugin_transform_member_expression_literals___plugin_transform_member_expression_literals_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_member_expression_literals___plugin_transform_member_expression_literals_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-member-expression-literals/-/plugin-transform-member-expression-literals-7.10.1.tgz";
- sha1 = "90347cba31bca6f394b3f7bd95d2bbfd9fce2f39";
- };
- }
- {
- name = "_babel_plugin_transform_modules_amd___plugin_transform_modules_amd_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_modules_amd___plugin_transform_modules_amd_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-modules-amd/-/plugin-transform-modules-amd-7.10.1.tgz";
- sha1 = "65950e8e05797ebd2fe532b96e19fc5482a1d52a";
- };
- }
- {
- name = "_babel_plugin_transform_modules_commonjs___plugin_transform_modules_commonjs_7.10.4.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_modules_commonjs___plugin_transform_modules_commonjs_7.10.4.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-modules-commonjs/-/plugin-transform-modules-commonjs-7.10.4.tgz";
- sha1 = "66667c3eeda1ebf7896d41f1f16b17105a2fbca0";
- };
- }
- {
- name = "_babel_plugin_transform_modules_systemjs___plugin_transform_modules_systemjs_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_modules_systemjs___plugin_transform_modules_systemjs_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-modules-systemjs/-/plugin-transform-modules-systemjs-7.10.1.tgz";
- sha1 = "9962e4b0ac6aaf2e20431ada3d8ec72082cbffb6";
- };
- }
- {
- name = "_babel_plugin_transform_modules_umd___plugin_transform_modules_umd_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_modules_umd___plugin_transform_modules_umd_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-modules-umd/-/plugin-transform-modules-umd-7.10.1.tgz";
- sha1 = "ea080911ffc6eb21840a5197a39ede4ee67b1595";
- };
- }
- {
- name = "_babel_plugin_transform_named_capturing_groups_regex___plugin_transform_named_capturing_groups_regex_7.8.3.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_named_capturing_groups_regex___plugin_transform_named_capturing_groups_regex_7.8.3.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-named-capturing-groups-regex/-/plugin-transform-named-capturing-groups-regex-7.8.3.tgz";
- sha1 = "a2a72bffa202ac0e2d0506afd0939c5ecbc48c6c";
- };
- }
- {
- name = "_babel_plugin_transform_new_target___plugin_transform_new_target_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_new_target___plugin_transform_new_target_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-new-target/-/plugin-transform-new-target-7.10.1.tgz";
- sha1 = "6ee41a5e648da7632e22b6fb54012e87f612f324";
- };
- }
- {
- name = "_babel_plugin_transform_object_super___plugin_transform_object_super_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_object_super___plugin_transform_object_super_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-object-super/-/plugin-transform-object-super-7.10.1.tgz";
- sha1 = "2e3016b0adbf262983bf0d5121d676a5ed9c4fde";
- };
- }
- {
- name = "_babel_plugin_transform_parameters___plugin_transform_parameters_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_parameters___plugin_transform_parameters_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-parameters/-/plugin-transform-parameters-7.10.1.tgz";
- sha1 = "b25938a3c5fae0354144a720b07b32766f683ddd";
- };
- }
- {
- name = "_babel_plugin_transform_property_literals___plugin_transform_property_literals_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_property_literals___plugin_transform_property_literals_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-property-literals/-/plugin-transform-property-literals-7.10.1.tgz";
- sha1 = "cffc7315219230ed81dc53e4625bf86815b6050d";
- };
- }
- {
- name = "_babel_plugin_transform_regenerator___plugin_transform_regenerator_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_regenerator___plugin_transform_regenerator_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-regenerator/-/plugin-transform-regenerator-7.10.1.tgz";
- sha1 = "10e175cbe7bdb63cc9b39f9b3f823c5c7c5c5490";
- };
- }
- {
- name = "_babel_plugin_transform_reserved_words___plugin_transform_reserved_words_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_reserved_words___plugin_transform_reserved_words_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-reserved-words/-/plugin-transform-reserved-words-7.10.1.tgz";
- sha1 = "0fc1027312b4d1c3276a57890c8ae3bcc0b64a86";
- };
- }
- {
- name = "_babel_plugin_transform_shorthand_properties___plugin_transform_shorthand_properties_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_shorthand_properties___plugin_transform_shorthand_properties_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-shorthand-properties/-/plugin-transform-shorthand-properties-7.10.1.tgz";
- sha1 = "e8b54f238a1ccbae482c4dce946180ae7b3143f3";
- };
- }
- {
- name = "_babel_plugin_transform_spread___plugin_transform_spread_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_spread___plugin_transform_spread_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-spread/-/plugin-transform-spread-7.10.1.tgz";
- sha1 = "0c6d618a0c4461a274418460a28c9ccf5239a7c8";
- };
- }
- {
- name = "_babel_plugin_transform_sticky_regex___plugin_transform_sticky_regex_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_sticky_regex___plugin_transform_sticky_regex_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-sticky-regex/-/plugin-transform-sticky-regex-7.10.1.tgz";
- sha1 = "90fc89b7526228bed9842cff3588270a7a393b00";
- };
- }
- {
- name = "_babel_plugin_transform_template_literals___plugin_transform_template_literals_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_template_literals___plugin_transform_template_literals_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-template-literals/-/plugin-transform-template-literals-7.10.1.tgz";
- sha1 = "914c7b7f4752c570ea00553b4284dad8070e8628";
- };
- }
- {
- name = "_babel_plugin_transform_typeof_symbol___plugin_transform_typeof_symbol_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_typeof_symbol___plugin_transform_typeof_symbol_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-typeof-symbol/-/plugin-transform-typeof-symbol-7.10.1.tgz";
- sha1 = "60c0239b69965d166b80a84de7315c1bc7e0bb0e";
- };
- }
- {
- name = "_babel_plugin_transform_unicode_escapes___plugin_transform_unicode_escapes_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_unicode_escapes___plugin_transform_unicode_escapes_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-unicode-escapes/-/plugin-transform-unicode-escapes-7.10.1.tgz";
- sha1 = "add0f8483dab60570d9e03cecef6c023aa8c9940";
- };
- }
- {
- name = "_babel_plugin_transform_unicode_regex___plugin_transform_unicode_regex_7.10.1.tgz";
- path = fetchurl {
- name = "_babel_plugin_transform_unicode_regex___plugin_transform_unicode_regex_7.10.1.tgz";
- url = "https://registry.yarnpkg.com/@babel/plugin-transform-unicode-regex/-/plugin-transform-unicode-regex-7.10.1.tgz";
- sha1 = "6b58f2aea7b68df37ac5025d9c88752443a6b43f";
- };
- }
- {
- name = "_babel_preset_env___preset_env_7.10.2.tgz";
- path = fetchurl {
- name = "_babel_preset_env___preset_env_7.10.2.tgz";
- url = "https://registry.yarnpkg.com/@babel/preset-env/-/preset-env-7.10.2.tgz";
- sha1 = "715930f2cf8573b0928005ee562bed52fb65fdfb";
- };
- }
- {
- name = "_babel_preset_modules___preset_modules_0.1.3.tgz";
- path = fetchurl {
- name = "_babel_preset_modules___preset_modules_0.1.3.tgz";
- url = "https://registry.yarnpkg.com/@babel/preset-modules/-/preset-modules-0.1.3.tgz";
- sha1 = "13242b53b5ef8c883c3cf7dddd55b36ce80fbc72";
- };
- }
- {
- name = "_babel_runtime_corejs3___runtime_corejs3_7.10.2.tgz";
- path = fetchurl {
- name = "_babel_runtime_corejs3___runtime_corejs3_7.10.2.tgz";
- url = "https://registry.yarnpkg.com/@babel/runtime-corejs3/-/runtime-corejs3-7.10.2.tgz";
- sha1 = "3511797ddf9a3d6f3ce46b99cc835184817eaa4e";
- };
- }
- {
- name = "_babel_runtime___runtime_7.14.0.tgz";
- path = fetchurl {
- name = "_babel_runtime___runtime_7.14.0.tgz";
- url = "https://registry.yarnpkg.com/@babel/runtime/-/runtime-7.14.0.tgz";
- sha1 = "46794bc20b612c5f75e62dd071e24dfd95f1cbe6";
- };
- }
- {
- name = "_babel_standalone___standalone_7.10.2.tgz";
- path = fetchurl {
- name = "_babel_standalone___standalone_7.10.2.tgz";
- url = "https://registry.yarnpkg.com/@babel/standalone/-/standalone-7.10.2.tgz";
- sha1 = "49dbbadcbc4b199df064d7d8b3e21c915b84abdb";
- };
- }
- {
- name = "_babel_template___template_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_template___template_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/template/-/template-7.12.13.tgz";
- sha1 = "530265be8a2589dbb37523844c5bcb55947fb327";
- };
- }
- {
- name = "_babel_traverse___traverse_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_traverse___traverse_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/traverse/-/traverse-7.12.13.tgz";
- sha1 = "689f0e4b4c08587ad26622832632735fb8c4e0c0";
- };
- }
- {
- name = "_babel_types___types_7.12.13.tgz";
- path = fetchurl {
- name = "_babel_types___types_7.12.13.tgz";
- url = "https://registry.yarnpkg.com/@babel/types/-/types-7.12.13.tgz";
- sha1 = "8be1aa8f2c876da11a9cf650c0ecf656913ad611";
- };
- }
- {
- name = "_bcoe_v8_coverage___v8_coverage_0.2.3.tgz";
- path = fetchurl {
- name = "_bcoe_v8_coverage___v8_coverage_0.2.3.tgz";
- url = "https://registry.yarnpkg.com/@bcoe/v8-coverage/-/v8-coverage-0.2.3.tgz";
- sha1 = "75a2e8b51cb758a7553d6804a5932d7aace75c39";
- };
- }
- {
- name = "_braintree_sanitize_url___sanitize_url_3.1.0.tgz";
- path = fetchurl {
- name = "_braintree_sanitize_url___sanitize_url_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/@braintree/sanitize-url/-/sanitize-url-3.1.0.tgz";
- sha1 = "8ff71d51053cd5ee4981e5a501d80a536244f7fd";
- };
- }
- {
- name = "_cnakazawa_watch___watch_1.0.4.tgz";
- path = fetchurl {
- name = "_cnakazawa_watch___watch_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/@cnakazawa/watch/-/watch-1.0.4.tgz";
- sha1 = "f864ae85004d0fcab6f50be9141c4da368d1656a";
- };
- }
- {
- name = "_eslint_eslintrc___eslintrc_0.4.3.tgz";
- path = fetchurl {
- name = "_eslint_eslintrc___eslintrc_0.4.3.tgz";
- url = "https://registry.yarnpkg.com/@eslint/eslintrc/-/eslintrc-0.4.3.tgz";
- sha1 = "9e42981ef035beb3dd49add17acb96e8ff6f394c";
- };
- }
- {
- name = "_gitlab_at.js___at.js_1.5.7.tgz";
- path = fetchurl {
- name = "_gitlab_at.js___at.js_1.5.7.tgz";
- url = "https://registry.yarnpkg.com/@gitlab/at.js/-/at.js-1.5.7.tgz";
- sha1 = "1ee6f838cc4410a1d797770934df91d90df8179e";
- };
- }
- {
- name = "_gitlab_eslint_plugin___eslint_plugin_9.0.2.tgz";
- path = fetchurl {
- name = "_gitlab_eslint_plugin___eslint_plugin_9.0.2.tgz";
- url = "https://registry.yarnpkg.com/@gitlab/eslint-plugin/-/eslint-plugin-9.0.2.tgz";
- sha1 = "c7e0c8744001227d88624eb533047f3d5dd8d419";
- };
- }
- {
- name = "_gitlab_favicon_overlay___favicon_overlay_2.0.0.tgz";
- path = fetchurl {
- name = "_gitlab_favicon_overlay___favicon_overlay_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/@gitlab/favicon-overlay/-/favicon-overlay-2.0.0.tgz";
- sha1 = "2f32d0b6a4d5b8ac44e2927083d9ab478a78c984";
- };
- }
- {
- name = "_gitlab_stylelint_config___stylelint_config_2.3.0.tgz";
- path = fetchurl {
- name = "_gitlab_stylelint_config___stylelint_config_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/@gitlab/stylelint-config/-/stylelint-config-2.3.0.tgz";
- sha1 = "b27e8544ff52a4c5e23ff7a104c7efff1f7078f0";
- };
- }
- {
- name = "_gitlab_svgs___svgs_1.211.0.tgz";
- path = fetchurl {
- name = "_gitlab_svgs___svgs_1.211.0.tgz";
- url = "https://registry.yarnpkg.com/@gitlab/svgs/-/svgs-1.211.0.tgz";
- sha1 = "0351fa4cc008c4830f366aede535df0a8e63dda6";
- };
- }
- {
- name = "_gitlab_tributejs___tributejs_1.0.0.tgz";
- path = fetchurl {
- name = "_gitlab_tributejs___tributejs_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/@gitlab/tributejs/-/tributejs-1.0.0.tgz";
- sha1 = "672befa222aeffc83e7d799b0500a7a4418e59b8";
- };
- }
- {
- name = "_gitlab_ui___ui_32.2.1.tgz";
- path = fetchurl {
- name = "_gitlab_ui___ui_32.2.1.tgz";
- url = "https://registry.yarnpkg.com/@gitlab/ui/-/ui-32.2.1.tgz";
- sha1 = "e019124af981e8ceffd39f30cf08d315c53d4ac8";
- };
- }
- {
- name = "_gitlab_visual_review_tools___visual_review_tools_1.6.1.tgz";
- path = fetchurl {
- name = "_gitlab_visual_review_tools___visual_review_tools_1.6.1.tgz";
- url = "https://registry.yarnpkg.com/@gitlab/visual-review-tools/-/visual-review-tools-1.6.1.tgz";
- sha1 = "0d8f3ff9f51b05f7c80b9a107727703d48997e4e";
- };
- }
- {
- name = "_humanwhocodes_config_array___config_array_0.5.0.tgz";
- path = fetchurl {
- name = "_humanwhocodes_config_array___config_array_0.5.0.tgz";
- url = "https://registry.yarnpkg.com/@humanwhocodes/config-array/-/config-array-0.5.0.tgz";
- sha1 = "1407967d4c6eecd7388f83acf1eaf4d0c6e58ef9";
- };
- }
- {
- name = "_humanwhocodes_object_schema___object_schema_1.2.0.tgz";
- path = fetchurl {
- name = "_humanwhocodes_object_schema___object_schema_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/@humanwhocodes/object-schema/-/object-schema-1.2.0.tgz";
- sha1 = "87de7af9c231826fdd68ac7258f77c429e0e5fcf";
- };
- }
- {
- name = "_istanbuljs_load_nyc_config___load_nyc_config_1.1.0.tgz";
- path = fetchurl {
- name = "_istanbuljs_load_nyc_config___load_nyc_config_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/@istanbuljs/load-nyc-config/-/load-nyc-config-1.1.0.tgz";
- sha1 = "fd3db1d59ecf7cf121e80650bb86712f9b55eced";
- };
- }
- {
- name = "_istanbuljs_schema___schema_0.1.2.tgz";
- path = fetchurl {
- name = "_istanbuljs_schema___schema_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/@istanbuljs/schema/-/schema-0.1.2.tgz";
- sha1 = "26520bf09abe4a5644cd5414e37125a8954241dd";
- };
- }
- {
- name = "_jest_console___console_26.5.2.tgz";
- path = fetchurl {
- name = "_jest_console___console_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/@jest/console/-/console-26.5.2.tgz";
- sha1 = "94fc4865b1abed7c352b5e21e6c57be4b95604a6";
- };
- }
- {
- name = "_jest_core___core_26.5.2.tgz";
- path = fetchurl {
- name = "_jest_core___core_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/@jest/core/-/core-26.5.2.tgz";
- sha1 = "e39f14676f4ba4632ecabfdc374071ab22131f22";
- };
- }
- {
- name = "_jest_environment___environment_26.5.2.tgz";
- path = fetchurl {
- name = "_jest_environment___environment_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/@jest/environment/-/environment-26.5.2.tgz";
- sha1 = "eba3cfc698f6e03739628f699c28e8a07f5e65fe";
- };
- }
- {
- name = "_jest_fake_timers___fake_timers_26.5.2.tgz";
- path = fetchurl {
- name = "_jest_fake_timers___fake_timers_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/@jest/fake-timers/-/fake-timers-26.5.2.tgz";
- sha1 = "1291ac81680ceb0dc7daa1f92c059307eea6400a";
- };
- }
- {
- name = "_jest_globals___globals_26.5.2.tgz";
- path = fetchurl {
- name = "_jest_globals___globals_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/@jest/globals/-/globals-26.5.2.tgz";
- sha1 = "c333f82c29e19ecb609a75d1a532915a5c956c59";
- };
- }
- {
- name = "_jest_reporters___reporters_26.5.2.tgz";
- path = fetchurl {
- name = "_jest_reporters___reporters_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/@jest/reporters/-/reporters-26.5.2.tgz";
- sha1 = "0f1c900c6af712b46853d9d486c9c0382e4050f6";
- };
- }
- {
- name = "_jest_source_map___source_map_26.5.0.tgz";
- path = fetchurl {
- name = "_jest_source_map___source_map_26.5.0.tgz";
- url = "https://registry.yarnpkg.com/@jest/source-map/-/source-map-26.5.0.tgz";
- sha1 = "98792457c85bdd902365cd2847b58fff05d96367";
- };
- }
- {
- name = "_jest_test_result___test_result_26.5.2.tgz";
- path = fetchurl {
- name = "_jest_test_result___test_result_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/@jest/test-result/-/test-result-26.5.2.tgz";
- sha1 = "cc1a44cfd4db2ecee3fb0bc4e9fe087aa54b5230";
- };
- }
- {
- name = "_jest_test_sequencer___test_sequencer_26.5.2.tgz";
- path = fetchurl {
- name = "_jest_test_sequencer___test_sequencer_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/@jest/test-sequencer/-/test-sequencer-26.5.2.tgz";
- sha1 = "c4559c7e134b27b020317303ee5399bf62917a4b";
- };
- }
- {
- name = "_jest_transform___transform_26.5.2.tgz";
- path = fetchurl {
- name = "_jest_transform___transform_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/@jest/transform/-/transform-26.5.2.tgz";
- sha1 = "6a0033a1d24316a1c75184d010d864f2c681bef5";
- };
- }
- {
- name = "_jest_types___types_26.5.2.tgz";
- path = fetchurl {
- name = "_jest_types___types_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/@jest/types/-/types-26.5.2.tgz";
- sha1 = "44c24f30c8ee6c7f492ead9ec3f3c62a5289756d";
- };
- }
- {
- name = "_miragejs_pretender_node_polyfill___pretender_node_polyfill_0.1.2.tgz";
- path = fetchurl {
- name = "_miragejs_pretender_node_polyfill___pretender_node_polyfill_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/@miragejs/pretender-node-polyfill/-/pretender-node-polyfill-0.1.2.tgz";
- sha1 = "d26b6b7483fb70cd62189d05c95d2f67153e43f2";
- };
- }
- {
- name = "_nodelib_fs.scandir___fs.scandir_2.1.4.tgz";
- path = fetchurl {
- name = "_nodelib_fs.scandir___fs.scandir_2.1.4.tgz";
- url = "https://registry.yarnpkg.com/@nodelib/fs.scandir/-/fs.scandir-2.1.4.tgz";
- sha1 = "d4b3549a5db5de2683e0c1071ab4f140904bbf69";
- };
- }
- {
- name = "_nodelib_fs.stat___fs.stat_2.0.4.tgz";
- path = fetchurl {
- name = "_nodelib_fs.stat___fs.stat_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/@nodelib/fs.stat/-/fs.stat-2.0.4.tgz";
- sha1 = "a3f2dd61bab43b8db8fa108a121cfffe4c676655";
- };
- }
- {
- name = "_nodelib_fs.walk___fs.walk_1.2.6.tgz";
- path = fetchurl {
- name = "_nodelib_fs.walk___fs.walk_1.2.6.tgz";
- url = "https://registry.yarnpkg.com/@nodelib/fs.walk/-/fs.walk-1.2.6.tgz";
- sha1 = "cce9396b30aa5afe9e3756608f5831adcb53d063";
- };
- }
- {
- name = "_npmcli_move_file___move_file_1.0.1.tgz";
- path = fetchurl {
- name = "_npmcli_move_file___move_file_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/@npmcli/move-file/-/move-file-1.0.1.tgz";
- sha1 = "de103070dac0f48ce49cf6693c23af59c0f70464";
- };
- }
- {
- name = "_nuxt_opencollective___opencollective_0.3.2.tgz";
- path = fetchurl {
- name = "_nuxt_opencollective___opencollective_0.3.2.tgz";
- url = "https://registry.yarnpkg.com/@nuxt/opencollective/-/opencollective-0.3.2.tgz";
- sha1 = "83cb70cdb2bac5fad6f8c93529e7b11187d49c02";
- };
- }
- {
- name = "_polka_url___url_1.0.0_next.12.tgz";
- path = fetchurl {
- name = "_polka_url___url_1.0.0_next.12.tgz";
- url = "https://registry.yarnpkg.com/@polka/url/-/url-1.0.0-next.12.tgz";
- sha1 = "431ec342a7195622f86688bbda82e3166ce8cb28";
- };
- }
- {
- name = "_popperjs_core___core_2.9.2.tgz";
- path = fetchurl {
- name = "_popperjs_core___core_2.9.2.tgz";
- url = "https://registry.yarnpkg.com/@popperjs/core/-/core-2.9.2.tgz";
- sha1 = "adea7b6953cbb34651766b0548468e743c6a2353";
- };
- }
- {
- name = "_rails_actioncable___actioncable_6.1.3_2.tgz";
- path = fetchurl {
- name = "_rails_actioncable___actioncable_6.1.3_2.tgz";
- url = "https://registry.yarnpkg.com/@rails/actioncable/-/actioncable-6.1.3-2.tgz";
- sha1 = "de22e2d7474dcca051f7060829450412a17ecc04";
- };
- }
- {
- name = "_rails_ujs___ujs_6.1.3_2.tgz";
- path = fetchurl {
- name = "_rails_ujs___ujs_6.1.3_2.tgz";
- url = "https://registry.yarnpkg.com/@rails/ujs/-/ujs-6.1.3-2.tgz";
- sha1 = "5d7e161e7061654e738a116a7ec8b58b51721a11";
- };
- }
- {
- name = "_sentry_browser___browser_5.26.0.tgz";
- path = fetchurl {
- name = "_sentry_browser___browser_5.26.0.tgz";
- url = "https://registry.yarnpkg.com/@sentry/browser/-/browser-5.26.0.tgz";
- sha1 = "e90a197fb94c5f26c8e05d6a539c118f33c7d598";
- };
- }
- {
- name = "_sentry_core___core_5.26.0.tgz";
- path = fetchurl {
- name = "_sentry_core___core_5.26.0.tgz";
- url = "https://registry.yarnpkg.com/@sentry/core/-/core-5.26.0.tgz";
- sha1 = "9b5fe4de8a869d733ebcc77f5ec9c619f8717a51";
- };
- }
- {
- name = "_sentry_hub___hub_5.26.0.tgz";
- path = fetchurl {
- name = "_sentry_hub___hub_5.26.0.tgz";
- url = "https://registry.yarnpkg.com/@sentry/hub/-/hub-5.26.0.tgz";
- sha1 = "b2bbd8128cd5915f2ee59cbc29fff30272d74ec5";
- };
- }
- {
- name = "_sentry_minimal___minimal_5.26.0.tgz";
- path = fetchurl {
- name = "_sentry_minimal___minimal_5.26.0.tgz";
- url = "https://registry.yarnpkg.com/@sentry/minimal/-/minimal-5.26.0.tgz";
- sha1 = "851dea3644153ed3ac4837fa8ed5661d94e7a313";
- };
- }
- {
- name = "_sentry_types___types_5.26.0.tgz";
- path = fetchurl {
- name = "_sentry_types___types_5.26.0.tgz";
- url = "https://registry.yarnpkg.com/@sentry/types/-/types-5.26.0.tgz";
- sha1 = "b0cbacb0b24cd86620fb296b46cf7277bb004a3e";
- };
- }
- {
- name = "_sentry_utils___utils_5.26.0.tgz";
- path = fetchurl {
- name = "_sentry_utils___utils_5.26.0.tgz";
- url = "https://registry.yarnpkg.com/@sentry/utils/-/utils-5.26.0.tgz";
- sha1 = "09a3d01d91747f38f796cafeb24f8fd86e4fa05f";
- };
- }
- {
- name = "_sindresorhus_is___is_0.14.0.tgz";
- path = fetchurl {
- name = "_sindresorhus_is___is_0.14.0.tgz";
- url = "https://registry.yarnpkg.com/@sindresorhus/is/-/is-0.14.0.tgz";
- sha1 = "9fb3a3cf3132328151f353de4632e01e52102bea";
- };
- }
- {
- name = "_sinonjs_commons___commons_1.8.1.tgz";
- path = fetchurl {
- name = "_sinonjs_commons___commons_1.8.1.tgz";
- url = "https://registry.yarnpkg.com/@sinonjs/commons/-/commons-1.8.1.tgz";
- sha1 = "e7df00f98a203324f6dc7cc606cad9d4a8ab2217";
- };
- }
- {
- name = "_sinonjs_fake_timers___fake_timers_6.0.1.tgz";
- path = fetchurl {
- name = "_sinonjs_fake_timers___fake_timers_6.0.1.tgz";
- url = "https://registry.yarnpkg.com/@sinonjs/fake-timers/-/fake-timers-6.0.1.tgz";
- sha1 = "293674fccb3262ac782c7aadfdeca86b10c75c40";
- };
- }
- {
- name = "_sourcegraph_code_host_integration___code_host_integration_0.0.59.tgz";
- path = fetchurl {
- name = "_sourcegraph_code_host_integration___code_host_integration_0.0.59.tgz";
- url = "https://registry.yarnpkg.com/@sourcegraph/code-host-integration/-/code-host-integration-0.0.59.tgz";
- sha1 = "ac64a9f90ff48363334407d12622542d0faa7720";
- };
- }
- {
- name = "_stylelint_postcss_css_in_js___postcss_css_in_js_0.37.2.tgz";
- path = fetchurl {
- name = "_stylelint_postcss_css_in_js___postcss_css_in_js_0.37.2.tgz";
- url = "https://registry.yarnpkg.com/@stylelint/postcss-css-in-js/-/postcss-css-in-js-0.37.2.tgz";
- sha1 = "7e5a84ad181f4234a2480803422a47b8749af3d2";
- };
- }
- {
- name = "_stylelint_postcss_markdown___postcss_markdown_0.36.2.tgz";
- path = fetchurl {
- name = "_stylelint_postcss_markdown___postcss_markdown_0.36.2.tgz";
- url = "https://registry.yarnpkg.com/@stylelint/postcss-markdown/-/postcss-markdown-0.36.2.tgz";
- sha1 = "0a540c4692f8dcdfc13c8e352c17e7bfee2bb391";
- };
- }
- {
- name = "_szmarczak_http_timer___http_timer_1.1.2.tgz";
- path = fetchurl {
- name = "_szmarczak_http_timer___http_timer_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/@szmarczak/http-timer/-/http-timer-1.1.2.tgz";
- sha1 = "b1665e2c461a2cd92f4c1bbf50d5454de0d4b421";
- };
- }
- {
- name = "_testing_library_dom___dom_7.24.5.tgz";
- path = fetchurl {
- name = "_testing_library_dom___dom_7.24.5.tgz";
- url = "https://registry.yarnpkg.com/@testing-library/dom/-/dom-7.24.5.tgz";
- sha1 = "862124eec8c37ad184716379f09742476b23815d";
- };
- }
- {
- name = "_tiptap_core___core_2.0.0_beta.86.tgz";
- path = fetchurl {
- name = "_tiptap_core___core_2.0.0_beta.86.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/core/-/core-2.0.0-beta.86.tgz";
- sha1 = "11b575aee4ad2f30f73114c786da5cd13dde30e0";
- };
- }
- {
- name = "_tiptap_extension_blockquote___extension_blockquote_2.0.0_beta.14.tgz";
- path = fetchurl {
- name = "_tiptap_extension_blockquote___extension_blockquote_2.0.0_beta.14.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-blockquote/-/extension-blockquote-2.0.0-beta.14.tgz";
- sha1 = "f49872981aecdd21341c4d5db32ab68cba945756";
- };
- }
- {
- name = "_tiptap_extension_bold___extension_bold_2.0.0_beta.14.tgz";
- path = fetchurl {
- name = "_tiptap_extension_bold___extension_bold_2.0.0_beta.14.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-bold/-/extension-bold-2.0.0-beta.14.tgz";
- sha1 = "6bf9ea70f7e24e6d674c7780471fcb6a7a4b91f4";
- };
- }
- {
- name = "_tiptap_extension_bubble_menu___extension_bubble_menu_2.0.0_beta.24.tgz";
- path = fetchurl {
- name = "_tiptap_extension_bubble_menu___extension_bubble_menu_2.0.0_beta.24.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-bubble-menu/-/extension-bubble-menu-2.0.0-beta.24.tgz";
- sha1 = "e6db5bc0386ccdbd483e57296b22eb6dd55914ba";
- };
- }
- {
- name = "_tiptap_extension_bullet_list___extension_bullet_list_2.0.0_beta.14.tgz";
- path = fetchurl {
- name = "_tiptap_extension_bullet_list___extension_bullet_list_2.0.0_beta.14.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-bullet-list/-/extension-bullet-list-2.0.0-beta.14.tgz";
- sha1 = "29b9bfa2e908cdb01943242f75daf82115f5afd1";
- };
- }
- {
- name = "_tiptap_extension_code_block_lowlight___extension_code_block_lowlight_2.0.0_beta.32.tgz";
- path = fetchurl {
- name = "_tiptap_extension_code_block_lowlight___extension_code_block_lowlight_2.0.0_beta.32.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-code-block-lowlight/-/extension-code-block-lowlight-2.0.0-beta.32.tgz";
- sha1 = "ef9ff6883f2d669e6be79c69f26749641462e1ea";
- };
- }
- {
- name = "_tiptap_extension_code_block___extension_code_block_2.0.0_beta.16.tgz";
- path = fetchurl {
- name = "_tiptap_extension_code_block___extension_code_block_2.0.0_beta.16.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-code-block/-/extension-code-block-2.0.0-beta.16.tgz";
- sha1 = "7788ee1af04eb84fe194261bb1bb835dbe7ad59e";
- };
- }
- {
- name = "_tiptap_extension_code___extension_code_2.0.0_beta.14.tgz";
- path = fetchurl {
- name = "_tiptap_extension_code___extension_code_2.0.0_beta.14.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-code/-/extension-code-2.0.0-beta.14.tgz";
- sha1 = "679a741589d63006140605553be7c6148c000814";
- };
- }
- {
- name = "_tiptap_extension_document___extension_document_2.0.0_beta.12.tgz";
- path = fetchurl {
- name = "_tiptap_extension_document___extension_document_2.0.0_beta.12.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-document/-/extension-document-2.0.0-beta.12.tgz";
- sha1 = "dfbc7e686075a38662a43708903cd2047cf7f4b2";
- };
- }
- {
- name = "_tiptap_extension_dropcursor___extension_dropcursor_2.0.0_beta.17.tgz";
- path = fetchurl {
- name = "_tiptap_extension_dropcursor___extension_dropcursor_2.0.0_beta.17.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-dropcursor/-/extension-dropcursor-2.0.0-beta.17.tgz";
- sha1 = "3ca59c264b49a91c1a5b2ce8de3e898903d0a5bc";
- };
- }
- {
- name = "_tiptap_extension_floating_menu___extension_floating_menu_2.0.0_beta.18.tgz";
- path = fetchurl {
- name = "_tiptap_extension_floating_menu___extension_floating_menu_2.0.0_beta.18.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-floating-menu/-/extension-floating-menu-2.0.0-beta.18.tgz";
- sha1 = "4d9b7f58c73f6c718a74503c5ff514b06f615e27";
- };
- }
- {
- name = "_tiptap_extension_gapcursor___extension_gapcursor_2.0.0_beta.18.tgz";
- path = fetchurl {
- name = "_tiptap_extension_gapcursor___extension_gapcursor_2.0.0_beta.18.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-gapcursor/-/extension-gapcursor-2.0.0-beta.18.tgz";
- sha1 = "67c2266ec21c90f003e989862b709475f5427fea";
- };
- }
- {
- name = "_tiptap_extension_hard_break___extension_hard_break_2.0.0_beta.14.tgz";
- path = fetchurl {
- name = "_tiptap_extension_hard_break___extension_hard_break_2.0.0_beta.14.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-hard-break/-/extension-hard-break-2.0.0-beta.14.tgz";
- sha1 = "dce00c49dc614caac82720b930501a59b38d5584";
- };
- }
- {
- name = "_tiptap_extension_heading___extension_heading_2.0.0_beta.14.tgz";
- path = fetchurl {
- name = "_tiptap_extension_heading___extension_heading_2.0.0_beta.14.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-heading/-/extension-heading-2.0.0-beta.14.tgz";
- sha1 = "63df6d7282afd3c2db2253af2e538c3bf2800751";
- };
- }
- {
- name = "_tiptap_extension_history___extension_history_2.0.0_beta.14.tgz";
- path = fetchurl {
- name = "_tiptap_extension_history___extension_history_2.0.0_beta.14.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-history/-/extension-history-2.0.0-beta.14.tgz";
- sha1 = "7990a592a521ca4147e733eed78fcb738ed6ba95";
- };
- }
- {
- name = "_tiptap_extension_horizontal_rule___extension_horizontal_rule_2.0.0_beta.17.tgz";
- path = fetchurl {
- name = "_tiptap_extension_horizontal_rule___extension_horizontal_rule_2.0.0_beta.17.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-horizontal-rule/-/extension-horizontal-rule-2.0.0-beta.17.tgz";
- sha1 = "25c15bfab5794a3f1bcf6f4df03bef56ddac80aa";
- };
- }
- {
- name = "_tiptap_extension_image___extension_image_2.0.0_beta.14.tgz";
- path = fetchurl {
- name = "_tiptap_extension_image___extension_image_2.0.0_beta.14.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-image/-/extension-image-2.0.0-beta.14.tgz";
- sha1 = "31eae69cce3d81af81a1c0fbd253beca3c253429";
- };
- }
- {
- name = "_tiptap_extension_italic___extension_italic_2.0.0_beta.14.tgz";
- path = fetchurl {
- name = "_tiptap_extension_italic___extension_italic_2.0.0_beta.14.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-italic/-/extension-italic-2.0.0-beta.14.tgz";
- sha1 = "5b56a806ef6507dc2001eaeeeb234ee0b25d8544";
- };
- }
- {
- name = "_tiptap_extension_link___extension_link_2.0.0_beta.18.tgz";
- path = fetchurl {
- name = "_tiptap_extension_link___extension_link_2.0.0_beta.18.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-link/-/extension-link-2.0.0-beta.18.tgz";
- sha1 = "792c671daf3db79873b8425e68da43dd19af230b";
- };
- }
- {
- name = "_tiptap_extension_list_item___extension_list_item_2.0.0_beta.13.tgz";
- path = fetchurl {
- name = "_tiptap_extension_list_item___extension_list_item_2.0.0_beta.13.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-list-item/-/extension-list-item-2.0.0-beta.13.tgz";
- sha1 = "49f32d70a554897ffa3b37b492ebaf5953f8a975";
- };
- }
- {
- name = "_tiptap_extension_ordered_list___extension_ordered_list_2.0.0_beta.14.tgz";
- path = fetchurl {
- name = "_tiptap_extension_ordered_list___extension_ordered_list_2.0.0_beta.14.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-ordered-list/-/extension-ordered-list-2.0.0-beta.14.tgz";
- sha1 = "54487f8b9246226586d0190d07a449a97536436a";
- };
- }
- {
- name = "_tiptap_extension_paragraph___extension_paragraph_2.0.0_beta.15.tgz";
- path = fetchurl {
- name = "_tiptap_extension_paragraph___extension_paragraph_2.0.0_beta.15.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-paragraph/-/extension-paragraph-2.0.0-beta.15.tgz";
- sha1 = "89483a2f438d8412287d441c890304985c2ac07f";
- };
- }
- {
- name = "_tiptap_extension_strike___extension_strike_2.0.0_beta.16.tgz";
- path = fetchurl {
- name = "_tiptap_extension_strike___extension_strike_2.0.0_beta.16.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-strike/-/extension-strike-2.0.0-beta.16.tgz";
- sha1 = "cccce9713824e05ebde895f84f747b8bbed45f7d";
- };
- }
- {
- name = "_tiptap_extension_subscript___extension_subscript_2.0.0_beta.4.tgz";
- path = fetchurl {
- name = "_tiptap_extension_subscript___extension_subscript_2.0.0_beta.4.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-subscript/-/extension-subscript-2.0.0-beta.4.tgz";
- sha1 = "07907df58695eb02bf6904d2c3635111003b30fd";
- };
- }
- {
- name = "_tiptap_extension_superscript___extension_superscript_2.0.0_beta.4.tgz";
- path = fetchurl {
- name = "_tiptap_extension_superscript___extension_superscript_2.0.0_beta.4.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-superscript/-/extension-superscript-2.0.0-beta.4.tgz";
- sha1 = "16906d71dd8f9892101cf792f42005f8cd404516";
- };
- }
- {
- name = "_tiptap_extension_table_cell___extension_table_cell_2.0.0_beta.13.tgz";
- path = fetchurl {
- name = "_tiptap_extension_table_cell___extension_table_cell_2.0.0_beta.13.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-table-cell/-/extension-table-cell-2.0.0-beta.13.tgz";
- sha1 = "c01eada4859d5ea487d61e68cc7fab7ed2e4842a";
- };
- }
- {
- name = "_tiptap_extension_table_header___extension_table_header_2.0.0_beta.15.tgz";
- path = fetchurl {
- name = "_tiptap_extension_table_header___extension_table_header_2.0.0_beta.15.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-table-header/-/extension-table-header-2.0.0-beta.15.tgz";
- sha1 = "884d16f104671ee672f1f629f4e4fef0b096bfbb";
- };
- }
- {
- name = "_tiptap_extension_table_row___extension_table_row_2.0.0_beta.13.tgz";
- path = fetchurl {
- name = "_tiptap_extension_table_row___extension_table_row_2.0.0_beta.13.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-table-row/-/extension-table-row-2.0.0-beta.13.tgz";
- sha1 = "3f9a61112afcde750228f4437ae3cd7b82d02f74";
- };
- }
- {
- name = "_tiptap_extension_table___extension_table_2.0.0_beta.25.tgz";
- path = fetchurl {
- name = "_tiptap_extension_table___extension_table_2.0.0_beta.25.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-table/-/extension-table-2.0.0-beta.25.tgz";
- sha1 = "57accf19c07e96bd0db868eb791da20bd423af36";
- };
- }
- {
- name = "_tiptap_extension_task_item___extension_task_item_2.0.0_beta.17.tgz";
- path = fetchurl {
- name = "_tiptap_extension_task_item___extension_task_item_2.0.0_beta.17.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-task-item/-/extension-task-item-2.0.0-beta.17.tgz";
- sha1 = "e4e010c321b8f9aa5f49847c48e4e3a0695a47a9";
- };
- }
- {
- name = "_tiptap_extension_task_list___extension_task_list_2.0.0_beta.17.tgz";
- path = fetchurl {
- name = "_tiptap_extension_task_list___extension_task_list_2.0.0_beta.17.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-task-list/-/extension-task-list-2.0.0-beta.17.tgz";
- sha1 = "c0f40325abf1b6a23868e72ab32f9724a8b42a7b";
- };
- }
- {
- name = "_tiptap_extension_text___extension_text_2.0.0_beta.12.tgz";
- path = fetchurl {
- name = "_tiptap_extension_text___extension_text_2.0.0_beta.12.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/extension-text/-/extension-text-2.0.0-beta.12.tgz";
- sha1 = "b857f36dda5e8cedd350f9bad7115e4060f8d9c0";
- };
- }
- {
- name = "_tiptap_vue_2___vue_2_2.0.0_beta.39.tgz";
- path = fetchurl {
- name = "_tiptap_vue_2___vue_2_2.0.0_beta.39.tgz";
- url = "https://registry.yarnpkg.com/@tiptap/vue-2/-/vue-2-2.0.0-beta.39.tgz";
- sha1 = "f6d75af99b072848381f0c443b50ec09186eb43b";
- };
- }
- {
- name = "_toast_ui_editor___editor_2.5.2.tgz";
- path = fetchurl {
- name = "_toast_ui_editor___editor_2.5.2.tgz";
- url = "https://registry.yarnpkg.com/@toast-ui/editor/-/editor-2.5.2.tgz";
- sha1 = "0637e1bbdb205c1ab53b6d3722ced26399b2f0ca";
- };
- }
- {
- name = "_toast_ui_vue_editor___vue_editor_2.5.2.tgz";
- path = fetchurl {
- name = "_toast_ui_vue_editor___vue_editor_2.5.2.tgz";
- url = "https://registry.yarnpkg.com/@toast-ui/vue-editor/-/vue-editor-2.5.2.tgz";
- sha1 = "0b54107a196471eacb18aabb7100101606917b27";
- };
- }
- {
- name = "_types_aria_query___aria_query_4.2.0.tgz";
- path = fetchurl {
- name = "_types_aria_query___aria_query_4.2.0.tgz";
- url = "https://registry.yarnpkg.com/@types/aria-query/-/aria-query-4.2.0.tgz";
- sha1 = "14264692a9d6e2fa4db3df5e56e94b5e25647ac0";
- };
- }
- {
- name = "_types_babel__core___babel__core_7.1.9.tgz";
- path = fetchurl {
- name = "_types_babel__core___babel__core_7.1.9.tgz";
- url = "https://registry.yarnpkg.com/@types/babel__core/-/babel__core-7.1.9.tgz";
- sha1 = "77e59d438522a6fb898fa43dc3455c6e72f3963d";
- };
- }
- {
- name = "_types_babel__generator___babel__generator_7.0.2.tgz";
- path = fetchurl {
- name = "_types_babel__generator___babel__generator_7.0.2.tgz";
- url = "https://registry.yarnpkg.com/@types/babel__generator/-/babel__generator-7.0.2.tgz";
- sha1 = "d2112a6b21fad600d7674274293c85dce0cb47fc";
- };
- }
- {
- name = "_types_babel__template___babel__template_7.0.2.tgz";
- path = fetchurl {
- name = "_types_babel__template___babel__template_7.0.2.tgz";
- url = "https://registry.yarnpkg.com/@types/babel__template/-/babel__template-7.0.2.tgz";
- sha1 = "4ff63d6b52eddac1de7b975a5223ed32ecea9307";
- };
- }
- {
- name = "_types_babel__traverse___babel__traverse_7.0.15.tgz";
- path = fetchurl {
- name = "_types_babel__traverse___babel__traverse_7.0.15.tgz";
- url = "https://registry.yarnpkg.com/@types/babel__traverse/-/babel__traverse-7.0.15.tgz";
- sha1 = "db9e4238931eb69ef8aab0ad6523d4d4caa39d03";
- };
- }
- {
- name = "_types_codemirror___codemirror_0.0.71.tgz";
- path = fetchurl {
- name = "_types_codemirror___codemirror_0.0.71.tgz";
- url = "https://registry.yarnpkg.com/@types/codemirror/-/codemirror-0.0.71.tgz";
- sha1 = "861f1bcb3100c0a064567c5400f2981cf4ae8ca7";
- };
- }
- {
- name = "_types_color_name___color_name_1.1.1.tgz";
- path = fetchurl {
- name = "_types_color_name___color_name_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/@types/color-name/-/color-name-1.1.1.tgz";
- sha1 = "1c1261bbeaa10a8055bbc5d8ab84b7b2afc846a0";
- };
- }
- {
- name = "_types_estree___estree_0.0.44.tgz";
- path = fetchurl {
- name = "_types_estree___estree_0.0.44.tgz";
- url = "https://registry.yarnpkg.com/@types/estree/-/estree-0.0.44.tgz";
- sha1 = "980cc5a29a3ef3bea6ff1f7d021047d7ea575e21";
- };
- }
- {
- name = "_types_events___events_1.2.0.tgz";
- path = fetchurl {
- name = "_types_events___events_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/@types/events/-/events-1.2.0.tgz";
- sha1 = "81a6731ce4df43619e5c8c945383b3e62a89ea86";
- };
- }
- {
- name = "_types_glob___glob_7.1.1.tgz";
- path = fetchurl {
- name = "_types_glob___glob_7.1.1.tgz";
- url = "https://registry.yarnpkg.com/@types/glob/-/glob-7.1.1.tgz";
- sha1 = "aa59a1c6e3fbc421e07ccd31a944c30eba521575";
- };
- }
- {
- name = "_types_graceful_fs___graceful_fs_4.1.3.tgz";
- path = fetchurl {
- name = "_types_graceful_fs___graceful_fs_4.1.3.tgz";
- url = "https://registry.yarnpkg.com/@types/graceful-fs/-/graceful-fs-4.1.3.tgz";
- sha1 = "039af35fe26bec35003e8d86d2ee9c586354348f";
- };
- }
- {
- name = "_types_istanbul_lib_coverage___istanbul_lib_coverage_2.0.2.tgz";
- path = fetchurl {
- name = "_types_istanbul_lib_coverage___istanbul_lib_coverage_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/@types/istanbul-lib-coverage/-/istanbul-lib-coverage-2.0.2.tgz";
- sha1 = "79d7a78bad4219f4c03d6557a1c72d9ca6ba62d5";
- };
- }
- {
- name = "_types_istanbul_lib_report___istanbul_lib_report_1.1.1.tgz";
- path = fetchurl {
- name = "_types_istanbul_lib_report___istanbul_lib_report_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/@types/istanbul-lib-report/-/istanbul-lib-report-1.1.1.tgz";
- sha1 = "e5471e7fa33c61358dd38426189c037a58433b8c";
- };
- }
- {
- name = "_types_istanbul_reports___istanbul_reports_3.0.0.tgz";
- path = fetchurl {
- name = "_types_istanbul_reports___istanbul_reports_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/@types/istanbul-reports/-/istanbul-reports-3.0.0.tgz";
- sha1 = "508b13aa344fa4976234e75dddcc34925737d821";
- };
- }
- {
- name = "_types_json_schema___json_schema_7.0.7.tgz";
- path = fetchurl {
- name = "_types_json_schema___json_schema_7.0.7.tgz";
- url = "https://registry.yarnpkg.com/@types/json-schema/-/json-schema-7.0.7.tgz";
- sha1 = "98a993516c859eb0d5c4c8f098317a9ea68db9ad";
- };
- }
- {
- name = "_types_json5___json5_0.0.29.tgz";
- path = fetchurl {
- name = "_types_json5___json5_0.0.29.tgz";
- url = "https://registry.yarnpkg.com/@types/json5/-/json5-0.0.29.tgz";
- sha1 = "ee28707ae94e11d2b827bcbe5270bcea7f3e71ee";
- };
- }
- {
- name = "_types_lowlight___lowlight_0.0.3.tgz";
- path = fetchurl {
- name = "_types_lowlight___lowlight_0.0.3.tgz";
- url = "https://registry.yarnpkg.com/@types/lowlight/-/lowlight-0.0.3.tgz";
- sha1 = "433b03dd63894dde17860063f4c90a688431194b";
- };
- }
- {
- name = "_types_mdast___mdast_3.0.3.tgz";
- path = fetchurl {
- name = "_types_mdast___mdast_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/@types/mdast/-/mdast-3.0.3.tgz";
- sha1 = "2d7d671b1cd1ea3deb306ea75036c2a0407d2deb";
- };
- }
- {
- name = "_types_minimatch___minimatch_3.0.3.tgz";
- path = fetchurl {
- name = "_types_minimatch___minimatch_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/@types/minimatch/-/minimatch-3.0.3.tgz";
- sha1 = "3dca0e3f33b200fc7d1139c0cd96c1268cadfd9d";
- };
- }
- {
- name = "_types_minimist___minimist_1.2.1.tgz";
- path = fetchurl {
- name = "_types_minimist___minimist_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/@types/minimist/-/minimist-1.2.1.tgz";
- sha1 = "283f669ff76d7b8260df8ab7a4262cc83d988256";
- };
- }
- {
- name = "_types_node___node_10.12.9.tgz";
- path = fetchurl {
- name = "_types_node___node_10.12.9.tgz";
- url = "https://registry.yarnpkg.com/@types/node/-/node-10.12.9.tgz";
- sha1 = "a07bfa74331471e1dc22a47eb72026843f7b95c8";
- };
- }
- {
- name = "_types_normalize_package_data___normalize_package_data_2.4.0.tgz";
- path = fetchurl {
- name = "_types_normalize_package_data___normalize_package_data_2.4.0.tgz";
- url = "https://registry.yarnpkg.com/@types/normalize-package-data/-/normalize-package-data-2.4.0.tgz";
- sha1 = "e486d0d97396d79beedd0a6e33f4534ff6b4973e";
- };
- }
- {
- name = "_types_orderedmap___orderedmap_1.0.0.tgz";
- path = fetchurl {
- name = "_types_orderedmap___orderedmap_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/@types/orderedmap/-/orderedmap-1.0.0.tgz";
- sha1 = "807455a192bba52cbbb4517044bc82bdbfa8c596";
- };
- }
- {
- name = "_types_parse_json___parse_json_4.0.0.tgz";
- path = fetchurl {
- name = "_types_parse_json___parse_json_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/@types/parse-json/-/parse-json-4.0.0.tgz";
- sha1 = "2f8bb441434d163b35fb8ffdccd7138927ffb8c0";
- };
- }
- {
- name = "_types_parse5___parse5_5.0.0.tgz";
- path = fetchurl {
- name = "_types_parse5___parse5_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/@types/parse5/-/parse5-5.0.0.tgz";
- sha1 = "9ae2106efc443d7c1e26570aa8247828c9c80f11";
- };
- }
- {
- name = "_types_prettier___prettier_2.0.2.tgz";
- path = fetchurl {
- name = "_types_prettier___prettier_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/@types/prettier/-/prettier-2.0.2.tgz";
- sha1 = "5bb52ee68d0f8efa9cc0099920e56be6cc4e37f3";
- };
- }
- {
- name = "_types_prosemirror_commands___prosemirror_commands_1.0.4.tgz";
- path = fetchurl {
- name = "_types_prosemirror_commands___prosemirror_commands_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/@types/prosemirror-commands/-/prosemirror-commands-1.0.4.tgz";
- sha1 = "d08551415127d93ae62e7239d30db0b5e7208e22";
- };
- }
- {
- name = "_types_prosemirror_dropcursor___prosemirror_dropcursor_1.0.2.tgz";
- path = fetchurl {
- name = "_types_prosemirror_dropcursor___prosemirror_dropcursor_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/@types/prosemirror-dropcursor/-/prosemirror-dropcursor-1.0.2.tgz";
- sha1 = "476b90a661f32d6d6a21599f53fcd71e36c65a1f";
- };
- }
- {
- name = "_types_prosemirror_gapcursor___prosemirror_gapcursor_1.0.4.tgz";
- path = fetchurl {
- name = "_types_prosemirror_gapcursor___prosemirror_gapcursor_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/@types/prosemirror-gapcursor/-/prosemirror-gapcursor-1.0.4.tgz";
- sha1 = "7df7d373edb33ea8da12084bfd462cf84cd69761";
- };
- }
- {
- name = "_types_prosemirror_history___prosemirror_history_1.0.3.tgz";
- path = fetchurl {
- name = "_types_prosemirror_history___prosemirror_history_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/@types/prosemirror-history/-/prosemirror-history-1.0.3.tgz";
- sha1 = "f1110efbe758129b5475e466ff077f0a8d9b964f";
- };
- }
- {
- name = "_types_prosemirror_inputrules___prosemirror_inputrules_1.0.4.tgz";
- path = fetchurl {
- name = "_types_prosemirror_inputrules___prosemirror_inputrules_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/@types/prosemirror-inputrules/-/prosemirror-inputrules-1.0.4.tgz";
- sha1 = "4cb75054d954aa0f6f42099be05eb6c0e6958bae";
- };
- }
- {
- name = "_types_prosemirror_keymap___prosemirror_keymap_1.0.4.tgz";
- path = fetchurl {
- name = "_types_prosemirror_keymap___prosemirror_keymap_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/@types/prosemirror-keymap/-/prosemirror-keymap-1.0.4.tgz";
- sha1 = "f73c79810e8d0e0a20d153d84f998f02e5afbc0c";
- };
- }
- {
- name = "_types_prosemirror_model___prosemirror_model_1.13.1.tgz";
- path = fetchurl {
- name = "_types_prosemirror_model___prosemirror_model_1.13.1.tgz";
- url = "https://registry.yarnpkg.com/@types/prosemirror-model/-/prosemirror-model-1.13.1.tgz";
- sha1 = "53df04ee174a7e1dc12747005b1b4c02565adcc4";
- };
- }
- {
- name = "_types_prosemirror_schema_list___prosemirror_schema_list_1.0.3.tgz";
- path = fetchurl {
- name = "_types_prosemirror_schema_list___prosemirror_schema_list_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/@types/prosemirror-schema-list/-/prosemirror-schema-list-1.0.3.tgz";
- sha1 = "bdf1893a7915fbdc5c49b3cac9368e96213d70de";
- };
- }
- {
- name = "_types_prosemirror_state___prosemirror_state_1.2.7.tgz";
- path = fetchurl {
- name = "_types_prosemirror_state___prosemirror_state_1.2.7.tgz";
- url = "https://registry.yarnpkg.com/@types/prosemirror-state/-/prosemirror-state-1.2.7.tgz";
- sha1 = "cd55062e4043a31e3426f47668f1d7038b5d8dfb";
- };
- }
- {
- name = "_types_prosemirror_transform___prosemirror_transform_1.1.4.tgz";
- path = fetchurl {
- name = "_types_prosemirror_transform___prosemirror_transform_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/@types/prosemirror-transform/-/prosemirror-transform-1.1.4.tgz";
- sha1 = "c3565e81b2ef3ce3254e6927d6f63eb8d7bb20d0";
- };
- }
- {
- name = "_types_prosemirror_view___prosemirror_view_1.17.2.tgz";
- path = fetchurl {
- name = "_types_prosemirror_view___prosemirror_view_1.17.2.tgz";
- url = "https://registry.yarnpkg.com/@types/prosemirror-view/-/prosemirror-view-1.17.2.tgz";
- sha1 = "3aff71a0802bdfc310404db8a37ced2db69fd74f";
- };
- }
- {
- name = "_types_stack_utils___stack_utils_2.0.0.tgz";
- path = fetchurl {
- name = "_types_stack_utils___stack_utils_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/@types/stack-utils/-/stack-utils-2.0.0.tgz";
- sha1 = "7036640b4e21cc2f259ae826ce843d277dad8cff";
- };
- }
- {
- name = "_types_tern___tern_0.23.3.tgz";
- path = fetchurl {
- name = "_types_tern___tern_0.23.3.tgz";
- url = "https://registry.yarnpkg.com/@types/tern/-/tern-0.23.3.tgz";
- sha1 = "4b54538f04a88c9ff79de1f6f94f575a7f339460";
- };
- }
- {
- name = "_types_unist___unist_2.0.3.tgz";
- path = fetchurl {
- name = "_types_unist___unist_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/@types/unist/-/unist-2.0.3.tgz";
- sha1 = "9c088679876f374eb5983f150d4787aa6fb32d7e";
- };
- }
- {
- name = "_types_yargs_parser___yargs_parser_15.0.0.tgz";
- path = fetchurl {
- name = "_types_yargs_parser___yargs_parser_15.0.0.tgz";
- url = "https://registry.yarnpkg.com/@types/yargs-parser/-/yargs-parser-15.0.0.tgz";
- sha1 = "cb3f9f741869e20cce330ffbeb9271590483882d";
- };
- }
- {
- name = "_types_yargs___yargs_15.0.5.tgz";
- path = fetchurl {
- name = "_types_yargs___yargs_15.0.5.tgz";
- url = "https://registry.yarnpkg.com/@types/yargs/-/yargs-15.0.5.tgz";
- sha1 = "947e9a6561483bdee9adffc983e91a6902af8b79";
- };
- }
- {
- name = "_types_zen_observable___zen_observable_0.8.0.tgz";
- path = fetchurl {
- name = "_types_zen_observable___zen_observable_0.8.0.tgz";
- url = "https://registry.yarnpkg.com/@types/zen-observable/-/zen-observable-0.8.0.tgz";
- sha1 = "8b63ab7f1aa5321248aad5ac890a485656dcea4d";
- };
- }
- {
- name = "_typescript_eslint_experimental_utils___experimental_utils_2.30.0.tgz";
- path = fetchurl {
- name = "_typescript_eslint_experimental_utils___experimental_utils_2.30.0.tgz";
- url = "https://registry.yarnpkg.com/@typescript-eslint/experimental-utils/-/experimental-utils-2.30.0.tgz";
- sha1 = "9845e868c01f3aed66472c561d4b6bac44809dd0";
- };
- }
- {
- name = "_typescript_eslint_typescript_estree___typescript_estree_2.30.0.tgz";
- path = fetchurl {
- name = "_typescript_eslint_typescript_estree___typescript_estree_2.30.0.tgz";
- url = "https://registry.yarnpkg.com/@typescript-eslint/typescript-estree/-/typescript-estree-2.30.0.tgz";
- sha1 = "1b8e848b55144270255ffbfe4c63291f8f766615";
- };
- }
- {
- name = "_vue_component_compiler_utils___component_compiler_utils_3.1.1.tgz";
- path = fetchurl {
- name = "_vue_component_compiler_utils___component_compiler_utils_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/@vue/component-compiler-utils/-/component-compiler-utils-3.1.1.tgz";
- sha1 = "d4ef8f80292674044ad6211e336a302e4d2a6575";
- };
- }
- {
- name = "_vue_test_utils___test_utils_1.2.0.tgz";
- path = fetchurl {
- name = "_vue_test_utils___test_utils_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/@vue/test-utils/-/test-utils-1.2.0.tgz";
- sha1 = "3bc8c17ed549157275f0aec6b95da40887f7297f";
- };
- }
- {
- name = "_webassemblyjs_ast___ast_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_ast___ast_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/ast/-/ast-1.9.0.tgz";
- sha1 = "bd850604b4042459a5a41cd7d338cbed695ed964";
- };
- }
- {
- name = "_webassemblyjs_floating_point_hex_parser___floating_point_hex_parser_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_floating_point_hex_parser___floating_point_hex_parser_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/floating-point-hex-parser/-/floating-point-hex-parser-1.9.0.tgz";
- sha1 = "3c3d3b271bddfc84deb00f71344438311d52ffb4";
- };
- }
- {
- name = "_webassemblyjs_helper_api_error___helper_api_error_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_helper_api_error___helper_api_error_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/helper-api-error/-/helper-api-error-1.9.0.tgz";
- sha1 = "203f676e333b96c9da2eeab3ccef33c45928b6a2";
- };
- }
- {
- name = "_webassemblyjs_helper_buffer___helper_buffer_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_helper_buffer___helper_buffer_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/helper-buffer/-/helper-buffer-1.9.0.tgz";
- sha1 = "a1442d269c5feb23fcbc9ef759dac3547f29de00";
- };
- }
- {
- name = "_webassemblyjs_helper_code_frame___helper_code_frame_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_helper_code_frame___helper_code_frame_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/helper-code-frame/-/helper-code-frame-1.9.0.tgz";
- sha1 = "647f8892cd2043a82ac0c8c5e75c36f1d9159f27";
- };
- }
- {
- name = "_webassemblyjs_helper_fsm___helper_fsm_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_helper_fsm___helper_fsm_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/helper-fsm/-/helper-fsm-1.9.0.tgz";
- sha1 = "c05256b71244214671f4b08ec108ad63b70eddb8";
- };
- }
- {
- name = "_webassemblyjs_helper_module_context___helper_module_context_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_helper_module_context___helper_module_context_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/helper-module-context/-/helper-module-context-1.9.0.tgz";
- sha1 = "25d8884b76839871a08a6c6f806c3979ef712f07";
- };
- }
- {
- name = "_webassemblyjs_helper_wasm_bytecode___helper_wasm_bytecode_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_helper_wasm_bytecode___helper_wasm_bytecode_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/helper-wasm-bytecode/-/helper-wasm-bytecode-1.9.0.tgz";
- sha1 = "4fed8beac9b8c14f8c58b70d124d549dd1fe5790";
- };
- }
- {
- name = "_webassemblyjs_helper_wasm_section___helper_wasm_section_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_helper_wasm_section___helper_wasm_section_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/helper-wasm-section/-/helper-wasm-section-1.9.0.tgz";
- sha1 = "5a4138d5a6292ba18b04c5ae49717e4167965346";
- };
- }
- {
- name = "_webassemblyjs_ieee754___ieee754_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_ieee754___ieee754_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/ieee754/-/ieee754-1.9.0.tgz";
- sha1 = "15c7a0fbaae83fb26143bbacf6d6df1702ad39e4";
- };
- }
- {
- name = "_webassemblyjs_leb128___leb128_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_leb128___leb128_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/leb128/-/leb128-1.9.0.tgz";
- sha1 = "f19ca0b76a6dc55623a09cffa769e838fa1e1c95";
- };
- }
- {
- name = "_webassemblyjs_utf8___utf8_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_utf8___utf8_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/utf8/-/utf8-1.9.0.tgz";
- sha1 = "04d33b636f78e6a6813227e82402f7637b6229ab";
- };
- }
- {
- name = "_webassemblyjs_wasm_edit___wasm_edit_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_wasm_edit___wasm_edit_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/wasm-edit/-/wasm-edit-1.9.0.tgz";
- sha1 = "3fe6d79d3f0f922183aa86002c42dd256cfee9cf";
- };
- }
- {
- name = "_webassemblyjs_wasm_gen___wasm_gen_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_wasm_gen___wasm_gen_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/wasm-gen/-/wasm-gen-1.9.0.tgz";
- sha1 = "50bc70ec68ded8e2763b01a1418bf43491a7a49c";
- };
- }
- {
- name = "_webassemblyjs_wasm_opt___wasm_opt_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_wasm_opt___wasm_opt_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/wasm-opt/-/wasm-opt-1.9.0.tgz";
- sha1 = "2211181e5b31326443cc8112eb9f0b9028721a61";
- };
- }
- {
- name = "_webassemblyjs_wasm_parser___wasm_parser_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_wasm_parser___wasm_parser_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/wasm-parser/-/wasm-parser-1.9.0.tgz";
- sha1 = "9d48e44826df4a6598294aa6c87469d642fff65e";
- };
- }
- {
- name = "_webassemblyjs_wast_parser___wast_parser_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_wast_parser___wast_parser_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/wast-parser/-/wast-parser-1.9.0.tgz";
- sha1 = "3031115d79ac5bd261556cecc3fa90a3ef451914";
- };
- }
- {
- name = "_webassemblyjs_wast_printer___wast_printer_1.9.0.tgz";
- path = fetchurl {
- name = "_webassemblyjs_wast_printer___wast_printer_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/@webassemblyjs/wast-printer/-/wast-printer-1.9.0.tgz";
- sha1 = "4935d54c85fef637b00ce9f52377451d00d47899";
- };
- }
- {
- name = "_wry_context___context_0.4.4.tgz";
- path = fetchurl {
- name = "_wry_context___context_0.4.4.tgz";
- url = "https://registry.yarnpkg.com/@wry/context/-/context-0.4.4.tgz";
- sha1 = "e50f5fa1d6cfaabf2977d1fda5ae91717f8815f8";
- };
- }
- {
- name = "_wry_equality___equality_0.1.9.tgz";
- path = fetchurl {
- name = "_wry_equality___equality_0.1.9.tgz";
- url = "https://registry.yarnpkg.com/@wry/equality/-/equality-0.1.9.tgz";
- sha1 = "b13e18b7a8053c6858aa6c85b54911fb31e3a909";
- };
- }
- {
- name = "_xtuc_ieee754___ieee754_1.2.0.tgz";
- path = fetchurl {
- name = "_xtuc_ieee754___ieee754_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/@xtuc/ieee754/-/ieee754-1.2.0.tgz";
- sha1 = "eef014a3145ae477a1cbc00cd1e552336dceb790";
- };
- }
- {
- name = "_xtuc_long___long_4.2.2.tgz";
- path = fetchurl {
- name = "_xtuc_long___long_4.2.2.tgz";
- url = "https://registry.yarnpkg.com/@xtuc/long/-/long-4.2.2.tgz";
- sha1 = "d291c6a4e97989b5c61d9acf396ae4fe133a718d";
- };
- }
- {
- name = "_yarnpkg_lockfile___lockfile_1.1.0.tgz";
- path = fetchurl {
- name = "_yarnpkg_lockfile___lockfile_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/@yarnpkg/lockfile/-/lockfile-1.1.0.tgz";
- sha1 = "e77a97fbd345b76d83245edcd17d393b1b41fb31";
- };
- }
- {
- name = "abab___abab_2.0.5.tgz";
- path = fetchurl {
- name = "abab___abab_2.0.5.tgz";
- url = "https://registry.yarnpkg.com/abab/-/abab-2.0.5.tgz";
- sha1 = "c0b678fb32d60fc1219c784d6a826fe385aeb79a";
- };
- }
- {
- name = "abbrev___abbrev_1.1.1.tgz";
- path = fetchurl {
- name = "abbrev___abbrev_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/abbrev/-/abbrev-1.1.1.tgz";
- sha1 = "f8f2c887ad10bf67f634f005b6987fed3179aac8";
- };
- }
- {
- name = "accepts___accepts_1.3.7.tgz";
- path = fetchurl {
- name = "accepts___accepts_1.3.7.tgz";
- url = "https://registry.yarnpkg.com/accepts/-/accepts-1.3.7.tgz";
- sha1 = "531bc726517a3b2b41f850021c6cc15eaab507cd";
- };
- }
- {
- name = "acorn_globals___acorn_globals_6.0.0.tgz";
- path = fetchurl {
- name = "acorn_globals___acorn_globals_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/acorn-globals/-/acorn-globals-6.0.0.tgz";
- sha1 = "46cdd39f0f8ff08a876619b55f5ac8a6dc770b45";
- };
- }
- {
- name = "acorn_jsx___acorn_jsx_5.3.1.tgz";
- path = fetchurl {
- name = "acorn_jsx___acorn_jsx_5.3.1.tgz";
- url = "https://registry.yarnpkg.com/acorn-jsx/-/acorn-jsx-5.3.1.tgz";
- sha1 = "fc8661e11b7ac1539c47dbfea2e72b3af34d267b";
- };
- }
- {
- name = "acorn_walk___acorn_walk_7.2.0.tgz";
- path = fetchurl {
- name = "acorn_walk___acorn_walk_7.2.0.tgz";
- url = "https://registry.yarnpkg.com/acorn-walk/-/acorn-walk-7.2.0.tgz";
- sha1 = "0de889a601203909b0fbe07b8938dc21d2e967bc";
- };
- }
- {
- name = "acorn_walk___acorn_walk_8.0.2.tgz";
- path = fetchurl {
- name = "acorn_walk___acorn_walk_8.0.2.tgz";
- url = "https://registry.yarnpkg.com/acorn-walk/-/acorn-walk-8.0.2.tgz";
- sha1 = "d4632bfc63fd93d0f15fd05ea0e984ffd3f5a8c3";
- };
- }
- {
- name = "acorn___acorn_6.4.2.tgz";
- path = fetchurl {
- name = "acorn___acorn_6.4.2.tgz";
- url = "https://registry.yarnpkg.com/acorn/-/acorn-6.4.2.tgz";
- sha1 = "35866fd710528e92de10cf06016498e47e39e1e6";
- };
- }
- {
- name = "acorn___acorn_7.4.1.tgz";
- path = fetchurl {
- name = "acorn___acorn_7.4.1.tgz";
- url = "https://registry.yarnpkg.com/acorn/-/acorn-7.4.1.tgz";
- sha1 = "feaed255973d2e77555b83dbc08851a6c63520fa";
- };
- }
- {
- name = "acorn___acorn_8.1.0.tgz";
- path = fetchurl {
- name = "acorn___acorn_8.1.0.tgz";
- url = "https://registry.yarnpkg.com/acorn/-/acorn-8.1.0.tgz";
- sha1 = "52311fd7037ae119cbb134309e901aa46295b3fe";
- };
- }
- {
- name = "after___after_0.8.2.tgz";
- path = fetchurl {
- name = "after___after_0.8.2.tgz";
- url = "https://registry.yarnpkg.com/after/-/after-0.8.2.tgz";
- sha1 = "fedb394f9f0e02aa9768e702bda23b505fae7e1f";
- };
- }
- {
- name = "agent_base___agent_base_4.3.0.tgz";
- path = fetchurl {
- name = "agent_base___agent_base_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/agent-base/-/agent-base-4.3.0.tgz";
- sha1 = "8165f01c436009bccad0b1d122f05ed770efc6ee";
- };
- }
- {
- name = "aggregate_error___aggregate_error_3.0.1.tgz";
- path = fetchurl {
- name = "aggregate_error___aggregate_error_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/aggregate-error/-/aggregate-error-3.0.1.tgz";
- sha1 = "db2fe7246e536f40d9b5442a39e117d7dd6a24e0";
- };
- }
- {
- name = "ajv_errors___ajv_errors_1.0.0.tgz";
- path = fetchurl {
- name = "ajv_errors___ajv_errors_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/ajv-errors/-/ajv-errors-1.0.0.tgz";
- sha1 = "ecf021fa108fd17dfb5e6b383f2dd233e31ffc59";
- };
- }
- {
- name = "ajv_keywords___ajv_keywords_3.5.2.tgz";
- path = fetchurl {
- name = "ajv_keywords___ajv_keywords_3.5.2.tgz";
- url = "https://registry.yarnpkg.com/ajv-keywords/-/ajv-keywords-3.5.2.tgz";
- sha1 = "31f29da5ab6e00d1c2d329acf7b5929614d5014d";
- };
- }
- {
- name = "ajv___ajv_6.12.6.tgz";
- path = fetchurl {
- name = "ajv___ajv_6.12.6.tgz";
- url = "https://registry.yarnpkg.com/ajv/-/ajv-6.12.6.tgz";
- sha1 = "baf5a62e802b07d977034586f8c3baf5adf26df4";
- };
- }
- {
- name = "ajv___ajv_8.5.0.tgz";
- path = fetchurl {
- name = "ajv___ajv_8.5.0.tgz";
- url = "https://registry.yarnpkg.com/ajv/-/ajv-8.5.0.tgz";
- sha1 = "695528274bcb5afc865446aa275484049a18ae4b";
- };
- }
- {
- name = "ansi_align___ansi_align_3.0.0.tgz";
- path = fetchurl {
- name = "ansi_align___ansi_align_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-align/-/ansi-align-3.0.0.tgz";
- sha1 = "b536b371cf687caaef236c18d3e21fe3797467cb";
- };
- }
- {
- name = "ansi_colors___ansi_colors_3.2.4.tgz";
- path = fetchurl {
- name = "ansi_colors___ansi_colors_3.2.4.tgz";
- url = "https://registry.yarnpkg.com/ansi-colors/-/ansi-colors-3.2.4.tgz";
- sha1 = "e3a3da4bfbae6c86a9c285625de124a234026fbf";
- };
- }
- {
- name = "ansi_colors___ansi_colors_4.1.1.tgz";
- path = fetchurl {
- name = "ansi_colors___ansi_colors_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/ansi-colors/-/ansi-colors-4.1.1.tgz";
- sha1 = "cbb9ae256bf750af1eab344f229aa27fe94ba348";
- };
- }
- {
- name = "ansi_escapes___ansi_escapes_4.3.0.tgz";
- path = fetchurl {
- name = "ansi_escapes___ansi_escapes_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-escapes/-/ansi-escapes-4.3.0.tgz";
- sha1 = "a4ce2b33d6b214b7950d8595c212f12ac9cc569d";
- };
- }
- {
- name = "ansi_html___ansi_html_0.0.7.tgz";
- path = fetchurl {
- name = "ansi_html___ansi_html_0.0.7.tgz";
- url = "https://registry.yarnpkg.com/ansi-html/-/ansi-html-0.0.7.tgz";
- sha1 = "813584021962a9e9e6fd039f940d12f56ca7859e";
- };
- }
- {
- name = "ansi_regex___ansi_regex_2.1.1.tgz";
- path = fetchurl {
- name = "ansi_regex___ansi_regex_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-2.1.1.tgz";
- sha1 = "c3b33ab5ee360d86e0e628f0468ae7ef27d654df";
- };
- }
- {
- name = "ansi_regex___ansi_regex_3.0.0.tgz";
- path = fetchurl {
- name = "ansi_regex___ansi_regex_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-3.0.0.tgz";
- sha1 = "ed0317c322064f79466c02966bddb605ab37d998";
- };
- }
- {
- name = "ansi_regex___ansi_regex_4.1.0.tgz";
- path = fetchurl {
- name = "ansi_regex___ansi_regex_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-4.1.0.tgz";
- sha1 = "8b9f8f08cf1acb843756a839ca8c7e3168c51997";
- };
- }
- {
- name = "ansi_regex___ansi_regex_5.0.0.tgz";
- path = fetchurl {
- name = "ansi_regex___ansi_regex_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-5.0.0.tgz";
- sha1 = "388539f55179bf39339c81af30a654d69f87cb75";
- };
- }
- {
- name = "ansi_styles___ansi_styles_3.2.1.tgz";
- path = fetchurl {
- name = "ansi_styles___ansi_styles_3.2.1.tgz";
- url = "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-3.2.1.tgz";
- sha1 = "41fbb20243e50b12be0f04b8dedbf07520ce841d";
- };
- }
- {
- name = "ansi_styles___ansi_styles_4.2.1.tgz";
- path = fetchurl {
- name = "ansi_styles___ansi_styles_4.2.1.tgz";
- url = "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-4.2.1.tgz";
- sha1 = "90ae75c424d008d2624c5bf29ead3177ebfcf359";
- };
- }
- {
- name = "anymatch___anymatch_2.0.0.tgz";
- path = fetchurl {
- name = "anymatch___anymatch_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/anymatch/-/anymatch-2.0.0.tgz";
- sha1 = "bcb24b4f37934d9aa7ac17b4adaf89e7c76ef2eb";
- };
- }
- {
- name = "anymatch___anymatch_3.1.1.tgz";
- path = fetchurl {
- name = "anymatch___anymatch_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/anymatch/-/anymatch-3.1.1.tgz";
- sha1 = "c55ecf02185e2469259399310c173ce31233b142";
- };
- }
- {
- name = "apollo_cache_inmemory___apollo_cache_inmemory_1.6.6.tgz";
- path = fetchurl {
- name = "apollo_cache_inmemory___apollo_cache_inmemory_1.6.6.tgz";
- url = "https://registry.yarnpkg.com/apollo-cache-inmemory/-/apollo-cache-inmemory-1.6.6.tgz";
- sha1 = "56d1f2a463a6b9db32e9fa990af16d2a008206fd";
- };
- }
- {
- name = "apollo_cache___apollo_cache_1.3.5.tgz";
- path = fetchurl {
- name = "apollo_cache___apollo_cache_1.3.5.tgz";
- url = "https://registry.yarnpkg.com/apollo-cache/-/apollo-cache-1.3.5.tgz";
- sha1 = "9dbebfc8dbe8fe7f97ba568a224bca2c5d81f461";
- };
- }
- {
- name = "apollo_client___apollo_client_2.6.10.tgz";
- path = fetchurl {
- name = "apollo_client___apollo_client_2.6.10.tgz";
- url = "https://registry.yarnpkg.com/apollo-client/-/apollo-client-2.6.10.tgz";
- sha1 = "86637047b51d940c8eaa771a4ce1b02df16bea6a";
- };
- }
- {
- name = "apollo_link_batch_http___apollo_link_batch_http_1.2.14.tgz";
- path = fetchurl {
- name = "apollo_link_batch_http___apollo_link_batch_http_1.2.14.tgz";
- url = "https://registry.yarnpkg.com/apollo-link-batch-http/-/apollo-link-batch-http-1.2.14.tgz";
- sha1 = "4502109d3f32a94d88eabd3a89274ae3a6e2f56f";
- };
- }
- {
- name = "apollo_link_batch___apollo_link_batch_1.1.15.tgz";
- path = fetchurl {
- name = "apollo_link_batch___apollo_link_batch_1.1.15.tgz";
- url = "https://registry.yarnpkg.com/apollo-link-batch/-/apollo-link-batch-1.1.15.tgz";
- sha1 = "3a5b8c7d9cf1b7840ce630238249b95070e75e54";
- };
- }
- {
- name = "apollo_link_http_common___apollo_link_http_common_0.2.16.tgz";
- path = fetchurl {
- name = "apollo_link_http_common___apollo_link_http_common_0.2.16.tgz";
- url = "https://registry.yarnpkg.com/apollo-link-http-common/-/apollo-link-http-common-0.2.16.tgz";
- sha1 = "756749dafc732792c8ca0923f9a40564b7c59ecc";
- };
- }
- {
- name = "apollo_link_http___apollo_link_http_1.5.17.tgz";
- path = fetchurl {
- name = "apollo_link_http___apollo_link_http_1.5.17.tgz";
- url = "https://registry.yarnpkg.com/apollo-link-http/-/apollo-link-http-1.5.17.tgz";
- sha1 = "499e9f1711bf694497f02c51af12d82de5d8d8ba";
- };
- }
- {
- name = "apollo_link___apollo_link_1.2.14.tgz";
- path = fetchurl {
- name = "apollo_link___apollo_link_1.2.14.tgz";
- url = "https://registry.yarnpkg.com/apollo-link/-/apollo-link-1.2.14.tgz";
- sha1 = "3feda4b47f9ebba7f4160bef8b977ba725b684d9";
- };
- }
- {
- name = "apollo_upload_client___apollo_upload_client_13.0.0.tgz";
- path = fetchurl {
- name = "apollo_upload_client___apollo_upload_client_13.0.0.tgz";
- url = "https://registry.yarnpkg.com/apollo-upload-client/-/apollo-upload-client-13.0.0.tgz";
- sha1 = "146d1ddd85d711fcac8ca97a72d3ca6787f2b71b";
- };
- }
- {
- name = "apollo_utilities___apollo_utilities_1.3.4.tgz";
- path = fetchurl {
- name = "apollo_utilities___apollo_utilities_1.3.4.tgz";
- url = "https://registry.yarnpkg.com/apollo-utilities/-/apollo-utilities-1.3.4.tgz";
- sha1 = "6129e438e8be201b6c55b0f13ce49d2c7175c9cf";
- };
- }
- {
- name = "append_transform___append_transform_1.0.0.tgz";
- path = fetchurl {
- name = "append_transform___append_transform_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/append-transform/-/append-transform-1.0.0.tgz";
- sha1 = "046a52ae582a228bd72f58acfbe2967c678759ab";
- };
- }
- {
- name = "aproba___aproba_1.2.0.tgz";
- path = fetchurl {
- name = "aproba___aproba_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/aproba/-/aproba-1.2.0.tgz";
- sha1 = "6802e6264efd18c790a1b0d517f0f2627bf2c94a";
- };
- }
- {
- name = "argparse___argparse_1.0.10.tgz";
- path = fetchurl {
- name = "argparse___argparse_1.0.10.tgz";
- url = "https://registry.yarnpkg.com/argparse/-/argparse-1.0.10.tgz";
- sha1 = "bcd6791ea5ae09725e17e5ad988134cd40b3d911";
- };
- }
- {
- name = "argparse___argparse_2.0.1.tgz";
- path = fetchurl {
- name = "argparse___argparse_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/argparse/-/argparse-2.0.1.tgz";
- sha1 = "246f50f3ca78a3240f6c997e8a9bd1eac49e4b38";
- };
- }
- {
- name = "aria_query___aria_query_4.2.2.tgz";
- path = fetchurl {
- name = "aria_query___aria_query_4.2.2.tgz";
- url = "https://registry.yarnpkg.com/aria-query/-/aria-query-4.2.2.tgz";
- sha1 = "0d2ca6c9aceb56b8977e9fed6aed7e15bbd2f83b";
- };
- }
- {
- name = "arr_diff___arr_diff_4.0.0.tgz";
- path = fetchurl {
- name = "arr_diff___arr_diff_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/arr-diff/-/arr-diff-4.0.0.tgz";
- sha1 = "d6461074febfec71e7e15235761a329a5dc7c520";
- };
- }
- {
- name = "arr_flatten___arr_flatten_1.1.0.tgz";
- path = fetchurl {
- name = "arr_flatten___arr_flatten_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/arr-flatten/-/arr-flatten-1.1.0.tgz";
- sha1 = "36048bbff4e7b47e136644316c99669ea5ae91f1";
- };
- }
- {
- name = "arr_union___arr_union_3.1.0.tgz";
- path = fetchurl {
- name = "arr_union___arr_union_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/arr-union/-/arr-union-3.1.0.tgz";
- sha1 = "e39b09aea9def866a8f206e288af63919bae39c4";
- };
- }
- {
- name = "array_find___array_find_1.0.0.tgz";
- path = fetchurl {
- name = "array_find___array_find_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/array-find/-/array-find-1.0.0.tgz";
- sha1 = "6c8e286d11ed768327f8e62ecee87353ca3e78b8";
- };
- }
- {
- name = "array_flatten___array_flatten_1.1.1.tgz";
- path = fetchurl {
- name = "array_flatten___array_flatten_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/array-flatten/-/array-flatten-1.1.1.tgz";
- sha1 = "9a5f699051b1e7073328f2a008968b64ea2955d2";
- };
- }
- {
- name = "array_flatten___array_flatten_2.1.1.tgz";
- path = fetchurl {
- name = "array_flatten___array_flatten_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/array-flatten/-/array-flatten-2.1.1.tgz";
- sha1 = "426bb9da84090c1838d812c8150af20a8331e296";
- };
- }
- {
- name = "array_includes___array_includes_3.1.2.tgz";
- path = fetchurl {
- name = "array_includes___array_includes_3.1.2.tgz";
- url = "https://registry.yarnpkg.com/array-includes/-/array-includes-3.1.2.tgz";
- sha1 = "a8db03e0b88c8c6aeddc49cb132f9bcab4ebf9c8";
- };
- }
- {
- name = "array_union___array_union_1.0.2.tgz";
- path = fetchurl {
- name = "array_union___array_union_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/array-union/-/array-union-1.0.2.tgz";
- sha1 = "9a34410e4f4e3da23dea375be5be70f24778ec39";
- };
- }
- {
- name = "array_union___array_union_2.1.0.tgz";
- path = fetchurl {
- name = "array_union___array_union_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/array-union/-/array-union-2.1.0.tgz";
- sha1 = "b798420adbeb1de828d84acd8a2e23d3efe85e8d";
- };
- }
- {
- name = "array_uniq___array_uniq_1.0.3.tgz";
- path = fetchurl {
- name = "array_uniq___array_uniq_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/array-uniq/-/array-uniq-1.0.3.tgz";
- sha1 = "af6ac877a25cc7f74e058894753858dfdb24fdb6";
- };
- }
- {
- name = "array_unique___array_unique_0.3.2.tgz";
- path = fetchurl {
- name = "array_unique___array_unique_0.3.2.tgz";
- url = "https://registry.yarnpkg.com/array-unique/-/array-unique-0.3.2.tgz";
- sha1 = "a894b75d4bc4f6cd679ef3244a9fd8f46ae2d428";
- };
- }
- {
- name = "array.prototype.flat___array.prototype.flat_1.2.4.tgz";
- path = fetchurl {
- name = "array.prototype.flat___array.prototype.flat_1.2.4.tgz";
- url = "https://registry.yarnpkg.com/array.prototype.flat/-/array.prototype.flat-1.2.4.tgz";
- sha1 = "6ef638b43312bd401b4c6199fdec7e2dc9e9a123";
- };
- }
- {
- name = "arraybuffer.slice___arraybuffer.slice_0.0.7.tgz";
- path = fetchurl {
- name = "arraybuffer.slice___arraybuffer.slice_0.0.7.tgz";
- url = "https://registry.yarnpkg.com/arraybuffer.slice/-/arraybuffer.slice-0.0.7.tgz";
- sha1 = "3bbc4275dd584cc1b10809b89d4e8b63a69e7675";
- };
- }
- {
- name = "arrify___arrify_1.0.1.tgz";
- path = fetchurl {
- name = "arrify___arrify_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/arrify/-/arrify-1.0.1.tgz";
- sha1 = "898508da2226f380df904728456849c1501a4b0d";
- };
- }
- {
- name = "asn1.js___asn1.js_4.10.1.tgz";
- path = fetchurl {
- name = "asn1.js___asn1.js_4.10.1.tgz";
- url = "https://registry.yarnpkg.com/asn1.js/-/asn1.js-4.10.1.tgz";
- sha1 = "b9c2bf5805f1e64aadeed6df3a2bfafb5a73f5a0";
- };
- }
- {
- name = "asn1___asn1_0.2.4.tgz";
- path = fetchurl {
- name = "asn1___asn1_0.2.4.tgz";
- url = "https://registry.yarnpkg.com/asn1/-/asn1-0.2.4.tgz";
- sha1 = "8d2475dfab553bb33e77b54e59e880bb8ce23136";
- };
- }
- {
- name = "assert_plus___assert_plus_1.0.0.tgz";
- path = fetchurl {
- name = "assert_plus___assert_plus_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/assert-plus/-/assert-plus-1.0.0.tgz";
- sha1 = "f12e0f3c5d77b0b1cdd9146942e4e96c1e4dd525";
- };
- }
- {
- name = "assert___assert_1.4.1.tgz";
- path = fetchurl {
- name = "assert___assert_1.4.1.tgz";
- url = "https://registry.yarnpkg.com/assert/-/assert-1.4.1.tgz";
- sha1 = "99912d591836b5a6f5b345c0f07eefc08fc65d91";
- };
- }
- {
- name = "assign_symbols___assign_symbols_1.0.0.tgz";
- path = fetchurl {
- name = "assign_symbols___assign_symbols_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/assign-symbols/-/assign-symbols-1.0.0.tgz";
- sha1 = "59667f41fadd4f20ccbc2bb96b8d4f7f78ec0367";
- };
- }
- {
- name = "astral_regex___astral_regex_2.0.0.tgz";
- path = fetchurl {
- name = "astral_regex___astral_regex_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/astral-regex/-/astral-regex-2.0.0.tgz";
- sha1 = "483143c567aeed4785759c0865786dc77d7d2e31";
- };
- }
- {
- name = "async_limiter___async_limiter_1.0.0.tgz";
- path = fetchurl {
- name = "async_limiter___async_limiter_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/async-limiter/-/async-limiter-1.0.0.tgz";
- sha1 = "78faed8c3d074ab81f22b4e985d79e8738f720f8";
- };
- }
- {
- name = "async___async_2.6.3.tgz";
- path = fetchurl {
- name = "async___async_2.6.3.tgz";
- url = "https://registry.yarnpkg.com/async/-/async-2.6.3.tgz";
- sha1 = "d72625e2344a3656e3a3ad4fa749fa83299d82ff";
- };
- }
- {
- name = "asynckit___asynckit_0.4.0.tgz";
- path = fetchurl {
- name = "asynckit___asynckit_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/asynckit/-/asynckit-0.4.0.tgz";
- sha1 = "c79ed97f7f34cb8f2ba1bc9790bcc366474b4b79";
- };
- }
- {
- name = "atob___atob_2.1.2.tgz";
- path = fetchurl {
- name = "atob___atob_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/atob/-/atob-2.1.2.tgz";
- sha1 = "6d9517eb9e030d2436666651e86bd9f6f13533c9";
- };
- }
- {
- name = "autoprefixer___autoprefixer_9.8.6.tgz";
- path = fetchurl {
- name = "autoprefixer___autoprefixer_9.8.6.tgz";
- url = "https://registry.yarnpkg.com/autoprefixer/-/autoprefixer-9.8.6.tgz";
- sha1 = "3b73594ca1bf9266320c5acf1588d74dea74210f";
- };
- }
- {
- name = "autosize___autosize_4.0.2.tgz";
- path = fetchurl {
- name = "autosize___autosize_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/autosize/-/autosize-4.0.2.tgz";
- sha1 = "073cfd07c8bf45da4b9fd153437f5bafbba1e4c9";
- };
- }
- {
- name = "aws_sdk___aws_sdk_2.637.0.tgz";
- path = fetchurl {
- name = "aws_sdk___aws_sdk_2.637.0.tgz";
- url = "https://registry.yarnpkg.com/aws-sdk/-/aws-sdk-2.637.0.tgz";
- sha1 = "810e25e53acf2250d35fc74498f9d4492e154217";
- };
- }
- {
- name = "aws_sign2___aws_sign2_0.7.0.tgz";
- path = fetchurl {
- name = "aws_sign2___aws_sign2_0.7.0.tgz";
- url = "https://registry.yarnpkg.com/aws-sign2/-/aws-sign2-0.7.0.tgz";
- sha1 = "b46e890934a9591f2d2f6f86d7e6a9f1b3fe76a8";
- };
- }
- {
- name = "aws4___aws4_1.10.1.tgz";
- path = fetchurl {
- name = "aws4___aws4_1.10.1.tgz";
- url = "https://registry.yarnpkg.com/aws4/-/aws4-1.10.1.tgz";
- sha1 = "e1e82e4f3e999e2cfd61b161280d16a111f86428";
- };
- }
- {
- name = "axios_mock_adapter___axios_mock_adapter_1.15.0.tgz";
- path = fetchurl {
- name = "axios_mock_adapter___axios_mock_adapter_1.15.0.tgz";
- url = "https://registry.yarnpkg.com/axios-mock-adapter/-/axios-mock-adapter-1.15.0.tgz";
- sha1 = "fbc06825d8302c95c3334d21023bba996255d45d";
- };
- }
- {
- name = "axios___axios_0.20.0.tgz";
- path = fetchurl {
- name = "axios___axios_0.20.0.tgz";
- url = "https://registry.yarnpkg.com/axios/-/axios-0.20.0.tgz";
- sha1 = "057ba30f04884694993a8cd07fa394cff11c50bd";
- };
- }
- {
- name = "babel_eslint___babel_eslint_10.0.3.tgz";
- path = fetchurl {
- name = "babel_eslint___babel_eslint_10.0.3.tgz";
- url = "https://registry.yarnpkg.com/babel-eslint/-/babel-eslint-10.0.3.tgz";
- sha1 = "81a2c669be0f205e19462fed2482d33e4687a88a";
- };
- }
- {
- name = "babel_jest___babel_jest_26.5.2.tgz";
- path = fetchurl {
- name = "babel_jest___babel_jest_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/babel-jest/-/babel-jest-26.5.2.tgz";
- sha1 = "164f367a35946c6cf54eaccde8762dec50422250";
- };
- }
- {
- name = "babel_loader___babel_loader_8.2.2.tgz";
- path = fetchurl {
- name = "babel_loader___babel_loader_8.2.2.tgz";
- url = "https://registry.yarnpkg.com/babel-loader/-/babel-loader-8.2.2.tgz";
- sha1 = "9363ce84c10c9a40e6c753748e1441b60c8a0b81";
- };
- }
- {
- name = "babel_plugin_dynamic_import_node___babel_plugin_dynamic_import_node_2.3.3.tgz";
- path = fetchurl {
- name = "babel_plugin_dynamic_import_node___babel_plugin_dynamic_import_node_2.3.3.tgz";
- url = "https://registry.yarnpkg.com/babel-plugin-dynamic-import-node/-/babel-plugin-dynamic-import-node-2.3.3.tgz";
- sha1 = "84fda19c976ec5c6defef57f9427b3def66e17a3";
- };
- }
- {
- name = "babel_plugin_istanbul___babel_plugin_istanbul_6.0.0.tgz";
- path = fetchurl {
- name = "babel_plugin_istanbul___babel_plugin_istanbul_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/babel-plugin-istanbul/-/babel-plugin-istanbul-6.0.0.tgz";
- sha1 = "e159ccdc9af95e0b570c75b4573b7c34d671d765";
- };
- }
- {
- name = "babel_plugin_jest_hoist___babel_plugin_jest_hoist_26.5.0.tgz";
- path = fetchurl {
- name = "babel_plugin_jest_hoist___babel_plugin_jest_hoist_26.5.0.tgz";
- url = "https://registry.yarnpkg.com/babel-plugin-jest-hoist/-/babel-plugin-jest-hoist-26.5.0.tgz";
- sha1 = "3916b3a28129c29528de91e5784a44680db46385";
- };
- }
- {
- name = "babel_plugin_lodash___babel_plugin_lodash_3.3.4.tgz";
- path = fetchurl {
- name = "babel_plugin_lodash___babel_plugin_lodash_3.3.4.tgz";
- url = "https://registry.yarnpkg.com/babel-plugin-lodash/-/babel-plugin-lodash-3.3.4.tgz";
- sha1 = "4f6844358a1340baed182adbeffa8df9967bc196";
- };
- }
- {
- name = "babel_preset_current_node_syntax___babel_preset_current_node_syntax_0.1.4.tgz";
- path = fetchurl {
- name = "babel_preset_current_node_syntax___babel_preset_current_node_syntax_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/babel-preset-current-node-syntax/-/babel-preset-current-node-syntax-0.1.4.tgz";
- sha1 = "826f1f8e7245ad534714ba001f84f7e906c3b615";
- };
- }
- {
- name = "babel_preset_jest___babel_preset_jest_26.5.0.tgz";
- path = fetchurl {
- name = "babel_preset_jest___babel_preset_jest_26.5.0.tgz";
- url = "https://registry.yarnpkg.com/babel-preset-jest/-/babel-preset-jest-26.5.0.tgz";
- sha1 = "f1b166045cd21437d1188d29f7fba470d5bdb0e7";
- };
- }
- {
- name = "babylon___babylon_7.0.0_beta.19.tgz";
- path = fetchurl {
- name = "babylon___babylon_7.0.0_beta.19.tgz";
- url = "https://registry.yarnpkg.com/babylon/-/babylon-7.0.0-beta.19.tgz";
- sha1 = "e928c7e807e970e0536b078ab3e0c48f9e052503";
- };
- }
- {
- name = "backo2___backo2_1.0.2.tgz";
- path = fetchurl {
- name = "backo2___backo2_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/backo2/-/backo2-1.0.2.tgz";
- sha1 = "31ab1ac8b129363463e35b3ebb69f4dfcfba7947";
- };
- }
- {
- name = "bail___bail_1.0.5.tgz";
- path = fetchurl {
- name = "bail___bail_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/bail/-/bail-1.0.5.tgz";
- sha1 = "b6fa133404a392cbc1f8c4bf63f5953351e7a776";
- };
- }
- {
- name = "balanced_match___balanced_match_1.0.0.tgz";
- path = fetchurl {
- name = "balanced_match___balanced_match_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/balanced-match/-/balanced-match-1.0.0.tgz";
- sha1 = "89b4d199ab2bee49de164ea02b89ce462d71b767";
- };
- }
- {
- name = "base64_arraybuffer___base64_arraybuffer_0.1.5.tgz";
- path = fetchurl {
- name = "base64_arraybuffer___base64_arraybuffer_0.1.5.tgz";
- url = "https://registry.yarnpkg.com/base64-arraybuffer/-/base64-arraybuffer-0.1.5.tgz";
- sha1 = "73926771923b5a19747ad666aa5cd4bf9c6e9ce8";
- };
- }
- {
- name = "base64_js___base64_js_1.2.3.tgz";
- path = fetchurl {
- name = "base64_js___base64_js_1.2.3.tgz";
- url = "https://registry.yarnpkg.com/base64-js/-/base64-js-1.2.3.tgz";
- sha1 = "fb13668233d9614cf5fb4bce95a9ba4096cdf801";
- };
- }
- {
- name = "base64id___base64id_1.0.0.tgz";
- path = fetchurl {
- name = "base64id___base64id_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/base64id/-/base64id-1.0.0.tgz";
- sha1 = "47688cb99bb6804f0e06d3e763b1c32e57d8e6b6";
- };
- }
- {
- name = "base___base_0.11.2.tgz";
- path = fetchurl {
- name = "base___base_0.11.2.tgz";
- url = "https://registry.yarnpkg.com/base/-/base-0.11.2.tgz";
- sha1 = "7bde5ced145b6d551a90db87f83c558b4eb48a8f";
- };
- }
- {
- name = "batch___batch_0.6.1.tgz";
- path = fetchurl {
- name = "batch___batch_0.6.1.tgz";
- url = "https://registry.yarnpkg.com/batch/-/batch-0.6.1.tgz";
- sha1 = "dc34314f4e679318093fc760272525f94bf25c16";
- };
- }
- {
- name = "bcrypt_pbkdf___bcrypt_pbkdf_1.0.2.tgz";
- path = fetchurl {
- name = "bcrypt_pbkdf___bcrypt_pbkdf_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/bcrypt-pbkdf/-/bcrypt-pbkdf-1.0.2.tgz";
- sha1 = "a4301d389b6a43f9b67ff3ca11a3f6637e360e9e";
- };
- }
- {
- name = "better_assert___better_assert_1.0.2.tgz";
- path = fetchurl {
- name = "better_assert___better_assert_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/better-assert/-/better-assert-1.0.2.tgz";
- sha1 = "40866b9e1b9e0b55b481894311e68faffaebc522";
- };
- }
- {
- name = "big.js___big.js_5.2.2.tgz";
- path = fetchurl {
- name = "big.js___big.js_5.2.2.tgz";
- url = "https://registry.yarnpkg.com/big.js/-/big.js-5.2.2.tgz";
- sha1 = "65f0af382f578bcdc742bd9c281e9cb2d7768328";
- };
- }
- {
- name = "binary_extensions___binary_extensions_2.0.0.tgz";
- path = fetchurl {
- name = "binary_extensions___binary_extensions_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/binary-extensions/-/binary-extensions-2.0.0.tgz";
- sha1 = "23c0df14f6a88077f5f986c0d167ec03c3d5537c";
- };
- }
- {
- name = "binaryextensions___binaryextensions_2.1.1.tgz";
- path = fetchurl {
- name = "binaryextensions___binaryextensions_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/binaryextensions/-/binaryextensions-2.1.1.tgz";
- sha1 = "3209a51ca4a4ad541a3b8d3d6a6d5b83a2485935";
- };
- }
- {
- name = "blob___blob_0.0.4.tgz";
- path = fetchurl {
- name = "blob___blob_0.0.4.tgz";
- url = "https://registry.yarnpkg.com/blob/-/blob-0.0.4.tgz";
- sha1 = "bcf13052ca54463f30f9fc7e95b9a47630a94921";
- };
- }
- {
- name = "bluebird___bluebird_3.5.5.tgz";
- path = fetchurl {
- name = "bluebird___bluebird_3.5.5.tgz";
- url = "https://registry.yarnpkg.com/bluebird/-/bluebird-3.5.5.tgz";
- sha1 = "a8d0afd73251effbbd5fe384a77d73003c17a71f";
- };
- }
- {
- name = "bn.js___bn.js_4.11.9.tgz";
- path = fetchurl {
- name = "bn.js___bn.js_4.11.9.tgz";
- url = "https://registry.yarnpkg.com/bn.js/-/bn.js-4.11.9.tgz";
- sha1 = "26d556829458f9d1e81fc48952493d0ba3507828";
- };
- }
- {
- name = "body_parser___body_parser_1.19.0.tgz";
- path = fetchurl {
- name = "body_parser___body_parser_1.19.0.tgz";
- url = "https://registry.yarnpkg.com/body-parser/-/body-parser-1.19.0.tgz";
- sha1 = "96b2709e57c9c4e09a6fd66a8fd979844f69f08a";
- };
- }
- {
- name = "bonjour___bonjour_3.5.0.tgz";
- path = fetchurl {
- name = "bonjour___bonjour_3.5.0.tgz";
- url = "https://registry.yarnpkg.com/bonjour/-/bonjour-3.5.0.tgz";
- sha1 = "8e890a183d8ee9a2393b3844c691a42bcf7bc9f5";
- };
- }
- {
- name = "boolbase___boolbase_1.0.0.tgz";
- path = fetchurl {
- name = "boolbase___boolbase_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/boolbase/-/boolbase-1.0.0.tgz";
- sha1 = "68dff5fbe60c51eb37725ea9e3ed310dcc1e776e";
- };
- }
- {
- name = "bootstrap_vue___bootstrap_vue_2.18.1.tgz";
- path = fetchurl {
- name = "bootstrap_vue___bootstrap_vue_2.18.1.tgz";
- url = "https://registry.yarnpkg.com/bootstrap-vue/-/bootstrap-vue-2.18.1.tgz";
- sha1 = "4378d26b713d4255b45b42b3f852f6fa0a11d400";
- };
- }
- {
- name = "bootstrap___bootstrap_4.5.3.tgz";
- path = fetchurl {
- name = "bootstrap___bootstrap_4.5.3.tgz";
- url = "https://registry.yarnpkg.com/bootstrap/-/bootstrap-4.5.3.tgz";
- sha1 = "c6a72b355aaf323920be800246a6e4ef30997fe6";
- };
- }
- {
- name = "boxen___boxen_4.2.0.tgz";
- path = fetchurl {
- name = "boxen___boxen_4.2.0.tgz";
- url = "https://registry.yarnpkg.com/boxen/-/boxen-4.2.0.tgz";
- sha1 = "e411b62357d6d6d36587c8ac3d5d974daa070e64";
- };
- }
- {
- name = "brace_expansion___brace_expansion_1.1.11.tgz";
- path = fetchurl {
- name = "brace_expansion___brace_expansion_1.1.11.tgz";
- url = "https://registry.yarnpkg.com/brace-expansion/-/brace-expansion-1.1.11.tgz";
- sha1 = "3c7fcbf529d87226f3d2f52b966ff5271eb441dd";
- };
- }
- {
- name = "braces___braces_2.3.2.tgz";
- path = fetchurl {
- name = "braces___braces_2.3.2.tgz";
- url = "https://registry.yarnpkg.com/braces/-/braces-2.3.2.tgz";
- sha1 = "5979fd3f14cd531565e5fa2df1abfff1dfaee729";
- };
- }
- {
- name = "braces___braces_3.0.2.tgz";
- path = fetchurl {
- name = "braces___braces_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/braces/-/braces-3.0.2.tgz";
- sha1 = "3454e1a462ee8d599e236df336cd9ea4f8afe107";
- };
- }
- {
- name = "brorand___brorand_1.1.0.tgz";
- path = fetchurl {
- name = "brorand___brorand_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/brorand/-/brorand-1.1.0.tgz";
- sha1 = "12c25efe40a45e3c323eb8675a0a0ce57b22371f";
- };
- }
- {
- name = "browser_process_hrtime___browser_process_hrtime_1.0.0.tgz";
- path = fetchurl {
- name = "browser_process_hrtime___browser_process_hrtime_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/browser-process-hrtime/-/browser-process-hrtime-1.0.0.tgz";
- sha1 = "3c9b4b7d782c8121e56f10106d84c0d0ffc94626";
- };
- }
- {
- name = "browserify_aes___browserify_aes_1.1.1.tgz";
- path = fetchurl {
- name = "browserify_aes___browserify_aes_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/browserify-aes/-/browserify-aes-1.1.1.tgz";
- sha1 = "38b7ab55edb806ff2dcda1a7f1620773a477c49f";
- };
- }
- {
- name = "browserify_cipher___browserify_cipher_1.0.0.tgz";
- path = fetchurl {
- name = "browserify_cipher___browserify_cipher_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/browserify-cipher/-/browserify-cipher-1.0.0.tgz";
- sha1 = "9988244874bf5ed4e28da95666dcd66ac8fc363a";
- };
- }
- {
- name = "browserify_des___browserify_des_1.0.0.tgz";
- path = fetchurl {
- name = "browserify_des___browserify_des_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/browserify-des/-/browserify-des-1.0.0.tgz";
- sha1 = "daa277717470922ed2fe18594118a175439721dd";
- };
- }
- {
- name = "browserify_rsa___browserify_rsa_4.0.1.tgz";
- path = fetchurl {
- name = "browserify_rsa___browserify_rsa_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/browserify-rsa/-/browserify-rsa-4.0.1.tgz";
- sha1 = "21e0abfaf6f2029cf2fafb133567a701d4135524";
- };
- }
- {
- name = "browserify_sign___browserify_sign_4.0.4.tgz";
- path = fetchurl {
- name = "browserify_sign___browserify_sign_4.0.4.tgz";
- url = "https://registry.yarnpkg.com/browserify-sign/-/browserify-sign-4.0.4.tgz";
- sha1 = "aa4eb68e5d7b658baa6bf6a57e630cbd7a93d298";
- };
- }
- {
- name = "browserify_zlib___browserify_zlib_0.2.0.tgz";
- path = fetchurl {
- name = "browserify_zlib___browserify_zlib_0.2.0.tgz";
- url = "https://registry.yarnpkg.com/browserify-zlib/-/browserify-zlib-0.2.0.tgz";
- sha1 = "2869459d9aa3be245fe8fe2ca1f46e2e7f54d73f";
- };
- }
- {
- name = "browserslist___browserslist_4.16.6.tgz";
- path = fetchurl {
- name = "browserslist___browserslist_4.16.6.tgz";
- url = "https://registry.yarnpkg.com/browserslist/-/browserslist-4.16.6.tgz";
- sha1 = "d7901277a5a88e554ed305b183ec9b0c08f66fa2";
- };
- }
- {
- name = "bser___bser_2.1.1.tgz";
- path = fetchurl {
- name = "bser___bser_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/bser/-/bser-2.1.1.tgz";
- sha1 = "e6787da20ece9d07998533cfd9de6f5c38f4bc05";
- };
- }
- {
- name = "buffer_from___buffer_from_1.1.1.tgz";
- path = fetchurl {
- name = "buffer_from___buffer_from_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/buffer-from/-/buffer-from-1.1.1.tgz";
- sha1 = "32713bc028f75c02fdb710d7c7bcec1f2c6070ef";
- };
- }
- {
- name = "buffer_indexof___buffer_indexof_1.1.0.tgz";
- path = fetchurl {
- name = "buffer_indexof___buffer_indexof_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/buffer-indexof/-/buffer-indexof-1.1.0.tgz";
- sha1 = "f54f647c4f4e25228baa656a2e57e43d5f270982";
- };
- }
- {
- name = "buffer_json___buffer_json_2.0.0.tgz";
- path = fetchurl {
- name = "buffer_json___buffer_json_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/buffer-json/-/buffer-json-2.0.0.tgz";
- sha1 = "f73e13b1e42f196fe2fd67d001c7d7107edd7c23";
- };
- }
- {
- name = "buffer_xor___buffer_xor_1.0.3.tgz";
- path = fetchurl {
- name = "buffer_xor___buffer_xor_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/buffer-xor/-/buffer-xor-1.0.3.tgz";
- sha1 = "26e61ed1422fb70dd42e6e36729ed51d855fe8d9";
- };
- }
- {
- name = "buffer___buffer_4.9.1.tgz";
- path = fetchurl {
- name = "buffer___buffer_4.9.1.tgz";
- url = "https://registry.yarnpkg.com/buffer/-/buffer-4.9.1.tgz";
- sha1 = "6d1bb601b07a4efced97094132093027c95bc298";
- };
- }
- {
- name = "builtin_status_codes___builtin_status_codes_3.0.0.tgz";
- path = fetchurl {
- name = "builtin_status_codes___builtin_status_codes_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/builtin-status-codes/-/builtin-status-codes-3.0.0.tgz";
- sha1 = "85982878e21b98e1c66425e03d0174788f569ee8";
- };
- }
- {
- name = "bytes___bytes_3.0.0.tgz";
- path = fetchurl {
- name = "bytes___bytes_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/bytes/-/bytes-3.0.0.tgz";
- sha1 = "d32815404d689699f85a4ea4fa8755dd13a96048";
- };
- }
- {
- name = "bytes___bytes_3.1.0.tgz";
- path = fetchurl {
- name = "bytes___bytes_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/bytes/-/bytes-3.1.0.tgz";
- sha1 = "f6cf7933a360e0588fa9fde85651cdc7f805d1f6";
- };
- }
- {
- name = "cacache___cacache_12.0.3.tgz";
- path = fetchurl {
- name = "cacache___cacache_12.0.3.tgz";
- url = "https://registry.yarnpkg.com/cacache/-/cacache-12.0.3.tgz";
- sha1 = "be99abba4e1bf5df461cd5a2c1071fc432573390";
- };
- }
- {
- name = "cacache___cacache_15.0.5.tgz";
- path = fetchurl {
- name = "cacache___cacache_15.0.5.tgz";
- url = "https://registry.yarnpkg.com/cacache/-/cacache-15.0.5.tgz";
- sha1 = "69162833da29170d6732334643c60e005f5f17d0";
- };
- }
- {
- name = "cache_base___cache_base_1.0.1.tgz";
- path = fetchurl {
- name = "cache_base___cache_base_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/cache-base/-/cache-base-1.0.1.tgz";
- sha1 = "0a7f46416831c8b662ee36fe4e7c59d76f666ab2";
- };
- }
- {
- name = "cache_loader___cache_loader_4.1.0.tgz";
- path = fetchurl {
- name = "cache_loader___cache_loader_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/cache-loader/-/cache-loader-4.1.0.tgz";
- sha1 = "9948cae353aec0a1fcb1eafda2300816ec85387e";
- };
- }
- {
- name = "cacheable_request___cacheable_request_6.1.0.tgz";
- path = fetchurl {
- name = "cacheable_request___cacheable_request_6.1.0.tgz";
- url = "https://registry.yarnpkg.com/cacheable-request/-/cacheable-request-6.1.0.tgz";
- sha1 = "20ffb8bd162ba4be11e9567d823db651052ca912";
- };
- }
- {
- name = "call_bind___call_bind_1.0.2.tgz";
- path = fetchurl {
- name = "call_bind___call_bind_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/call-bind/-/call-bind-1.0.2.tgz";
- sha1 = "b1d4e89e688119c3c9a903ad30abb2f6a919be3c";
- };
- }
- {
- name = "call_me_maybe___call_me_maybe_1.0.1.tgz";
- path = fetchurl {
- name = "call_me_maybe___call_me_maybe_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/call-me-maybe/-/call-me-maybe-1.0.1.tgz";
- sha1 = "26d208ea89e37b5cbde60250a15f031c16a4d66b";
- };
- }
- {
- name = "callsite___callsite_1.0.0.tgz";
- path = fetchurl {
- name = "callsite___callsite_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/callsite/-/callsite-1.0.0.tgz";
- sha1 = "280398e5d664bd74038b6f0905153e6e8af1bc20";
- };
- }
- {
- name = "callsites___callsites_3.0.0.tgz";
- path = fetchurl {
- name = "callsites___callsites_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/callsites/-/callsites-3.0.0.tgz";
- sha1 = "fb7eb569b72ad7a45812f93fd9430a3e410b3dd3";
- };
- }
- {
- name = "camel_case___camel_case_3.0.0.tgz";
- path = fetchurl {
- name = "camel_case___camel_case_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/camel-case/-/camel-case-3.0.0.tgz";
- sha1 = "ca3c3688a4e9cf3a4cda777dc4dcbc713249cf73";
- };
- }
- {
- name = "camelcase_keys___camelcase_keys_6.2.2.tgz";
- path = fetchurl {
- name = "camelcase_keys___camelcase_keys_6.2.2.tgz";
- url = "https://registry.yarnpkg.com/camelcase-keys/-/camelcase-keys-6.2.2.tgz";
- sha1 = "5e755d6ba51aa223ec7d3d52f25778210f9dc3c0";
- };
- }
- {
- name = "camelcase___camelcase_5.3.1.tgz";
- path = fetchurl {
- name = "camelcase___camelcase_5.3.1.tgz";
- url = "https://registry.yarnpkg.com/camelcase/-/camelcase-5.3.1.tgz";
- sha1 = "e3c9b31569e106811df242f715725a1f4c494320";
- };
- }
- {
- name = "camelcase___camelcase_6.0.0.tgz";
- path = fetchurl {
- name = "camelcase___camelcase_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/camelcase/-/camelcase-6.0.0.tgz";
- sha1 = "5259f7c30e35e278f1bdc2a4d91230b37cad981e";
- };
- }
- {
- name = "caniuse_lite___caniuse_lite_1.0.30001241.tgz";
- path = fetchurl {
- name = "caniuse_lite___caniuse_lite_1.0.30001241.tgz";
- url = "https://registry.yarnpkg.com/caniuse-lite/-/caniuse-lite-1.0.30001241.tgz";
- sha1 = "cd3fae47eb3d7691692b406568d7a3e5b23c7598";
- };
- }
- {
- name = "capture_exit___capture_exit_2.0.0.tgz";
- path = fetchurl {
- name = "capture_exit___capture_exit_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/capture-exit/-/capture-exit-2.0.0.tgz";
- sha1 = "fb953bfaebeb781f62898239dabb426d08a509a4";
- };
- }
- {
- name = "caseless___caseless_0.12.0.tgz";
- path = fetchurl {
- name = "caseless___caseless_0.12.0.tgz";
- url = "https://registry.yarnpkg.com/caseless/-/caseless-0.12.0.tgz";
- sha1 = "1b681c21ff84033c826543090689420d187151dc";
- };
- }
- {
- name = "catharsis___catharsis_0.8.9.tgz";
- path = fetchurl {
- name = "catharsis___catharsis_0.8.9.tgz";
- url = "https://registry.yarnpkg.com/catharsis/-/catharsis-0.8.9.tgz";
- sha1 = "98cc890ca652dd2ef0e70b37925310ff9e90fc8b";
- };
- }
- {
- name = "chalk___chalk_2.4.2.tgz";
- path = fetchurl {
- name = "chalk___chalk_2.4.2.tgz";
- url = "https://registry.yarnpkg.com/chalk/-/chalk-2.4.2.tgz";
- sha1 = "cd42541677a54333cf541a49108c1432b44c9424";
- };
- }
- {
- name = "chalk___chalk_3.0.0.tgz";
- path = fetchurl {
- name = "chalk___chalk_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/chalk/-/chalk-3.0.0.tgz";
- sha1 = "3f73c2bf526591f574cc492c51e2456349f844e4";
- };
- }
- {
- name = "chalk___chalk_4.1.0.tgz";
- path = fetchurl {
- name = "chalk___chalk_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/chalk/-/chalk-4.1.0.tgz";
- sha1 = "4e14870a618d9e2edd97dd8345fd9d9dc315646a";
- };
- }
- {
- name = "char_regex___char_regex_1.0.2.tgz";
- path = fetchurl {
- name = "char_regex___char_regex_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/char-regex/-/char-regex-1.0.2.tgz";
- sha1 = "d744358226217f981ed58f479b1d6bcc29545dcf";
- };
- }
- {
- name = "character_entities_legacy___character_entities_legacy_1.1.4.tgz";
- path = fetchurl {
- name = "character_entities_legacy___character_entities_legacy_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/character-entities-legacy/-/character-entities-legacy-1.1.4.tgz";
- sha1 = "94bc1845dce70a5bb9d2ecc748725661293d8fc1";
- };
- }
- {
- name = "character_entities___character_entities_1.2.4.tgz";
- path = fetchurl {
- name = "character_entities___character_entities_1.2.4.tgz";
- url = "https://registry.yarnpkg.com/character-entities/-/character-entities-1.2.4.tgz";
- sha1 = "e12c3939b7eaf4e5b15e7ad4c5e28e1d48c5b16b";
- };
- }
- {
- name = "character_reference_invalid___character_reference_invalid_1.1.4.tgz";
- path = fetchurl {
- name = "character_reference_invalid___character_reference_invalid_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/character-reference-invalid/-/character-reference-invalid-1.1.4.tgz";
- sha1 = "083329cda0eae272ab3dbbf37e9a382c13af1560";
- };
- }
- {
- name = "charenc___charenc_0.0.2.tgz";
- path = fetchurl {
- name = "charenc___charenc_0.0.2.tgz";
- url = "https://registry.yarnpkg.com/charenc/-/charenc-0.0.2.tgz";
- sha1 = "c0a1d2f3a7092e03774bfa83f14c0fc5790a8667";
- };
- }
- {
- name = "cheerio_select___cheerio_select_1.4.0.tgz";
- path = fetchurl {
- name = "cheerio_select___cheerio_select_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/cheerio-select/-/cheerio-select-1.4.0.tgz";
- sha1 = "3a16f21e37a2ef0f211d6d1aa4eff054bb22cdc9";
- };
- }
- {
- name = "cheerio___cheerio_1.0.0_rc.9.tgz";
- path = fetchurl {
- name = "cheerio___cheerio_1.0.0_rc.9.tgz";
- url = "https://registry.yarnpkg.com/cheerio/-/cheerio-1.0.0-rc.9.tgz";
- sha1 = "a3ae6b7ce7af80675302ff836f628e7cb786a67f";
- };
- }
- {
- name = "chokidar___chokidar_3.4.0.tgz";
- path = fetchurl {
- name = "chokidar___chokidar_3.4.0.tgz";
- url = "https://registry.yarnpkg.com/chokidar/-/chokidar-3.4.0.tgz";
- sha1 = "b30611423ce376357c765b9b8f904b9fba3c0be8";
- };
- }
- {
- name = "chownr___chownr_1.1.3.tgz";
- path = fetchurl {
- name = "chownr___chownr_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/chownr/-/chownr-1.1.3.tgz";
- sha1 = "42d837d5239688d55f303003a508230fa6727142";
- };
- }
- {
- name = "chownr___chownr_2.0.0.tgz";
- path = fetchurl {
- name = "chownr___chownr_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/chownr/-/chownr-2.0.0.tgz";
- sha1 = "15bfbe53d2eab4cf70f18a8cd68ebe5b3cb1dece";
- };
- }
- {
- name = "chrome_trace_event___chrome_trace_event_1.0.2.tgz";
- path = fetchurl {
- name = "chrome_trace_event___chrome_trace_event_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/chrome-trace-event/-/chrome-trace-event-1.0.2.tgz";
- sha1 = "234090ee97c7d4ad1a2c4beae27505deffc608a4";
- };
- }
- {
- name = "ci_info___ci_info_2.0.0.tgz";
- path = fetchurl {
- name = "ci_info___ci_info_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/ci-info/-/ci-info-2.0.0.tgz";
- sha1 = "67a9e964be31a51e15e5010d58e6f12834002f46";
- };
- }
- {
- name = "cipher_base___cipher_base_1.0.4.tgz";
- path = fetchurl {
- name = "cipher_base___cipher_base_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/cipher-base/-/cipher-base-1.0.4.tgz";
- sha1 = "8760e4ecc272f4c363532f926d874aae2c1397de";
- };
- }
- {
- name = "class_utils___class_utils_0.3.6.tgz";
- path = fetchurl {
- name = "class_utils___class_utils_0.3.6.tgz";
- url = "https://registry.yarnpkg.com/class-utils/-/class-utils-0.3.6.tgz";
- sha1 = "f93369ae8b9a7ce02fd41faad0ca83033190c463";
- };
- }
- {
- name = "clean_css___clean_css_4.2.1.tgz";
- path = fetchurl {
- name = "clean_css___clean_css_4.2.1.tgz";
- url = "https://registry.yarnpkg.com/clean-css/-/clean-css-4.2.1.tgz";
- sha1 = "2d411ef76b8569b6d0c84068dabe85b0aa5e5c17";
- };
- }
- {
- name = "clean_stack___clean_stack_2.2.0.tgz";
- path = fetchurl {
- name = "clean_stack___clean_stack_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/clean-stack/-/clean-stack-2.2.0.tgz";
- sha1 = "ee8472dbb129e727b31e8a10a427dee9dfe4008b";
- };
- }
- {
- name = "cli_boxes___cli_boxes_2.2.0.tgz";
- path = fetchurl {
- name = "cli_boxes___cli_boxes_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/cli-boxes/-/cli-boxes-2.2.0.tgz";
- sha1 = "538ecae8f9c6ca508e3c3c95b453fe93cb4c168d";
- };
- }
- {
- name = "clipboard___clipboard_1.7.1.tgz";
- path = fetchurl {
- name = "clipboard___clipboard_1.7.1.tgz";
- url = "https://registry.yarnpkg.com/clipboard/-/clipboard-1.7.1.tgz";
- sha1 = "360d6d6946e99a7a1fef395e42ba92b5e9b5a16b";
- };
- }
- {
- name = "clipboard___clipboard_2.0.6.tgz";
- path = fetchurl {
- name = "clipboard___clipboard_2.0.6.tgz";
- url = "https://registry.yarnpkg.com/clipboard/-/clipboard-2.0.6.tgz";
- sha1 = "52921296eec0fdf77ead1749421b21c968647376";
- };
- }
- {
- name = "cliui___cliui_5.0.0.tgz";
- path = fetchurl {
- name = "cliui___cliui_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/cliui/-/cliui-5.0.0.tgz";
- sha1 = "deefcfdb2e800784aa34f46fa08e06851c7bbbc5";
- };
- }
- {
- name = "cliui___cliui_6.0.0.tgz";
- path = fetchurl {
- name = "cliui___cliui_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/cliui/-/cliui-6.0.0.tgz";
- sha1 = "511d702c0c4e41ca156d7d0e96021f23e13225b1";
- };
- }
- {
- name = "clone_deep___clone_deep_4.0.1.tgz";
- path = fetchurl {
- name = "clone_deep___clone_deep_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/clone-deep/-/clone-deep-4.0.1.tgz";
- sha1 = "c19fd9bdbbf85942b4fd979c84dcf7d5f07c2387";
- };
- }
- {
- name = "clone_regexp___clone_regexp_2.2.0.tgz";
- path = fetchurl {
- name = "clone_regexp___clone_regexp_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/clone-regexp/-/clone-regexp-2.2.0.tgz";
- sha1 = "7d65e00885cd8796405c35a737e7a86b7429e36f";
- };
- }
- {
- name = "clone_response___clone_response_1.0.2.tgz";
- path = fetchurl {
- name = "clone_response___clone_response_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/clone-response/-/clone-response-1.0.2.tgz";
- sha1 = "d1dc973920314df67fbeb94223b4ee350239e96b";
- };
- }
- {
- name = "co___co_4.6.0.tgz";
- path = fetchurl {
- name = "co___co_4.6.0.tgz";
- url = "https://registry.yarnpkg.com/co/-/co-4.6.0.tgz";
- sha1 = "6ea6bdf3d853ae54ccb8e47bfa0bf3f9031fb184";
- };
- }
- {
- name = "codemirror___codemirror_5.53.2.tgz";
- path = fetchurl {
- name = "codemirror___codemirror_5.53.2.tgz";
- url = "https://registry.yarnpkg.com/codemirror/-/codemirror-5.53.2.tgz";
- sha1 = "9799121cf8c50809cca487304e9de3a74d33f428";
- };
- }
- {
- name = "codesandbox_api___codesandbox_api_0.0.23.tgz";
- path = fetchurl {
- name = "codesandbox_api___codesandbox_api_0.0.23.tgz";
- url = "https://registry.yarnpkg.com/codesandbox-api/-/codesandbox-api-0.0.23.tgz";
- sha1 = "bf650a21b5f3c2369e03f0c19d10b4e2ba255b4f";
- };
- }
- {
- name = "codesandbox_import_util_types___codesandbox_import_util_types_1.2.11.tgz";
- path = fetchurl {
- name = "codesandbox_import_util_types___codesandbox_import_util_types_1.2.11.tgz";
- url = "https://registry.yarnpkg.com/codesandbox-import-util-types/-/codesandbox-import-util-types-1.2.11.tgz";
- sha1 = "68e812f21d6b309e9a52eec5cf027c3e63b4c703";
- };
- }
- {
- name = "codesandbox_import_utils___codesandbox_import_utils_1.2.11.tgz";
- path = fetchurl {
- name = "codesandbox_import_utils___codesandbox_import_utils_1.2.11.tgz";
- url = "https://registry.yarnpkg.com/codesandbox-import-utils/-/codesandbox-import-utils-1.2.11.tgz";
- sha1 = "b88423a4a7c785175c784c84e87f5950820280e1";
- };
- }
- {
- name = "collect_v8_coverage___collect_v8_coverage_1.0.1.tgz";
- path = fetchurl {
- name = "collect_v8_coverage___collect_v8_coverage_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/collect-v8-coverage/-/collect-v8-coverage-1.0.1.tgz";
- sha1 = "cc2c8e94fc18bbdffe64d6534570c8a673b27f59";
- };
- }
- {
- name = "collection_visit___collection_visit_1.0.0.tgz";
- path = fetchurl {
- name = "collection_visit___collection_visit_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/collection-visit/-/collection-visit-1.0.0.tgz";
- sha1 = "4bc0373c164bc3291b4d368c829cf1a80a59dca0";
- };
- }
- {
- name = "color_convert___color_convert_1.9.3.tgz";
- path = fetchurl {
- name = "color_convert___color_convert_1.9.3.tgz";
- url = "https://registry.yarnpkg.com/color-convert/-/color-convert-1.9.3.tgz";
- sha1 = "bb71850690e1f136567de629d2d5471deda4c1e8";
- };
- }
- {
- name = "color_convert___color_convert_2.0.1.tgz";
- path = fetchurl {
- name = "color_convert___color_convert_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/color-convert/-/color-convert-2.0.1.tgz";
- sha1 = "72d3a68d598c9bdb3af2ad1e84f21d896abd4de3";
- };
- }
- {
- name = "color_convert___color_convert_0.5.3.tgz";
- path = fetchurl {
- name = "color_convert___color_convert_0.5.3.tgz";
- url = "https://registry.yarnpkg.com/color-convert/-/color-convert-0.5.3.tgz";
- sha1 = "bdb6c69ce660fadffe0b0007cc447e1b9f7282bd";
- };
- }
- {
- name = "color_name___color_name_1.1.3.tgz";
- path = fetchurl {
- name = "color_name___color_name_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/color-name/-/color-name-1.1.3.tgz";
- sha1 = "a7d0558bd89c42f795dd42328f740831ca53bc25";
- };
- }
- {
- name = "color_name___color_name_1.1.4.tgz";
- path = fetchurl {
- name = "color_name___color_name_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/color-name/-/color-name-1.1.4.tgz";
- sha1 = "c2a09a87acbde69543de6f63fa3995c826c536a2";
- };
- }
- {
- name = "colorette___colorette_1.2.2.tgz";
- path = fetchurl {
- name = "colorette___colorette_1.2.2.tgz";
- url = "https://registry.yarnpkg.com/colorette/-/colorette-1.2.2.tgz";
- sha1 = "cbcc79d5e99caea2dbf10eb3a26fd8b3e6acfa94";
- };
- }
- {
- name = "colors___colors_1.3.3.tgz";
- path = fetchurl {
- name = "colors___colors_1.3.3.tgz";
- url = "https://registry.yarnpkg.com/colors/-/colors-1.3.3.tgz";
- sha1 = "39e005d546afe01e01f9c4ca8fa50f686a01205d";
- };
- }
- {
- name = "combined_stream___combined_stream_1.0.8.tgz";
- path = fetchurl {
- name = "combined_stream___combined_stream_1.0.8.tgz";
- url = "https://registry.yarnpkg.com/combined-stream/-/combined-stream-1.0.8.tgz";
- sha1 = "c3d45a8b34fd730631a110a8a2520682b31d5a7f";
- };
- }
- {
- name = "commander___commander_2.20.3.tgz";
- path = fetchurl {
- name = "commander___commander_2.20.3.tgz";
- url = "https://registry.yarnpkg.com/commander/-/commander-2.20.3.tgz";
- sha1 = "fd485e84c03eb4881c20722ba48035e8531aeb33";
- };
- }
- {
- name = "commander___commander_6.2.1.tgz";
- path = fetchurl {
- name = "commander___commander_6.2.1.tgz";
- url = "https://registry.yarnpkg.com/commander/-/commander-6.2.1.tgz";
- sha1 = "0792eb682dfbc325999bb2b84fddddba110ac73c";
- };
- }
- {
- name = "commondir___commondir_1.0.1.tgz";
- path = fetchurl {
- name = "commondir___commondir_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/commondir/-/commondir-1.0.1.tgz";
- sha1 = "ddd800da0c66127393cca5950ea968a3aaf1253b";
- };
- }
- {
- name = "compare_versions___compare_versions_3.5.1.tgz";
- path = fetchurl {
- name = "compare_versions___compare_versions_3.5.1.tgz";
- url = "https://registry.yarnpkg.com/compare-versions/-/compare-versions-3.5.1.tgz";
- sha1 = "26e1f5cf0d48a77eced5046b9f67b6b61075a393";
- };
- }
- {
- name = "component_bind___component_bind_1.0.0.tgz";
- path = fetchurl {
- name = "component_bind___component_bind_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/component-bind/-/component-bind-1.0.0.tgz";
- sha1 = "00c608ab7dcd93897c0009651b1d3a8e1e73bbd1";
- };
- }
- {
- name = "component_emitter___component_emitter_1.2.1.tgz";
- path = fetchurl {
- name = "component_emitter___component_emitter_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/component-emitter/-/component-emitter-1.2.1.tgz";
- sha1 = "137918d6d78283f7df7a6b7c5a63e140e69425e6";
- };
- }
- {
- name = "component_inherit___component_inherit_0.0.3.tgz";
- path = fetchurl {
- name = "component_inherit___component_inherit_0.0.3.tgz";
- url = "https://registry.yarnpkg.com/component-inherit/-/component-inherit-0.0.3.tgz";
- sha1 = "645fc4adf58b72b649d5cae65135619db26ff143";
- };
- }
- {
- name = "compressible___compressible_2.0.17.tgz";
- path = fetchurl {
- name = "compressible___compressible_2.0.17.tgz";
- url = "https://registry.yarnpkg.com/compressible/-/compressible-2.0.17.tgz";
- sha1 = "6e8c108a16ad58384a977f3a482ca20bff2f38c1";
- };
- }
- {
- name = "compression_webpack_plugin___compression_webpack_plugin_5.0.2.tgz";
- path = fetchurl {
- name = "compression_webpack_plugin___compression_webpack_plugin_5.0.2.tgz";
- url = "https://registry.yarnpkg.com/compression-webpack-plugin/-/compression-webpack-plugin-5.0.2.tgz";
- sha1 = "df84e682cfa1fb2a230e71cf83d50c323d5369c2";
- };
- }
- {
- name = "compression___compression_1.7.4.tgz";
- path = fetchurl {
- name = "compression___compression_1.7.4.tgz";
- url = "https://registry.yarnpkg.com/compression/-/compression-1.7.4.tgz";
- sha1 = "95523eff170ca57c29a0ca41e6fe131f41e5bb8f";
- };
- }
- {
- name = "concat_map___concat_map_0.0.1.tgz";
- path = fetchurl {
- name = "concat_map___concat_map_0.0.1.tgz";
- url = "https://registry.yarnpkg.com/concat-map/-/concat-map-0.0.1.tgz";
- sha1 = "d8a96bd77fd68df7793a73036a3ba0d5405d477b";
- };
- }
- {
- name = "concat_stream___concat_stream_1.6.2.tgz";
- path = fetchurl {
- name = "concat_stream___concat_stream_1.6.2.tgz";
- url = "https://registry.yarnpkg.com/concat-stream/-/concat-stream-1.6.2.tgz";
- sha1 = "904bdf194cd3122fc675c77fc4ac3d4ff0fd1a34";
- };
- }
- {
- name = "condense_newlines___condense_newlines_0.2.1.tgz";
- path = fetchurl {
- name = "condense_newlines___condense_newlines_0.2.1.tgz";
- url = "https://registry.yarnpkg.com/condense-newlines/-/condense-newlines-0.2.1.tgz";
- sha1 = "3de985553139475d32502c83b02f60684d24c55f";
- };
- }
- {
- name = "config_chain___config_chain_1.1.12.tgz";
- path = fetchurl {
- name = "config_chain___config_chain_1.1.12.tgz";
- url = "https://registry.yarnpkg.com/config-chain/-/config-chain-1.1.12.tgz";
- sha1 = "0fde8d091200eb5e808caf25fe618c02f48e4efa";
- };
- }
- {
- name = "configstore___configstore_5.0.1.tgz";
- path = fetchurl {
- name = "configstore___configstore_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/configstore/-/configstore-5.0.1.tgz";
- sha1 = "d365021b5df4b98cdd187d6a3b0e3f6a7cc5ed96";
- };
- }
- {
- name = "confusing_browser_globals___confusing_browser_globals_1.0.10.tgz";
- path = fetchurl {
- name = "confusing_browser_globals___confusing_browser_globals_1.0.10.tgz";
- url = "https://registry.yarnpkg.com/confusing-browser-globals/-/confusing-browser-globals-1.0.10.tgz";
- sha1 = "30d1e7f3d1b882b25ec4933d1d1adac353d20a59";
- };
- }
- {
- name = "connect_history_api_fallback___connect_history_api_fallback_1.6.0.tgz";
- path = fetchurl {
- name = "connect_history_api_fallback___connect_history_api_fallback_1.6.0.tgz";
- url = "https://registry.yarnpkg.com/connect-history-api-fallback/-/connect-history-api-fallback-1.6.0.tgz";
- sha1 = "8b32089359308d111115d81cad3fceab888f97bc";
- };
- }
- {
- name = "connect___connect_3.6.6.tgz";
- path = fetchurl {
- name = "connect___connect_3.6.6.tgz";
- url = "https://registry.yarnpkg.com/connect/-/connect-3.6.6.tgz";
- sha1 = "09eff6c55af7236e137135a72574858b6786f524";
- };
- }
- {
- name = "consola___consola_2.15.3.tgz";
- path = fetchurl {
- name = "consola___consola_2.15.3.tgz";
- url = "https://registry.yarnpkg.com/consola/-/consola-2.15.3.tgz";
- sha1 = "2e11f98d6a4be71ff72e0bdf07bd23e12cb61550";
- };
- }
- {
- name = "console_browserify___console_browserify_1.1.0.tgz";
- path = fetchurl {
- name = "console_browserify___console_browserify_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/console-browserify/-/console-browserify-1.1.0.tgz";
- sha1 = "f0241c45730a9fc6323b206dbf38edc741d0bb10";
- };
- }
- {
- name = "consolidate___consolidate_0.15.1.tgz";
- path = fetchurl {
- name = "consolidate___consolidate_0.15.1.tgz";
- url = "https://registry.yarnpkg.com/consolidate/-/consolidate-0.15.1.tgz";
- sha1 = "21ab043235c71a07d45d9aad98593b0dba56bab7";
- };
- }
- {
- name = "constants_browserify___constants_browserify_1.0.0.tgz";
- path = fetchurl {
- name = "constants_browserify___constants_browserify_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/constants-browserify/-/constants-browserify-1.0.0.tgz";
- sha1 = "c20b96d8c617748aaf1c16021760cd27fcb8cb75";
- };
- }
- {
- name = "contains_path___contains_path_0.1.0.tgz";
- path = fetchurl {
- name = "contains_path___contains_path_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/contains-path/-/contains-path-0.1.0.tgz";
- sha1 = "fe8cf184ff6670b6baef01a9d4861a5cbec4120a";
- };
- }
- {
- name = "content_disposition___content_disposition_0.5.3.tgz";
- path = fetchurl {
- name = "content_disposition___content_disposition_0.5.3.tgz";
- url = "https://registry.yarnpkg.com/content-disposition/-/content-disposition-0.5.3.tgz";
- sha1 = "e130caf7e7279087c5616c2007d0485698984fbd";
- };
- }
- {
- name = "content_type___content_type_1.0.4.tgz";
- path = fetchurl {
- name = "content_type___content_type_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/content-type/-/content-type-1.0.4.tgz";
- sha1 = "e138cc75e040c727b1966fe5e5f8c9aee256fe3b";
- };
- }
- {
- name = "convert_source_map___convert_source_map_1.7.0.tgz";
- path = fetchurl {
- name = "convert_source_map___convert_source_map_1.7.0.tgz";
- url = "https://registry.yarnpkg.com/convert-source-map/-/convert-source-map-1.7.0.tgz";
- sha1 = "17a2cb882d7f77d3490585e2ce6c524424a3a442";
- };
- }
- {
- name = "cookie_signature___cookie_signature_1.0.6.tgz";
- path = fetchurl {
- name = "cookie_signature___cookie_signature_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/cookie-signature/-/cookie-signature-1.0.6.tgz";
- sha1 = "e303a882b342cc3ee8ca513a79999734dab3ae2c";
- };
- }
- {
- name = "cookie___cookie_0.3.1.tgz";
- path = fetchurl {
- name = "cookie___cookie_0.3.1.tgz";
- url = "https://registry.yarnpkg.com/cookie/-/cookie-0.3.1.tgz";
- sha1 = "e7e0a1f9ef43b4c8ba925c5c5a96e806d16873bb";
- };
- }
- {
- name = "cookie___cookie_0.4.0.tgz";
- path = fetchurl {
- name = "cookie___cookie_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/cookie/-/cookie-0.4.0.tgz";
- sha1 = "beb437e7022b3b6d49019d088665303ebe9c14ba";
- };
- }
- {
- name = "copy_concurrently___copy_concurrently_1.0.5.tgz";
- path = fetchurl {
- name = "copy_concurrently___copy_concurrently_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/copy-concurrently/-/copy-concurrently-1.0.5.tgz";
- sha1 = "92297398cae34937fcafd6ec8139c18051f0b5e0";
- };
- }
- {
- name = "copy_descriptor___copy_descriptor_0.1.1.tgz";
- path = fetchurl {
- name = "copy_descriptor___copy_descriptor_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/copy-descriptor/-/copy-descriptor-0.1.1.tgz";
- sha1 = "676f6eb3c39997c2ee1ac3a924fd6124748f578d";
- };
- }
- {
- name = "copy_to_clipboard___copy_to_clipboard_3.2.0.tgz";
- path = fetchurl {
- name = "copy_to_clipboard___copy_to_clipboard_3.2.0.tgz";
- url = "https://registry.yarnpkg.com/copy-to-clipboard/-/copy-to-clipboard-3.2.0.tgz";
- sha1 = "d2724a3ccbfed89706fac8a894872c979ac74467";
- };
- }
- {
- name = "copy_webpack_plugin___copy_webpack_plugin_6.4.1.tgz";
- path = fetchurl {
- name = "copy_webpack_plugin___copy_webpack_plugin_6.4.1.tgz";
- url = "https://registry.yarnpkg.com/copy-webpack-plugin/-/copy-webpack-plugin-6.4.1.tgz";
- sha1 = "138cd9b436dbca0a6d071720d5414848992ec47e";
- };
- }
- {
- name = "core_js_compat___core_js_compat_3.15.2.tgz";
- path = fetchurl {
- name = "core_js_compat___core_js_compat_3.15.2.tgz";
- url = "https://registry.yarnpkg.com/core-js-compat/-/core-js-compat-3.15.2.tgz";
- sha1 = "47272fbb479880de14b4e6081f71f3492f5bd3cb";
- };
- }
- {
- name = "core_js_pure___core_js_pure_3.6.5.tgz";
- path = fetchurl {
- name = "core_js_pure___core_js_pure_3.6.5.tgz";
- url = "https://registry.yarnpkg.com/core-js-pure/-/core-js-pure-3.6.5.tgz";
- sha1 = "c79e75f5e38dbc85a662d91eea52b8256d53b813";
- };
- }
- {
- name = "core_js___core_js_3.16.2.tgz";
- path = fetchurl {
- name = "core_js___core_js_3.16.2.tgz";
- url = "https://registry.yarnpkg.com/core-js/-/core-js-3.16.2.tgz";
- sha1 = "3f485822889c7fc48ef463e35be5cc2a4a01a1f4";
- };
- }
- {
- name = "core_js___core_js_2.3.0.tgz";
- path = fetchurl {
- name = "core_js___core_js_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/core-js/-/core-js-2.3.0.tgz";
- sha1 = "fab83fbb0b2d8dc85fa636c4b9d34c75420c6d65";
- };
- }
- {
- name = "core_util_is___core_util_is_1.0.2.tgz";
- path = fetchurl {
- name = "core_util_is___core_util_is_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/core-util-is/-/core-util-is-1.0.2.tgz";
- sha1 = "b5fd54220aa2bc5ab57aab7140c940754503c1a7";
- };
- }
- {
- name = "cosmiconfig___cosmiconfig_7.0.0.tgz";
- path = fetchurl {
- name = "cosmiconfig___cosmiconfig_7.0.0.tgz";
- url = "https://registry.yarnpkg.com/cosmiconfig/-/cosmiconfig-7.0.0.tgz";
- sha1 = "ef9b44d773959cae63ddecd122de23853b60f8d3";
- };
- }
- {
- name = "create_ecdh___create_ecdh_4.0.0.tgz";
- path = fetchurl {
- name = "create_ecdh___create_ecdh_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/create-ecdh/-/create-ecdh-4.0.0.tgz";
- sha1 = "888c723596cdf7612f6498233eebd7a35301737d";
- };
- }
- {
- name = "create_hash___create_hash_1.1.3.tgz";
- path = fetchurl {
- name = "create_hash___create_hash_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/create-hash/-/create-hash-1.1.3.tgz";
- sha1 = "606042ac8b9262750f483caddab0f5819172d8fd";
- };
- }
- {
- name = "create_hmac___create_hmac_1.1.6.tgz";
- path = fetchurl {
- name = "create_hmac___create_hmac_1.1.6.tgz";
- url = "https://registry.yarnpkg.com/create-hmac/-/create-hmac-1.1.6.tgz";
- sha1 = "acb9e221a4e17bdb076e90657c42b93e3726cf06";
- };
- }
- {
- name = "cron_validator___cron_validator_1.1.1.tgz";
- path = fetchurl {
- name = "cron_validator___cron_validator_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/cron-validator/-/cron-validator-1.1.1.tgz";
- sha1 = "0a27bb75508c7bc03c8b840d2d9f170eeacb5615";
- };
- }
- {
- name = "cropper___cropper_2.3.0.tgz";
- path = fetchurl {
- name = "cropper___cropper_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/cropper/-/cropper-2.3.0.tgz";
- sha1 = "607461d4e7aa7a7fe15a26834b14b7f0c2801562";
- };
- }
- {
- name = "cross_spawn___cross_spawn_6.0.5.tgz";
- path = fetchurl {
- name = "cross_spawn___cross_spawn_6.0.5.tgz";
- url = "https://registry.yarnpkg.com/cross-spawn/-/cross-spawn-6.0.5.tgz";
- sha1 = "4a5ec7c64dfae22c3a14124dbacdee846d80cbc4";
- };
- }
- {
- name = "cross_spawn___cross_spawn_7.0.3.tgz";
- path = fetchurl {
- name = "cross_spawn___cross_spawn_7.0.3.tgz";
- url = "https://registry.yarnpkg.com/cross-spawn/-/cross-spawn-7.0.3.tgz";
- sha1 = "f73a85b9d5d41d045551c177e2882d4ac85728a6";
- };
- }
- {
- name = "crypt___crypt_0.0.2.tgz";
- path = fetchurl {
- name = "crypt___crypt_0.0.2.tgz";
- url = "https://registry.yarnpkg.com/crypt/-/crypt-0.0.2.tgz";
- sha1 = "88d7ff7ec0dfb86f713dc87bbb42d044d3e6c41b";
- };
- }
- {
- name = "crypto_browserify___crypto_browserify_3.12.0.tgz";
- path = fetchurl {
- name = "crypto_browserify___crypto_browserify_3.12.0.tgz";
- url = "https://registry.yarnpkg.com/crypto-browserify/-/crypto-browserify-3.12.0.tgz";
- sha1 = "396cf9f3137f03e4b8e532c58f698254e00f80ec";
- };
- }
- {
- name = "crypto_random_string___crypto_random_string_2.0.0.tgz";
- path = fetchurl {
- name = "crypto_random_string___crypto_random_string_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/crypto-random-string/-/crypto-random-string-2.0.0.tgz";
- sha1 = "ef2a7a966ec11083388369baa02ebead229b30d5";
- };
- }
- {
- name = "css_b64_images___css_b64_images_0.2.5.tgz";
- path = fetchurl {
- name = "css_b64_images___css_b64_images_0.2.5.tgz";
- url = "https://registry.yarnpkg.com/css-b64-images/-/css-b64-images-0.2.5.tgz";
- sha1 = "42005d83204b2b4a5d93b6b1a5644133b5927a02";
- };
- }
- {
- name = "css_color_names___css_color_names_0.0.4.tgz";
- path = fetchurl {
- name = "css_color_names___css_color_names_0.0.4.tgz";
- url = "https://registry.yarnpkg.com/css-color-names/-/css-color-names-0.0.4.tgz";
- sha1 = "808adc2e79cf84738069b646cb20ec27beb629e0";
- };
- }
- {
- name = "css_loader___css_loader_2.1.1.tgz";
- path = fetchurl {
- name = "css_loader___css_loader_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/css-loader/-/css-loader-2.1.1.tgz";
- sha1 = "d8254f72e412bb2238bb44dd674ffbef497333ea";
- };
- }
- {
- name = "css_select___css_select_4.1.2.tgz";
- path = fetchurl {
- name = "css_select___css_select_4.1.2.tgz";
- url = "https://registry.yarnpkg.com/css-select/-/css-select-4.1.2.tgz";
- sha1 = "8b52b6714ed3a80d8221ec971c543f3b12653286";
- };
- }
- {
- name = "css_selector_parser___css_selector_parser_1.3.0.tgz";
- path = fetchurl {
- name = "css_selector_parser___css_selector_parser_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/css-selector-parser/-/css-selector-parser-1.3.0.tgz";
- sha1 = "5f1ad43e2d8eefbfdc304fcd39a521664943e3eb";
- };
- }
- {
- name = "css_shorthand_properties___css_shorthand_properties_1.1.1.tgz";
- path = fetchurl {
- name = "css_shorthand_properties___css_shorthand_properties_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/css-shorthand-properties/-/css-shorthand-properties-1.1.1.tgz";
- sha1 = "1c808e63553c283f289f2dd56fcee8f3337bd935";
- };
- }
- {
- name = "css_values___css_values_0.1.0.tgz";
- path = fetchurl {
- name = "css_values___css_values_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/css-values/-/css-values-0.1.0.tgz";
- sha1 = "128b7ce103d4dc027a814a5d5995c54781d7b4c6";
- };
- }
- {
- name = "css_what___css_what_5.0.0.tgz";
- path = fetchurl {
- name = "css_what___css_what_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/css-what/-/css-what-5.0.0.tgz";
- sha1 = "f0bf4f8bac07582722346ab243f6a35b512cfc47";
- };
- }
- {
- name = "css___css_2.2.4.tgz";
- path = fetchurl {
- name = "css___css_2.2.4.tgz";
- url = "https://registry.yarnpkg.com/css/-/css-2.2.4.tgz";
- sha1 = "c646755c73971f2bba6a601e2cf2fd71b1298929";
- };
- }
- {
- name = "cssesc___cssesc_3.0.0.tgz";
- path = fetchurl {
- name = "cssesc___cssesc_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/cssesc/-/cssesc-3.0.0.tgz";
- sha1 = "37741919903b868565e1c09ea747445cd18983ee";
- };
- }
- {
- name = "cssfontparser___cssfontparser_1.2.1.tgz";
- path = fetchurl {
- name = "cssfontparser___cssfontparser_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/cssfontparser/-/cssfontparser-1.2.1.tgz";
- sha1 = "f4022fc8f9700c68029d542084afbaf425a3f3e3";
- };
- }
- {
- name = "cssom___cssom_0.4.4.tgz";
- path = fetchurl {
- name = "cssom___cssom_0.4.4.tgz";
- url = "https://registry.yarnpkg.com/cssom/-/cssom-0.4.4.tgz";
- sha1 = "5a66cf93d2d0b661d80bf6a44fb65f5c2e4e0a10";
- };
- }
- {
- name = "cssom___cssom_0.3.8.tgz";
- path = fetchurl {
- name = "cssom___cssom_0.3.8.tgz";
- url = "https://registry.yarnpkg.com/cssom/-/cssom-0.3.8.tgz";
- sha1 = "9f1276f5b2b463f2114d3f2c75250af8c1a36f4a";
- };
- }
- {
- name = "cssstyle___cssstyle_2.3.0.tgz";
- path = fetchurl {
- name = "cssstyle___cssstyle_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/cssstyle/-/cssstyle-2.3.0.tgz";
- sha1 = "ff665a0ddbdc31864b09647f34163443d90b0852";
- };
- }
- {
- name = "custom_event___custom_event_1.0.1.tgz";
- path = fetchurl {
- name = "custom_event___custom_event_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/custom-event/-/custom-event-1.0.1.tgz";
- sha1 = "5d02a46850adf1b4a317946a3928fccb5bfd0425";
- };
- }
- {
- name = "custom_jquery_matchers___custom_jquery_matchers_2.1.0.tgz";
- path = fetchurl {
- name = "custom_jquery_matchers___custom_jquery_matchers_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/custom-jquery-matchers/-/custom-jquery-matchers-2.1.0.tgz";
- sha1 = "e5988fa9715c416b0986b372563f872d9e91e024";
- };
- }
- {
- name = "cyclist___cyclist_0.2.2.tgz";
- path = fetchurl {
- name = "cyclist___cyclist_0.2.2.tgz";
- url = "https://registry.yarnpkg.com/cyclist/-/cyclist-0.2.2.tgz";
- sha1 = "1b33792e11e914a2fd6d6ed6447464444e5fa640";
- };
- }
- {
- name = "d3_array___d3_array_1.2.1.tgz";
- path = fetchurl {
- name = "d3_array___d3_array_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/d3-array/-/d3-array-1.2.1.tgz";
- sha1 = "d1ca33de2f6ac31efadb8e050a021d7e2396d5dc";
- };
- }
- {
- name = "d3_axis___d3_axis_1.0.8.tgz";
- path = fetchurl {
- name = "d3_axis___d3_axis_1.0.8.tgz";
- url = "https://registry.yarnpkg.com/d3-axis/-/d3-axis-1.0.8.tgz";
- sha1 = "31a705a0b535e65759de14173a31933137f18efa";
- };
- }
- {
- name = "d3_brush___d3_brush_1.0.4.tgz";
- path = fetchurl {
- name = "d3_brush___d3_brush_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/d3-brush/-/d3-brush-1.0.4.tgz";
- sha1 = "00c2f238019f24f6c0a194a26d41a1530ffe7bc4";
- };
- }
- {
- name = "d3_chord___d3_chord_1.0.4.tgz";
- path = fetchurl {
- name = "d3_chord___d3_chord_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/d3-chord/-/d3-chord-1.0.4.tgz";
- sha1 = "7dec4f0ba886f713fe111c45f763414f6f74ca2c";
- };
- }
- {
- name = "d3_collection___d3_collection_1.0.4.tgz";
- path = fetchurl {
- name = "d3_collection___d3_collection_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/d3-collection/-/d3-collection-1.0.4.tgz";
- sha1 = "342dfd12837c90974f33f1cc0a785aea570dcdc2";
- };
- }
- {
- name = "d3_color___d3_color_1.0.3.tgz";
- path = fetchurl {
- name = "d3_color___d3_color_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/d3-color/-/d3-color-1.0.3.tgz";
- sha1 = "bc7643fca8e53a8347e2fbdaffa236796b58509b";
- };
- }
- {
- name = "d3_contour___d3_contour_1.3.2.tgz";
- path = fetchurl {
- name = "d3_contour___d3_contour_1.3.2.tgz";
- url = "https://registry.yarnpkg.com/d3-contour/-/d3-contour-1.3.2.tgz";
- sha1 = "652aacd500d2264cb3423cee10db69f6f59bead3";
- };
- }
- {
- name = "d3_dispatch___d3_dispatch_1.0.3.tgz";
- path = fetchurl {
- name = "d3_dispatch___d3_dispatch_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/d3-dispatch/-/d3-dispatch-1.0.3.tgz";
- sha1 = "46e1491eaa9b58c358fce5be4e8bed626e7871f8";
- };
- }
- {
- name = "d3_drag___d3_drag_1.2.1.tgz";
- path = fetchurl {
- name = "d3_drag___d3_drag_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/d3-drag/-/d3-drag-1.2.1.tgz";
- sha1 = "df8dd4c502fb490fc7462046a8ad98a5c479282d";
- };
- }
- {
- name = "d3_dsv___d3_dsv_1.0.8.tgz";
- path = fetchurl {
- name = "d3_dsv___d3_dsv_1.0.8.tgz";
- url = "https://registry.yarnpkg.com/d3-dsv/-/d3-dsv-1.0.8.tgz";
- sha1 = "907e240d57b386618dc56468bacfe76bf19764ae";
- };
- }
- {
- name = "d3_ease___d3_ease_1.0.3.tgz";
- path = fetchurl {
- name = "d3_ease___d3_ease_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/d3-ease/-/d3-ease-1.0.3.tgz";
- sha1 = "68bfbc349338a380c44d8acc4fbc3304aa2d8c0e";
- };
- }
- {
- name = "d3_fetch___d3_fetch_1.1.2.tgz";
- path = fetchurl {
- name = "d3_fetch___d3_fetch_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/d3-fetch/-/d3-fetch-1.1.2.tgz";
- sha1 = "957c8fbc6d4480599ba191b1b2518bf86b3e1be2";
- };
- }
- {
- name = "d3_force___d3_force_1.1.0.tgz";
- path = fetchurl {
- name = "d3_force___d3_force_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/d3-force/-/d3-force-1.1.0.tgz";
- sha1 = "cebf3c694f1078fcc3d4daf8e567b2fbd70d4ea3";
- };
- }
- {
- name = "d3_format___d3_format_1.2.2.tgz";
- path = fetchurl {
- name = "d3_format___d3_format_1.2.2.tgz";
- url = "https://registry.yarnpkg.com/d3-format/-/d3-format-1.2.2.tgz";
- sha1 = "1a39c479c8a57fe5051b2e67a3bee27061a74e7a";
- };
- }
- {
- name = "d3_geo___d3_geo_1.9.1.tgz";
- path = fetchurl {
- name = "d3_geo___d3_geo_1.9.1.tgz";
- url = "https://registry.yarnpkg.com/d3-geo/-/d3-geo-1.9.1.tgz";
- sha1 = "157e3b0f917379d0f73bebfff3be537f49fa7356";
- };
- }
- {
- name = "d3_hierarchy___d3_hierarchy_1.1.5.tgz";
- path = fetchurl {
- name = "d3_hierarchy___d3_hierarchy_1.1.5.tgz";
- url = "https://registry.yarnpkg.com/d3-hierarchy/-/d3-hierarchy-1.1.5.tgz";
- sha1 = "a1c845c42f84a206bcf1c01c01098ea4ddaa7a26";
- };
- }
- {
- name = "d3_interpolate___d3_interpolate_1.1.6.tgz";
- path = fetchurl {
- name = "d3_interpolate___d3_interpolate_1.1.6.tgz";
- url = "https://registry.yarnpkg.com/d3-interpolate/-/d3-interpolate-1.1.6.tgz";
- sha1 = "2cf395ae2381804df08aa1bf766b7f97b5f68fb6";
- };
- }
- {
- name = "d3_path___d3_path_1.0.5.tgz";
- path = fetchurl {
- name = "d3_path___d3_path_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/d3-path/-/d3-path-1.0.5.tgz";
- sha1 = "241eb1849bd9e9e8021c0d0a799f8a0e8e441764";
- };
- }
- {
- name = "d3_polygon___d3_polygon_1.0.3.tgz";
- path = fetchurl {
- name = "d3_polygon___d3_polygon_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/d3-polygon/-/d3-polygon-1.0.3.tgz";
- sha1 = "16888e9026460933f2b179652ad378224d382c62";
- };
- }
- {
- name = "d3_quadtree___d3_quadtree_1.0.3.tgz";
- path = fetchurl {
- name = "d3_quadtree___d3_quadtree_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/d3-quadtree/-/d3-quadtree-1.0.3.tgz";
- sha1 = "ac7987e3e23fe805a990f28e1b50d38fcb822438";
- };
- }
- {
- name = "d3_random___d3_random_1.1.0.tgz";
- path = fetchurl {
- name = "d3_random___d3_random_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/d3-random/-/d3-random-1.1.0.tgz";
- sha1 = "6642e506c6fa3a648595d2b2469788a8d12529d3";
- };
- }
- {
- name = "d3_sankey___d3_sankey_0.12.3.tgz";
- path = fetchurl {
- name = "d3_sankey___d3_sankey_0.12.3.tgz";
- url = "https://registry.yarnpkg.com/d3-sankey/-/d3-sankey-0.12.3.tgz";
- sha1 = "b3c268627bd72e5d80336e8de6acbfec9d15d01d";
- };
- }
- {
- name = "d3_scale_chromatic___d3_scale_chromatic_1.3.3.tgz";
- path = fetchurl {
- name = "d3_scale_chromatic___d3_scale_chromatic_1.3.3.tgz";
- url = "https://registry.yarnpkg.com/d3-scale-chromatic/-/d3-scale-chromatic-1.3.3.tgz";
- sha1 = "dad4366f0edcb288f490128979c3c793583ed3c0";
- };
- }
- {
- name = "d3_scale___d3_scale_2.2.2.tgz";
- path = fetchurl {
- name = "d3_scale___d3_scale_2.2.2.tgz";
- url = "https://registry.yarnpkg.com/d3-scale/-/d3-scale-2.2.2.tgz";
- sha1 = "4e880e0b2745acaaddd3ede26a9e908a9e17b81f";
- };
- }
- {
- name = "d3_selection___d3_selection_1.3.0.tgz";
- path = fetchurl {
- name = "d3_selection___d3_selection_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/d3-selection/-/d3-selection-1.3.0.tgz";
- sha1 = "d53772382d3dc4f7507bfb28bcd2d6aed2a0ad6d";
- };
- }
- {
- name = "d3_shape___d3_shape_1.3.7.tgz";
- path = fetchurl {
- name = "d3_shape___d3_shape_1.3.7.tgz";
- url = "https://registry.yarnpkg.com/d3-shape/-/d3-shape-1.3.7.tgz";
- sha1 = "df63801be07bc986bc54f63789b4fe502992b5d7";
- };
- }
- {
- name = "d3_time_format___d3_time_format_2.1.1.tgz";
- path = fetchurl {
- name = "d3_time_format___d3_time_format_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/d3-time-format/-/d3-time-format-2.1.1.tgz";
- sha1 = "85b7cdfbc9ffca187f14d3c456ffda268081bb31";
- };
- }
- {
- name = "d3_time___d3_time_1.0.8.tgz";
- path = fetchurl {
- name = "d3_time___d3_time_1.0.8.tgz";
- url = "https://registry.yarnpkg.com/d3-time/-/d3-time-1.0.8.tgz";
- sha1 = "dbd2d6007bf416fe67a76d17947b784bffea1e84";
- };
- }
- {
- name = "d3_timer___d3_timer_1.0.7.tgz";
- path = fetchurl {
- name = "d3_timer___d3_timer_1.0.7.tgz";
- url = "https://registry.yarnpkg.com/d3-timer/-/d3-timer-1.0.7.tgz";
- sha1 = "df9650ca587f6c96607ff4e60cc38229e8dd8531";
- };
- }
- {
- name = "d3_transition___d3_transition_1.1.1.tgz";
- path = fetchurl {
- name = "d3_transition___d3_transition_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/d3-transition/-/d3-transition-1.1.1.tgz";
- sha1 = "d8ef89c3b848735b060e54a39b32aaebaa421039";
- };
- }
- {
- name = "d3_voronoi___d3_voronoi_1.1.2.tgz";
- path = fetchurl {
- name = "d3_voronoi___d3_voronoi_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/d3-voronoi/-/d3-voronoi-1.1.2.tgz";
- sha1 = "1687667e8f13a2d158c80c1480c5a29cb0d8973c";
- };
- }
- {
- name = "d3_zoom___d3_zoom_1.7.1.tgz";
- path = fetchurl {
- name = "d3_zoom___d3_zoom_1.7.1.tgz";
- url = "https://registry.yarnpkg.com/d3-zoom/-/d3-zoom-1.7.1.tgz";
- sha1 = "02f43b3c3e2db54f364582d7e4a236ccc5506b63";
- };
- }
- {
- name = "d3___d3_5.16.0.tgz";
- path = fetchurl {
- name = "d3___d3_5.16.0.tgz";
- url = "https://registry.yarnpkg.com/d3/-/d3-5.16.0.tgz";
- sha1 = "9c5e8d3b56403c79d4ed42fbd62f6113f199c877";
- };
- }
- {
- name = "dagre_d3___dagre_d3_0.6.4.tgz";
- path = fetchurl {
- name = "dagre_d3___dagre_d3_0.6.4.tgz";
- url = "https://registry.yarnpkg.com/dagre-d3/-/dagre-d3-0.6.4.tgz";
- sha1 = "0728d5ce7f177ca2337df141ceb60fbe6eeb7b29";
- };
- }
- {
- name = "dagre___dagre_0.8.5.tgz";
- path = fetchurl {
- name = "dagre___dagre_0.8.5.tgz";
- url = "https://registry.yarnpkg.com/dagre/-/dagre-0.8.5.tgz";
- sha1 = "ba30b0055dac12b6c1fcc247817442777d06afee";
- };
- }
- {
- name = "dashdash___dashdash_1.14.1.tgz";
- path = fetchurl {
- name = "dashdash___dashdash_1.14.1.tgz";
- url = "https://registry.yarnpkg.com/dashdash/-/dashdash-1.14.1.tgz";
- sha1 = "853cfa0f7cbe2fed5de20326b8dd581035f6e2f0";
- };
- }
- {
- name = "data_urls___data_urls_2.0.0.tgz";
- path = fetchurl {
- name = "data_urls___data_urls_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/data-urls/-/data-urls-2.0.0.tgz";
- sha1 = "156485a72963a970f5d5821aaf642bef2bf2db9b";
- };
- }
- {
- name = "date_format___date_format_2.1.0.tgz";
- path = fetchurl {
- name = "date_format___date_format_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/date-format/-/date-format-2.1.0.tgz";
- sha1 = "31d5b5ea211cf5fd764cd38baf9d033df7e125cf";
- };
- }
- {
- name = "date_now___date_now_0.1.4.tgz";
- path = fetchurl {
- name = "date_now___date_now_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/date-now/-/date-now-0.1.4.tgz";
- sha1 = "eaf439fd4d4848ad74e5cc7dbef200672b9e345b";
- };
- }
- {
- name = "dateformat___dateformat_4.5.1.tgz";
- path = fetchurl {
- name = "dateformat___dateformat_4.5.1.tgz";
- url = "https://registry.yarnpkg.com/dateformat/-/dateformat-4.5.1.tgz";
- sha1 = "c20e7a9ca77d147906b6dc2261a8be0a5bd2173c";
- };
- }
- {
- name = "de_indent___de_indent_1.0.2.tgz";
- path = fetchurl {
- name = "de_indent___de_indent_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/de-indent/-/de-indent-1.0.2.tgz";
- sha1 = "b2038e846dc33baa5796128d0804b455b8c1e21d";
- };
- }
- {
- name = "debug___debug_2.6.9.tgz";
- path = fetchurl {
- name = "debug___debug_2.6.9.tgz";
- url = "https://registry.yarnpkg.com/debug/-/debug-2.6.9.tgz";
- sha1 = "5d128515df134ff327e90a4c93f4e077a536341f";
- };
- }
- {
- name = "debug___debug_3.1.0.tgz";
- path = fetchurl {
- name = "debug___debug_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/debug/-/debug-3.1.0.tgz";
- sha1 = "5bb5a0672628b64149566ba16819e61518c67261";
- };
- }
- {
- name = "debug___debug_3.2.7.tgz";
- path = fetchurl {
- name = "debug___debug_3.2.7.tgz";
- url = "https://registry.yarnpkg.com/debug/-/debug-3.2.7.tgz";
- sha1 = "72580b7e9145fb39b6676f9c5e5fb100b934179a";
- };
- }
- {
- name = "debug___debug_4.3.1.tgz";
- path = fetchurl {
- name = "debug___debug_4.3.1.tgz";
- url = "https://registry.yarnpkg.com/debug/-/debug-4.3.1.tgz";
- sha1 = "f0d229c505e0c6d8c49ac553d1b13dc183f6b2ee";
- };
- }
- {
- name = "decamelize_keys___decamelize_keys_1.1.0.tgz";
- path = fetchurl {
- name = "decamelize_keys___decamelize_keys_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/decamelize-keys/-/decamelize-keys-1.1.0.tgz";
- sha1 = "d171a87933252807eb3cb61dc1c1445d078df2d9";
- };
- }
- {
- name = "decamelize___decamelize_1.2.0.tgz";
- path = fetchurl {
- name = "decamelize___decamelize_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/decamelize/-/decamelize-1.2.0.tgz";
- sha1 = "f6534d15148269b20352e7bee26f501f9a191290";
- };
- }
- {
- name = "decimal.js___decimal.js_10.2.1.tgz";
- path = fetchurl {
- name = "decimal.js___decimal.js_10.2.1.tgz";
- url = "https://registry.yarnpkg.com/decimal.js/-/decimal.js-10.2.1.tgz";
- sha1 = "238ae7b0f0c793d3e3cea410108b35a2c01426a3";
- };
- }
- {
- name = "deckar01_task_list___deckar01_task_list_2.3.1.tgz";
- path = fetchurl {
- name = "deckar01_task_list___deckar01_task_list_2.3.1.tgz";
- url = "https://registry.yarnpkg.com/deckar01-task_list/-/deckar01-task_list-2.3.1.tgz";
- sha1 = "f3ffd5319d7b9e27c596dc8d823b13f617ed7db7";
- };
- }
- {
- name = "decode_uri_component___decode_uri_component_0.2.0.tgz";
- path = fetchurl {
- name = "decode_uri_component___decode_uri_component_0.2.0.tgz";
- url = "https://registry.yarnpkg.com/decode-uri-component/-/decode-uri-component-0.2.0.tgz";
- sha1 = "eb3913333458775cb84cd1a1fae062106bb87545";
- };
- }
- {
- name = "decompress_response___decompress_response_3.3.0.tgz";
- path = fetchurl {
- name = "decompress_response___decompress_response_3.3.0.tgz";
- url = "https://registry.yarnpkg.com/decompress-response/-/decompress-response-3.3.0.tgz";
- sha1 = "80a4dd323748384bfa248083622aedec982adff3";
- };
- }
- {
- name = "deep_equal___deep_equal_1.0.1.tgz";
- path = fetchurl {
- name = "deep_equal___deep_equal_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/deep-equal/-/deep-equal-1.0.1.tgz";
- sha1 = "f5d260292b660e084eff4cdbc9f08ad3247448b5";
- };
- }
- {
- name = "deep_extend___deep_extend_0.6.0.tgz";
- path = fetchurl {
- name = "deep_extend___deep_extend_0.6.0.tgz";
- url = "https://registry.yarnpkg.com/deep-extend/-/deep-extend-0.6.0.tgz";
- sha1 = "c4fa7c95404a17a9c3e8ca7e1537312b736330ac";
- };
- }
- {
- name = "deep_is___deep_is_0.1.3.tgz";
- path = fetchurl {
- name = "deep_is___deep_is_0.1.3.tgz";
- url = "https://registry.yarnpkg.com/deep-is/-/deep-is-0.1.3.tgz";
- sha1 = "b369d6fb5dbc13eecf524f91b070feedc357cf34";
- };
- }
- {
- name = "deepmerge___deepmerge_4.2.2.tgz";
- path = fetchurl {
- name = "deepmerge___deepmerge_4.2.2.tgz";
- url = "https://registry.yarnpkg.com/deepmerge/-/deepmerge-4.2.2.tgz";
- sha1 = "44d2ea3679b8f4d4ffba33f03d865fc1e7bf4955";
- };
- }
- {
- name = "default_gateway___default_gateway_4.2.0.tgz";
- path = fetchurl {
- name = "default_gateway___default_gateway_4.2.0.tgz";
- url = "https://registry.yarnpkg.com/default-gateway/-/default-gateway-4.2.0.tgz";
- sha1 = "167104c7500c2115f6dd69b0a536bb8ed720552b";
- };
- }
- {
- name = "default_require_extensions___default_require_extensions_2.0.0.tgz";
- path = fetchurl {
- name = "default_require_extensions___default_require_extensions_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/default-require-extensions/-/default-require-extensions-2.0.0.tgz";
- sha1 = "f5f8fbb18a7d6d50b21f641f649ebb522cfe24f7";
- };
- }
- {
- name = "defer_to_connect___defer_to_connect_1.1.3.tgz";
- path = fetchurl {
- name = "defer_to_connect___defer_to_connect_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/defer-to-connect/-/defer-to-connect-1.1.3.tgz";
- sha1 = "331ae050c08dcf789f8c83a7b81f0ed94f4ac591";
- };
- }
- {
- name = "define_properties___define_properties_1.1.3.tgz";
- path = fetchurl {
- name = "define_properties___define_properties_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/define-properties/-/define-properties-1.1.3.tgz";
- sha1 = "cf88da6cbee26fe6db7094f61d870cbd84cee9f1";
- };
- }
- {
- name = "define_property___define_property_0.2.5.tgz";
- path = fetchurl {
- name = "define_property___define_property_0.2.5.tgz";
- url = "https://registry.yarnpkg.com/define-property/-/define-property-0.2.5.tgz";
- sha1 = "c35b1ef918ec3c990f9a5bc57be04aacec5c8116";
- };
- }
- {
- name = "define_property___define_property_1.0.0.tgz";
- path = fetchurl {
- name = "define_property___define_property_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/define-property/-/define-property-1.0.0.tgz";
- sha1 = "769ebaaf3f4a63aad3af9e8d304c9bbe79bfb0e6";
- };
- }
- {
- name = "define_property___define_property_2.0.2.tgz";
- path = fetchurl {
- name = "define_property___define_property_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/define-property/-/define-property-2.0.2.tgz";
- sha1 = "d459689e8d654ba77e02a817f8710d702cb16e9d";
- };
- }
- {
- name = "del___del_4.1.1.tgz";
- path = fetchurl {
- name = "del___del_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/del/-/del-4.1.1.tgz";
- sha1 = "9e8f117222ea44a31ff3a156c049b99052a9f0b4";
- };
- }
- {
- name = "delayed_stream___delayed_stream_1.0.0.tgz";
- path = fetchurl {
- name = "delayed_stream___delayed_stream_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/delayed-stream/-/delayed-stream-1.0.0.tgz";
- sha1 = "df3ae199acadfb7d440aaae0b29e2272b24ec619";
- };
- }
- {
- name = "delegate___delegate_3.1.2.tgz";
- path = fetchurl {
- name = "delegate___delegate_3.1.2.tgz";
- url = "https://registry.yarnpkg.com/delegate/-/delegate-3.1.2.tgz";
- sha1 = "1e1bc6f5cadda6cb6cbf7e6d05d0bcdd5712aebe";
- };
- }
- {
- name = "depd___depd_1.1.1.tgz";
- path = fetchurl {
- name = "depd___depd_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/depd/-/depd-1.1.1.tgz";
- sha1 = "5783b4e1c459f06fa5ca27f991f3d06e7a310359";
- };
- }
- {
- name = "depd___depd_1.1.2.tgz";
- path = fetchurl {
- name = "depd___depd_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/depd/-/depd-1.1.2.tgz";
- sha1 = "9bcd52e14c097763e749b274c4346ed2e560b5a9";
- };
- }
- {
- name = "des.js___des.js_1.0.0.tgz";
- path = fetchurl {
- name = "des.js___des.js_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/des.js/-/des.js-1.0.0.tgz";
- sha1 = "c074d2e2aa6a8a9a07dbd61f9a15c2cd83ec8ecc";
- };
- }
- {
- name = "destroy___destroy_1.0.4.tgz";
- path = fetchurl {
- name = "destroy___destroy_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/destroy/-/destroy-1.0.4.tgz";
- sha1 = "978857442c44749e4206613e37946205826abd80";
- };
- }
- {
- name = "detect_file___detect_file_1.0.0.tgz";
- path = fetchurl {
- name = "detect_file___detect_file_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/detect-file/-/detect-file-1.0.0.tgz";
- sha1 = "f0d66d03672a825cb1b73bdb3fe62310c8e552b7";
- };
- }
- {
- name = "detect_newline___detect_newline_3.1.0.tgz";
- path = fetchurl {
- name = "detect_newline___detect_newline_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/detect-newline/-/detect-newline-3.1.0.tgz";
- sha1 = "576f5dfc63ae1a192ff192d8ad3af6308991b651";
- };
- }
- {
- name = "detect_node___detect_node_2.0.4.tgz";
- path = fetchurl {
- name = "detect_node___detect_node_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/detect-node/-/detect-node-2.0.4.tgz";
- sha1 = "014ee8f8f669c5c58023da64b8179c083a28c46c";
- };
- }
- {
- name = "di___di_0.0.1.tgz";
- path = fetchurl {
- name = "di___di_0.0.1.tgz";
- url = "https://registry.yarnpkg.com/di/-/di-0.0.1.tgz";
- sha1 = "806649326ceaa7caa3306d75d985ea2748ba913c";
- };
- }
- {
- name = "diff_sequences___diff_sequences_26.5.0.tgz";
- path = fetchurl {
- name = "diff_sequences___diff_sequences_26.5.0.tgz";
- url = "https://registry.yarnpkg.com/diff-sequences/-/diff-sequences-26.5.0.tgz";
- sha1 = "ef766cf09d43ed40406611f11c6d8d9dd8b2fefd";
- };
- }
- {
- name = "diff___diff_3.5.0.tgz";
- path = fetchurl {
- name = "diff___diff_3.5.0.tgz";
- url = "https://registry.yarnpkg.com/diff/-/diff-3.5.0.tgz";
- sha1 = "800c0dd1e0a8bfbc95835c202ad220fe317e5a12";
- };
- }
- {
- name = "diffie_hellman___diffie_hellman_5.0.2.tgz";
- path = fetchurl {
- name = "diffie_hellman___diffie_hellman_5.0.2.tgz";
- url = "https://registry.yarnpkg.com/diffie-hellman/-/diffie-hellman-5.0.2.tgz";
- sha1 = "b5835739270cfe26acf632099fded2a07f209e5e";
- };
- }
- {
- name = "dir_glob___dir_glob_3.0.1.tgz";
- path = fetchurl {
- name = "dir_glob___dir_glob_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/dir-glob/-/dir-glob-3.0.1.tgz";
- sha1 = "56dbf73d992a4a93ba1584f4534063fd2e41717f";
- };
- }
- {
- name = "dns_equal___dns_equal_1.0.0.tgz";
- path = fetchurl {
- name = "dns_equal___dns_equal_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/dns-equal/-/dns-equal-1.0.0.tgz";
- sha1 = "b39e7f1da6eb0a75ba9c17324b34753c47e0654d";
- };
- }
- {
- name = "dns_packet___dns_packet_1.2.2.tgz";
- path = fetchurl {
- name = "dns_packet___dns_packet_1.2.2.tgz";
- url = "https://registry.yarnpkg.com/dns-packet/-/dns-packet-1.2.2.tgz";
- sha1 = "a8a26bec7646438963fc86e06f8f8b16d6c8bf7a";
- };
- }
- {
- name = "dns_txt___dns_txt_2.0.2.tgz";
- path = fetchurl {
- name = "dns_txt___dns_txt_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/dns-txt/-/dns-txt-2.0.2.tgz";
- sha1 = "b91d806f5d27188e4ab3e7d107d881a1cc4642b6";
- };
- }
- {
- name = "docdash___docdash_1.0.2.tgz";
- path = fetchurl {
- name = "docdash___docdash_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/docdash/-/docdash-1.0.2.tgz";
- sha1 = "0449a8f6bb247f563020b78a5485dea95ae2e094";
- };
- }
- {
- name = "doctrine___doctrine_1.5.0.tgz";
- path = fetchurl {
- name = "doctrine___doctrine_1.5.0.tgz";
- url = "https://registry.yarnpkg.com/doctrine/-/doctrine-1.5.0.tgz";
- sha1 = "379dce730f6166f76cefa4e6707a159b02c5a6fa";
- };
- }
- {
- name = "doctrine___doctrine_3.0.0.tgz";
- path = fetchurl {
- name = "doctrine___doctrine_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/doctrine/-/doctrine-3.0.0.tgz";
- sha1 = "addebead72a6574db783639dc87a121773973961";
- };
- }
- {
- name = "dom_accessibility_api___dom_accessibility_api_0.5.3.tgz";
- path = fetchurl {
- name = "dom_accessibility_api___dom_accessibility_api_0.5.3.tgz";
- url = "https://registry.yarnpkg.com/dom-accessibility-api/-/dom-accessibility-api-0.5.3.tgz";
- sha1 = "0ea493c924d4070dfbf531c4aaca3d7a2c601aab";
- };
- }
- {
- name = "dom_event_types___dom_event_types_1.0.0.tgz";
- path = fetchurl {
- name = "dom_event_types___dom_event_types_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/dom-event-types/-/dom-event-types-1.0.0.tgz";
- sha1 = "5830a0a29e1bf837fe50a70cd80a597232813cae";
- };
- }
- {
- name = "dom_serialize___dom_serialize_2.2.1.tgz";
- path = fetchurl {
- name = "dom_serialize___dom_serialize_2.2.1.tgz";
- url = "https://registry.yarnpkg.com/dom-serialize/-/dom-serialize-2.2.1.tgz";
- sha1 = "562ae8999f44be5ea3076f5419dcd59eb43ac95b";
- };
- }
- {
- name = "dom_serializer___dom_serializer_0.2.2.tgz";
- path = fetchurl {
- name = "dom_serializer___dom_serializer_0.2.2.tgz";
- url = "https://registry.yarnpkg.com/dom-serializer/-/dom-serializer-0.2.2.tgz";
- sha1 = "1afb81f533717175d478655debc5e332d9f9bb51";
- };
- }
- {
- name = "dom_serializer___dom_serializer_1.3.1.tgz";
- path = fetchurl {
- name = "dom_serializer___dom_serializer_1.3.1.tgz";
- url = "https://registry.yarnpkg.com/dom-serializer/-/dom-serializer-1.3.1.tgz";
- sha1 = "d845a1565d7c041a95e5dab62184ab41e3a519be";
- };
- }
- {
- name = "dom_walk___dom_walk_0.1.2.tgz";
- path = fetchurl {
- name = "dom_walk___dom_walk_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/dom-walk/-/dom-walk-0.1.2.tgz";
- sha1 = "0c548bef048f4d1f2a97249002236060daa3fd84";
- };
- }
- {
- name = "domain_browser___domain_browser_1.1.7.tgz";
- path = fetchurl {
- name = "domain_browser___domain_browser_1.1.7.tgz";
- url = "https://registry.yarnpkg.com/domain-browser/-/domain-browser-1.1.7.tgz";
- sha1 = "867aa4b093faa05f1de08c06f4d7b21fdf8698bc";
- };
- }
- {
- name = "domelementtype___domelementtype_1.3.1.tgz";
- path = fetchurl {
- name = "domelementtype___domelementtype_1.3.1.tgz";
- url = "https://registry.yarnpkg.com/domelementtype/-/domelementtype-1.3.1.tgz";
- sha1 = "d048c44b37b0d10a7f2a3d5fee3f4333d790481f";
- };
- }
- {
- name = "domelementtype___domelementtype_2.2.0.tgz";
- path = fetchurl {
- name = "domelementtype___domelementtype_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/domelementtype/-/domelementtype-2.2.0.tgz";
- sha1 = "9a0b6c2782ed6a1c7323d42267183df9bd8b1d57";
- };
- }
- {
- name = "domexception___domexception_2.0.1.tgz";
- path = fetchurl {
- name = "domexception___domexception_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/domexception/-/domexception-2.0.1.tgz";
- sha1 = "fb44aefba793e1574b0af6aed2801d057529f304";
- };
- }
- {
- name = "domhandler___domhandler_2.4.2.tgz";
- path = fetchurl {
- name = "domhandler___domhandler_2.4.2.tgz";
- url = "https://registry.yarnpkg.com/domhandler/-/domhandler-2.4.2.tgz";
- sha1 = "8805097e933d65e85546f726d60f5eb88b44f803";
- };
- }
- {
- name = "domhandler___domhandler_4.2.0.tgz";
- path = fetchurl {
- name = "domhandler___domhandler_4.2.0.tgz";
- url = "https://registry.yarnpkg.com/domhandler/-/domhandler-4.2.0.tgz";
- sha1 = "f9768a5f034be60a89a27c2e4d0f74eba0d8b059";
- };
- }
- {
- name = "dompurify___dompurify_2.3.1.tgz";
- path = fetchurl {
- name = "dompurify___dompurify_2.3.1.tgz";
- url = "https://registry.yarnpkg.com/dompurify/-/dompurify-2.3.1.tgz";
- sha1 = "a47059ca21fd1212d3c8f71fdea6943b8bfbdf6a";
- };
- }
- {
- name = "domutils___domutils_1.7.0.tgz";
- path = fetchurl {
- name = "domutils___domutils_1.7.0.tgz";
- url = "https://registry.yarnpkg.com/domutils/-/domutils-1.7.0.tgz";
- sha1 = "56ea341e834e06e6748af7a1cb25da67ea9f8c2a";
- };
- }
- {
- name = "domutils___domutils_2.6.0.tgz";
- path = fetchurl {
- name = "domutils___domutils_2.6.0.tgz";
- url = "https://registry.yarnpkg.com/domutils/-/domutils-2.6.0.tgz";
- sha1 = "2e15c04185d43fb16ae7057cb76433c6edb938b7";
- };
- }
- {
- name = "dot_prop___dot_prop_5.2.0.tgz";
- path = fetchurl {
- name = "dot_prop___dot_prop_5.2.0.tgz";
- url = "https://registry.yarnpkg.com/dot-prop/-/dot-prop-5.2.0.tgz";
- sha1 = "c34ecc29556dc45f1f4c22697b6f4904e0cc4fcb";
- };
- }
- {
- name = "dropzone___dropzone_4.2.0.tgz";
- path = fetchurl {
- name = "dropzone___dropzone_4.2.0.tgz";
- url = "https://registry.yarnpkg.com/dropzone/-/dropzone-4.2.0.tgz";
- sha1 = "fbe7acbb9918e0706489072ef663effeef8a79f3";
- };
- }
- {
- name = "duplexer3___duplexer3_0.1.4.tgz";
- path = fetchurl {
- name = "duplexer3___duplexer3_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/duplexer3/-/duplexer3-0.1.4.tgz";
- sha1 = "ee01dd1cac0ed3cbc7fdbea37dc0a8f1ce002ce2";
- };
- }
- {
- name = "duplexer___duplexer_0.1.2.tgz";
- path = fetchurl {
- name = "duplexer___duplexer_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/duplexer/-/duplexer-0.1.2.tgz";
- sha1 = "3abe43aef3835f8ae077d136ddce0f276b0400e6";
- };
- }
- {
- name = "duplexify___duplexify_3.7.1.tgz";
- path = fetchurl {
- name = "duplexify___duplexify_3.7.1.tgz";
- url = "https://registry.yarnpkg.com/duplexify/-/duplexify-3.7.1.tgz";
- sha1 = "2a4df5317f6ccfd91f86d6fd25d8d8a103b88309";
- };
- }
- {
- name = "ecc_jsbn___ecc_jsbn_0.1.2.tgz";
- path = fetchurl {
- name = "ecc_jsbn___ecc_jsbn_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/ecc-jsbn/-/ecc-jsbn-0.1.2.tgz";
- sha1 = "3a83a904e54353287874c564b7549386849a98c9";
- };
- }
- {
- name = "echarts___echarts_4.9.0.tgz";
- path = fetchurl {
- name = "echarts___echarts_4.9.0.tgz";
- url = "https://registry.yarnpkg.com/echarts/-/echarts-4.9.0.tgz";
- sha1 = "a9b9baa03f03a2a731e6340c55befb57a9e1347d";
- };
- }
- {
- name = "editions___editions_1.3.4.tgz";
- path = fetchurl {
- name = "editions___editions_1.3.4.tgz";
- url = "https://registry.yarnpkg.com/editions/-/editions-1.3.4.tgz";
- sha1 = "3662cb592347c3168eb8e498a0ff73271d67f50b";
- };
- }
- {
- name = "editorconfig___editorconfig_0.15.3.tgz";
- path = fetchurl {
- name = "editorconfig___editorconfig_0.15.3.tgz";
- url = "https://registry.yarnpkg.com/editorconfig/-/editorconfig-0.15.3.tgz";
- sha1 = "bef84c4e75fb8dcb0ce5cee8efd51c15999befc5";
- };
- }
- {
- name = "ee_first___ee_first_1.1.1.tgz";
- path = fetchurl {
- name = "ee_first___ee_first_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/ee-first/-/ee-first-1.1.1.tgz";
- sha1 = "590c61156b0ae2f4f0255732a158b266bc56b21d";
- };
- }
- {
- name = "electron_to_chromium___electron_to_chromium_1.3.762.tgz";
- path = fetchurl {
- name = "electron_to_chromium___electron_to_chromium_1.3.762.tgz";
- url = "https://registry.yarnpkg.com/electron-to-chromium/-/electron-to-chromium-1.3.762.tgz";
- sha1 = "3fa4e3bcbda539b50e3aa23041627063a5cffe61";
- };
- }
- {
- name = "elliptic___elliptic_6.5.4.tgz";
- path = fetchurl {
- name = "elliptic___elliptic_6.5.4.tgz";
- url = "https://registry.yarnpkg.com/elliptic/-/elliptic-6.5.4.tgz";
- sha1 = "da37cebd31e79a1367e941b592ed1fbebd58abbb";
- };
- }
- {
- name = "emittery___emittery_0.7.1.tgz";
- path = fetchurl {
- name = "emittery___emittery_0.7.1.tgz";
- url = "https://registry.yarnpkg.com/emittery/-/emittery-0.7.1.tgz";
- sha1 = "c02375a927a40948c0345cc903072597f5270451";
- };
- }
- {
- name = "emoji_regex___emoji_regex_7.0.3.tgz";
- path = fetchurl {
- name = "emoji_regex___emoji_regex_7.0.3.tgz";
- url = "https://registry.yarnpkg.com/emoji-regex/-/emoji-regex-7.0.3.tgz";
- sha1 = "933a04052860c85e83c122479c4748a8e4c72156";
- };
- }
- {
- name = "emoji_regex___emoji_regex_8.0.0.tgz";
- path = fetchurl {
- name = "emoji_regex___emoji_regex_8.0.0.tgz";
- url = "https://registry.yarnpkg.com/emoji-regex/-/emoji-regex-8.0.0.tgz";
- sha1 = "e818fd69ce5ccfcb404594f842963bf53164cc37";
- };
- }
- {
- name = "emoji_unicode_version___emoji_unicode_version_0.2.1.tgz";
- path = fetchurl {
- name = "emoji_unicode_version___emoji_unicode_version_0.2.1.tgz";
- url = "https://registry.yarnpkg.com/emoji-unicode-version/-/emoji-unicode-version-0.2.1.tgz";
- sha1 = "0ebf3666b5414097971d34994e299fce75cdbafc";
- };
- }
- {
- name = "emojis_list___emojis_list_3.0.0.tgz";
- path = fetchurl {
- name = "emojis_list___emojis_list_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/emojis-list/-/emojis-list-3.0.0.tgz";
- sha1 = "5570662046ad29e2e916e71aae260abdff4f6a78";
- };
- }
- {
- name = "encodeurl___encodeurl_1.0.2.tgz";
- path = fetchurl {
- name = "encodeurl___encodeurl_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/encodeurl/-/encodeurl-1.0.2.tgz";
- sha1 = "ad3ff4c86ec2d029322f5a02c3a9a606c95b3f59";
- };
- }
- {
- name = "end_of_stream___end_of_stream_1.4.1.tgz";
- path = fetchurl {
- name = "end_of_stream___end_of_stream_1.4.1.tgz";
- url = "https://registry.yarnpkg.com/end-of-stream/-/end-of-stream-1.4.1.tgz";
- sha1 = "ed29634d19baba463b6ce6b80a37213eab71ec43";
- };
- }
- {
- name = "ends_with___ends_with_0.2.0.tgz";
- path = fetchurl {
- name = "ends_with___ends_with_0.2.0.tgz";
- url = "https://registry.yarnpkg.com/ends-with/-/ends-with-0.2.0.tgz";
- sha1 = "2f9da98d57a50cfda4571ce4339000500f4e6b8a";
- };
- }
- {
- name = "engine.io_client___engine.io_client_3.2.1.tgz";
- path = fetchurl {
- name = "engine.io_client___engine.io_client_3.2.1.tgz";
- url = "https://registry.yarnpkg.com/engine.io-client/-/engine.io-client-3.2.1.tgz";
- sha1 = "6f54c0475de487158a1a7c77d10178708b6add36";
- };
- }
- {
- name = "engine.io_parser___engine.io_parser_2.1.2.tgz";
- path = fetchurl {
- name = "engine.io_parser___engine.io_parser_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/engine.io-parser/-/engine.io-parser-2.1.2.tgz";
- sha1 = "4c0f4cff79aaeecbbdcfdea66a823c6085409196";
- };
- }
- {
- name = "engine.io___engine.io_3.2.0.tgz";
- path = fetchurl {
- name = "engine.io___engine.io_3.2.0.tgz";
- url = "https://registry.yarnpkg.com/engine.io/-/engine.io-3.2.0.tgz";
- sha1 = "54332506f42f2edc71690d2f2a42349359f3bf7d";
- };
- }
- {
- name = "enhanced_resolve___enhanced_resolve_0.9.1.tgz";
- path = fetchurl {
- name = "enhanced_resolve___enhanced_resolve_0.9.1.tgz";
- url = "https://registry.yarnpkg.com/enhanced-resolve/-/enhanced-resolve-0.9.1.tgz";
- sha1 = "4d6e689b3725f86090927ccc86cd9f1635b89e2e";
- };
- }
- {
- name = "enhanced_resolve___enhanced_resolve_4.5.0.tgz";
- path = fetchurl {
- name = "enhanced_resolve___enhanced_resolve_4.5.0.tgz";
- url = "https://registry.yarnpkg.com/enhanced-resolve/-/enhanced-resolve-4.5.0.tgz";
- sha1 = "2f3cfd84dbe3b487f18f2db2ef1e064a571ca5ec";
- };
- }
- {
- name = "enquirer___enquirer_2.3.6.tgz";
- path = fetchurl {
- name = "enquirer___enquirer_2.3.6.tgz";
- url = "https://registry.yarnpkg.com/enquirer/-/enquirer-2.3.6.tgz";
- sha1 = "2a7fe5dd634a1e4125a975ec994ff5456dc3734d";
- };
- }
- {
- name = "ent___ent_2.2.0.tgz";
- path = fetchurl {
- name = "ent___ent_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/ent/-/ent-2.2.0.tgz";
- sha1 = "e964219325a21d05f44466a2f686ed6ce5f5dd1d";
- };
- }
- {
- name = "entities___entities_1.1.2.tgz";
- path = fetchurl {
- name = "entities___entities_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/entities/-/entities-1.1.2.tgz";
- sha1 = "bdfa735299664dfafd34529ed4f8522a275fea56";
- };
- }
- {
- name = "entities___entities_2.0.3.tgz";
- path = fetchurl {
- name = "entities___entities_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/entities/-/entities-2.0.3.tgz";
- sha1 = "5c487e5742ab93c15abb5da22759b8590ec03b7f";
- };
- }
- {
- name = "entity_decode___entity_decode_2.0.2.tgz";
- path = fetchurl {
- name = "entity_decode___entity_decode_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/entity-decode/-/entity-decode-2.0.2.tgz";
- sha1 = "e4f807e52c3294246e9347d1f2b02b07fd5f92e7";
- };
- }
- {
- name = "errno___errno_0.1.7.tgz";
- path = fetchurl {
- name = "errno___errno_0.1.7.tgz";
- url = "https://registry.yarnpkg.com/errno/-/errno-0.1.7.tgz";
- sha1 = "4684d71779ad39af177e3f007996f7c67c852618";
- };
- }
- {
- name = "error_ex___error_ex_1.3.2.tgz";
- path = fetchurl {
- name = "error_ex___error_ex_1.3.2.tgz";
- url = "https://registry.yarnpkg.com/error-ex/-/error-ex-1.3.2.tgz";
- sha1 = "b4ac40648107fdcdcfae242f428bea8a14d4f1bf";
- };
- }
- {
- name = "es_abstract___es_abstract_1.18.0_next.2.tgz";
- path = fetchurl {
- name = "es_abstract___es_abstract_1.18.0_next.2.tgz";
- url = "https://registry.yarnpkg.com/es-abstract/-/es-abstract-1.18.0-next.2.tgz";
- sha1 = "088101a55f0541f595e7e057199e27ddc8f3a5c2";
- };
- }
- {
- name = "es_to_primitive___es_to_primitive_1.2.1.tgz";
- path = fetchurl {
- name = "es_to_primitive___es_to_primitive_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/es-to-primitive/-/es-to-primitive-1.2.1.tgz";
- sha1 = "e55cd4c9cdc188bcefb03b366c736323fc5c898a";
- };
- }
- {
- name = "es6_promise___es6_promise_4.2.8.tgz";
- path = fetchurl {
- name = "es6_promise___es6_promise_4.2.8.tgz";
- url = "https://registry.yarnpkg.com/es6-promise/-/es6-promise-4.2.8.tgz";
- sha1 = "4eb21594c972bc40553d276e510539143db53e0a";
- };
- }
- {
- name = "es6_promise___es6_promise_3.0.2.tgz";
- path = fetchurl {
- name = "es6_promise___es6_promise_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/es6-promise/-/es6-promise-3.0.2.tgz";
- sha1 = "010d5858423a5f118979665f46486a95c6ee2bb6";
- };
- }
- {
- name = "es6_promisify___es6_promisify_5.0.0.tgz";
- path = fetchurl {
- name = "es6_promisify___es6_promisify_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/es6-promisify/-/es6-promisify-5.0.0.tgz";
- sha1 = "5109d62f3e56ea967c4b63505aef08291c8a5203";
- };
- }
- {
- name = "escalade___escalade_3.1.1.tgz";
- path = fetchurl {
- name = "escalade___escalade_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/escalade/-/escalade-3.1.1.tgz";
- sha1 = "d8cfdc7000965c5a0174b4a82eaa5c0552742e40";
- };
- }
- {
- name = "escape_goat___escape_goat_2.1.1.tgz";
- path = fetchurl {
- name = "escape_goat___escape_goat_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/escape-goat/-/escape-goat-2.1.1.tgz";
- sha1 = "1b2dc77003676c457ec760b2dc68edb648188675";
- };
- }
- {
- name = "escape_html___escape_html_1.0.3.tgz";
- path = fetchurl {
- name = "escape_html___escape_html_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/escape-html/-/escape-html-1.0.3.tgz";
- sha1 = "0258eae4d3d0c0974de1c169188ef0051d1d1988";
- };
- }
- {
- name = "escape_string_regexp___escape_string_regexp_1.0.5.tgz";
- path = fetchurl {
- name = "escape_string_regexp___escape_string_regexp_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-1.0.5.tgz";
- sha1 = "1b61c0562190a8dff6ae3bb2cf0200ca130b86d4";
- };
- }
- {
- name = "escape_string_regexp___escape_string_regexp_2.0.0.tgz";
- path = fetchurl {
- name = "escape_string_regexp___escape_string_regexp_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-2.0.0.tgz";
- sha1 = "a30304e99daa32e23b2fd20f51babd07cffca344";
- };
- }
- {
- name = "escape_string_regexp___escape_string_regexp_4.0.0.tgz";
- path = fetchurl {
- name = "escape_string_regexp___escape_string_regexp_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-4.0.0.tgz";
- sha1 = "14ba83a5d373e3d311e5afca29cf5bfad965bf34";
- };
- }
- {
- name = "escodegen___escodegen_1.14.3.tgz";
- path = fetchurl {
- name = "escodegen___escodegen_1.14.3.tgz";
- url = "https://registry.yarnpkg.com/escodegen/-/escodegen-1.14.3.tgz";
- sha1 = "4e7b81fba61581dc97582ed78cab7f0e8d63f503";
- };
- }
- {
- name = "eslint_config_airbnb_base___eslint_config_airbnb_base_14.2.1.tgz";
- path = fetchurl {
- name = "eslint_config_airbnb_base___eslint_config_airbnb_base_14.2.1.tgz";
- url = "https://registry.yarnpkg.com/eslint-config-airbnb-base/-/eslint-config-airbnb-base-14.2.1.tgz";
- sha1 = "8a2eb38455dc5a312550193b319cdaeef042cd1e";
- };
- }
- {
- name = "eslint_config_prettier___eslint_config_prettier_6.10.0.tgz";
- path = fetchurl {
- name = "eslint_config_prettier___eslint_config_prettier_6.10.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-config-prettier/-/eslint-config-prettier-6.10.0.tgz";
- sha1 = "7b15e303bf9c956875c948f6b21500e48ded6a7f";
- };
- }
- {
- name = "eslint_import_resolver_jest___eslint_import_resolver_jest_3.0.0.tgz";
- path = fetchurl {
- name = "eslint_import_resolver_jest___eslint_import_resolver_jest_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-import-resolver-jest/-/eslint-import-resolver-jest-3.0.0.tgz";
- sha1 = "fd61da30fe58f4c1074af1f069b4267c70a91fd6";
- };
- }
- {
- name = "eslint_import_resolver_node___eslint_import_resolver_node_0.3.4.tgz";
- path = fetchurl {
- name = "eslint_import_resolver_node___eslint_import_resolver_node_0.3.4.tgz";
- url = "https://registry.yarnpkg.com/eslint-import-resolver-node/-/eslint-import-resolver-node-0.3.4.tgz";
- sha1 = "85ffa81942c25012d8231096ddf679c03042c717";
- };
- }
- {
- name = "eslint_import_resolver_webpack___eslint_import_resolver_webpack_0.13.1.tgz";
- path = fetchurl {
- name = "eslint_import_resolver_webpack___eslint_import_resolver_webpack_0.13.1.tgz";
- url = "https://registry.yarnpkg.com/eslint-import-resolver-webpack/-/eslint-import-resolver-webpack-0.13.1.tgz";
- sha1 = "6d2fb928091daf2da46efa1e568055555b2de902";
- };
- }
- {
- name = "eslint_module_utils___eslint_module_utils_2.6.0.tgz";
- path = fetchurl {
- name = "eslint_module_utils___eslint_module_utils_2.6.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-module-utils/-/eslint-module-utils-2.6.0.tgz";
- sha1 = "579ebd094f56af7797d19c9866c9c9486629bfa6";
- };
- }
- {
- name = "eslint_plugin_babel___eslint_plugin_babel_5.3.0.tgz";
- path = fetchurl {
- name = "eslint_plugin_babel___eslint_plugin_babel_5.3.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-plugin-babel/-/eslint-plugin-babel-5.3.0.tgz";
- sha1 = "2e7f251ccc249326da760c1a4c948a91c32d0023";
- };
- }
- {
- name = "eslint_plugin_filenames___eslint_plugin_filenames_1.3.2.tgz";
- path = fetchurl {
- name = "eslint_plugin_filenames___eslint_plugin_filenames_1.3.2.tgz";
- url = "https://registry.yarnpkg.com/eslint-plugin-filenames/-/eslint-plugin-filenames-1.3.2.tgz";
- sha1 = "7094f00d7aefdd6999e3ac19f72cea058e590cf7";
- };
- }
- {
- name = "eslint_plugin_import___eslint_plugin_import_2.22.1.tgz";
- path = fetchurl {
- name = "eslint_plugin_import___eslint_plugin_import_2.22.1.tgz";
- url = "https://registry.yarnpkg.com/eslint-plugin-import/-/eslint-plugin-import-2.22.1.tgz";
- sha1 = "0896c7e6a0cf44109a2d97b95903c2bb689d7702";
- };
- }
- {
- name = "eslint_plugin_jasmine___eslint_plugin_jasmine_4.1.2.tgz";
- path = fetchurl {
- name = "eslint_plugin_jasmine___eslint_plugin_jasmine_4.1.2.tgz";
- url = "https://registry.yarnpkg.com/eslint-plugin-jasmine/-/eslint-plugin-jasmine-4.1.2.tgz";
- sha1 = "50cc20d603b02b37727f8d174d4b83b9b8ef25a5";
- };
- }
- {
- name = "eslint_plugin_jest___eslint_plugin_jest_23.8.2.tgz";
- path = fetchurl {
- name = "eslint_plugin_jest___eslint_plugin_jest_23.8.2.tgz";
- url = "https://registry.yarnpkg.com/eslint-plugin-jest/-/eslint-plugin-jest-23.8.2.tgz";
- sha1 = "6f28b41c67ef635f803ebd9e168f6b73858eb8d4";
- };
- }
- {
- name = "eslint_plugin_no_jquery___eslint_plugin_no_jquery_2.6.0.tgz";
- path = fetchurl {
- name = "eslint_plugin_no_jquery___eslint_plugin_no_jquery_2.6.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-plugin-no-jquery/-/eslint-plugin-no-jquery-2.6.0.tgz";
- sha1 = "7892cb7c086f7813156bca6bc48429825428e9eb";
- };
- }
- {
- name = "eslint_plugin_promise___eslint_plugin_promise_4.2.1.tgz";
- path = fetchurl {
- name = "eslint_plugin_promise___eslint_plugin_promise_4.2.1.tgz";
- url = "https://registry.yarnpkg.com/eslint-plugin-promise/-/eslint-plugin-promise-4.2.1.tgz";
- sha1 = "845fd8b2260ad8f82564c1222fce44ad71d9418a";
- };
- }
- {
- name = "eslint_plugin_vue___eslint_plugin_vue_7.5.0.tgz";
- path = fetchurl {
- name = "eslint_plugin_vue___eslint_plugin_vue_7.5.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-plugin-vue/-/eslint-plugin-vue-7.5.0.tgz";
- sha1 = "cc6d983eb22781fa2440a7573cf39af439bb5725";
- };
- }
- {
- name = "eslint_rule_composer___eslint_rule_composer_0.3.0.tgz";
- path = fetchurl {
- name = "eslint_rule_composer___eslint_rule_composer_0.3.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-rule-composer/-/eslint-rule-composer-0.3.0.tgz";
- sha1 = "79320c927b0c5c0d3d3d2b76c8b4a488f25bbaf9";
- };
- }
- {
- name = "eslint_scope___eslint_scope_4.0.3.tgz";
- path = fetchurl {
- name = "eslint_scope___eslint_scope_4.0.3.tgz";
- url = "https://registry.yarnpkg.com/eslint-scope/-/eslint-scope-4.0.3.tgz";
- sha1 = "ca03833310f6889a3264781aa82e63eb9cfe7848";
- };
- }
- {
- name = "eslint_scope___eslint_scope_5.1.1.tgz";
- path = fetchurl {
- name = "eslint_scope___eslint_scope_5.1.1.tgz";
- url = "https://registry.yarnpkg.com/eslint-scope/-/eslint-scope-5.1.1.tgz";
- sha1 = "e786e59a66cb92b3f6c1fb0d508aab174848f48c";
- };
- }
- {
- name = "eslint_utils___eslint_utils_2.1.0.tgz";
- path = fetchurl {
- name = "eslint_utils___eslint_utils_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-utils/-/eslint-utils-2.1.0.tgz";
- sha1 = "d2de5e03424e707dc10c74068ddedae708741b27";
- };
- }
- {
- name = "eslint_visitor_keys___eslint_visitor_keys_1.3.0.tgz";
- path = fetchurl {
- name = "eslint_visitor_keys___eslint_visitor_keys_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-visitor-keys/-/eslint-visitor-keys-1.3.0.tgz";
- sha1 = "30ebd1ef7c2fdff01c3a4f151044af25fab0523e";
- };
- }
- {
- name = "eslint_visitor_keys___eslint_visitor_keys_2.0.0.tgz";
- path = fetchurl {
- name = "eslint_visitor_keys___eslint_visitor_keys_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/eslint-visitor-keys/-/eslint-visitor-keys-2.0.0.tgz";
- sha1 = "21fdc8fbcd9c795cc0321f0563702095751511a8";
- };
- }
- {
- name = "eslint___eslint_7.31.0.tgz";
- path = fetchurl {
- name = "eslint___eslint_7.31.0.tgz";
- url = "https://registry.yarnpkg.com/eslint/-/eslint-7.31.0.tgz";
- sha1 = "f972b539424bf2604907a970860732c5d99d3aca";
- };
- }
- {
- name = "espree___espree_6.2.1.tgz";
- path = fetchurl {
- name = "espree___espree_6.2.1.tgz";
- url = "https://registry.yarnpkg.com/espree/-/espree-6.2.1.tgz";
- sha1 = "77fc72e1fd744a2052c20f38a5b575832e82734a";
- };
- }
- {
- name = "espree___espree_7.3.1.tgz";
- path = fetchurl {
- name = "espree___espree_7.3.1.tgz";
- url = "https://registry.yarnpkg.com/espree/-/espree-7.3.1.tgz";
- sha1 = "f2df330b752c6f55019f8bd89b7660039c1bbbb6";
- };
- }
- {
- name = "esprima___esprima_4.0.1.tgz";
- path = fetchurl {
- name = "esprima___esprima_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/esprima/-/esprima-4.0.1.tgz";
- sha1 = "13b04cdb3e6c5d19df91ab6987a8695619b0aa71";
- };
- }
- {
- name = "esquery___esquery_1.4.0.tgz";
- path = fetchurl {
- name = "esquery___esquery_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/esquery/-/esquery-1.4.0.tgz";
- sha1 = "2148ffc38b82e8c7057dfed48425b3e61f0f24a5";
- };
- }
- {
- name = "esrecurse___esrecurse_4.3.0.tgz";
- path = fetchurl {
- name = "esrecurse___esrecurse_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/esrecurse/-/esrecurse-4.3.0.tgz";
- sha1 = "7ad7964d679abb28bee72cec63758b1c5d2c9921";
- };
- }
- {
- name = "estraverse___estraverse_4.3.0.tgz";
- path = fetchurl {
- name = "estraverse___estraverse_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/estraverse/-/estraverse-4.3.0.tgz";
- sha1 = "398ad3f3c5a24948be7725e83d11a7de28cdbd1d";
- };
- }
- {
- name = "estraverse___estraverse_5.2.0.tgz";
- path = fetchurl {
- name = "estraverse___estraverse_5.2.0.tgz";
- url = "https://registry.yarnpkg.com/estraverse/-/estraverse-5.2.0.tgz";
- sha1 = "307df42547e6cc7324d3cf03c155d5cdb8c53880";
- };
- }
- {
- name = "esutils___esutils_2.0.3.tgz";
- path = fetchurl {
- name = "esutils___esutils_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/esutils/-/esutils-2.0.3.tgz";
- sha1 = "74d2eb4de0b8da1293711910d50775b9b710ef64";
- };
- }
- {
- name = "etag___etag_1.8.1.tgz";
- path = fetchurl {
- name = "etag___etag_1.8.1.tgz";
- url = "https://registry.yarnpkg.com/etag/-/etag-1.8.1.tgz";
- sha1 = "41ae2eeb65efa62268aebfea83ac7d79299b0887";
- };
- }
- {
- name = "eve_raphael___eve_raphael_0.5.0.tgz";
- path = fetchurl {
- name = "eve_raphael___eve_raphael_0.5.0.tgz";
- url = "https://registry.yarnpkg.com/eve-raphael/-/eve-raphael-0.5.0.tgz";
- sha1 = "17c754b792beef3fa6684d79cf5a47c63c4cda30";
- };
- }
- {
- name = "eventemitter3___eventemitter3_4.0.0.tgz";
- path = fetchurl {
- name = "eventemitter3___eventemitter3_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/eventemitter3/-/eventemitter3-4.0.0.tgz";
- sha1 = "d65176163887ee59f386d64c82610b696a4a74eb";
- };
- }
- {
- name = "events___events_1.1.1.tgz";
- path = fetchurl {
- name = "events___events_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/events/-/events-1.1.1.tgz";
- sha1 = "9ebdb7635ad099c70dcc4c2a1f5004288e8bd924";
- };
- }
- {
- name = "events___events_3.0.0.tgz";
- path = fetchurl {
- name = "events___events_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/events/-/events-3.0.0.tgz";
- sha1 = "9a0a0dfaf62893d92b875b8f2698ca4114973e88";
- };
- }
- {
- name = "eventsource___eventsource_1.0.7.tgz";
- path = fetchurl {
- name = "eventsource___eventsource_1.0.7.tgz";
- url = "https://registry.yarnpkg.com/eventsource/-/eventsource-1.0.7.tgz";
- sha1 = "8fbc72c93fcd34088090bc0a4e64f4b5cee6d8d0";
- };
- }
- {
- name = "evp_bytestokey___evp_bytestokey_1.0.3.tgz";
- path = fetchurl {
- name = "evp_bytestokey___evp_bytestokey_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/evp_bytestokey/-/evp_bytestokey-1.0.3.tgz";
- sha1 = "7fcbdb198dc71959432efe13842684e0525acb02";
- };
- }
- {
- name = "exec_sh___exec_sh_0.3.4.tgz";
- path = fetchurl {
- name = "exec_sh___exec_sh_0.3.4.tgz";
- url = "https://registry.yarnpkg.com/exec-sh/-/exec-sh-0.3.4.tgz";
- sha1 = "3a018ceb526cc6f6df2bb504b2bfe8e3a4934ec5";
- };
- }
- {
- name = "execa___execa_1.0.0.tgz";
- path = fetchurl {
- name = "execa___execa_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/execa/-/execa-1.0.0.tgz";
- sha1 = "c6236a5bb4df6d6f15e88e7f017798216749ddd8";
- };
- }
- {
- name = "execa___execa_4.0.3.tgz";
- path = fetchurl {
- name = "execa___execa_4.0.3.tgz";
- url = "https://registry.yarnpkg.com/execa/-/execa-4.0.3.tgz";
- sha1 = "0a34dabbad6d66100bd6f2c576c8669403f317f2";
- };
- }
- {
- name = "execall___execall_2.0.0.tgz";
- path = fetchurl {
- name = "execall___execall_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/execall/-/execall-2.0.0.tgz";
- sha1 = "16a06b5fe5099df7d00be5d9c06eecded1663b45";
- };
- }
- {
- name = "exit___exit_0.1.2.tgz";
- path = fetchurl {
- name = "exit___exit_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/exit/-/exit-0.1.2.tgz";
- sha1 = "0632638f8d877cc82107d30a0fff1a17cba1cd0c";
- };
- }
- {
- name = "expand_brackets___expand_brackets_2.1.4.tgz";
- path = fetchurl {
- name = "expand_brackets___expand_brackets_2.1.4.tgz";
- url = "https://registry.yarnpkg.com/expand-brackets/-/expand-brackets-2.1.4.tgz";
- sha1 = "b77735e315ce30f6b6eff0f83b04151a22449622";
- };
- }
- {
- name = "expand_tilde___expand_tilde_2.0.2.tgz";
- path = fetchurl {
- name = "expand_tilde___expand_tilde_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/expand-tilde/-/expand-tilde-2.0.2.tgz";
- sha1 = "97e801aa052df02454de46b02bf621642cdc8502";
- };
- }
- {
- name = "expect___expect_26.5.2.tgz";
- path = fetchurl {
- name = "expect___expect_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/expect/-/expect-26.5.2.tgz";
- sha1 = "3e0631c4a657a83dbec769ad246a2998953a55a6";
- };
- }
- {
- name = "express___express_4.17.1.tgz";
- path = fetchurl {
- name = "express___express_4.17.1.tgz";
- url = "https://registry.yarnpkg.com/express/-/express-4.17.1.tgz";
- sha1 = "4491fc38605cf51f8629d39c2b5d026f98a4c134";
- };
- }
- {
- name = "extend_shallow___extend_shallow_2.0.1.tgz";
- path = fetchurl {
- name = "extend_shallow___extend_shallow_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/extend-shallow/-/extend-shallow-2.0.1.tgz";
- sha1 = "51af7d614ad9a9f610ea1bafbb989d6b1c56890f";
- };
- }
- {
- name = "extend_shallow___extend_shallow_3.0.2.tgz";
- path = fetchurl {
- name = "extend_shallow___extend_shallow_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/extend-shallow/-/extend-shallow-3.0.2.tgz";
- sha1 = "26a71aaf073b39fb2127172746131c2704028db8";
- };
- }
- {
- name = "extend___extend_3.0.2.tgz";
- path = fetchurl {
- name = "extend___extend_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/extend/-/extend-3.0.2.tgz";
- sha1 = "f8b1136b4071fbd8eb140aff858b1019ec2915fa";
- };
- }
- {
- name = "extglob___extglob_2.0.4.tgz";
- path = fetchurl {
- name = "extglob___extglob_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/extglob/-/extglob-2.0.4.tgz";
- sha1 = "ad00fe4dc612a9232e8718711dc5cb5ab0285543";
- };
- }
- {
- name = "extract_files___extract_files_8.1.0.tgz";
- path = fetchurl {
- name = "extract_files___extract_files_8.1.0.tgz";
- url = "https://registry.yarnpkg.com/extract-files/-/extract-files-8.1.0.tgz";
- sha1 = "46a0690d0fe77411a2e3804852adeaa65cd59288";
- };
- }
- {
- name = "extract_from_css___extract_from_css_0.4.4.tgz";
- path = fetchurl {
- name = "extract_from_css___extract_from_css_0.4.4.tgz";
- url = "https://registry.yarnpkg.com/extract-from-css/-/extract-from-css-0.4.4.tgz";
- sha1 = "1ea7df2e7c7c6eb9922fa08e8adaea486f6f8f92";
- };
- }
- {
- name = "extsprintf___extsprintf_1.3.0.tgz";
- path = fetchurl {
- name = "extsprintf___extsprintf_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/extsprintf/-/extsprintf-1.3.0.tgz";
- sha1 = "96918440e3041a7a414f8c52e3c574eb3c3e1e05";
- };
- }
- {
- name = "fake_xml_http_request___fake_xml_http_request_2.1.1.tgz";
- path = fetchurl {
- name = "fake_xml_http_request___fake_xml_http_request_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/fake-xml-http-request/-/fake-xml-http-request-2.1.1.tgz";
- sha1 = "279fdac235840d7a4dff77d98ec44bce9fc690a6";
- };
- }
- {
- name = "fast_deep_equal___fast_deep_equal_3.1.3.tgz";
- path = fetchurl {
- name = "fast_deep_equal___fast_deep_equal_3.1.3.tgz";
- url = "https://registry.yarnpkg.com/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz";
- sha1 = "3a7d56b559d6cbc3eb512325244e619a65c6c525";
- };
- }
- {
- name = "fast_glob___fast_glob_3.2.5.tgz";
- path = fetchurl {
- name = "fast_glob___fast_glob_3.2.5.tgz";
- url = "https://registry.yarnpkg.com/fast-glob/-/fast-glob-3.2.5.tgz";
- sha1 = "7939af2a656de79a4f1901903ee8adcaa7cb9661";
- };
- }
- {
- name = "fast_json_stable_stringify___fast_json_stable_stringify_2.1.0.tgz";
- path = fetchurl {
- name = "fast_json_stable_stringify___fast_json_stable_stringify_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/fast-json-stable-stringify/-/fast-json-stable-stringify-2.1.0.tgz";
- sha1 = "874bf69c6f404c2b5d99c481341399fd55892633";
- };
- }
- {
- name = "fast_levenshtein___fast_levenshtein_2.0.6.tgz";
- path = fetchurl {
- name = "fast_levenshtein___fast_levenshtein_2.0.6.tgz";
- url = "https://registry.yarnpkg.com/fast-levenshtein/-/fast-levenshtein-2.0.6.tgz";
- sha1 = "3d8a5c66883a16a30ca8643e851f19baa7797917";
- };
- }
- {
- name = "fast_mersenne_twister___fast_mersenne_twister_1.0.2.tgz";
- path = fetchurl {
- name = "fast_mersenne_twister___fast_mersenne_twister_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/fast-mersenne-twister/-/fast-mersenne-twister-1.0.2.tgz";
- sha1 = "5ead7caf3ace592a5789d11767732bd81cbaaa56";
- };
- }
- {
- name = "fastest_levenshtein___fastest_levenshtein_1.0.12.tgz";
- path = fetchurl {
- name = "fastest_levenshtein___fastest_levenshtein_1.0.12.tgz";
- url = "https://registry.yarnpkg.com/fastest-levenshtein/-/fastest-levenshtein-1.0.12.tgz";
- sha1 = "9990f7d3a88cc5a9ffd1f1745745251700d497e2";
- };
- }
- {
- name = "fastq___fastq_1.10.1.tgz";
- path = fetchurl {
- name = "fastq___fastq_1.10.1.tgz";
- url = "https://registry.yarnpkg.com/fastq/-/fastq-1.10.1.tgz";
- sha1 = "8b8f2ac8bf3632d67afcd65dac248d5fdc45385e";
- };
- }
- {
- name = "fault___fault_1.0.4.tgz";
- path = fetchurl {
- name = "fault___fault_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/fault/-/fault-1.0.4.tgz";
- sha1 = "eafcfc0a6d214fc94601e170df29954a4f842f13";
- };
- }
- {
- name = "faye_websocket___faye_websocket_0.11.3.tgz";
- path = fetchurl {
- name = "faye_websocket___faye_websocket_0.11.3.tgz";
- url = "https://registry.yarnpkg.com/faye-websocket/-/faye-websocket-0.11.3.tgz";
- sha1 = "5c0e9a8968e8912c286639fde977a8b209f2508e";
- };
- }
- {
- name = "fb_watchman___fb_watchman_2.0.1.tgz";
- path = fetchurl {
- name = "fb_watchman___fb_watchman_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/fb-watchman/-/fb-watchman-2.0.1.tgz";
- sha1 = "fc84fb39d2709cf3ff6d743706157bb5708a8a85";
- };
- }
- {
- name = "figgy_pudding___figgy_pudding_3.5.1.tgz";
- path = fetchurl {
- name = "figgy_pudding___figgy_pudding_3.5.1.tgz";
- url = "https://registry.yarnpkg.com/figgy-pudding/-/figgy-pudding-3.5.1.tgz";
- sha1 = "862470112901c727a0e495a80744bd5baa1d6790";
- };
- }
- {
- name = "file_entry_cache___file_entry_cache_6.0.1.tgz";
- path = fetchurl {
- name = "file_entry_cache___file_entry_cache_6.0.1.tgz";
- url = "https://registry.yarnpkg.com/file-entry-cache/-/file-entry-cache-6.0.1.tgz";
- sha1 = "211b2dd9659cb0394b073e7323ac3c933d522027";
- };
- }
- {
- name = "file_loader___file_loader_6.2.0.tgz";
- path = fetchurl {
- name = "file_loader___file_loader_6.2.0.tgz";
- url = "https://registry.yarnpkg.com/file-loader/-/file-loader-6.2.0.tgz";
- sha1 = "baef7cf8e1840df325e4390b4484879480eebe4d";
- };
- }
- {
- name = "fileset___fileset_2.0.3.tgz";
- path = fetchurl {
- name = "fileset___fileset_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/fileset/-/fileset-2.0.3.tgz";
- sha1 = "8e7548a96d3cc2327ee5e674168723a333bba2a0";
- };
- }
- {
- name = "fill_range___fill_range_4.0.0.tgz";
- path = fetchurl {
- name = "fill_range___fill_range_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/fill-range/-/fill-range-4.0.0.tgz";
- sha1 = "d544811d428f98eb06a63dc402d2403c328c38f7";
- };
- }
- {
- name = "fill_range___fill_range_7.0.1.tgz";
- path = fetchurl {
- name = "fill_range___fill_range_7.0.1.tgz";
- url = "https://registry.yarnpkg.com/fill-range/-/fill-range-7.0.1.tgz";
- sha1 = "1919a6a7c75fe38b2c7c77e5198535da9acdda40";
- };
- }
- {
- name = "finalhandler___finalhandler_1.1.0.tgz";
- path = fetchurl {
- name = "finalhandler___finalhandler_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/finalhandler/-/finalhandler-1.1.0.tgz";
- sha1 = "ce0b6855b45853e791b2fcc680046d88253dd7f5";
- };
- }
- {
- name = "finalhandler___finalhandler_1.1.2.tgz";
- path = fetchurl {
- name = "finalhandler___finalhandler_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/finalhandler/-/finalhandler-1.1.2.tgz";
- sha1 = "b7e7d000ffd11938d0fdb053506f6ebabe9f587d";
- };
- }
- {
- name = "find_cache_dir___find_cache_dir_2.1.0.tgz";
- path = fetchurl {
- name = "find_cache_dir___find_cache_dir_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/find-cache-dir/-/find-cache-dir-2.1.0.tgz";
- sha1 = "8d0f94cd13fe43c6c7c261a0d86115ca918c05f7";
- };
- }
- {
- name = "find_cache_dir___find_cache_dir_3.3.1.tgz";
- path = fetchurl {
- name = "find_cache_dir___find_cache_dir_3.3.1.tgz";
- url = "https://registry.yarnpkg.com/find-cache-dir/-/find-cache-dir-3.3.1.tgz";
- sha1 = "89b33fad4a4670daa94f855f7fbe31d6d84fe880";
- };
- }
- {
- name = "find_root___find_root_1.1.0.tgz";
- path = fetchurl {
- name = "find_root___find_root_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/find-root/-/find-root-1.1.0.tgz";
- sha1 = "abcfc8ba76f708c42a97b3d685b7e9450bfb9ce4";
- };
- }
- {
- name = "find_up___find_up_2.1.0.tgz";
- path = fetchurl {
- name = "find_up___find_up_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/find-up/-/find-up-2.1.0.tgz";
- sha1 = "45d1b7e506c717ddd482775a2b77920a3c0c57a7";
- };
- }
- {
- name = "find_up___find_up_3.0.0.tgz";
- path = fetchurl {
- name = "find_up___find_up_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/find-up/-/find-up-3.0.0.tgz";
- sha1 = "49169f1d7993430646da61ecc5ae355c21c97b73";
- };
- }
- {
- name = "find_up___find_up_4.1.0.tgz";
- path = fetchurl {
- name = "find_up___find_up_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/find-up/-/find-up-4.1.0.tgz";
- sha1 = "97afe7d6cdc0bc5928584b7c8d7b16e8a9aa5d19";
- };
- }
- {
- name = "findup_sync___findup_sync_3.0.0.tgz";
- path = fetchurl {
- name = "findup_sync___findup_sync_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/findup-sync/-/findup-sync-3.0.0.tgz";
- sha1 = "17b108f9ee512dfb7a5c7f3c8b27ea9e1a9c08d1";
- };
- }
- {
- name = "flat_cache___flat_cache_3.0.4.tgz";
- path = fetchurl {
- name = "flat_cache___flat_cache_3.0.4.tgz";
- url = "https://registry.yarnpkg.com/flat-cache/-/flat-cache-3.0.4.tgz";
- sha1 = "61b0338302b2fe9f957dcc32fc2a87f1c3048b11";
- };
- }
- {
- name = "flatted___flatted_2.0.0.tgz";
- path = fetchurl {
- name = "flatted___flatted_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/flatted/-/flatted-2.0.0.tgz";
- sha1 = "55122b6536ea496b4b44893ee2608141d10d9916";
- };
- }
- {
- name = "flatted___flatted_3.1.1.tgz";
- path = fetchurl {
- name = "flatted___flatted_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/flatted/-/flatted-3.1.1.tgz";
- sha1 = "c4b489e80096d9df1dfc97c79871aea7c617c469";
- };
- }
- {
- name = "flush_write_stream___flush_write_stream_1.1.1.tgz";
- path = fetchurl {
- name = "flush_write_stream___flush_write_stream_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/flush-write-stream/-/flush-write-stream-1.1.1.tgz";
- sha1 = "8dd7d873a1babc207d94ead0c2e0e44276ebf2e8";
- };
- }
- {
- name = "follow_redirects___follow_redirects_1.13.0.tgz";
- path = fetchurl {
- name = "follow_redirects___follow_redirects_1.13.0.tgz";
- url = "https://registry.yarnpkg.com/follow-redirects/-/follow-redirects-1.13.0.tgz";
- sha1 = "b42e8d93a2a7eea5ed88633676d6597bc8e384db";
- };
- }
- {
- name = "for_in___for_in_1.0.2.tgz";
- path = fetchurl {
- name = "for_in___for_in_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/for-in/-/for-in-1.0.2.tgz";
- sha1 = "81068d295a8142ec0ac726c6e2200c30fb6d5e80";
- };
- }
- {
- name = "forever_agent___forever_agent_0.6.1.tgz";
- path = fetchurl {
- name = "forever_agent___forever_agent_0.6.1.tgz";
- url = "https://registry.yarnpkg.com/forever-agent/-/forever-agent-0.6.1.tgz";
- sha1 = "fbc71f0c41adeb37f96c577ad1ed42d8fdacca91";
- };
- }
- {
- name = "form_data___form_data_2.3.3.tgz";
- path = fetchurl {
- name = "form_data___form_data_2.3.3.tgz";
- url = "https://registry.yarnpkg.com/form-data/-/form-data-2.3.3.tgz";
- sha1 = "dcce52c05f644f298c6a7ab936bd724ceffbf3a6";
- };
- }
- {
- name = "format___format_0.2.2.tgz";
- path = fetchurl {
- name = "format___format_0.2.2.tgz";
- url = "https://registry.yarnpkg.com/format/-/format-0.2.2.tgz";
- sha1 = "d6170107e9efdc4ed30c9dc39016df942b5cb58b";
- };
- }
- {
- name = "forwarded___forwarded_0.1.2.tgz";
- path = fetchurl {
- name = "forwarded___forwarded_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/forwarded/-/forwarded-0.1.2.tgz";
- sha1 = "98c23dab1175657b8c0573e8ceccd91b0ff18c84";
- };
- }
- {
- name = "fragment_cache___fragment_cache_0.2.1.tgz";
- path = fetchurl {
- name = "fragment_cache___fragment_cache_0.2.1.tgz";
- url = "https://registry.yarnpkg.com/fragment-cache/-/fragment-cache-0.2.1.tgz";
- sha1 = "4290fad27f13e89be7f33799c6bc5a0abfff0d19";
- };
- }
- {
- name = "fresh___fresh_0.5.2.tgz";
- path = fetchurl {
- name = "fresh___fresh_0.5.2.tgz";
- url = "https://registry.yarnpkg.com/fresh/-/fresh-0.5.2.tgz";
- sha1 = "3d8cadd90d976569fa835ab1f8e4b23a105605a7";
- };
- }
- {
- name = "from2___from2_2.3.0.tgz";
- path = fetchurl {
- name = "from2___from2_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/from2/-/from2-2.3.0.tgz";
- sha1 = "8bfb5502bde4a4d36cfdeea007fcca21d7e382af";
- };
- }
- {
- name = "fs_extra___fs_extra_7.0.1.tgz";
- path = fetchurl {
- name = "fs_extra___fs_extra_7.0.1.tgz";
- url = "https://registry.yarnpkg.com/fs-extra/-/fs-extra-7.0.1.tgz";
- sha1 = "4f189c44aa123b895f722804f55ea23eadc348e9";
- };
- }
- {
- name = "fs_minipass___fs_minipass_2.0.0.tgz";
- path = fetchurl {
- name = "fs_minipass___fs_minipass_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/fs-minipass/-/fs-minipass-2.0.0.tgz";
- sha1 = "a6415edab02fae4b9e9230bc87ee2e4472003cd1";
- };
- }
- {
- name = "fs_write_stream_atomic___fs_write_stream_atomic_1.0.10.tgz";
- path = fetchurl {
- name = "fs_write_stream_atomic___fs_write_stream_atomic_1.0.10.tgz";
- url = "https://registry.yarnpkg.com/fs-write-stream-atomic/-/fs-write-stream-atomic-1.0.10.tgz";
- sha1 = "b47df53493ef911df75731e70a9ded0189db40c9";
- };
- }
- {
- name = "fs.realpath___fs.realpath_1.0.0.tgz";
- path = fetchurl {
- name = "fs.realpath___fs.realpath_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/fs.realpath/-/fs.realpath-1.0.0.tgz";
- sha1 = "1504ad2523158caa40db4a2787cb01411994ea4f";
- };
- }
- {
- name = "fsevents___fsevents_2.1.3.tgz";
- path = fetchurl {
- name = "fsevents___fsevents_2.1.3.tgz";
- url = "https://registry.yarnpkg.com/fsevents/-/fsevents-2.1.3.tgz";
- sha1 = "fb738703ae8d2f9fe900c33836ddebee8b97f23e";
- };
- }
- {
- name = "function_bind___function_bind_1.1.1.tgz";
- path = fetchurl {
- name = "function_bind___function_bind_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/function-bind/-/function-bind-1.1.1.tgz";
- sha1 = "a56899d3ea3c9bab874bb9773b7c5ede92f4895d";
- };
- }
- {
- name = "functional_red_black_tree___functional_red_black_tree_1.0.1.tgz";
- path = fetchurl {
- name = "functional_red_black_tree___functional_red_black_tree_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/functional-red-black-tree/-/functional-red-black-tree-1.0.1.tgz";
- sha1 = "1b0ab3bd553b2a0d6399d29c0e3ea0b252078327";
- };
- }
- {
- name = "fuzzaldrin_plus___fuzzaldrin_plus_0.6.0.tgz";
- path = fetchurl {
- name = "fuzzaldrin_plus___fuzzaldrin_plus_0.6.0.tgz";
- url = "https://registry.yarnpkg.com/fuzzaldrin-plus/-/fuzzaldrin-plus-0.6.0.tgz";
- sha1 = "832f6489fbe876769459599c914a670ec22947ee";
- };
- }
- {
- name = "gensync___gensync_1.0.0_beta.1.tgz";
- path = fetchurl {
- name = "gensync___gensync_1.0.0_beta.1.tgz";
- url = "https://registry.yarnpkg.com/gensync/-/gensync-1.0.0-beta.1.tgz";
- sha1 = "58f4361ff987e5ff6e1e7a210827aa371eaac269";
- };
- }
- {
- name = "get_caller_file___get_caller_file_2.0.5.tgz";
- path = fetchurl {
- name = "get_caller_file___get_caller_file_2.0.5.tgz";
- url = "https://registry.yarnpkg.com/get-caller-file/-/get-caller-file-2.0.5.tgz";
- sha1 = "4f94412a82db32f36e3b0b9741f8a97feb031f7e";
- };
- }
- {
- name = "get_intrinsic___get_intrinsic_1.1.1.tgz";
- path = fetchurl {
- name = "get_intrinsic___get_intrinsic_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/get-intrinsic/-/get-intrinsic-1.1.1.tgz";
- sha1 = "15f59f376f855c446963948f0d24cd3637b4abc6";
- };
- }
- {
- name = "get_package_type___get_package_type_0.1.0.tgz";
- path = fetchurl {
- name = "get_package_type___get_package_type_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/get-package-type/-/get-package-type-0.1.0.tgz";
- sha1 = "8de2d803cff44df3bc6c456e6668b36c3926e11a";
- };
- }
- {
- name = "get_stdin___get_stdin_6.0.0.tgz";
- path = fetchurl {
- name = "get_stdin___get_stdin_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/get-stdin/-/get-stdin-6.0.0.tgz";
- sha1 = "9e09bf712b360ab9225e812048f71fde9c89657b";
- };
- }
- {
- name = "get_stdin___get_stdin_8.0.0.tgz";
- path = fetchurl {
- name = "get_stdin___get_stdin_8.0.0.tgz";
- url = "https://registry.yarnpkg.com/get-stdin/-/get-stdin-8.0.0.tgz";
- sha1 = "cbad6a73feb75f6eeb22ba9e01f89aa28aa97a53";
- };
- }
- {
- name = "get_stream___get_stream_4.1.0.tgz";
- path = fetchurl {
- name = "get_stream___get_stream_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/get-stream/-/get-stream-4.1.0.tgz";
- sha1 = "c1b255575f3dc21d59bfc79cd3d2b46b1c3a54b5";
- };
- }
- {
- name = "get_stream___get_stream_5.2.0.tgz";
- path = fetchurl {
- name = "get_stream___get_stream_5.2.0.tgz";
- url = "https://registry.yarnpkg.com/get-stream/-/get-stream-5.2.0.tgz";
- sha1 = "4966a1795ee5ace65e706c4b7beb71257d6e22d3";
- };
- }
- {
- name = "get_value___get_value_2.0.6.tgz";
- path = fetchurl {
- name = "get_value___get_value_2.0.6.tgz";
- url = "https://registry.yarnpkg.com/get-value/-/get-value-2.0.6.tgz";
- sha1 = "dc15ca1c672387ca76bd37ac0a395ba2042a2c28";
- };
- }
- {
- name = "getpass___getpass_0.1.7.tgz";
- path = fetchurl {
- name = "getpass___getpass_0.1.7.tgz";
- url = "https://registry.yarnpkg.com/getpass/-/getpass-0.1.7.tgz";
- sha1 = "5eff8e3e684d569ae4cb2b1282604e8ba62149fa";
- };
- }
- {
- name = "gettext_extractor_vue___gettext_extractor_vue_5.0.0.tgz";
- path = fetchurl {
- name = "gettext_extractor_vue___gettext_extractor_vue_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/gettext-extractor-vue/-/gettext-extractor-vue-5.0.0.tgz";
- sha1 = "dc463868d49e14097c4545c8ed4851d8d3edd6dd";
- };
- }
- {
- name = "gettext_extractor___gettext_extractor_3.5.3.tgz";
- path = fetchurl {
- name = "gettext_extractor___gettext_extractor_3.5.3.tgz";
- url = "https://registry.yarnpkg.com/gettext-extractor/-/gettext-extractor-3.5.3.tgz";
- sha1 = "6ed46931c154a7485a80fa8b91b835ff7b8d0411";
- };
- }
- {
- name = "glob_parent___glob_parent_5.1.2.tgz";
- path = fetchurl {
- name = "glob_parent___glob_parent_5.1.2.tgz";
- url = "https://registry.yarnpkg.com/glob-parent/-/glob-parent-5.1.2.tgz";
- sha1 = "869832c58034fe68a4093c17dc15e8340d8401c4";
- };
- }
- {
- name = "glob_to_regexp___glob_to_regexp_0.4.1.tgz";
- path = fetchurl {
- name = "glob_to_regexp___glob_to_regexp_0.4.1.tgz";
- url = "https://registry.yarnpkg.com/glob-to-regexp/-/glob-to-regexp-0.4.1.tgz";
- sha1 = "c75297087c851b9a578bd217dd59a92f59fe546e";
- };
- }
- {
- name = "glob___glob_7.1.7.tgz";
- path = fetchurl {
- name = "glob___glob_7.1.7.tgz";
- url = "https://registry.yarnpkg.com/glob/-/glob-7.1.7.tgz";
- sha1 = "3b193e9233f01d42d0b3f78294bbeeb418f94a90";
- };
- }
- {
- name = "global_dirs___global_dirs_2.0.1.tgz";
- path = fetchurl {
- name = "global_dirs___global_dirs_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/global-dirs/-/global-dirs-2.0.1.tgz";
- sha1 = "acdf3bb6685bcd55cb35e8a052266569e9469201";
- };
- }
- {
- name = "global_modules___global_modules_1.0.0.tgz";
- path = fetchurl {
- name = "global_modules___global_modules_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/global-modules/-/global-modules-1.0.0.tgz";
- sha1 = "6d770f0eb523ac78164d72b5e71a8877265cc3ea";
- };
- }
- {
- name = "global_modules___global_modules_2.0.0.tgz";
- path = fetchurl {
- name = "global_modules___global_modules_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/global-modules/-/global-modules-2.0.0.tgz";
- sha1 = "997605ad2345f27f51539bea26574421215c7780";
- };
- }
- {
- name = "global_prefix___global_prefix_1.0.2.tgz";
- path = fetchurl {
- name = "global_prefix___global_prefix_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/global-prefix/-/global-prefix-1.0.2.tgz";
- sha1 = "dbf743c6c14992593c655568cb66ed32c0122ebe";
- };
- }
- {
- name = "global_prefix___global_prefix_3.0.0.tgz";
- path = fetchurl {
- name = "global_prefix___global_prefix_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/global-prefix/-/global-prefix-3.0.0.tgz";
- sha1 = "fc85f73064df69f50421f47f883fe5b913ba9b97";
- };
- }
- {
- name = "global___global_4.4.0.tgz";
- path = fetchurl {
- name = "global___global_4.4.0.tgz";
- url = "https://registry.yarnpkg.com/global/-/global-4.4.0.tgz";
- sha1 = "3e7b105179006a323ed71aafca3e9c57a5cc6406";
- };
- }
- {
- name = "globals___globals_11.12.0.tgz";
- path = fetchurl {
- name = "globals___globals_11.12.0.tgz";
- url = "https://registry.yarnpkg.com/globals/-/globals-11.12.0.tgz";
- sha1 = "ab8795338868a0babd8525758018c2a7eb95c42e";
- };
- }
- {
- name = "globals___globals_13.9.0.tgz";
- path = fetchurl {
- name = "globals___globals_13.9.0.tgz";
- url = "https://registry.yarnpkg.com/globals/-/globals-13.9.0.tgz";
- sha1 = "4bf2bf635b334a173fb1daf7c5e6b218ecdc06cb";
- };
- }
- {
- name = "globby___globby_11.0.3.tgz";
- path = fetchurl {
- name = "globby___globby_11.0.3.tgz";
- url = "https://registry.yarnpkg.com/globby/-/globby-11.0.3.tgz";
- sha1 = "9b1f0cb523e171dd1ad8c7b2a9fb4b644b9593cb";
- };
- }
- {
- name = "globby___globby_6.1.0.tgz";
- path = fetchurl {
- name = "globby___globby_6.1.0.tgz";
- url = "https://registry.yarnpkg.com/globby/-/globby-6.1.0.tgz";
- sha1 = "f5a6d70e8395e21c858fb0489d64df02424d506c";
- };
- }
- {
- name = "globjoin___globjoin_0.1.4.tgz";
- path = fetchurl {
- name = "globjoin___globjoin_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/globjoin/-/globjoin-0.1.4.tgz";
- sha1 = "2f4494ac8919e3767c5cbb691e9f463324285d43";
- };
- }
- {
- name = "gonzales_pe___gonzales_pe_4.3.0.tgz";
- path = fetchurl {
- name = "gonzales_pe___gonzales_pe_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/gonzales-pe/-/gonzales-pe-4.3.0.tgz";
- sha1 = "fe9dec5f3c557eead09ff868c65826be54d067b3";
- };
- }
- {
- name = "good_listener___good_listener_1.2.2.tgz";
- path = fetchurl {
- name = "good_listener___good_listener_1.2.2.tgz";
- url = "https://registry.yarnpkg.com/good-listener/-/good-listener-1.2.2.tgz";
- sha1 = "d53b30cdf9313dffb7dc9a0d477096aa6d145c50";
- };
- }
- {
- name = "got___got_9.6.0.tgz";
- path = fetchurl {
- name = "got___got_9.6.0.tgz";
- url = "https://registry.yarnpkg.com/got/-/got-9.6.0.tgz";
- sha1 = "edf45e7d67f99545705de1f7bbeeeb121765ed85";
- };
- }
- {
- name = "graceful_fs___graceful_fs_4.2.4.tgz";
- path = fetchurl {
- name = "graceful_fs___graceful_fs_4.2.4.tgz";
- url = "https://registry.yarnpkg.com/graceful-fs/-/graceful-fs-4.2.4.tgz";
- sha1 = "2256bde14d3632958c465ebc96dc467ca07a29fb";
- };
- }
- {
- name = "graphlib___graphlib_2.1.8.tgz";
- path = fetchurl {
- name = "graphlib___graphlib_2.1.8.tgz";
- url = "https://registry.yarnpkg.com/graphlib/-/graphlib-2.1.8.tgz";
- sha1 = "5761d414737870084c92ec7b5dbcb0592c9d35da";
- };
- }
- {
- name = "graphql_tag___graphql_tag_2.11.0.tgz";
- path = fetchurl {
- name = "graphql_tag___graphql_tag_2.11.0.tgz";
- url = "https://registry.yarnpkg.com/graphql-tag/-/graphql-tag-2.11.0.tgz";
- sha1 = "1deb53a01c46a7eb401d6cb59dec86fa1cccbffd";
- };
- }
- {
- name = "graphql___graphql_15.4.0.tgz";
- path = fetchurl {
- name = "graphql___graphql_15.4.0.tgz";
- url = "https://registry.yarnpkg.com/graphql/-/graphql-15.4.0.tgz";
- sha1 = "e459dea1150da5a106486ba7276518b5295a4347";
- };
- }
- {
- name = "growly___growly_1.3.0.tgz";
- path = fetchurl {
- name = "growly___growly_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/growly/-/growly-1.3.0.tgz";
- sha1 = "f10748cbe76af964b7c96c93c6bcc28af120c081";
- };
- }
- {
- name = "gzip_size___gzip_size_6.0.0.tgz";
- path = fetchurl {
- name = "gzip_size___gzip_size_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/gzip-size/-/gzip-size-6.0.0.tgz";
- sha1 = "065367fd50c239c0671cbcbad5be3e2eeb10e462";
- };
- }
- {
- name = "handle_thing___handle_thing_2.0.0.tgz";
- path = fetchurl {
- name = "handle_thing___handle_thing_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/handle-thing/-/handle-thing-2.0.0.tgz";
- sha1 = "0e039695ff50c93fc288557d696f3c1dc6776754";
- };
- }
- {
- name = "handlebars___handlebars_4.7.2.tgz";
- path = fetchurl {
- name = "handlebars___handlebars_4.7.2.tgz";
- url = "https://registry.yarnpkg.com/handlebars/-/handlebars-4.7.2.tgz";
- sha1 = "01127b3840156a0927058779482031afe0e730d7";
- };
- }
- {
- name = "har_schema___har_schema_2.0.0.tgz";
- path = fetchurl {
- name = "har_schema___har_schema_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/har-schema/-/har-schema-2.0.0.tgz";
- sha1 = "a94c2224ebcac04782a0d9035521f24735b7ec92";
- };
- }
- {
- name = "har_validator___har_validator_5.1.5.tgz";
- path = fetchurl {
- name = "har_validator___har_validator_5.1.5.tgz";
- url = "https://registry.yarnpkg.com/har-validator/-/har-validator-5.1.5.tgz";
- sha1 = "1f0803b9f8cb20c0fa13822df1ecddb36bde1efd";
- };
- }
- {
- name = "hard_rejection___hard_rejection_2.1.0.tgz";
- path = fetchurl {
- name = "hard_rejection___hard_rejection_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/hard-rejection/-/hard-rejection-2.1.0.tgz";
- sha1 = "1c6eda5c1685c63942766d79bb40ae773cecd883";
- };
- }
- {
- name = "has_binary2___has_binary2_1.0.2.tgz";
- path = fetchurl {
- name = "has_binary2___has_binary2_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/has-binary2/-/has-binary2-1.0.2.tgz";
- sha1 = "e83dba49f0b9be4d026d27365350d9f03f54be98";
- };
- }
- {
- name = "has_cors___has_cors_1.1.0.tgz";
- path = fetchurl {
- name = "has_cors___has_cors_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/has-cors/-/has-cors-1.1.0.tgz";
- sha1 = "5e474793f7ea9843d1bb99c23eef49ff126fff39";
- };
- }
- {
- name = "has_flag___has_flag_3.0.0.tgz";
- path = fetchurl {
- name = "has_flag___has_flag_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/has-flag/-/has-flag-3.0.0.tgz";
- sha1 = "b5d454dc2199ae225699f3467e5a07f3b955bafd";
- };
- }
- {
- name = "has_flag___has_flag_4.0.0.tgz";
- path = fetchurl {
- name = "has_flag___has_flag_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/has-flag/-/has-flag-4.0.0.tgz";
- sha1 = "944771fd9c81c81265c4d6941860da06bb59479b";
- };
- }
- {
- name = "has_symbols___has_symbols_1.0.2.tgz";
- path = fetchurl {
- name = "has_symbols___has_symbols_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/has-symbols/-/has-symbols-1.0.2.tgz";
- sha1 = "165d3070c00309752a1236a479331e3ac56f1423";
- };
- }
- {
- name = "has_value___has_value_0.3.1.tgz";
- path = fetchurl {
- name = "has_value___has_value_0.3.1.tgz";
- url = "https://registry.yarnpkg.com/has-value/-/has-value-0.3.1.tgz";
- sha1 = "7b1f58bada62ca827ec0a2078025654845995e1f";
- };
- }
- {
- name = "has_value___has_value_1.0.0.tgz";
- path = fetchurl {
- name = "has_value___has_value_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/has-value/-/has-value-1.0.0.tgz";
- sha1 = "18b281da585b1c5c51def24c930ed29a0be6b177";
- };
- }
- {
- name = "has_values___has_values_0.1.4.tgz";
- path = fetchurl {
- name = "has_values___has_values_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/has-values/-/has-values-0.1.4.tgz";
- sha1 = "6d61de95d91dfca9b9a02089ad384bff8f62b771";
- };
- }
- {
- name = "has_values___has_values_1.0.0.tgz";
- path = fetchurl {
- name = "has_values___has_values_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/has-values/-/has-values-1.0.0.tgz";
- sha1 = "95b0b63fec2146619a6fe57fe75628d5a39efe4f";
- };
- }
- {
- name = "has_yarn___has_yarn_2.1.0.tgz";
- path = fetchurl {
- name = "has_yarn___has_yarn_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/has-yarn/-/has-yarn-2.1.0.tgz";
- sha1 = "137e11354a7b5bf11aa5cb649cf0c6f3ff2b2e77";
- };
- }
- {
- name = "has___has_1.0.3.tgz";
- path = fetchurl {
- name = "has___has_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/has/-/has-1.0.3.tgz";
- sha1 = "722d7cbfc1f6aa8241f16dd814e011e1f41e8796";
- };
- }
- {
- name = "hash_base___hash_base_2.0.2.tgz";
- path = fetchurl {
- name = "hash_base___hash_base_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/hash-base/-/hash-base-2.0.2.tgz";
- sha1 = "66ea1d856db4e8a5470cadf6fce23ae5244ef2e1";
- };
- }
- {
- name = "hash_base___hash_base_3.0.4.tgz";
- path = fetchurl {
- name = "hash_base___hash_base_3.0.4.tgz";
- url = "https://registry.yarnpkg.com/hash-base/-/hash-base-3.0.4.tgz";
- sha1 = "5fc8686847ecd73499403319a6b0a3f3f6ae4918";
- };
- }
- {
- name = "hash_sum___hash_sum_1.0.2.tgz";
- path = fetchurl {
- name = "hash_sum___hash_sum_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/hash-sum/-/hash-sum-1.0.2.tgz";
- sha1 = "33b40777754c6432573c120cc3808bbd10d47f04";
- };
- }
- {
- name = "hash.js___hash.js_1.1.3.tgz";
- path = fetchurl {
- name = "hash.js___hash.js_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/hash.js/-/hash.js-1.1.3.tgz";
- sha1 = "340dedbe6290187151c1ea1d777a3448935df846";
- };
- }
- {
- name = "he___he_1.2.0.tgz";
- path = fetchurl {
- name = "he___he_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/he/-/he-1.2.0.tgz";
- sha1 = "84ae65fa7eafb165fddb61566ae14baf05664f0f";
- };
- }
- {
- name = "highlight.js___highlight.js_10.7.2.tgz";
- path = fetchurl {
- name = "highlight.js___highlight.js_10.7.2.tgz";
- url = "https://registry.yarnpkg.com/highlight.js/-/highlight.js-10.7.2.tgz";
- sha1 = "89319b861edc66c48854ed1e6da21ea89f847360";
- };
- }
- {
- name = "hmac_drbg___hmac_drbg_1.0.1.tgz";
- path = fetchurl {
- name = "hmac_drbg___hmac_drbg_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/hmac-drbg/-/hmac-drbg-1.0.1.tgz";
- sha1 = "d2745701025a6c775a6c545793ed502fc0c649a1";
- };
- }
- {
- name = "homedir_polyfill___homedir_polyfill_1.0.1.tgz";
- path = fetchurl {
- name = "homedir_polyfill___homedir_polyfill_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/homedir-polyfill/-/homedir-polyfill-1.0.1.tgz";
- sha1 = "4c2bbc8a758998feebf5ed68580f76d46768b4bc";
- };
- }
- {
- name = "hosted_git_info___hosted_git_info_2.8.8.tgz";
- path = fetchurl {
- name = "hosted_git_info___hosted_git_info_2.8.8.tgz";
- url = "https://registry.yarnpkg.com/hosted-git-info/-/hosted-git-info-2.8.8.tgz";
- sha1 = "7539bd4bc1e0e0a895815a2e0262420b12858488";
- };
- }
- {
- name = "hosted_git_info___hosted_git_info_3.0.8.tgz";
- path = fetchurl {
- name = "hosted_git_info___hosted_git_info_3.0.8.tgz";
- url = "https://registry.yarnpkg.com/hosted-git-info/-/hosted-git-info-3.0.8.tgz";
- sha1 = "6e35d4cc87af2c5f816e4cb9ce350ba87a3f370d";
- };
- }
- {
- name = "hpack.js___hpack.js_2.1.6.tgz";
- path = fetchurl {
- name = "hpack.js___hpack.js_2.1.6.tgz";
- url = "https://registry.yarnpkg.com/hpack.js/-/hpack.js-2.1.6.tgz";
- sha1 = "87774c0949e513f42e84575b3c45681fade2a0b2";
- };
- }
- {
- name = "html_encoding_sniffer___html_encoding_sniffer_2.0.1.tgz";
- path = fetchurl {
- name = "html_encoding_sniffer___html_encoding_sniffer_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/html-encoding-sniffer/-/html-encoding-sniffer-2.0.1.tgz";
- sha1 = "42a6dc4fd33f00281176e8b23759ca4e4fa185f3";
- };
- }
- {
- name = "html_entities___html_entities_1.4.0.tgz";
- path = fetchurl {
- name = "html_entities___html_entities_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/html-entities/-/html-entities-1.4.0.tgz";
- sha1 = "cfbd1b01d2afaf9adca1b10ae7dffab98c71d2dc";
- };
- }
- {
- name = "html_escaper___html_escaper_2.0.0.tgz";
- path = fetchurl {
- name = "html_escaper___html_escaper_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/html-escaper/-/html-escaper-2.0.0.tgz";
- sha1 = "71e87f931de3fe09e56661ab9a29aadec707b491";
- };
- }
- {
- name = "html_minifier___html_minifier_4.0.0.tgz";
- path = fetchurl {
- name = "html_minifier___html_minifier_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/html-minifier/-/html-minifier-4.0.0.tgz";
- sha1 = "cca9aad8bce1175e02e17a8c33e46d8988889f56";
- };
- }
- {
- name = "html_tags___html_tags_3.1.0.tgz";
- path = fetchurl {
- name = "html_tags___html_tags_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/html-tags/-/html-tags-3.1.0.tgz";
- sha1 = "7b5e6f7e665e9fb41f30007ed9e0d41e97fb2140";
- };
- }
- {
- name = "htmlparser2___htmlparser2_3.10.1.tgz";
- path = fetchurl {
- name = "htmlparser2___htmlparser2_3.10.1.tgz";
- url = "https://registry.yarnpkg.com/htmlparser2/-/htmlparser2-3.10.1.tgz";
- sha1 = "bd679dc3f59897b6a34bb10749c855bb53a9392f";
- };
- }
- {
- name = "htmlparser2___htmlparser2_6.1.0.tgz";
- path = fetchurl {
- name = "htmlparser2___htmlparser2_6.1.0.tgz";
- url = "https://registry.yarnpkg.com/htmlparser2/-/htmlparser2-6.1.0.tgz";
- sha1 = "c4d762b6c3371a05dbe65e94ae43a9f845fb8fb7";
- };
- }
- {
- name = "http_cache_semantics___http_cache_semantics_4.1.0.tgz";
- path = fetchurl {
- name = "http_cache_semantics___http_cache_semantics_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/http-cache-semantics/-/http-cache-semantics-4.1.0.tgz";
- sha1 = "49e91c5cbf36c9b94bcfcd71c23d5249ec74e390";
- };
- }
- {
- name = "http_deceiver___http_deceiver_1.2.7.tgz";
- path = fetchurl {
- name = "http_deceiver___http_deceiver_1.2.7.tgz";
- url = "https://registry.yarnpkg.com/http-deceiver/-/http-deceiver-1.2.7.tgz";
- sha1 = "fa7168944ab9a519d337cb0bec7284dc3e723d87";
- };
- }
- {
- name = "http_errors___http_errors_1.7.2.tgz";
- path = fetchurl {
- name = "http_errors___http_errors_1.7.2.tgz";
- url = "https://registry.yarnpkg.com/http-errors/-/http-errors-1.7.2.tgz";
- sha1 = "4f5029cf13239f31036e5b2e55292bcfbcc85c8f";
- };
- }
- {
- name = "http_errors___http_errors_1.6.2.tgz";
- path = fetchurl {
- name = "http_errors___http_errors_1.6.2.tgz";
- url = "https://registry.yarnpkg.com/http-errors/-/http-errors-1.6.2.tgz";
- sha1 = "0a002cc85707192a7e7946ceedc11155f60ec736";
- };
- }
- {
- name = "http_parser_js___http_parser_js_0.5.3.tgz";
- path = fetchurl {
- name = "http_parser_js___http_parser_js_0.5.3.tgz";
- url = "https://registry.yarnpkg.com/http-parser-js/-/http-parser-js-0.5.3.tgz";
- sha1 = "01d2709c79d41698bb01d4decc5e9da4e4a033d9";
- };
- }
- {
- name = "http_proxy_agent___http_proxy_agent_2.1.0.tgz";
- path = fetchurl {
- name = "http_proxy_agent___http_proxy_agent_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/http-proxy-agent/-/http-proxy-agent-2.1.0.tgz";
- sha1 = "e4821beef5b2142a2026bd73926fe537631c5405";
- };
- }
- {
- name = "http_proxy_middleware___http_proxy_middleware_0.19.1.tgz";
- path = fetchurl {
- name = "http_proxy_middleware___http_proxy_middleware_0.19.1.tgz";
- url = "https://registry.yarnpkg.com/http-proxy-middleware/-/http-proxy-middleware-0.19.1.tgz";
- sha1 = "183c7dc4aa1479150306498c210cdaf96080a43a";
- };
- }
- {
- name = "http_proxy___http_proxy_1.18.1.tgz";
- path = fetchurl {
- name = "http_proxy___http_proxy_1.18.1.tgz";
- url = "https://registry.yarnpkg.com/http-proxy/-/http-proxy-1.18.1.tgz";
- sha1 = "401541f0534884bbf95260334e72f88ee3976549";
- };
- }
- {
- name = "http_signature___http_signature_1.2.0.tgz";
- path = fetchurl {
- name = "http_signature___http_signature_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/http-signature/-/http-signature-1.2.0.tgz";
- sha1 = "9aecd925114772f3d95b65a60abb8f7c18fbace1";
- };
- }
- {
- name = "https_browserify___https_browserify_1.0.0.tgz";
- path = fetchurl {
- name = "https_browserify___https_browserify_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/https-browserify/-/https-browserify-1.0.0.tgz";
- sha1 = "ec06c10e0a34c0f2faf199f7fd7fc78fffd03c73";
- };
- }
- {
- name = "https_proxy_agent___https_proxy_agent_2.2.4.tgz";
- path = fetchurl {
- name = "https_proxy_agent___https_proxy_agent_2.2.4.tgz";
- url = "https://registry.yarnpkg.com/https-proxy-agent/-/https-proxy-agent-2.2.4.tgz";
- sha1 = "4ee7a737abd92678a293d9b34a1af4d0d08c787b";
- };
- }
- {
- name = "human_signals___human_signals_1.1.1.tgz";
- path = fetchurl {
- name = "human_signals___human_signals_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/human-signals/-/human-signals-1.1.1.tgz";
- sha1 = "c5b1cd14f50aeae09ab6c59fe63ba3395fe4dfa3";
- };
- }
- {
- name = "iconv_lite___iconv_lite_0.4.24.tgz";
- path = fetchurl {
- name = "iconv_lite___iconv_lite_0.4.24.tgz";
- url = "https://registry.yarnpkg.com/iconv-lite/-/iconv-lite-0.4.24.tgz";
- sha1 = "2022b4b25fbddc21d2f524974a474aafe733908b";
- };
- }
- {
- name = "icss_replace_symbols___icss_replace_symbols_1.1.0.tgz";
- path = fetchurl {
- name = "icss_replace_symbols___icss_replace_symbols_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/icss-replace-symbols/-/icss-replace-symbols-1.1.0.tgz";
- sha1 = "06ea6f83679a7749e386cfe1fe812ae5db223ded";
- };
- }
- {
- name = "icss_utils___icss_utils_4.1.1.tgz";
- path = fetchurl {
- name = "icss_utils___icss_utils_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/icss-utils/-/icss-utils-4.1.1.tgz";
- sha1 = "21170b53789ee27447c2f47dd683081403f9a467";
- };
- }
- {
- name = "ieee754___ieee754_1.1.13.tgz";
- path = fetchurl {
- name = "ieee754___ieee754_1.1.13.tgz";
- url = "https://registry.yarnpkg.com/ieee754/-/ieee754-1.1.13.tgz";
- sha1 = "ec168558e95aa181fd87d37f55c32bbcb6708b84";
- };
- }
- {
- name = "iferr___iferr_0.1.5.tgz";
- path = fetchurl {
- name = "iferr___iferr_0.1.5.tgz";
- url = "https://registry.yarnpkg.com/iferr/-/iferr-0.1.5.tgz";
- sha1 = "c60eed69e6d8fdb6b3104a1fcbca1c192dc5b501";
- };
- }
- {
- name = "ignore_by_default___ignore_by_default_1.0.1.tgz";
- path = fetchurl {
- name = "ignore_by_default___ignore_by_default_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/ignore-by-default/-/ignore-by-default-1.0.1.tgz";
- sha1 = "48ca6d72f6c6a3af00a9ad4ae6876be3889e2b09";
- };
- }
- {
- name = "ignore___ignore_4.0.6.tgz";
- path = fetchurl {
- name = "ignore___ignore_4.0.6.tgz";
- url = "https://registry.yarnpkg.com/ignore/-/ignore-4.0.6.tgz";
- sha1 = "750e3db5862087b4737ebac8207ffd1ef27b25fc";
- };
- }
- {
- name = "ignore___ignore_5.1.8.tgz";
- path = fetchurl {
- name = "ignore___ignore_5.1.8.tgz";
- url = "https://registry.yarnpkg.com/ignore/-/ignore-5.1.8.tgz";
- sha1 = "f150a8b50a34289b33e22f5889abd4d8016f0e57";
- };
- }
- {
- name = "immediate___immediate_3.0.6.tgz";
- path = fetchurl {
- name = "immediate___immediate_3.0.6.tgz";
- url = "https://registry.yarnpkg.com/immediate/-/immediate-3.0.6.tgz";
- sha1 = "9db1dbd0faf8de6fbe0f5dd5e56bb606280de69b";
- };
- }
- {
- name = "immer___immer_7.0.7.tgz";
- path = fetchurl {
- name = "immer___immer_7.0.7.tgz";
- url = "https://registry.yarnpkg.com/immer/-/immer-7.0.7.tgz";
- sha1 = "9dfe713d49bf871cc59aedfce59b1992fa37a977";
- };
- }
- {
- name = "import_fresh___import_fresh_3.3.0.tgz";
- path = fetchurl {
- name = "import_fresh___import_fresh_3.3.0.tgz";
- url = "https://registry.yarnpkg.com/import-fresh/-/import-fresh-3.3.0.tgz";
- sha1 = "37162c25fcb9ebaa2e6e53d5b4d88ce17d9e0c2b";
- };
- }
- {
- name = "import_lazy___import_lazy_2.1.0.tgz";
- path = fetchurl {
- name = "import_lazy___import_lazy_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/import-lazy/-/import-lazy-2.1.0.tgz";
- sha1 = "05698e3d45c88e8d7e9d92cb0584e77f096f3e43";
- };
- }
- {
- name = "import_lazy___import_lazy_4.0.0.tgz";
- path = fetchurl {
- name = "import_lazy___import_lazy_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/import-lazy/-/import-lazy-4.0.0.tgz";
- sha1 = "e8eb627483a0a43da3c03f3e35548be5cb0cc153";
- };
- }
- {
- name = "import_local___import_local_2.0.0.tgz";
- path = fetchurl {
- name = "import_local___import_local_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/import-local/-/import-local-2.0.0.tgz";
- sha1 = "55070be38a5993cf18ef6db7e961f5bee5c5a09d";
- };
- }
- {
- name = "import_local___import_local_3.0.2.tgz";
- path = fetchurl {
- name = "import_local___import_local_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/import-local/-/import-local-3.0.2.tgz";
- sha1 = "a8cfd0431d1de4a2199703d003e3e62364fa6db6";
- };
- }
- {
- name = "imurmurhash___imurmurhash_0.1.4.tgz";
- path = fetchurl {
- name = "imurmurhash___imurmurhash_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/imurmurhash/-/imurmurhash-0.1.4.tgz";
- sha1 = "9218b9b2b928a238b13dc4fb6b6d576f231453ea";
- };
- }
- {
- name = "indent_string___indent_string_4.0.0.tgz";
- path = fetchurl {
- name = "indent_string___indent_string_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/indent-string/-/indent-string-4.0.0.tgz";
- sha1 = "624f8f4497d619b2d9768531d58f4122854d7251";
- };
- }
- {
- name = "indexes_of___indexes_of_1.0.1.tgz";
- path = fetchurl {
- name = "indexes_of___indexes_of_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/indexes-of/-/indexes-of-1.0.1.tgz";
- sha1 = "f30f716c8e2bd346c7b67d3df3915566a7c05607";
- };
- }
- {
- name = "indexof___indexof_0.0.1.tgz";
- path = fetchurl {
- name = "indexof___indexof_0.0.1.tgz";
- url = "https://registry.yarnpkg.com/indexof/-/indexof-0.0.1.tgz";
- sha1 = "82dc336d232b9062179d05ab3293a66059fd435d";
- };
- }
- {
- name = "infer_owner___infer_owner_1.0.4.tgz";
- path = fetchurl {
- name = "infer_owner___infer_owner_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/infer-owner/-/infer-owner-1.0.4.tgz";
- sha1 = "c4cefcaa8e51051c2a40ba2ce8a3d27295af9467";
- };
- }
- {
- name = "inflected___inflected_2.0.4.tgz";
- path = fetchurl {
- name = "inflected___inflected_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/inflected/-/inflected-2.0.4.tgz";
- sha1 = "323770961ccbe992a98ea930512e9a82d3d3ef77";
- };
- }
- {
- name = "inflight___inflight_1.0.6.tgz";
- path = fetchurl {
- name = "inflight___inflight_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/inflight/-/inflight-1.0.6.tgz";
- sha1 = "49bd6331d7d02d0c09bc910a1075ba8165b56df9";
- };
- }
- {
- name = "inherits___inherits_2.0.4.tgz";
- path = fetchurl {
- name = "inherits___inherits_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/inherits/-/inherits-2.0.4.tgz";
- sha1 = "0fa2c64f932917c3433a0ded55363aae37416b7c";
- };
- }
- {
- name = "inherits___inherits_2.0.1.tgz";
- path = fetchurl {
- name = "inherits___inherits_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/inherits/-/inherits-2.0.1.tgz";
- sha1 = "b17d08d326b4423e568eff719f91b0b1cbdf69f1";
- };
- }
- {
- name = "inherits___inherits_2.0.3.tgz";
- path = fetchurl {
- name = "inherits___inherits_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/inherits/-/inherits-2.0.3.tgz";
- sha1 = "633c2c83e3da42a502f52466022480f4208261de";
- };
- }
- {
- name = "ini___ini_1.3.8.tgz";
- path = fetchurl {
- name = "ini___ini_1.3.8.tgz";
- url = "https://registry.yarnpkg.com/ini/-/ini-1.3.8.tgz";
- sha1 = "a29da425b48806f34767a4efce397269af28432c";
- };
- }
- {
- name = "internal_ip___internal_ip_4.3.0.tgz";
- path = fetchurl {
- name = "internal_ip___internal_ip_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/internal-ip/-/internal-ip-4.3.0.tgz";
- sha1 = "845452baad9d2ca3b69c635a137acb9a0dad0907";
- };
- }
- {
- name = "interpret___interpret_1.4.0.tgz";
- path = fetchurl {
- name = "interpret___interpret_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/interpret/-/interpret-1.4.0.tgz";
- sha1 = "665ab8bc4da27a774a40584e812e3e0fa45b1a1e";
- };
- }
- {
- name = "invariant___invariant_2.2.4.tgz";
- path = fetchurl {
- name = "invariant___invariant_2.2.4.tgz";
- url = "https://registry.yarnpkg.com/invariant/-/invariant-2.2.4.tgz";
- sha1 = "610f3c92c9359ce1db616e538008d23ff35158e6";
- };
- }
- {
- name = "ip_regex___ip_regex_2.1.0.tgz";
- path = fetchurl {
- name = "ip_regex___ip_regex_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/ip-regex/-/ip-regex-2.1.0.tgz";
- sha1 = "fa78bf5d2e6913c911ce9f819ee5146bb6d844e9";
- };
- }
- {
- name = "ip___ip_1.1.5.tgz";
- path = fetchurl {
- name = "ip___ip_1.1.5.tgz";
- url = "https://registry.yarnpkg.com/ip/-/ip-1.1.5.tgz";
- sha1 = "bdded70114290828c0a039e72ef25f5aaec4354a";
- };
- }
- {
- name = "ipaddr.js___ipaddr.js_1.9.0.tgz";
- path = fetchurl {
- name = "ipaddr.js___ipaddr.js_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/ipaddr.js/-/ipaddr.js-1.9.0.tgz";
- sha1 = "37df74e430a0e47550fe54a2defe30d8acd95f65";
- };
- }
- {
- name = "ipaddr.js___ipaddr.js_1.9.1.tgz";
- path = fetchurl {
- name = "ipaddr.js___ipaddr.js_1.9.1.tgz";
- url = "https://registry.yarnpkg.com/ipaddr.js/-/ipaddr.js-1.9.1.tgz";
- sha1 = "bff38543eeb8984825079ff3a2a8e6cbd46781b3";
- };
- }
- {
- name = "is_absolute_url___is_absolute_url_3.0.3.tgz";
- path = fetchurl {
- name = "is_absolute_url___is_absolute_url_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/is-absolute-url/-/is-absolute-url-3.0.3.tgz";
- sha1 = "96c6a22b6a23929b11ea0afb1836c36ad4a5d698";
- };
- }
- {
- name = "is_accessor_descriptor___is_accessor_descriptor_0.1.6.tgz";
- path = fetchurl {
- name = "is_accessor_descriptor___is_accessor_descriptor_0.1.6.tgz";
- url = "https://registry.yarnpkg.com/is-accessor-descriptor/-/is-accessor-descriptor-0.1.6.tgz";
- sha1 = "a9e12cb3ae8d876727eeef3843f8a0897b5c98d6";
- };
- }
- {
- name = "is_accessor_descriptor___is_accessor_descriptor_1.0.0.tgz";
- path = fetchurl {
- name = "is_accessor_descriptor___is_accessor_descriptor_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-accessor-descriptor/-/is-accessor-descriptor-1.0.0.tgz";
- sha1 = "169c2f6d3df1f992618072365c9b0ea1f6878656";
- };
- }
- {
- name = "is_alphabetical___is_alphabetical_1.0.4.tgz";
- path = fetchurl {
- name = "is_alphabetical___is_alphabetical_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/is-alphabetical/-/is-alphabetical-1.0.4.tgz";
- sha1 = "9e7d6b94916be22153745d184c298cbf986a686d";
- };
- }
- {
- name = "is_alphanumerical___is_alphanumerical_1.0.4.tgz";
- path = fetchurl {
- name = "is_alphanumerical___is_alphanumerical_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/is-alphanumerical/-/is-alphanumerical-1.0.4.tgz";
- sha1 = "7eb9a2431f855f6b1ef1a78e326df515696c4dbf";
- };
- }
- {
- name = "is_arrayish___is_arrayish_0.2.1.tgz";
- path = fetchurl {
- name = "is_arrayish___is_arrayish_0.2.1.tgz";
- url = "https://registry.yarnpkg.com/is-arrayish/-/is-arrayish-0.2.1.tgz";
- sha1 = "77c99840527aa8ecb1a8ba697b80645a7a926a9d";
- };
- }
- {
- name = "is_binary_path___is_binary_path_2.1.0.tgz";
- path = fetchurl {
- name = "is_binary_path___is_binary_path_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-binary-path/-/is-binary-path-2.1.0.tgz";
- sha1 = "ea1f7f3b80f064236e83470f86c09c254fb45b09";
- };
- }
- {
- name = "is_buffer___is_buffer_1.1.6.tgz";
- path = fetchurl {
- name = "is_buffer___is_buffer_1.1.6.tgz";
- url = "https://registry.yarnpkg.com/is-buffer/-/is-buffer-1.1.6.tgz";
- sha1 = "efaa2ea9daa0d7ab2ea13a97b2b8ad51fefbe8be";
- };
- }
- {
- name = "is_buffer___is_buffer_2.0.5.tgz";
- path = fetchurl {
- name = "is_buffer___is_buffer_2.0.5.tgz";
- url = "https://registry.yarnpkg.com/is-buffer/-/is-buffer-2.0.5.tgz";
- sha1 = "ebc252e400d22ff8d77fa09888821a24a658c191";
- };
- }
- {
- name = "is_callable___is_callable_1.2.3.tgz";
- path = fetchurl {
- name = "is_callable___is_callable_1.2.3.tgz";
- url = "https://registry.yarnpkg.com/is-callable/-/is-callable-1.2.3.tgz";
- sha1 = "8b1e0500b73a1d76c70487636f368e519de8db8e";
- };
- }
- {
- name = "is_ci___is_ci_2.0.0.tgz";
- path = fetchurl {
- name = "is_ci___is_ci_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-ci/-/is-ci-2.0.0.tgz";
- sha1 = "6bc6334181810e04b5c22b3d589fdca55026404c";
- };
- }
- {
- name = "is_core_module___is_core_module_2.4.0.tgz";
- path = fetchurl {
- name = "is_core_module___is_core_module_2.4.0.tgz";
- url = "https://registry.yarnpkg.com/is-core-module/-/is-core-module-2.4.0.tgz";
- sha1 = "8e9fc8e15027b011418026e98f0e6f4d86305cc1";
- };
- }
- {
- name = "is_data_descriptor___is_data_descriptor_0.1.4.tgz";
- path = fetchurl {
- name = "is_data_descriptor___is_data_descriptor_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/is-data-descriptor/-/is-data-descriptor-0.1.4.tgz";
- sha1 = "0b5ee648388e2c860282e793f1856fec3f301b56";
- };
- }
- {
- name = "is_data_descriptor___is_data_descriptor_1.0.0.tgz";
- path = fetchurl {
- name = "is_data_descriptor___is_data_descriptor_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-data-descriptor/-/is-data-descriptor-1.0.0.tgz";
- sha1 = "d84876321d0e7add03990406abbbbd36ba9268c7";
- };
- }
- {
- name = "is_date_object___is_date_object_1.0.1.tgz";
- path = fetchurl {
- name = "is_date_object___is_date_object_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/is-date-object/-/is-date-object-1.0.1.tgz";
- sha1 = "9aa20eb6aeebbff77fbd33e74ca01b33581d3a16";
- };
- }
- {
- name = "is_decimal___is_decimal_1.0.4.tgz";
- path = fetchurl {
- name = "is_decimal___is_decimal_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/is-decimal/-/is-decimal-1.0.4.tgz";
- sha1 = "65a3a5958a1c5b63a706e1b333d7cd9f630d3fa5";
- };
- }
- {
- name = "is_descriptor___is_descriptor_0.1.6.tgz";
- path = fetchurl {
- name = "is_descriptor___is_descriptor_0.1.6.tgz";
- url = "https://registry.yarnpkg.com/is-descriptor/-/is-descriptor-0.1.6.tgz";
- sha1 = "366d8240dde487ca51823b1ab9f07a10a78251ca";
- };
- }
- {
- name = "is_descriptor___is_descriptor_1.0.2.tgz";
- path = fetchurl {
- name = "is_descriptor___is_descriptor_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/is-descriptor/-/is-descriptor-1.0.2.tgz";
- sha1 = "3b159746a66604b04f8c81524ba365c5f14d86ec";
- };
- }
- {
- name = "is_docker___is_docker_2.1.1.tgz";
- path = fetchurl {
- name = "is_docker___is_docker_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/is-docker/-/is-docker-2.1.1.tgz";
- sha1 = "4125a88e44e450d384e09047ede71adc2d144156";
- };
- }
- {
- name = "is_extendable___is_extendable_0.1.1.tgz";
- path = fetchurl {
- name = "is_extendable___is_extendable_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/is-extendable/-/is-extendable-0.1.1.tgz";
- sha1 = "62b110e289a471418e3ec36a617d472e301dfc89";
- };
- }
- {
- name = "is_extendable___is_extendable_1.0.1.tgz";
- path = fetchurl {
- name = "is_extendable___is_extendable_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/is-extendable/-/is-extendable-1.0.1.tgz";
- sha1 = "a7470f9e426733d81bd81e1155264e3a3507cab4";
- };
- }
- {
- name = "is_extglob___is_extglob_2.1.1.tgz";
- path = fetchurl {
- name = "is_extglob___is_extglob_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/is-extglob/-/is-extglob-2.1.1.tgz";
- sha1 = "a88c02535791f02ed37c76a1b9ea9773c833f8c2";
- };
- }
- {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_2.0.0.tgz";
- path = fetchurl {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-2.0.0.tgz";
- sha1 = "a3b30a5c4f199183167aaab93beefae3ddfb654f";
- };
- }
- {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_3.0.0.tgz";
- path = fetchurl {
- name = "is_fullwidth_code_point___is_fullwidth_code_point_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz";
- sha1 = "f116f8064fe90b3f7844a38997c0b75051269f1d";
- };
- }
- {
- name = "is_generator_fn___is_generator_fn_2.1.0.tgz";
- path = fetchurl {
- name = "is_generator_fn___is_generator_fn_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-generator-fn/-/is-generator-fn-2.1.0.tgz";
- sha1 = "7d140adc389aaf3011a8f2a2a4cfa6faadffb118";
- };
- }
- {
- name = "is_glob___is_glob_4.0.1.tgz";
- path = fetchurl {
- name = "is_glob___is_glob_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/is-glob/-/is-glob-4.0.1.tgz";
- sha1 = "7567dbe9f2f5e2467bc77ab83c4a29482407a5dc";
- };
- }
- {
- name = "is_hexadecimal___is_hexadecimal_1.0.4.tgz";
- path = fetchurl {
- name = "is_hexadecimal___is_hexadecimal_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/is-hexadecimal/-/is-hexadecimal-1.0.4.tgz";
- sha1 = "cc35c97588da4bd49a8eedd6bc4082d44dcb23a7";
- };
- }
- {
- name = "is_installed_globally___is_installed_globally_0.3.2.tgz";
- path = fetchurl {
- name = "is_installed_globally___is_installed_globally_0.3.2.tgz";
- url = "https://registry.yarnpkg.com/is-installed-globally/-/is-installed-globally-0.3.2.tgz";
- sha1 = "fd3efa79ee670d1187233182d5b0a1dd00313141";
- };
- }
- {
- name = "is_negative_zero___is_negative_zero_2.0.1.tgz";
- path = fetchurl {
- name = "is_negative_zero___is_negative_zero_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/is-negative-zero/-/is-negative-zero-2.0.1.tgz";
- sha1 = "3de746c18dda2319241a53675908d8f766f11c24";
- };
- }
- {
- name = "is_npm___is_npm_4.0.0.tgz";
- path = fetchurl {
- name = "is_npm___is_npm_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-npm/-/is-npm-4.0.0.tgz";
- sha1 = "c90dd8380696df87a7a6d823c20d0b12bbe3c84d";
- };
- }
- {
- name = "is_number___is_number_3.0.0.tgz";
- path = fetchurl {
- name = "is_number___is_number_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-number/-/is-number-3.0.0.tgz";
- sha1 = "24fd6201a4782cf50561c810276afc7d12d71195";
- };
- }
- {
- name = "is_number___is_number_7.0.0.tgz";
- path = fetchurl {
- name = "is_number___is_number_7.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-number/-/is-number-7.0.0.tgz";
- sha1 = "7535345b896734d5f80c4d06c50955527a14f12b";
- };
- }
- {
- name = "is_obj___is_obj_2.0.0.tgz";
- path = fetchurl {
- name = "is_obj___is_obj_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-obj/-/is-obj-2.0.0.tgz";
- sha1 = "473fb05d973705e3fd9620545018ca8e22ef4982";
- };
- }
- {
- name = "is_path_cwd___is_path_cwd_2.2.0.tgz";
- path = fetchurl {
- name = "is_path_cwd___is_path_cwd_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/is-path-cwd/-/is-path-cwd-2.2.0.tgz";
- sha1 = "67d43b82664a7b5191fd9119127eb300048a9fdb";
- };
- }
- {
- name = "is_path_in_cwd___is_path_in_cwd_2.1.0.tgz";
- path = fetchurl {
- name = "is_path_in_cwd___is_path_in_cwd_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-path-in-cwd/-/is-path-in-cwd-2.1.0.tgz";
- sha1 = "bfe2dca26c69f397265a4009963602935a053acb";
- };
- }
- {
- name = "is_path_inside___is_path_inside_2.1.0.tgz";
- path = fetchurl {
- name = "is_path_inside___is_path_inside_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-path-inside/-/is-path-inside-2.1.0.tgz";
- sha1 = "7c9810587d659a40d27bcdb4d5616eab059494b2";
- };
- }
- {
- name = "is_path_inside___is_path_inside_3.0.2.tgz";
- path = fetchurl {
- name = "is_path_inside___is_path_inside_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/is-path-inside/-/is-path-inside-3.0.2.tgz";
- sha1 = "f5220fc82a3e233757291dddc9c5877f2a1f3017";
- };
- }
- {
- name = "is_plain_obj___is_plain_obj_1.1.0.tgz";
- path = fetchurl {
- name = "is_plain_obj___is_plain_obj_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-plain-obj/-/is-plain-obj-1.1.0.tgz";
- sha1 = "71a50c8429dfca773c92a390a4a03b39fcd51d3e";
- };
- }
- {
- name = "is_plain_obj___is_plain_obj_2.1.0.tgz";
- path = fetchurl {
- name = "is_plain_obj___is_plain_obj_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-plain-obj/-/is-plain-obj-2.1.0.tgz";
- sha1 = "45e42e37fccf1f40da8e5f76ee21515840c09287";
- };
- }
- {
- name = "is_plain_object___is_plain_object_2.0.4.tgz";
- path = fetchurl {
- name = "is_plain_object___is_plain_object_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/is-plain-object/-/is-plain-object-2.0.4.tgz";
- sha1 = "2c163b3fafb1b606d9d17928f05c2a1c38e07677";
- };
- }
- {
- name = "is_potential_custom_element_name___is_potential_custom_element_name_1.0.0.tgz";
- path = fetchurl {
- name = "is_potential_custom_element_name___is_potential_custom_element_name_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-potential-custom-element-name/-/is-potential-custom-element-name-1.0.0.tgz";
- sha1 = "0c52e54bcca391bb2c494b21e8626d7336c6e397";
- };
- }
- {
- name = "is_regex___is_regex_1.1.3.tgz";
- path = fetchurl {
- name = "is_regex___is_regex_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/is-regex/-/is-regex-1.1.3.tgz";
- sha1 = "d029f9aff6448b93ebbe3f33dac71511fdcbef9f";
- };
- }
- {
- name = "is_regexp___is_regexp_2.1.0.tgz";
- path = fetchurl {
- name = "is_regexp___is_regexp_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-regexp/-/is-regexp-2.1.0.tgz";
- sha1 = "cd734a56864e23b956bf4e7c66c396a4c0b22c2d";
- };
- }
- {
- name = "is_stream___is_stream_1.1.0.tgz";
- path = fetchurl {
- name = "is_stream___is_stream_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-stream/-/is-stream-1.1.0.tgz";
- sha1 = "12d4a3dd4e68e0b79ceb8dbc84173ae80d91ca44";
- };
- }
- {
- name = "is_stream___is_stream_2.0.0.tgz";
- path = fetchurl {
- name = "is_stream___is_stream_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-stream/-/is-stream-2.0.0.tgz";
- sha1 = "bde9c32680d6fae04129d6ac9d921ce7815f78e3";
- };
- }
- {
- name = "is_string___is_string_1.0.5.tgz";
- path = fetchurl {
- name = "is_string___is_string_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/is-string/-/is-string-1.0.5.tgz";
- sha1 = "40493ed198ef3ff477b8c7f92f644ec82a5cd3a6";
- };
- }
- {
- name = "is_symbol___is_symbol_1.0.2.tgz";
- path = fetchurl {
- name = "is_symbol___is_symbol_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/is-symbol/-/is-symbol-1.0.2.tgz";
- sha1 = "a055f6ae57192caee329e7a860118b497a950f38";
- };
- }
- {
- name = "is_typedarray___is_typedarray_1.0.0.tgz";
- path = fetchurl {
- name = "is_typedarray___is_typedarray_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/is-typedarray/-/is-typedarray-1.0.0.tgz";
- sha1 = "e479c80858df0c1b11ddda6940f96011fcda4a9a";
- };
- }
- {
- name = "is_whitespace___is_whitespace_0.3.0.tgz";
- path = fetchurl {
- name = "is_whitespace___is_whitespace_0.3.0.tgz";
- url = "https://registry.yarnpkg.com/is-whitespace/-/is-whitespace-0.3.0.tgz";
- sha1 = "1639ecb1be036aec69a54cbb401cfbed7114ab7f";
- };
- }
- {
- name = "is_windows___is_windows_1.0.2.tgz";
- path = fetchurl {
- name = "is_windows___is_windows_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/is-windows/-/is-windows-1.0.2.tgz";
- sha1 = "d1850eb9791ecd18e6182ce12a30f396634bb19d";
- };
- }
- {
- name = "is_wsl___is_wsl_1.1.0.tgz";
- path = fetchurl {
- name = "is_wsl___is_wsl_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/is-wsl/-/is-wsl-1.1.0.tgz";
- sha1 = "1f16e4aa22b04d1336b66188a66af3c600c3a66d";
- };
- }
- {
- name = "is_wsl___is_wsl_2.2.0.tgz";
- path = fetchurl {
- name = "is_wsl___is_wsl_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/is-wsl/-/is-wsl-2.2.0.tgz";
- sha1 = "74a4c76e77ca9fd3f932f290c17ea326cd157271";
- };
- }
- {
- name = "is_yarn_global___is_yarn_global_0.3.0.tgz";
- path = fetchurl {
- name = "is_yarn_global___is_yarn_global_0.3.0.tgz";
- url = "https://registry.yarnpkg.com/is-yarn-global/-/is-yarn-global-0.3.0.tgz";
- sha1 = "d502d3382590ea3004893746754c89139973e232";
- };
- }
- {
- name = "isarray___isarray_1.0.0.tgz";
- path = fetchurl {
- name = "isarray___isarray_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/isarray/-/isarray-1.0.0.tgz";
- sha1 = "bb935d48582cba168c06834957a54a3e07124f11";
- };
- }
- {
- name = "isarray___isarray_2.0.1.tgz";
- path = fetchurl {
- name = "isarray___isarray_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/isarray/-/isarray-2.0.1.tgz";
- sha1 = "a37d94ed9cda2d59865c9f76fe596ee1f338741e";
- };
- }
- {
- name = "isbinaryfile___isbinaryfile_3.0.2.tgz";
- path = fetchurl {
- name = "isbinaryfile___isbinaryfile_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/isbinaryfile/-/isbinaryfile-3.0.2.tgz";
- sha1 = "4a3e974ec0cba9004d3fc6cde7209ea69368a621";
- };
- }
- {
- name = "isexe___isexe_2.0.0.tgz";
- path = fetchurl {
- name = "isexe___isexe_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/isexe/-/isexe-2.0.0.tgz";
- sha1 = "e8fbf374dc556ff8947a10dcb0572d633f2cfa10";
- };
- }
- {
- name = "isobject___isobject_2.1.0.tgz";
- path = fetchurl {
- name = "isobject___isobject_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/isobject/-/isobject-2.1.0.tgz";
- sha1 = "f065561096a3f1da2ef46272f815c840d87e0c89";
- };
- }
- {
- name = "isobject___isobject_3.0.1.tgz";
- path = fetchurl {
- name = "isobject___isobject_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/isobject/-/isobject-3.0.1.tgz";
- sha1 = "4e431e92b11a9731636aa1f9c8d1ccbcfdab78df";
- };
- }
- {
- name = "isstream___isstream_0.1.2.tgz";
- path = fetchurl {
- name = "isstream___isstream_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/isstream/-/isstream-0.1.2.tgz";
- sha1 = "47e63f7af55afa6f92e1500e690eb8b8529c099a";
- };
- }
- {
- name = "istanbul_api___istanbul_api_2.1.6.tgz";
- path = fetchurl {
- name = "istanbul_api___istanbul_api_2.1.6.tgz";
- url = "https://registry.yarnpkg.com/istanbul-api/-/istanbul-api-2.1.6.tgz";
- sha1 = "d61702a9d1c66ad89d92e66d401e16b0bda4a35f";
- };
- }
- {
- name = "istanbul_lib_coverage___istanbul_lib_coverage_2.0.5.tgz";
- path = fetchurl {
- name = "istanbul_lib_coverage___istanbul_lib_coverage_2.0.5.tgz";
- url = "https://registry.yarnpkg.com/istanbul-lib-coverage/-/istanbul-lib-coverage-2.0.5.tgz";
- sha1 = "675f0ab69503fad4b1d849f736baaca803344f49";
- };
- }
- {
- name = "istanbul_lib_coverage___istanbul_lib_coverage_3.0.0.tgz";
- path = fetchurl {
- name = "istanbul_lib_coverage___istanbul_lib_coverage_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/istanbul-lib-coverage/-/istanbul-lib-coverage-3.0.0.tgz";
- sha1 = "f5944a37c70b550b02a78a5c3b2055b280cec8ec";
- };
- }
- {
- name = "istanbul_lib_hook___istanbul_lib_hook_2.0.7.tgz";
- path = fetchurl {
- name = "istanbul_lib_hook___istanbul_lib_hook_2.0.7.tgz";
- url = "https://registry.yarnpkg.com/istanbul-lib-hook/-/istanbul-lib-hook-2.0.7.tgz";
- sha1 = "c95695f383d4f8f60df1f04252a9550e15b5b133";
- };
- }
- {
- name = "istanbul_lib_instrument___istanbul_lib_instrument_3.3.0.tgz";
- path = fetchurl {
- name = "istanbul_lib_instrument___istanbul_lib_instrument_3.3.0.tgz";
- url = "https://registry.yarnpkg.com/istanbul-lib-instrument/-/istanbul-lib-instrument-3.3.0.tgz";
- sha1 = "a5f63d91f0bbc0c3e479ef4c5de027335ec6d630";
- };
- }
- {
- name = "istanbul_lib_instrument___istanbul_lib_instrument_4.0.3.tgz";
- path = fetchurl {
- name = "istanbul_lib_instrument___istanbul_lib_instrument_4.0.3.tgz";
- url = "https://registry.yarnpkg.com/istanbul-lib-instrument/-/istanbul-lib-instrument-4.0.3.tgz";
- sha1 = "873c6fff897450118222774696a3f28902d77c1d";
- };
- }
- {
- name = "istanbul_lib_report___istanbul_lib_report_2.0.8.tgz";
- path = fetchurl {
- name = "istanbul_lib_report___istanbul_lib_report_2.0.8.tgz";
- url = "https://registry.yarnpkg.com/istanbul-lib-report/-/istanbul-lib-report-2.0.8.tgz";
- sha1 = "5a8113cd746d43c4889eba36ab10e7d50c9b4f33";
- };
- }
- {
- name = "istanbul_lib_report___istanbul_lib_report_3.0.0.tgz";
- path = fetchurl {
- name = "istanbul_lib_report___istanbul_lib_report_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/istanbul-lib-report/-/istanbul-lib-report-3.0.0.tgz";
- sha1 = "7518fe52ea44de372f460a76b5ecda9ffb73d8a6";
- };
- }
- {
- name = "istanbul_lib_source_maps___istanbul_lib_source_maps_3.0.6.tgz";
- path = fetchurl {
- name = "istanbul_lib_source_maps___istanbul_lib_source_maps_3.0.6.tgz";
- url = "https://registry.yarnpkg.com/istanbul-lib-source-maps/-/istanbul-lib-source-maps-3.0.6.tgz";
- sha1 = "284997c48211752ec486253da97e3879defba8c8";
- };
- }
- {
- name = "istanbul_lib_source_maps___istanbul_lib_source_maps_4.0.0.tgz";
- path = fetchurl {
- name = "istanbul_lib_source_maps___istanbul_lib_source_maps_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/istanbul-lib-source-maps/-/istanbul-lib-source-maps-4.0.0.tgz";
- sha1 = "75743ce6d96bb86dc7ee4352cf6366a23f0b1ad9";
- };
- }
- {
- name = "istanbul_reports___istanbul_reports_2.2.6.tgz";
- path = fetchurl {
- name = "istanbul_reports___istanbul_reports_2.2.6.tgz";
- url = "https://registry.yarnpkg.com/istanbul-reports/-/istanbul-reports-2.2.6.tgz";
- sha1 = "7b4f2660d82b29303a8fe6091f8ca4bf058da1af";
- };
- }
- {
- name = "istanbul_reports___istanbul_reports_3.0.2.tgz";
- path = fetchurl {
- name = "istanbul_reports___istanbul_reports_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/istanbul-reports/-/istanbul-reports-3.0.2.tgz";
- sha1 = "d593210e5000683750cb09fc0644e4b6e27fd53b";
- };
- }
- {
- name = "istextorbinary___istextorbinary_2.2.1.tgz";
- path = fetchurl {
- name = "istextorbinary___istextorbinary_2.2.1.tgz";
- url = "https://registry.yarnpkg.com/istextorbinary/-/istextorbinary-2.2.1.tgz";
- sha1 = "a5231a08ef6dd22b268d0895084cf8d58b5bec53";
- };
- }
- {
- name = "jasmine_core___jasmine_core_2.9.0.tgz";
- path = fetchurl {
- name = "jasmine_core___jasmine_core_2.9.0.tgz";
- url = "https://registry.yarnpkg.com/jasmine-core/-/jasmine-core-2.9.0.tgz";
- sha1 = "bfbb56defcd30789adec5a3fbba8504233289c72";
- };
- }
- {
- name = "jasmine_diff___jasmine_diff_0.1.3.tgz";
- path = fetchurl {
- name = "jasmine_diff___jasmine_diff_0.1.3.tgz";
- url = "https://registry.yarnpkg.com/jasmine-diff/-/jasmine-diff-0.1.3.tgz";
- sha1 = "93ccc2dcc41028c5ddd4606558074839f2deeaa8";
- };
- }
- {
- name = "jasmine_jquery___jasmine_jquery_2.1.1.tgz";
- path = fetchurl {
- name = "jasmine_jquery___jasmine_jquery_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/jasmine-jquery/-/jasmine-jquery-2.1.1.tgz";
- sha1 = "d4095e646944a26763235769ab018d9f30f0d47b";
- };
- }
- {
- name = "jed___jed_1.1.1.tgz";
- path = fetchurl {
- name = "jed___jed_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/jed/-/jed-1.1.1.tgz";
- sha1 = "7a549bbd9ffe1585b0cd0a191e203055bee574b4";
- };
- }
- {
- name = "jest_canvas_mock___jest_canvas_mock_2.1.2.tgz";
- path = fetchurl {
- name = "jest_canvas_mock___jest_canvas_mock_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/jest-canvas-mock/-/jest-canvas-mock-2.1.2.tgz";
- sha1 = "0d16c9f91534f773fd132fc289f2e6b6db8faa28";
- };
- }
- {
- name = "jest_changed_files___jest_changed_files_26.5.2.tgz";
- path = fetchurl {
- name = "jest_changed_files___jest_changed_files_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-changed-files/-/jest-changed-files-26.5.2.tgz";
- sha1 = "330232c6a5c09a7f040a5870e8f0a9c6abcdbed5";
- };
- }
- {
- name = "jest_cli___jest_cli_26.5.2.tgz";
- path = fetchurl {
- name = "jest_cli___jest_cli_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-cli/-/jest-cli-26.5.2.tgz";
- sha1 = "0df114399b4036a3f046f0a9f25c50372c76b3a2";
- };
- }
- {
- name = "jest_config___jest_config_26.5.2.tgz";
- path = fetchurl {
- name = "jest_config___jest_config_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-config/-/jest-config-26.5.2.tgz";
- sha1 = "6e828e25f10124433dd008fbd83348636de0972a";
- };
- }
- {
- name = "jest_diff___jest_diff_26.5.2.tgz";
- path = fetchurl {
- name = "jest_diff___jest_diff_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-diff/-/jest-diff-26.5.2.tgz";
- sha1 = "8e26cb32dc598e8b8a1b9deff55316f8313c8053";
- };
- }
- {
- name = "jest_docblock___jest_docblock_26.0.0.tgz";
- path = fetchurl {
- name = "jest_docblock___jest_docblock_26.0.0.tgz";
- url = "https://registry.yarnpkg.com/jest-docblock/-/jest-docblock-26.0.0.tgz";
- sha1 = "3e2fa20899fc928cb13bd0ff68bd3711a36889b5";
- };
- }
- {
- name = "jest_each___jest_each_26.5.2.tgz";
- path = fetchurl {
- name = "jest_each___jest_each_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-each/-/jest-each-26.5.2.tgz";
- sha1 = "35e68d6906a7f826d3ca5803cfe91d17a5a34c31";
- };
- }
- {
- name = "jest_environment_jsdom___jest_environment_jsdom_26.5.2.tgz";
- path = fetchurl {
- name = "jest_environment_jsdom___jest_environment_jsdom_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-environment-jsdom/-/jest-environment-jsdom-26.5.2.tgz";
- sha1 = "5feab05b828fd3e4b96bee5e0493464ddd2bb4bc";
- };
- }
- {
- name = "jest_environment_node___jest_environment_node_26.5.2.tgz";
- path = fetchurl {
- name = "jest_environment_node___jest_environment_node_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-environment-node/-/jest-environment-node-26.5.2.tgz";
- sha1 = "275a0f01b5e47447056f1541a15ed4da14acca03";
- };
- }
- {
- name = "jest_get_type___jest_get_type_26.3.0.tgz";
- path = fetchurl {
- name = "jest_get_type___jest_get_type_26.3.0.tgz";
- url = "https://registry.yarnpkg.com/jest-get-type/-/jest-get-type-26.3.0.tgz";
- sha1 = "e97dc3c3f53c2b406ca7afaed4493b1d099199e0";
- };
- }
- {
- name = "jest_haste_map___jest_haste_map_26.5.2.tgz";
- path = fetchurl {
- name = "jest_haste_map___jest_haste_map_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-haste-map/-/jest-haste-map-26.5.2.tgz";
- sha1 = "a15008abfc502c18aa56e4919ed8c96304ceb23d";
- };
- }
- {
- name = "jest_jasmine2___jest_jasmine2_26.5.2.tgz";
- path = fetchurl {
- name = "jest_jasmine2___jest_jasmine2_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-jasmine2/-/jest-jasmine2-26.5.2.tgz";
- sha1 = "0e33819d31b1f2aab5efd1e02ce502209c0e64a2";
- };
- }
- {
- name = "jest_junit___jest_junit_12.0.0.tgz";
- path = fetchurl {
- name = "jest_junit___jest_junit_12.0.0.tgz";
- url = "https://registry.yarnpkg.com/jest-junit/-/jest-junit-12.0.0.tgz";
- sha1 = "3ebd4a6a84b50c4ab18323a8f7d9cceb9d845df6";
- };
- }
- {
- name = "jest_leak_detector___jest_leak_detector_26.5.2.tgz";
- path = fetchurl {
- name = "jest_leak_detector___jest_leak_detector_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-leak-detector/-/jest-leak-detector-26.5.2.tgz";
- sha1 = "83fcf9a4a6ef157549552cb4f32ca1d6221eea69";
- };
- }
- {
- name = "jest_matcher_utils___jest_matcher_utils_26.5.2.tgz";
- path = fetchurl {
- name = "jest_matcher_utils___jest_matcher_utils_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-matcher-utils/-/jest-matcher-utils-26.5.2.tgz";
- sha1 = "6aa2c76ce8b9c33e66f8856ff3a52bab59e6c85a";
- };
- }
- {
- name = "jest_message_util___jest_message_util_26.5.2.tgz";
- path = fetchurl {
- name = "jest_message_util___jest_message_util_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-message-util/-/jest-message-util-26.5.2.tgz";
- sha1 = "6c4c4c46dcfbabb47cd1ba2f6351559729bc11bb";
- };
- }
- {
- name = "jest_mock___jest_mock_26.5.2.tgz";
- path = fetchurl {
- name = "jest_mock___jest_mock_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-mock/-/jest-mock-26.5.2.tgz";
- sha1 = "c9302e8ef807f2bfc749ee52e65ad11166a1b6a1";
- };
- }
- {
- name = "jest_pnp_resolver___jest_pnp_resolver_1.2.2.tgz";
- path = fetchurl {
- name = "jest_pnp_resolver___jest_pnp_resolver_1.2.2.tgz";
- url = "https://registry.yarnpkg.com/jest-pnp-resolver/-/jest-pnp-resolver-1.2.2.tgz";
- sha1 = "b704ac0ae028a89108a4d040b3f919dfddc8e33c";
- };
- }
- {
- name = "jest_raw_loader___jest_raw_loader_1.0.1.tgz";
- path = fetchurl {
- name = "jest_raw_loader___jest_raw_loader_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/jest-raw-loader/-/jest-raw-loader-1.0.1.tgz";
- sha1 = "ce9f56d54650f157c4a7d16d224ba5d613bcd626";
- };
- }
- {
- name = "jest_regex_util___jest_regex_util_26.0.0.tgz";
- path = fetchurl {
- name = "jest_regex_util___jest_regex_util_26.0.0.tgz";
- url = "https://registry.yarnpkg.com/jest-regex-util/-/jest-regex-util-26.0.0.tgz";
- sha1 = "d25e7184b36e39fd466c3bc41be0971e821fee28";
- };
- }
- {
- name = "jest_resolve_dependencies___jest_resolve_dependencies_26.5.2.tgz";
- path = fetchurl {
- name = "jest_resolve_dependencies___jest_resolve_dependencies_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-resolve-dependencies/-/jest-resolve-dependencies-26.5.2.tgz";
- sha1 = "ee30b7cfea81c81bf5e195a9287d7ec07f893170";
- };
- }
- {
- name = "jest_resolve___jest_resolve_26.5.2.tgz";
- path = fetchurl {
- name = "jest_resolve___jest_resolve_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-resolve/-/jest-resolve-26.5.2.tgz";
- sha1 = "0d719144f61944a428657b755a0e5c6af4fc8602";
- };
- }
- {
- name = "jest_runner___jest_runner_26.5.2.tgz";
- path = fetchurl {
- name = "jest_runner___jest_runner_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-runner/-/jest-runner-26.5.2.tgz";
- sha1 = "4f9e6b0bb7eb4710c209a9e145b8a10894f4c19f";
- };
- }
- {
- name = "jest_runtime___jest_runtime_26.5.2.tgz";
- path = fetchurl {
- name = "jest_runtime___jest_runtime_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-runtime/-/jest-runtime-26.5.2.tgz";
- sha1 = "b72f5f79eb2fe0c46bfef4cdb9c1e01d1c69ba41";
- };
- }
- {
- name = "jest_serializer___jest_serializer_26.5.0.tgz";
- path = fetchurl {
- name = "jest_serializer___jest_serializer_26.5.0.tgz";
- url = "https://registry.yarnpkg.com/jest-serializer/-/jest-serializer-26.5.0.tgz";
- sha1 = "f5425cc4c5f6b4b355f854b5f0f23ec6b962bc13";
- };
- }
- {
- name = "jest_snapshot___jest_snapshot_26.5.2.tgz";
- path = fetchurl {
- name = "jest_snapshot___jest_snapshot_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-snapshot/-/jest-snapshot-26.5.2.tgz";
- sha1 = "0cf7642eaf8e8d2736bd443f619959bf237f9ccf";
- };
- }
- {
- name = "jest_transform_graphql___jest_transform_graphql_2.1.0.tgz";
- path = fetchurl {
- name = "jest_transform_graphql___jest_transform_graphql_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/jest-transform-graphql/-/jest-transform-graphql-2.1.0.tgz";
- sha1 = "903cb66bb27bc2772fd3e5dd4f7e9b57230f5829";
- };
- }
- {
- name = "jest_util___jest_util_26.5.2.tgz";
- path = fetchurl {
- name = "jest_util___jest_util_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-util/-/jest-util-26.5.2.tgz";
- sha1 = "8403f75677902cc52a1b2140f568e91f8ed4f4d7";
- };
- }
- {
- name = "jest_validate___jest_validate_26.5.2.tgz";
- path = fetchurl {
- name = "jest_validate___jest_validate_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-validate/-/jest-validate-26.5.2.tgz";
- sha1 = "7ea266700b64234cd1c0cee982490c5a80e9b0f0";
- };
- }
- {
- name = "jest_watcher___jest_watcher_26.5.2.tgz";
- path = fetchurl {
- name = "jest_watcher___jest_watcher_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest-watcher/-/jest-watcher-26.5.2.tgz";
- sha1 = "2957f4461007e0769d74b537379ecf6b7c696916";
- };
- }
- {
- name = "jest_worker___jest_worker_26.5.0.tgz";
- path = fetchurl {
- name = "jest_worker___jest_worker_26.5.0.tgz";
- url = "https://registry.yarnpkg.com/jest-worker/-/jest-worker-26.5.0.tgz";
- sha1 = "87deee86dbbc5f98d9919e0dadf2c40e3152fa30";
- };
- }
- {
- name = "jest___jest_26.5.2.tgz";
- path = fetchurl {
- name = "jest___jest_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/jest/-/jest-26.5.2.tgz";
- sha1 = "c6791642b331fe7abd2f993b0a74aa546f7be0fb";
- };
- }
- {
- name = "jmespath___jmespath_0.15.0.tgz";
- path = fetchurl {
- name = "jmespath___jmespath_0.15.0.tgz";
- url = "https://registry.yarnpkg.com/jmespath/-/jmespath-0.15.0.tgz";
- sha1 = "a3f222a9aae9f966f5d27c796510e28091764217";
- };
- }
- {
- name = "jquery.caret___jquery.caret_0.3.1.tgz";
- path = fetchurl {
- name = "jquery.caret___jquery.caret_0.3.1.tgz";
- url = "https://registry.yarnpkg.com/jquery.caret/-/jquery.caret-0.3.1.tgz";
- sha1 = "9c093318faf327eff322e826ca9f3241368bc7b8";
- };
- }
- {
- name = "jquery.waitforimages___jquery.waitforimages_2.2.0.tgz";
- path = fetchurl {
- name = "jquery.waitforimages___jquery.waitforimages_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/jquery.waitforimages/-/jquery.waitforimages-2.2.0.tgz";
- sha1 = "63f23131055a1b060dc913e6d874bcc9b9e6b16b";
- };
- }
- {
- name = "jquery___jquery_3.6.0.tgz";
- path = fetchurl {
- name = "jquery___jquery_3.6.0.tgz";
- url = "https://registry.yarnpkg.com/jquery/-/jquery-3.6.0.tgz";
- sha1 = "c72a09f15c1bdce142f49dbf1170bdf8adac2470";
- };
- }
- {
- name = "js_beautify___js_beautify_1.11.0.tgz";
- path = fetchurl {
- name = "js_beautify___js_beautify_1.11.0.tgz";
- url = "https://registry.yarnpkg.com/js-beautify/-/js-beautify-1.11.0.tgz";
- sha1 = "afb873dc47d58986360093dcb69951e8bcd5ded2";
- };
- }
- {
- name = "js_cookie___js_cookie_2.2.1.tgz";
- path = fetchurl {
- name = "js_cookie___js_cookie_2.2.1.tgz";
- url = "https://registry.yarnpkg.com/js-cookie/-/js-cookie-2.2.1.tgz";
- sha1 = "69e106dc5d5806894562902aa5baec3744e9b2b8";
- };
- }
- {
- name = "js_tokens___js_tokens_4.0.0.tgz";
- path = fetchurl {
- name = "js_tokens___js_tokens_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/js-tokens/-/js-tokens-4.0.0.tgz";
- sha1 = "19203fb59991df98e3a287050d4647cdeaf32499";
- };
- }
- {
- name = "js_yaml___js_yaml_3.14.1.tgz";
- path = fetchurl {
- name = "js_yaml___js_yaml_3.14.1.tgz";
- url = "https://registry.yarnpkg.com/js-yaml/-/js-yaml-3.14.1.tgz";
- sha1 = "dae812fdb3825fa306609a8717383c50c36a0537";
- };
- }
- {
- name = "js2xmlparser___js2xmlparser_3.0.0.tgz";
- path = fetchurl {
- name = "js2xmlparser___js2xmlparser_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/js2xmlparser/-/js2xmlparser-3.0.0.tgz";
- sha1 = "3fb60eaa089c5440f9319f51760ccd07e2499733";
- };
- }
- {
- name = "jsbn___jsbn_0.1.1.tgz";
- path = fetchurl {
- name = "jsbn___jsbn_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/jsbn/-/jsbn-0.1.1.tgz";
- sha1 = "a5e654c2e5a2deb5f201d96cefbca80c0ef2f513";
- };
- }
- {
- name = "jsdoc_vue___jsdoc_vue_1.0.0.tgz";
- path = fetchurl {
- name = "jsdoc_vue___jsdoc_vue_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/jsdoc-vue/-/jsdoc-vue-1.0.0.tgz";
- sha1 = "ff3ac1ba6bc4a74079bb79058a7bf0066e346235";
- };
- }
- {
- name = "jsdoc___jsdoc_3.5.5.tgz";
- path = fetchurl {
- name = "jsdoc___jsdoc_3.5.5.tgz";
- url = "https://registry.yarnpkg.com/jsdoc/-/jsdoc-3.5.5.tgz";
- sha1 = "484521b126e81904d632ff83ec9aaa096708fa4d";
- };
- }
- {
- name = "jsdom___jsdom_16.4.0.tgz";
- path = fetchurl {
- name = "jsdom___jsdom_16.4.0.tgz";
- url = "https://registry.yarnpkg.com/jsdom/-/jsdom-16.4.0.tgz";
- sha1 = "36005bde2d136f73eee1a830c6d45e55408edddb";
- };
- }
- {
- name = "jsesc___jsesc_2.5.2.tgz";
- path = fetchurl {
- name = "jsesc___jsesc_2.5.2.tgz";
- url = "https://registry.yarnpkg.com/jsesc/-/jsesc-2.5.2.tgz";
- sha1 = "80564d2e483dacf6e8ef209650a67df3f0c283a4";
- };
- }
- {
- name = "jsesc___jsesc_0.5.0.tgz";
- path = fetchurl {
- name = "jsesc___jsesc_0.5.0.tgz";
- url = "https://registry.yarnpkg.com/jsesc/-/jsesc-0.5.0.tgz";
- sha1 = "e7dee66e35d6fc16f710fe91d5cf69f70f08911d";
- };
- }
- {
- name = "json_buffer___json_buffer_3.0.0.tgz";
- path = fetchurl {
- name = "json_buffer___json_buffer_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/json-buffer/-/json-buffer-3.0.0.tgz";
- sha1 = "5b1f397afc75d677bde8bcfc0e47e1f9a3d9a898";
- };
- }
- {
- name = "json_parse_better_errors___json_parse_better_errors_1.0.2.tgz";
- path = fetchurl {
- name = "json_parse_better_errors___json_parse_better_errors_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/json-parse-better-errors/-/json-parse-better-errors-1.0.2.tgz";
- sha1 = "bb867cfb3450e69107c131d1c514bab3dc8bcaa9";
- };
- }
- {
- name = "json_parse_even_better_errors___json_parse_even_better_errors_2.3.1.tgz";
- path = fetchurl {
- name = "json_parse_even_better_errors___json_parse_even_better_errors_2.3.1.tgz";
- url = "https://registry.yarnpkg.com/json-parse-even-better-errors/-/json-parse-even-better-errors-2.3.1.tgz";
- sha1 = "7c47805a94319928e05777405dc12e1f7a4ee02d";
- };
- }
- {
- name = "json_schema_traverse___json_schema_traverse_0.4.1.tgz";
- path = fetchurl {
- name = "json_schema_traverse___json_schema_traverse_0.4.1.tgz";
- url = "https://registry.yarnpkg.com/json-schema-traverse/-/json-schema-traverse-0.4.1.tgz";
- sha1 = "69f6a87d9513ab8bb8fe63bdb0979c448e684660";
- };
- }
- {
- name = "json_schema_traverse___json_schema_traverse_1.0.0.tgz";
- path = fetchurl {
- name = "json_schema_traverse___json_schema_traverse_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/json-schema-traverse/-/json-schema-traverse-1.0.0.tgz";
- sha1 = "ae7bcb3656ab77a73ba5c49bf654f38e6b6860e2";
- };
- }
- {
- name = "json_schema___json_schema_0.2.3.tgz";
- path = fetchurl {
- name = "json_schema___json_schema_0.2.3.tgz";
- url = "https://registry.yarnpkg.com/json-schema/-/json-schema-0.2.3.tgz";
- sha1 = "b480c892e59a2f05954ce727bd3f2a4e882f9e13";
- };
- }
- {
- name = "json_stable_stringify_without_jsonify___json_stable_stringify_without_jsonify_1.0.1.tgz";
- path = fetchurl {
- name = "json_stable_stringify_without_jsonify___json_stable_stringify_without_jsonify_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/json-stable-stringify-without-jsonify/-/json-stable-stringify-without-jsonify-1.0.1.tgz";
- sha1 = "9db7b59496ad3f3cfef30a75142d2d930ad72651";
- };
- }
- {
- name = "json_stringify_safe___json_stringify_safe_5.0.1.tgz";
- path = fetchurl {
- name = "json_stringify_safe___json_stringify_safe_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/json-stringify-safe/-/json-stringify-safe-5.0.1.tgz";
- sha1 = "1296a2d58fd45f19a0f6ce01d65701e2c735b6eb";
- };
- }
- {
- name = "json3___json3_3.3.3.tgz";
- path = fetchurl {
- name = "json3___json3_3.3.3.tgz";
- url = "https://registry.yarnpkg.com/json3/-/json3-3.3.3.tgz";
- sha1 = "7fc10e375fc5ae42c4705a5cc0aa6f62be305b81";
- };
- }
- {
- name = "json5___json5_1.0.1.tgz";
- path = fetchurl {
- name = "json5___json5_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/json5/-/json5-1.0.1.tgz";
- sha1 = "779fb0018604fa854eacbf6252180d83543e3dbe";
- };
- }
- {
- name = "json5___json5_2.1.3.tgz";
- path = fetchurl {
- name = "json5___json5_2.1.3.tgz";
- url = "https://registry.yarnpkg.com/json5/-/json5-2.1.3.tgz";
- sha1 = "c9b0f7fa9233bfe5807fe66fcf3a5617ed597d43";
- };
- }
- {
- name = "jsonc_parser___jsonc_parser_2.3.1.tgz";
- path = fetchurl {
- name = "jsonc_parser___jsonc_parser_2.3.1.tgz";
- url = "https://registry.yarnpkg.com/jsonc-parser/-/jsonc-parser-2.3.1.tgz";
- sha1 = "59549150b133f2efacca48fe9ce1ec0659af2342";
- };
- }
- {
- name = "jsonc_parser___jsonc_parser_3.0.0.tgz";
- path = fetchurl {
- name = "jsonc_parser___jsonc_parser_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/jsonc-parser/-/jsonc-parser-3.0.0.tgz";
- sha1 = "abdd785701c7e7eaca8a9ec8cf070ca51a745a22";
- };
- }
- {
- name = "jsonfile___jsonfile_4.0.0.tgz";
- path = fetchurl {
- name = "jsonfile___jsonfile_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/jsonfile/-/jsonfile-4.0.0.tgz";
- sha1 = "8771aae0799b64076b76640fca058f9c10e33ecb";
- };
- }
- {
- name = "jsprim___jsprim_1.4.1.tgz";
- path = fetchurl {
- name = "jsprim___jsprim_1.4.1.tgz";
- url = "https://registry.yarnpkg.com/jsprim/-/jsprim-1.4.1.tgz";
- sha1 = "313e66bc1e5cc06e438bc1b7499c2e5c56acb6a2";
- };
- }
- {
- name = "jszip_utils___jszip_utils_0.0.2.tgz";
- path = fetchurl {
- name = "jszip_utils___jszip_utils_0.0.2.tgz";
- url = "https://registry.yarnpkg.com/jszip-utils/-/jszip-utils-0.0.2.tgz";
- sha1 = "457d5cbca60a1c2e0706e9da2b544e8e7bc50bf8";
- };
- }
- {
- name = "jszip___jszip_3.1.3.tgz";
- path = fetchurl {
- name = "jszip___jszip_3.1.3.tgz";
- url = "https://registry.yarnpkg.com/jszip/-/jszip-3.1.3.tgz";
- sha1 = "8a920403b2b1651c0fc126be90192d9080957c37";
- };
- }
- {
- name = "karma_chrome_launcher___karma_chrome_launcher_3.0.0.tgz";
- path = fetchurl {
- name = "karma_chrome_launcher___karma_chrome_launcher_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/karma-chrome-launcher/-/karma-chrome-launcher-3.0.0.tgz";
- sha1 = "5c3a7f877a304e90781c28fcd9a49e334a890f42";
- };
- }
- {
- name = "karma_coverage_istanbul_reporter___karma_coverage_istanbul_reporter_2.1.0.tgz";
- path = fetchurl {
- name = "karma_coverage_istanbul_reporter___karma_coverage_istanbul_reporter_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/karma-coverage-istanbul-reporter/-/karma-coverage-istanbul-reporter-2.1.0.tgz";
- sha1 = "5f1bcc13c5e14ee1d91821ee8946861674f54c75";
- };
- }
- {
- name = "karma_jasmine___karma_jasmine_1.1.2.tgz";
- path = fetchurl {
- name = "karma_jasmine___karma_jasmine_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/karma-jasmine/-/karma-jasmine-1.1.2.tgz";
- sha1 = "394f2b25ffb4a644b9ada6f22d443e2fd08886c3";
- };
- }
- {
- name = "karma_junit_reporter___karma_junit_reporter_1.2.0.tgz";
- path = fetchurl {
- name = "karma_junit_reporter___karma_junit_reporter_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/karma-junit-reporter/-/karma-junit-reporter-1.2.0.tgz";
- sha1 = "4f9c40cedfb1a395f8aef876abf96189917c6396";
- };
- }
- {
- name = "karma_mocha_reporter___karma_mocha_reporter_2.2.5.tgz";
- path = fetchurl {
- name = "karma_mocha_reporter___karma_mocha_reporter_2.2.5.tgz";
- url = "https://registry.yarnpkg.com/karma-mocha-reporter/-/karma-mocha-reporter-2.2.5.tgz";
- sha1 = "15120095e8ed819186e47a0b012f3cd741895560";
- };
- }
- {
- name = "karma_sourcemap_loader___karma_sourcemap_loader_0.3.7.tgz";
- path = fetchurl {
- name = "karma_sourcemap_loader___karma_sourcemap_loader_0.3.7.tgz";
- url = "https://registry.yarnpkg.com/karma-sourcemap-loader/-/karma-sourcemap-loader-0.3.7.tgz";
- sha1 = "91322c77f8f13d46fed062b042e1009d4c4505d8";
- };
- }
- {
- name = "karma_webpack___karma_webpack_4.0.2.tgz";
- path = fetchurl {
- name = "karma_webpack___karma_webpack_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/karma-webpack/-/karma-webpack-4.0.2.tgz";
- sha1 = "23219bd95bdda853e3073d3874d34447c77bced0";
- };
- }
- {
- name = "karma___karma_4.2.0.tgz";
- path = fetchurl {
- name = "karma___karma_4.2.0.tgz";
- url = "https://registry.yarnpkg.com/karma/-/karma-4.2.0.tgz";
- sha1 = "27e88b310cde090d016980ff5444e3a239196fca";
- };
- }
- {
- name = "katex___katex_0.13.2.tgz";
- path = fetchurl {
- name = "katex___katex_0.13.2.tgz";
- url = "https://registry.yarnpkg.com/katex/-/katex-0.13.2.tgz";
- sha1 = "4075b9144e6af992ec9a4b772fa3754763be5f26";
- };
- }
- {
- name = "keyv___keyv_3.1.0.tgz";
- path = fetchurl {
- name = "keyv___keyv_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/keyv/-/keyv-3.1.0.tgz";
- sha1 = "ecc228486f69991e49e9476485a5be1e8fc5c4d9";
- };
- }
- {
- name = "khroma___khroma_1.2.0.tgz";
- path = fetchurl {
- name = "khroma___khroma_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/khroma/-/khroma-1.2.0.tgz";
- sha1 = "46dcc9d7533923c228b51724db108f11fec108d8";
- };
- }
- {
- name = "killable___killable_1.0.1.tgz";
- path = fetchurl {
- name = "killable___killable_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/killable/-/killable-1.0.1.tgz";
- sha1 = "4c8ce441187a061c7474fb87ca08e2a638194892";
- };
- }
- {
- name = "kind_of___kind_of_3.2.2.tgz";
- path = fetchurl {
- name = "kind_of___kind_of_3.2.2.tgz";
- url = "https://registry.yarnpkg.com/kind-of/-/kind-of-3.2.2.tgz";
- sha1 = "31ea21a734bab9bbb0f32466d893aea51e4a3c64";
- };
- }
- {
- name = "kind_of___kind_of_4.0.0.tgz";
- path = fetchurl {
- name = "kind_of___kind_of_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/kind-of/-/kind-of-4.0.0.tgz";
- sha1 = "20813df3d712928b207378691a45066fae72dd57";
- };
- }
- {
- name = "kind_of___kind_of_5.1.0.tgz";
- path = fetchurl {
- name = "kind_of___kind_of_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/kind-of/-/kind-of-5.1.0.tgz";
- sha1 = "729c91e2d857b7a419a1f9aa65685c4c33f5845d";
- };
- }
- {
- name = "kind_of___kind_of_6.0.3.tgz";
- path = fetchurl {
- name = "kind_of___kind_of_6.0.3.tgz";
- url = "https://registry.yarnpkg.com/kind-of/-/kind-of-6.0.3.tgz";
- sha1 = "07c05034a6c349fa06e24fa35aa76db4580ce4dd";
- };
- }
- {
- name = "klaw___klaw_2.0.0.tgz";
- path = fetchurl {
- name = "klaw___klaw_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/klaw/-/klaw-2.0.0.tgz";
- sha1 = "59c128e0dc5ce410201151194eeb9cbf858650f6";
- };
- }
- {
- name = "kleur___kleur_3.0.3.tgz";
- path = fetchurl {
- name = "kleur___kleur_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/kleur/-/kleur-3.0.3.tgz";
- sha1 = "a79c9ecc86ee1ce3fa6206d1216c501f147fc07e";
- };
- }
- {
- name = "known_css_properties___known_css_properties_0.20.0.tgz";
- path = fetchurl {
- name = "known_css_properties___known_css_properties_0.20.0.tgz";
- url = "https://registry.yarnpkg.com/known-css-properties/-/known-css-properties-0.20.0.tgz";
- sha1 = "0570831661b47dd835293218381166090ff60e96";
- };
- }
- {
- name = "latest_version___latest_version_5.1.0.tgz";
- path = fetchurl {
- name = "latest_version___latest_version_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/latest-version/-/latest-version-5.1.0.tgz";
- sha1 = "119dfe908fe38d15dfa43ecd13fa12ec8832face";
- };
- }
- {
- name = "leven___leven_3.1.0.tgz";
- path = fetchurl {
- name = "leven___leven_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/leven/-/leven-3.1.0.tgz";
- sha1 = "77891de834064cccba82ae7842bb6b14a13ed7f2";
- };
- }
- {
- name = "levenary___levenary_1.1.1.tgz";
- path = fetchurl {
- name = "levenary___levenary_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/levenary/-/levenary-1.1.1.tgz";
- sha1 = "842a9ee98d2075aa7faeedbe32679e9205f46f77";
- };
- }
- {
- name = "levn___levn_0.4.1.tgz";
- path = fetchurl {
- name = "levn___levn_0.4.1.tgz";
- url = "https://registry.yarnpkg.com/levn/-/levn-0.4.1.tgz";
- sha1 = "ae4562c007473b932a6200d403268dd2fffc6ade";
- };
- }
- {
- name = "levn___levn_0.3.0.tgz";
- path = fetchurl {
- name = "levn___levn_0.3.0.tgz";
- url = "https://registry.yarnpkg.com/levn/-/levn-0.3.0.tgz";
- sha1 = "3b09924edf9f083c0490fdd4c0bc4421e04764ee";
- };
- }
- {
- name = "lie___lie_3.1.1.tgz";
- path = fetchurl {
- name = "lie___lie_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/lie/-/lie-3.1.1.tgz";
- sha1 = "9a436b2cc7746ca59de7a41fa469b3efb76bd87e";
- };
- }
- {
- name = "lines_and_columns___lines_and_columns_1.1.6.tgz";
- path = fetchurl {
- name = "lines_and_columns___lines_and_columns_1.1.6.tgz";
- url = "https://registry.yarnpkg.com/lines-and-columns/-/lines-and-columns-1.1.6.tgz";
- sha1 = "1c00c743b433cd0a4e80758f7b64a57440d9ff00";
- };
- }
- {
- name = "linkify_it___linkify_it_2.1.0.tgz";
- path = fetchurl {
- name = "linkify_it___linkify_it_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/linkify-it/-/linkify-it-2.1.0.tgz";
- sha1 = "c4caf38a6cd7ac2212ef3c7d2bde30a91561f9db";
- };
- }
- {
- name = "linkify_it___linkify_it_3.0.2.tgz";
- path = fetchurl {
- name = "linkify_it___linkify_it_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/linkify-it/-/linkify-it-3.0.2.tgz";
- sha1 = "f55eeb8bc1d3ae754049e124ab3bb56d97797fb8";
- };
- }
- {
- name = "load_json_file___load_json_file_2.0.0.tgz";
- path = fetchurl {
- name = "load_json_file___load_json_file_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/load-json-file/-/load-json-file-2.0.0.tgz";
- sha1 = "7947e42149af80d696cbf797bcaabcfe1fe29ca8";
- };
- }
- {
- name = "loader_runner___loader_runner_2.4.0.tgz";
- path = fetchurl {
- name = "loader_runner___loader_runner_2.4.0.tgz";
- url = "https://registry.yarnpkg.com/loader-runner/-/loader-runner-2.4.0.tgz";
- sha1 = "ed47066bfe534d7e84c4c7b9998c2a75607d9357";
- };
- }
- {
- name = "loader_utils___loader_utils_1.4.0.tgz";
- path = fetchurl {
- name = "loader_utils___loader_utils_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/loader-utils/-/loader-utils-1.4.0.tgz";
- sha1 = "c579b5e34cb34b1a74edc6c1fb36bfa371d5a613";
- };
- }
- {
- name = "loader_utils___loader_utils_2.0.0.tgz";
- path = fetchurl {
- name = "loader_utils___loader_utils_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/loader-utils/-/loader-utils-2.0.0.tgz";
- sha1 = "e4cace5b816d425a166b5f097e10cd12b36064b0";
- };
- }
- {
- name = "locate_path___locate_path_2.0.0.tgz";
- path = fetchurl {
- name = "locate_path___locate_path_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/locate-path/-/locate-path-2.0.0.tgz";
- sha1 = "2b568b265eec944c6d9c0de9c3dbbbca0354cd8e";
- };
- }
- {
- name = "locate_path___locate_path_3.0.0.tgz";
- path = fetchurl {
- name = "locate_path___locate_path_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/locate-path/-/locate-path-3.0.0.tgz";
- sha1 = "dbec3b3ab759758071b58fe59fc41871af21400e";
- };
- }
- {
- name = "locate_path___locate_path_5.0.0.tgz";
- path = fetchurl {
- name = "locate_path___locate_path_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/locate-path/-/locate-path-5.0.0.tgz";
- sha1 = "1afba396afd676a6d42504d0a67a3a7eb9f62aa0";
- };
- }
- {
- name = "lodash.assign___lodash.assign_4.2.0.tgz";
- path = fetchurl {
- name = "lodash.assign___lodash.assign_4.2.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.assign/-/lodash.assign-4.2.0.tgz";
- sha1 = "0d99f3ccd7a6d261d19bdaeb9245005d285808e7";
- };
- }
- {
- name = "lodash.camelcase___lodash.camelcase_4.3.0.tgz";
- path = fetchurl {
- name = "lodash.camelcase___lodash.camelcase_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.camelcase/-/lodash.camelcase-4.3.0.tgz";
- sha1 = "b28aa6288a2b9fc651035c7711f65ab6190331a6";
- };
- }
- {
- name = "lodash.clonedeep___lodash.clonedeep_4.5.0.tgz";
- path = fetchurl {
- name = "lodash.clonedeep___lodash.clonedeep_4.5.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.clonedeep/-/lodash.clonedeep-4.5.0.tgz";
- sha1 = "e23f3f9c4f8fbdde872529c1071857a086e5ccef";
- };
- }
- {
- name = "lodash.compact___lodash.compact_3.0.1.tgz";
- path = fetchurl {
- name = "lodash.compact___lodash.compact_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/lodash.compact/-/lodash.compact-3.0.1.tgz";
- sha1 = "540ce3837745975807471e16b4a2ba21e7256ca5";
- };
- }
- {
- name = "lodash.differencewith___lodash.differencewith_4.5.0.tgz";
- path = fetchurl {
- name = "lodash.differencewith___lodash.differencewith_4.5.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.differencewith/-/lodash.differencewith-4.5.0.tgz";
- sha1 = "bafafbc918b55154e179176a00bb0aefaac854b7";
- };
- }
- {
- name = "lodash.find___lodash.find_4.6.0.tgz";
- path = fetchurl {
- name = "lodash.find___lodash.find_4.6.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.find/-/lodash.find-4.6.0.tgz";
- sha1 = "cb0704d47ab71789ffa0de8b97dd926fb88b13b1";
- };
- }
- {
- name = "lodash.flatten___lodash.flatten_4.4.0.tgz";
- path = fetchurl {
- name = "lodash.flatten___lodash.flatten_4.4.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.flatten/-/lodash.flatten-4.4.0.tgz";
- sha1 = "f31c22225a9632d2bbf8e4addbef240aa765a61f";
- };
- }
- {
- name = "lodash.forin___lodash.forin_4.4.0.tgz";
- path = fetchurl {
- name = "lodash.forin___lodash.forin_4.4.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.forin/-/lodash.forin-4.4.0.tgz";
- sha1 = "5d3f20ae564011fbe88381f7d98949c9c9519731";
- };
- }
- {
- name = "lodash.get___lodash.get_4.4.2.tgz";
- path = fetchurl {
- name = "lodash.get___lodash.get_4.4.2.tgz";
- url = "https://registry.yarnpkg.com/lodash.get/-/lodash.get-4.4.2.tgz";
- sha1 = "2d177f652fa31e939b4438d5341499dfa3825e99";
- };
- }
- {
- name = "lodash.has___lodash.has_4.5.2.tgz";
- path = fetchurl {
- name = "lodash.has___lodash.has_4.5.2.tgz";
- url = "https://registry.yarnpkg.com/lodash.has/-/lodash.has-4.5.2.tgz";
- sha1 = "d19f4dc1095058cccbe2b0cdf4ee0fe4aa37c862";
- };
- }
- {
- name = "lodash.invokemap___lodash.invokemap_4.6.0.tgz";
- path = fetchurl {
- name = "lodash.invokemap___lodash.invokemap_4.6.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.invokemap/-/lodash.invokemap-4.6.0.tgz";
- sha1 = "1748cda5d8b0ef8369c4eb3ec54c21feba1f2d62";
- };
- }
- {
- name = "lodash.isempty___lodash.isempty_4.4.0.tgz";
- path = fetchurl {
- name = "lodash.isempty___lodash.isempty_4.4.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.isempty/-/lodash.isempty-4.4.0.tgz";
- sha1 = "6f86cbedd8be4ec987be9aaf33c9684db1b31e7e";
- };
- }
- {
- name = "lodash.isequal___lodash.isequal_4.5.0.tgz";
- path = fetchurl {
- name = "lodash.isequal___lodash.isequal_4.5.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.isequal/-/lodash.isequal-4.5.0.tgz";
- sha1 = "415c4478f2bcc30120c22ce10ed3226f7d3e18e0";
- };
- }
- {
- name = "lodash.isfunction___lodash.isfunction_3.0.9.tgz";
- path = fetchurl {
- name = "lodash.isfunction___lodash.isfunction_3.0.9.tgz";
- url = "https://registry.yarnpkg.com/lodash.isfunction/-/lodash.isfunction-3.0.9.tgz";
- sha1 = "06de25df4db327ac931981d1bdb067e5af68d051";
- };
- }
- {
- name = "lodash.isinteger___lodash.isinteger_4.0.4.tgz";
- path = fetchurl {
- name = "lodash.isinteger___lodash.isinteger_4.0.4.tgz";
- url = "https://registry.yarnpkg.com/lodash.isinteger/-/lodash.isinteger-4.0.4.tgz";
- sha1 = "619c0af3d03f8b04c31f5882840b77b11cd68343";
- };
- }
- {
- name = "lodash.isplainobject___lodash.isplainobject_4.0.6.tgz";
- path = fetchurl {
- name = "lodash.isplainobject___lodash.isplainobject_4.0.6.tgz";
- url = "https://registry.yarnpkg.com/lodash.isplainobject/-/lodash.isplainobject-4.0.6.tgz";
- sha1 = "7c526a52d89b45c45cc690b88163be0497f550cb";
- };
- }
- {
- name = "lodash.kebabcase___lodash.kebabcase_4.1.1.tgz";
- path = fetchurl {
- name = "lodash.kebabcase___lodash.kebabcase_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/lodash.kebabcase/-/lodash.kebabcase-4.1.1.tgz";
- sha1 = "8489b1cb0d29ff88195cceca448ff6d6cc295c36";
- };
- }
- {
- name = "lodash.lowerfirst___lodash.lowerfirst_4.3.1.tgz";
- path = fetchurl {
- name = "lodash.lowerfirst___lodash.lowerfirst_4.3.1.tgz";
- url = "https://registry.yarnpkg.com/lodash.lowerfirst/-/lodash.lowerfirst-4.3.1.tgz";
- sha1 = "de3c7b12e02c6524a0059c2f6cb7c5c52655a13d";
- };
- }
- {
- name = "lodash.map___lodash.map_4.6.0.tgz";
- path = fetchurl {
- name = "lodash.map___lodash.map_4.6.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.map/-/lodash.map-4.6.0.tgz";
- sha1 = "771ec7839e3473d9c4cde28b19394c3562f4f6d3";
- };
- }
- {
- name = "lodash.mapvalues___lodash.mapvalues_4.6.0.tgz";
- path = fetchurl {
- name = "lodash.mapvalues___lodash.mapvalues_4.6.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.mapvalues/-/lodash.mapvalues-4.6.0.tgz";
- sha1 = "1bafa5005de9dd6f4f26668c30ca37230cc9689c";
- };
- }
- {
- name = "lodash.merge___lodash.merge_4.6.2.tgz";
- path = fetchurl {
- name = "lodash.merge___lodash.merge_4.6.2.tgz";
- url = "https://registry.yarnpkg.com/lodash.merge/-/lodash.merge-4.6.2.tgz";
- sha1 = "558aa53b43b661e1925a0afdfa36a9a1085fe57a";
- };
- }
- {
- name = "lodash.pick___lodash.pick_4.4.0.tgz";
- path = fetchurl {
- name = "lodash.pick___lodash.pick_4.4.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.pick/-/lodash.pick-4.4.0.tgz";
- sha1 = "52f05610fff9ded422611441ed1fc123a03001b3";
- };
- }
- {
- name = "lodash.snakecase___lodash.snakecase_4.1.1.tgz";
- path = fetchurl {
- name = "lodash.snakecase___lodash.snakecase_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/lodash.snakecase/-/lodash.snakecase-4.1.1.tgz";
- sha1 = "39d714a35357147837aefd64b5dcbb16becd8f8d";
- };
- }
- {
- name = "lodash.sortby___lodash.sortby_4.7.0.tgz";
- path = fetchurl {
- name = "lodash.sortby___lodash.sortby_4.7.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.sortby/-/lodash.sortby-4.7.0.tgz";
- sha1 = "edd14c824e2cc9c1e0b0a1b42bb5210516a42438";
- };
- }
- {
- name = "lodash.truncate___lodash.truncate_4.4.2.tgz";
- path = fetchurl {
- name = "lodash.truncate___lodash.truncate_4.4.2.tgz";
- url = "https://registry.yarnpkg.com/lodash.truncate/-/lodash.truncate-4.4.2.tgz";
- sha1 = "5a350da0b1113b837ecfffd5812cbe58d6eae193";
- };
- }
- {
- name = "lodash.uniq___lodash.uniq_4.5.0.tgz";
- path = fetchurl {
- name = "lodash.uniq___lodash.uniq_4.5.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.uniq/-/lodash.uniq-4.5.0.tgz";
- sha1 = "d0225373aeb652adc1bc82e4945339a842754773";
- };
- }
- {
- name = "lodash.uniqby___lodash.uniqby_4.7.0.tgz";
- path = fetchurl {
- name = "lodash.uniqby___lodash.uniqby_4.7.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.uniqby/-/lodash.uniqby-4.7.0.tgz";
- sha1 = "d99c07a669e9e6d24e1362dfe266c67616af1302";
- };
- }
- {
- name = "lodash.upperfirst___lodash.upperfirst_4.3.1.tgz";
- path = fetchurl {
- name = "lodash.upperfirst___lodash.upperfirst_4.3.1.tgz";
- url = "https://registry.yarnpkg.com/lodash.upperfirst/-/lodash.upperfirst-4.3.1.tgz";
- sha1 = "1365edf431480481ef0d1c68957a5ed99d49f7ce";
- };
- }
- {
- name = "lodash.values___lodash.values_4.3.0.tgz";
- path = fetchurl {
- name = "lodash.values___lodash.values_4.3.0.tgz";
- url = "https://registry.yarnpkg.com/lodash.values/-/lodash.values-4.3.0.tgz";
- sha1 = "a3a6c2b0ebecc5c2cba1c17e6e620fe81b53d347";
- };
- }
- {
- name = "lodash___lodash_4.17.21.tgz";
- path = fetchurl {
- name = "lodash___lodash_4.17.21.tgz";
- url = "https://registry.yarnpkg.com/lodash/-/lodash-4.17.21.tgz";
- sha1 = "679591c564c3bffaae8454cf0b3df370c3d6911c";
- };
- }
- {
- name = "log_symbols___log_symbols_2.2.0.tgz";
- path = fetchurl {
- name = "log_symbols___log_symbols_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/log-symbols/-/log-symbols-2.2.0.tgz";
- sha1 = "5740e1c5d6f0dfda4ad9323b5332107ef6b4c40a";
- };
- }
- {
- name = "log_symbols___log_symbols_4.0.0.tgz";
- path = fetchurl {
- name = "log_symbols___log_symbols_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/log-symbols/-/log-symbols-4.0.0.tgz";
- sha1 = "69b3cc46d20f448eccdb75ea1fa733d9e821c920";
- };
- }
- {
- name = "log4js___log4js_4.5.1.tgz";
- path = fetchurl {
- name = "log4js___log4js_4.5.1.tgz";
- url = "https://registry.yarnpkg.com/log4js/-/log4js-4.5.1.tgz";
- sha1 = "e543625e97d9e6f3e6e7c9fc196dd6ab2cae30b5";
- };
- }
- {
- name = "loglevel___loglevel_1.7.1.tgz";
- path = fetchurl {
- name = "loglevel___loglevel_1.7.1.tgz";
- url = "https://registry.yarnpkg.com/loglevel/-/loglevel-1.7.1.tgz";
- sha1 = "005fde2f5e6e47068f935ff28573e125ef72f197";
- };
- }
- {
- name = "longest_streak___longest_streak_2.0.4.tgz";
- path = fetchurl {
- name = "longest_streak___longest_streak_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/longest-streak/-/longest-streak-2.0.4.tgz";
- sha1 = "b8599957da5b5dab64dee3fe316fa774597d90e4";
- };
- }
- {
- name = "loose_envify___loose_envify_1.4.0.tgz";
- path = fetchurl {
- name = "loose_envify___loose_envify_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/loose-envify/-/loose-envify-1.4.0.tgz";
- sha1 = "71ee51fa7be4caec1a63839f7e682d8132d30caf";
- };
- }
- {
- name = "lower_case___lower_case_1.1.4.tgz";
- path = fetchurl {
- name = "lower_case___lower_case_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/lower-case/-/lower-case-1.1.4.tgz";
- sha1 = "9a2cabd1b9e8e0ae993a4bf7d5875c39c42e8eac";
- };
- }
- {
- name = "lowercase_keys___lowercase_keys_1.0.1.tgz";
- path = fetchurl {
- name = "lowercase_keys___lowercase_keys_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/lowercase-keys/-/lowercase-keys-1.0.1.tgz";
- sha1 = "6f9e30b47084d971a7c820ff15a6c5167b74c26f";
- };
- }
- {
- name = "lowercase_keys___lowercase_keys_2.0.0.tgz";
- path = fetchurl {
- name = "lowercase_keys___lowercase_keys_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/lowercase-keys/-/lowercase-keys-2.0.0.tgz";
- sha1 = "2603e78b7b4b0006cbca2fbcc8a3202558ac9479";
- };
- }
- {
- name = "lowlight___lowlight_1.20.0.tgz";
- path = fetchurl {
- name = "lowlight___lowlight_1.20.0.tgz";
- url = "https://registry.yarnpkg.com/lowlight/-/lowlight-1.20.0.tgz";
- sha1 = "ddb197d33462ad0d93bf19d17b6c301aa3941888";
- };
- }
- {
- name = "lru_cache___lru_cache_4.1.5.tgz";
- path = fetchurl {
- name = "lru_cache___lru_cache_4.1.5.tgz";
- url = "https://registry.yarnpkg.com/lru-cache/-/lru-cache-4.1.5.tgz";
- sha1 = "8bbe50ea85bed59bc9e33dcab8235ee9bcf443cd";
- };
- }
- {
- name = "lru_cache___lru_cache_5.1.1.tgz";
- path = fetchurl {
- name = "lru_cache___lru_cache_5.1.1.tgz";
- url = "https://registry.yarnpkg.com/lru-cache/-/lru-cache-5.1.1.tgz";
- sha1 = "1da27e6710271947695daf6848e847f01d84b920";
- };
- }
- {
- name = "lru_cache___lru_cache_6.0.0.tgz";
- path = fetchurl {
- name = "lru_cache___lru_cache_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/lru-cache/-/lru-cache-6.0.0.tgz";
- sha1 = "6d6fe6570ebd96aaf90fcad1dafa3b2566db3a94";
- };
- }
- {
- name = "lz_string___lz_string_1.4.4.tgz";
- path = fetchurl {
- name = "lz_string___lz_string_1.4.4.tgz";
- url = "https://registry.yarnpkg.com/lz-string/-/lz-string-1.4.4.tgz";
- sha1 = "c0d8eaf36059f705796e1e344811cf4c498d3a26";
- };
- }
- {
- name = "make_dir___make_dir_2.1.0.tgz";
- path = fetchurl {
- name = "make_dir___make_dir_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/make-dir/-/make-dir-2.1.0.tgz";
- sha1 = "5f0310e18b8be898cc07009295a30ae41e91e6f5";
- };
- }
- {
- name = "make_dir___make_dir_3.1.0.tgz";
- path = fetchurl {
- name = "make_dir___make_dir_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/make-dir/-/make-dir-3.1.0.tgz";
- sha1 = "415e967046b3a7f1d185277d84aa58203726a13f";
- };
- }
- {
- name = "makeerror___makeerror_1.0.11.tgz";
- path = fetchurl {
- name = "makeerror___makeerror_1.0.11.tgz";
- url = "https://registry.yarnpkg.com/makeerror/-/makeerror-1.0.11.tgz";
- sha1 = "e01a5c9109f2af79660e4e8b9587790184f5a96c";
- };
- }
- {
- name = "map_cache___map_cache_0.2.2.tgz";
- path = fetchurl {
- name = "map_cache___map_cache_0.2.2.tgz";
- url = "https://registry.yarnpkg.com/map-cache/-/map-cache-0.2.2.tgz";
- sha1 = "c32abd0bd6525d9b051645bb4f26ac5dc98a0dbf";
- };
- }
- {
- name = "map_obj___map_obj_1.0.1.tgz";
- path = fetchurl {
- name = "map_obj___map_obj_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/map-obj/-/map-obj-1.0.1.tgz";
- sha1 = "d933ceb9205d82bdcf4886f6742bdc2b4dea146d";
- };
- }
- {
- name = "map_obj___map_obj_4.1.0.tgz";
- path = fetchurl {
- name = "map_obj___map_obj_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/map-obj/-/map-obj-4.1.0.tgz";
- sha1 = "b91221b542734b9f14256c0132c897c5d7256fd5";
- };
- }
- {
- name = "map_visit___map_visit_1.0.0.tgz";
- path = fetchurl {
- name = "map_visit___map_visit_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/map-visit/-/map-visit-1.0.0.tgz";
- sha1 = "ecdca8f13144e660f1b5bd41f12f3479d98dfb8f";
- };
- }
- {
- name = "markdown_it___markdown_it_12.0.2.tgz";
- path = fetchurl {
- name = "markdown_it___markdown_it_12.0.2.tgz";
- url = "https://registry.yarnpkg.com/markdown-it/-/markdown-it-12.0.2.tgz";
- sha1 = "4401beae8df8aa2221fc6565a7188e60a06ef0ed";
- };
- }
- {
- name = "markdown_it___markdown_it_10.0.0.tgz";
- path = fetchurl {
- name = "markdown_it___markdown_it_10.0.0.tgz";
- url = "https://registry.yarnpkg.com/markdown-it/-/markdown-it-10.0.0.tgz";
- sha1 = "abfc64f141b1722d663402044e43927f1f50a8dc";
- };
- }
- {
- name = "markdownlint_cli___markdownlint_cli_0.26.0.tgz";
- path = fetchurl {
- name = "markdownlint_cli___markdownlint_cli_0.26.0.tgz";
- url = "https://registry.yarnpkg.com/markdownlint-cli/-/markdownlint-cli-0.26.0.tgz";
- sha1 = "cd89e3e39a049303ec125c8aa291da4f3325df29";
- };
- }
- {
- name = "markdownlint_rule_helpers___markdownlint_rule_helpers_0.13.0.tgz";
- path = fetchurl {
- name = "markdownlint_rule_helpers___markdownlint_rule_helpers_0.13.0.tgz";
- url = "https://registry.yarnpkg.com/markdownlint-rule-helpers/-/markdownlint-rule-helpers-0.13.0.tgz";
- sha1 = "7cc6553bc7f8c4c8a43cf66fb2a3a652124f46f9";
- };
- }
- {
- name = "markdownlint___markdownlint_0.22.0.tgz";
- path = fetchurl {
- name = "markdownlint___markdownlint_0.22.0.tgz";
- url = "https://registry.yarnpkg.com/markdownlint/-/markdownlint-0.22.0.tgz";
- sha1 = "4ed95b61c17ae9f4dfca6a01f038c744846c0a72";
- };
- }
- {
- name = "marked___marked_0.3.19.tgz";
- path = fetchurl {
- name = "marked___marked_0.3.19.tgz";
- url = "https://registry.yarnpkg.com/marked/-/marked-0.3.19.tgz";
- sha1 = "5d47f709c4c9fc3c216b6d46127280f40b39d790";
- };
- }
- {
- name = "mathjax___mathjax_3.1.2.tgz";
- path = fetchurl {
- name = "mathjax___mathjax_3.1.2.tgz";
- url = "https://registry.yarnpkg.com/mathjax/-/mathjax-3.1.2.tgz";
- sha1 = "95c0d45ce2330ef7b6a815cebe7d61ecc26bbabd";
- };
- }
- {
- name = "mathml_tag_names___mathml_tag_names_2.1.3.tgz";
- path = fetchurl {
- name = "mathml_tag_names___mathml_tag_names_2.1.3.tgz";
- url = "https://registry.yarnpkg.com/mathml-tag-names/-/mathml-tag-names-2.1.3.tgz";
- sha1 = "4ddadd67308e780cf16a47685878ee27b736a0a3";
- };
- }
- {
- name = "md5.js___md5.js_1.3.4.tgz";
- path = fetchurl {
- name = "md5.js___md5.js_1.3.4.tgz";
- url = "https://registry.yarnpkg.com/md5.js/-/md5.js-1.3.4.tgz";
- sha1 = "e9bdbde94a20a5ac18b04340fc5764d5b09d901d";
- };
- }
- {
- name = "md5___md5_2.2.1.tgz";
- path = fetchurl {
- name = "md5___md5_2.2.1.tgz";
- url = "https://registry.yarnpkg.com/md5/-/md5-2.2.1.tgz";
- sha1 = "53ab38d5fe3c8891ba465329ea23fac0540126f9";
- };
- }
- {
- name = "mdast_util_from_markdown___mdast_util_from_markdown_0.8.5.tgz";
- path = fetchurl {
- name = "mdast_util_from_markdown___mdast_util_from_markdown_0.8.5.tgz";
- url = "https://registry.yarnpkg.com/mdast-util-from-markdown/-/mdast-util-from-markdown-0.8.5.tgz";
- sha1 = "d1ef2ca42bc377ecb0463a987910dae89bd9a28c";
- };
- }
- {
- name = "mdast_util_to_markdown___mdast_util_to_markdown_0.6.5.tgz";
- path = fetchurl {
- name = "mdast_util_to_markdown___mdast_util_to_markdown_0.6.5.tgz";
- url = "https://registry.yarnpkg.com/mdast-util-to-markdown/-/mdast-util-to-markdown-0.6.5.tgz";
- sha1 = "b33f67ca820d69e6cc527a93d4039249b504bebe";
- };
- }
- {
- name = "mdast_util_to_string___mdast_util_to_string_2.0.0.tgz";
- path = fetchurl {
- name = "mdast_util_to_string___mdast_util_to_string_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/mdast-util-to-string/-/mdast-util-to-string-2.0.0.tgz";
- sha1 = "b8cfe6a713e1091cb5b728fc48885a4767f8b97b";
- };
- }
- {
- name = "mdurl___mdurl_1.0.1.tgz";
- path = fetchurl {
- name = "mdurl___mdurl_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/mdurl/-/mdurl-1.0.1.tgz";
- sha1 = "fe85b2ec75a59037f2adfec100fd6c601761152e";
- };
- }
- {
- name = "media_typer___media_typer_0.3.0.tgz";
- path = fetchurl {
- name = "media_typer___media_typer_0.3.0.tgz";
- url = "https://registry.yarnpkg.com/media-typer/-/media-typer-0.3.0.tgz";
- sha1 = "8710d7af0aa626f8fffa1ce00168545263255748";
- };
- }
- {
- name = "memory_fs___memory_fs_0.2.0.tgz";
- path = fetchurl {
- name = "memory_fs___memory_fs_0.2.0.tgz";
- url = "https://registry.yarnpkg.com/memory-fs/-/memory-fs-0.2.0.tgz";
- sha1 = "f2bb25368bc121e391c2520de92969caee0a0290";
- };
- }
- {
- name = "memory_fs___memory_fs_0.4.1.tgz";
- path = fetchurl {
- name = "memory_fs___memory_fs_0.4.1.tgz";
- url = "https://registry.yarnpkg.com/memory-fs/-/memory-fs-0.4.1.tgz";
- sha1 = "3a9a20b8462523e447cfbc7e8bb80ed667bfc552";
- };
- }
- {
- name = "memory_fs___memory_fs_0.5.0.tgz";
- path = fetchurl {
- name = "memory_fs___memory_fs_0.5.0.tgz";
- url = "https://registry.yarnpkg.com/memory-fs/-/memory-fs-0.5.0.tgz";
- sha1 = "324c01288b88652966d161db77838720845a8e3c";
- };
- }
- {
- name = "meow___meow_9.0.0.tgz";
- path = fetchurl {
- name = "meow___meow_9.0.0.tgz";
- url = "https://registry.yarnpkg.com/meow/-/meow-9.0.0.tgz";
- sha1 = "cd9510bc5cac9dee7d03c73ee1f9ad959f4ea364";
- };
- }
- {
- name = "merge_descriptors___merge_descriptors_1.0.1.tgz";
- path = fetchurl {
- name = "merge_descriptors___merge_descriptors_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/merge-descriptors/-/merge-descriptors-1.0.1.tgz";
- sha1 = "b00aaa556dd8b44568150ec9d1b953f3f90cbb61";
- };
- }
- {
- name = "merge_source_map___merge_source_map_1.1.0.tgz";
- path = fetchurl {
- name = "merge_source_map___merge_source_map_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/merge-source-map/-/merge-source-map-1.1.0.tgz";
- sha1 = "2fdde7e6020939f70906a68f2d7ae685e4c8c646";
- };
- }
- {
- name = "merge_stream___merge_stream_2.0.0.tgz";
- path = fetchurl {
- name = "merge_stream___merge_stream_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/merge-stream/-/merge-stream-2.0.0.tgz";
- sha1 = "52823629a14dd00c9770fb6ad47dc6310f2c1f60";
- };
- }
- {
- name = "merge2___merge2_1.4.1.tgz";
- path = fetchurl {
- name = "merge2___merge2_1.4.1.tgz";
- url = "https://registry.yarnpkg.com/merge2/-/merge2-1.4.1.tgz";
- sha1 = "4368892f885e907455a6fd7dc55c0c9d404990ae";
- };
- }
- {
- name = "mermaid___mermaid_8.10.2.tgz";
- path = fetchurl {
- name = "mermaid___mermaid_8.10.2.tgz";
- url = "https://registry.yarnpkg.com/mermaid/-/mermaid-8.10.2.tgz";
- sha1 = "e039df2e42faba08743f167fff85bdccff241f76";
- };
- }
- {
- name = "methods___methods_1.1.2.tgz";
- path = fetchurl {
- name = "methods___methods_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/methods/-/methods-1.1.2.tgz";
- sha1 = "5529a4d67654134edcc5266656835b0f851afcee";
- };
- }
- {
- name = "micromark___micromark_2.11.4.tgz";
- path = fetchurl {
- name = "micromark___micromark_2.11.4.tgz";
- url = "https://registry.yarnpkg.com/micromark/-/micromark-2.11.4.tgz";
- sha1 = "d13436138eea826383e822449c9a5c50ee44665a";
- };
- }
- {
- name = "micromatch___micromatch_3.1.10.tgz";
- path = fetchurl {
- name = "micromatch___micromatch_3.1.10.tgz";
- url = "https://registry.yarnpkg.com/micromatch/-/micromatch-3.1.10.tgz";
- sha1 = "70859bc95c9840952f359a068a3fc49f9ecfac23";
- };
- }
- {
- name = "micromatch___micromatch_4.0.2.tgz";
- path = fetchurl {
- name = "micromatch___micromatch_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/micromatch/-/micromatch-4.0.2.tgz";
- sha1 = "4fcb0999bf9fbc2fcbdd212f6d629b9a56c39259";
- };
- }
- {
- name = "miller_rabin___miller_rabin_4.0.1.tgz";
- path = fetchurl {
- name = "miller_rabin___miller_rabin_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/miller-rabin/-/miller-rabin-4.0.1.tgz";
- sha1 = "f080351c865b0dc562a8462966daa53543c78a4d";
- };
- }
- {
- name = "mime_db___mime_db_1.47.0.tgz";
- path = fetchurl {
- name = "mime_db___mime_db_1.47.0.tgz";
- url = "https://registry.yarnpkg.com/mime-db/-/mime-db-1.47.0.tgz";
- sha1 = "8cb313e59965d3c05cfbf898915a267af46a335c";
- };
- }
- {
- name = "mime_types___mime_types_2.1.30.tgz";
- path = fetchurl {
- name = "mime_types___mime_types_2.1.30.tgz";
- url = "https://registry.yarnpkg.com/mime-types/-/mime-types-2.1.30.tgz";
- sha1 = "6e7be8b4c479825f85ed6326695db73f9305d62d";
- };
- }
- {
- name = "mime___mime_1.6.0.tgz";
- path = fetchurl {
- name = "mime___mime_1.6.0.tgz";
- url = "https://registry.yarnpkg.com/mime/-/mime-1.6.0.tgz";
- sha1 = "32cd9e5c64553bd58d19a568af452acff04981b1";
- };
- }
- {
- name = "mime___mime_2.4.4.tgz";
- path = fetchurl {
- name = "mime___mime_2.4.4.tgz";
- url = "https://registry.yarnpkg.com/mime/-/mime-2.4.4.tgz";
- sha1 = "bd7b91135fc6b01cde3e9bae33d659b63d8857e5";
- };
- }
- {
- name = "mimic_fn___mimic_fn_2.1.0.tgz";
- path = fetchurl {
- name = "mimic_fn___mimic_fn_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/mimic-fn/-/mimic-fn-2.1.0.tgz";
- sha1 = "7ed2c2ccccaf84d3ffcb7a69b57711fc2083401b";
- };
- }
- {
- name = "mimic_response___mimic_response_1.0.1.tgz";
- path = fetchurl {
- name = "mimic_response___mimic_response_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/mimic-response/-/mimic-response-1.0.1.tgz";
- sha1 = "4923538878eef42063cb8a3e3b0798781487ab1b";
- };
- }
- {
- name = "min_document___min_document_2.19.0.tgz";
- path = fetchurl {
- name = "min_document___min_document_2.19.0.tgz";
- url = "https://registry.yarnpkg.com/min-document/-/min-document-2.19.0.tgz";
- sha1 = "7bd282e3f5842ed295bb748cdd9f1ffa2c824685";
- };
- }
- {
- name = "min_indent___min_indent_1.0.1.tgz";
- path = fetchurl {
- name = "min_indent___min_indent_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/min-indent/-/min-indent-1.0.1.tgz";
- sha1 = "a63f681673b30571fbe8bc25686ae746eefa9869";
- };
- }
- {
- name = "minify___minify_4.1.2.tgz";
- path = fetchurl {
- name = "minify___minify_4.1.2.tgz";
- url = "https://registry.yarnpkg.com/minify/-/minify-4.1.2.tgz";
- sha1 = "88755f4faa5f7ab6d0c64fdd659aa34ea658f180";
- };
- }
- {
- name = "minimalistic_assert___minimalistic_assert_1.0.1.tgz";
- path = fetchurl {
- name = "minimalistic_assert___minimalistic_assert_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/minimalistic-assert/-/minimalistic-assert-1.0.1.tgz";
- sha1 = "2e194de044626d4a10e7f7fbc00ce73e83e4d5c7";
- };
- }
- {
- name = "minimalistic_crypto_utils___minimalistic_crypto_utils_1.0.1.tgz";
- path = fetchurl {
- name = "minimalistic_crypto_utils___minimalistic_crypto_utils_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/minimalistic-crypto-utils/-/minimalistic-crypto-utils-1.0.1.tgz";
- sha1 = "f6c00c1c0b082246e5c4d99dfb8c7c083b2b582a";
- };
- }
- {
- name = "minimatch___minimatch_3.0.4.tgz";
- path = fetchurl {
- name = "minimatch___minimatch_3.0.4.tgz";
- url = "https://registry.yarnpkg.com/minimatch/-/minimatch-3.0.4.tgz";
- sha1 = "5166e286457f03306064be5497e8dbb0c3d32083";
- };
- }
- {
- name = "minimist_options___minimist_options_4.1.0.tgz";
- path = fetchurl {
- name = "minimist_options___minimist_options_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/minimist-options/-/minimist-options-4.1.0.tgz";
- sha1 = "c0655713c53a8a2ebd77ffa247d342c40f010619";
- };
- }
- {
- name = "minimist___minimist_1.2.5.tgz";
- path = fetchurl {
- name = "minimist___minimist_1.2.5.tgz";
- url = "https://registry.yarnpkg.com/minimist/-/minimist-1.2.5.tgz";
- sha1 = "67d66014b66a6a8aaa0c083c5fd58df4e4e97602";
- };
- }
- {
- name = "minimist___minimist_0.0.8.tgz";
- path = fetchurl {
- name = "minimist___minimist_0.0.8.tgz";
- url = "https://registry.yarnpkg.com/minimist/-/minimist-0.0.8.tgz";
- sha1 = "857fcabfc3397d2625b8228262e86aa7a011b05d";
- };
- }
- {
- name = "minipass_collect___minipass_collect_1.0.2.tgz";
- path = fetchurl {
- name = "minipass_collect___minipass_collect_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/minipass-collect/-/minipass-collect-1.0.2.tgz";
- sha1 = "22b813bf745dc6edba2576b940022ad6edc8c617";
- };
- }
- {
- name = "minipass_flush___minipass_flush_1.0.5.tgz";
- path = fetchurl {
- name = "minipass_flush___minipass_flush_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/minipass-flush/-/minipass-flush-1.0.5.tgz";
- sha1 = "82e7135d7e89a50ffe64610a787953c4c4cbb373";
- };
- }
- {
- name = "minipass_pipeline___minipass_pipeline_1.2.2.tgz";
- path = fetchurl {
- name = "minipass_pipeline___minipass_pipeline_1.2.2.tgz";
- url = "https://registry.yarnpkg.com/minipass-pipeline/-/minipass-pipeline-1.2.2.tgz";
- sha1 = "3dcb6bb4a546e32969c7ad710f2c79a86abba93a";
- };
- }
- {
- name = "minipass___minipass_3.1.1.tgz";
- path = fetchurl {
- name = "minipass___minipass_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/minipass/-/minipass-3.1.1.tgz";
- sha1 = "7607ce778472a185ad6d89082aa2070f79cedcd5";
- };
- }
- {
- name = "minizlib___minizlib_2.1.2.tgz";
- path = fetchurl {
- name = "minizlib___minizlib_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/minizlib/-/minizlib-2.1.2.tgz";
- sha1 = "e90d3466ba209b932451508a11ce3d3632145931";
- };
- }
- {
- name = "miragejs___miragejs_0.1.40.tgz";
- path = fetchurl {
- name = "miragejs___miragejs_0.1.40.tgz";
- url = "https://registry.yarnpkg.com/miragejs/-/miragejs-0.1.40.tgz";
- sha1 = "5bcba7634312c012748ae7f294e1516b74b37182";
- };
- }
- {
- name = "mississippi___mississippi_3.0.0.tgz";
- path = fetchurl {
- name = "mississippi___mississippi_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/mississippi/-/mississippi-3.0.0.tgz";
- sha1 = "ea0a3291f97e0b5e8776b363d5f0a12d94c67022";
- };
- }
- {
- name = "mixin_deep___mixin_deep_1.3.2.tgz";
- path = fetchurl {
- name = "mixin_deep___mixin_deep_1.3.2.tgz";
- url = "https://registry.yarnpkg.com/mixin-deep/-/mixin-deep-1.3.2.tgz";
- sha1 = "1120b43dc359a785dce65b55b82e257ccf479566";
- };
- }
- {
- name = "mkdirp___mkdirp_0.5.5.tgz";
- path = fetchurl {
- name = "mkdirp___mkdirp_0.5.5.tgz";
- url = "https://registry.yarnpkg.com/mkdirp/-/mkdirp-0.5.5.tgz";
- sha1 = "d91cefd62d1436ca0f41620e251288d420099def";
- };
- }
- {
- name = "mkdirp___mkdirp_1.0.4.tgz";
- path = fetchurl {
- name = "mkdirp___mkdirp_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/mkdirp/-/mkdirp-1.0.4.tgz";
- sha1 = "3eb5ed62622756d79a5f0e2a221dfebad75c2f7e";
- };
- }
- {
- name = "mock_apollo_client___mock_apollo_client_0.7.0.tgz";
- path = fetchurl {
- name = "mock_apollo_client___mock_apollo_client_0.7.0.tgz";
- url = "https://registry.yarnpkg.com/mock-apollo-client/-/mock-apollo-client-0.7.0.tgz";
- sha1 = "5f70e75c842a9f3b3da2252f68fd47f2d9955f77";
- };
- }
- {
- name = "moment_mini___moment_mini_2.22.1.tgz";
- path = fetchurl {
- name = "moment_mini___moment_mini_2.22.1.tgz";
- url = "https://registry.yarnpkg.com/moment-mini/-/moment-mini-2.22.1.tgz";
- sha1 = "bc32d73e43a4505070be6b53494b17623183420d";
- };
- }
- {
- name = "monaco_editor_webpack_plugin___monaco_editor_webpack_plugin_4.0.0.tgz";
- path = fetchurl {
- name = "monaco_editor_webpack_plugin___monaco_editor_webpack_plugin_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/monaco-editor-webpack-plugin/-/monaco-editor-webpack-plugin-4.0.0.tgz";
- sha1 = "95be3f48f4220999b909266a9997727f0deab947";
- };
- }
- {
- name = "monaco_editor___monaco_editor_0.25.2.tgz";
- path = fetchurl {
- name = "monaco_editor___monaco_editor_0.25.2.tgz";
- url = "https://registry.yarnpkg.com/monaco-editor/-/monaco-editor-0.25.2.tgz";
- sha1 = "119e2b15bbd968a1a99c03cac9c329316d7c37e9";
- };
- }
- {
- name = "monaco_yaml___monaco_yaml_2.5.1.tgz";
- path = fetchurl {
- name = "monaco_yaml___monaco_yaml_2.5.1.tgz";
- url = "https://registry.yarnpkg.com/monaco-yaml/-/monaco-yaml-2.5.1.tgz";
- sha1 = "af9303a4aa6e3b94db62b8a8659362f31944590d";
- };
- }
- {
- name = "mousetrap___mousetrap_1.6.5.tgz";
- path = fetchurl {
- name = "mousetrap___mousetrap_1.6.5.tgz";
- url = "https://registry.yarnpkg.com/mousetrap/-/mousetrap-1.6.5.tgz";
- sha1 = "8a766d8c272b08393d5f56074e0b5ec183485bf9";
- };
- }
- {
- name = "move_concurrently___move_concurrently_1.0.1.tgz";
- path = fetchurl {
- name = "move_concurrently___move_concurrently_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/move-concurrently/-/move-concurrently-1.0.1.tgz";
- sha1 = "be2c005fda32e0b29af1f05d7c4b33214c701f92";
- };
- }
- {
- name = "ms___ms_2.0.0.tgz";
- path = fetchurl {
- name = "ms___ms_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/ms/-/ms-2.0.0.tgz";
- sha1 = "5608aeadfc00be6c2901df5f9861788de0d597c8";
- };
- }
- {
- name = "ms___ms_2.1.1.tgz";
- path = fetchurl {
- name = "ms___ms_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/ms/-/ms-2.1.1.tgz";
- sha1 = "30a5864eb3ebb0a66f2ebe6d727af06a09d86e0a";
- };
- }
- {
- name = "ms___ms_2.1.2.tgz";
- path = fetchurl {
- name = "ms___ms_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/ms/-/ms-2.1.2.tgz";
- sha1 = "d09d1f357b443f493382a8eb3ccd183872ae6009";
- };
- }
- {
- name = "multicast_dns_service_types___multicast_dns_service_types_1.1.0.tgz";
- path = fetchurl {
- name = "multicast_dns_service_types___multicast_dns_service_types_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/multicast-dns-service-types/-/multicast-dns-service-types-1.1.0.tgz";
- sha1 = "899f11d9686e5e05cb91b35d5f0e63b773cfc901";
- };
- }
- {
- name = "multicast_dns___multicast_dns_6.1.1.tgz";
- path = fetchurl {
- name = "multicast_dns___multicast_dns_6.1.1.tgz";
- url = "https://registry.yarnpkg.com/multicast-dns/-/multicast-dns-6.1.1.tgz";
- sha1 = "6e7de86a570872ab17058adea7160bbeca814dde";
- };
- }
- {
- name = "nanoid___nanoid_3.1.23.tgz";
- path = fetchurl {
- name = "nanoid___nanoid_3.1.23.tgz";
- url = "https://registry.yarnpkg.com/nanoid/-/nanoid-3.1.23.tgz";
- sha1 = "f744086ce7c2bc47ee0a8472574d5c78e4183a81";
- };
- }
- {
- name = "nanomatch___nanomatch_1.2.13.tgz";
- path = fetchurl {
- name = "nanomatch___nanomatch_1.2.13.tgz";
- url = "https://registry.yarnpkg.com/nanomatch/-/nanomatch-1.2.13.tgz";
- sha1 = "b87a8aa4fc0de8fe6be88895b38983ff265bd119";
- };
- }
- {
- name = "natural_compare___natural_compare_1.4.0.tgz";
- path = fetchurl {
- name = "natural_compare___natural_compare_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/natural-compare/-/natural-compare-1.4.0.tgz";
- sha1 = "4abebfeed7541f2c27acfb29bdbbd15c8d5ba4f7";
- };
- }
- {
- name = "negotiator___negotiator_0.6.2.tgz";
- path = fetchurl {
- name = "negotiator___negotiator_0.6.2.tgz";
- url = "https://registry.yarnpkg.com/negotiator/-/negotiator-0.6.2.tgz";
- sha1 = "feacf7ccf525a77ae9634436a64883ffeca346fb";
- };
- }
- {
- name = "neo_async___neo_async_2.6.1.tgz";
- path = fetchurl {
- name = "neo_async___neo_async_2.6.1.tgz";
- url = "https://registry.yarnpkg.com/neo-async/-/neo-async-2.6.1.tgz";
- sha1 = "ac27ada66167fa8849a6addd837f6b189ad2081c";
- };
- }
- {
- name = "nice_try___nice_try_1.0.5.tgz";
- path = fetchurl {
- name = "nice_try___nice_try_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/nice-try/-/nice-try-1.0.5.tgz";
- sha1 = "a3378a7696ce7d223e88fc9b764bd7ef1089e366";
- };
- }
- {
- name = "no_case___no_case_2.3.2.tgz";
- path = fetchurl {
- name = "no_case___no_case_2.3.2.tgz";
- url = "https://registry.yarnpkg.com/no-case/-/no-case-2.3.2.tgz";
- sha1 = "60b813396be39b3f1288a4c1ed5d1e7d28b464ac";
- };
- }
- {
- name = "node_ensure___node_ensure_0.0.0.tgz";
- path = fetchurl {
- name = "node_ensure___node_ensure_0.0.0.tgz";
- url = "https://registry.yarnpkg.com/node-ensure/-/node-ensure-0.0.0.tgz";
- sha1 = "ecae764150de99861ec5c810fd5d096b183932a7";
- };
- }
- {
- name = "node_fetch___node_fetch_2.6.1.tgz";
- path = fetchurl {
- name = "node_fetch___node_fetch_2.6.1.tgz";
- url = "https://registry.yarnpkg.com/node-fetch/-/node-fetch-2.6.1.tgz";
- sha1 = "045bd323631f76ed2e2b55573394416b639a0052";
- };
- }
- {
- name = "node_forge___node_forge_0.10.0.tgz";
- path = fetchurl {
- name = "node_forge___node_forge_0.10.0.tgz";
- url = "https://registry.yarnpkg.com/node-forge/-/node-forge-0.10.0.tgz";
- sha1 = "32dea2afb3e9926f02ee5ce8794902691a676bf3";
- };
- }
- {
- name = "node_int64___node_int64_0.4.0.tgz";
- path = fetchurl {
- name = "node_int64___node_int64_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/node-int64/-/node-int64-0.4.0.tgz";
- sha1 = "87a9065cdb355d3182d8f94ce11188b825c68a3b";
- };
- }
- {
- name = "node_libs_browser___node_libs_browser_2.2.1.tgz";
- path = fetchurl {
- name = "node_libs_browser___node_libs_browser_2.2.1.tgz";
- url = "https://registry.yarnpkg.com/node-libs-browser/-/node-libs-browser-2.2.1.tgz";
- sha1 = "b64f513d18338625f90346d27b0d235e631f6425";
- };
- }
- {
- name = "node_modules_regexp___node_modules_regexp_1.0.0.tgz";
- path = fetchurl {
- name = "node_modules_regexp___node_modules_regexp_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/node-modules-regexp/-/node-modules-regexp-1.0.0.tgz";
- sha1 = "8d9dbe28964a4ac5712e9131642107c71e90ec40";
- };
- }
- {
- name = "node_notifier___node_notifier_8.0.0.tgz";
- path = fetchurl {
- name = "node_notifier___node_notifier_8.0.0.tgz";
- url = "https://registry.yarnpkg.com/node-notifier/-/node-notifier-8.0.0.tgz";
- sha1 = "a7eee2d51da6d0f7ff5094bc7108c911240c1620";
- };
- }
- {
- name = "node_releases___node_releases_1.1.73.tgz";
- path = fetchurl {
- name = "node_releases___node_releases_1.1.73.tgz";
- url = "https://registry.yarnpkg.com/node-releases/-/node-releases-1.1.73.tgz";
- sha1 = "dd4e81ddd5277ff846b80b52bb40c49edf7a7b20";
- };
- }
- {
- name = "nodemon___nodemon_2.0.4.tgz";
- path = fetchurl {
- name = "nodemon___nodemon_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/nodemon/-/nodemon-2.0.4.tgz";
- sha1 = "55b09319eb488d6394aa9818148c0c2d1c04c416";
- };
- }
- {
- name = "nopt___nopt_4.0.3.tgz";
- path = fetchurl {
- name = "nopt___nopt_4.0.3.tgz";
- url = "https://registry.yarnpkg.com/nopt/-/nopt-4.0.3.tgz";
- sha1 = "a375cad9d02fd921278d954c2254d5aa57e15e48";
- };
- }
- {
- name = "nopt___nopt_1.0.10.tgz";
- path = fetchurl {
- name = "nopt___nopt_1.0.10.tgz";
- url = "https://registry.yarnpkg.com/nopt/-/nopt-1.0.10.tgz";
- sha1 = "6ddd21bd2a31417b92727dd585f8a6f37608ebee";
- };
- }
- {
- name = "normalize_package_data___normalize_package_data_2.5.0.tgz";
- path = fetchurl {
- name = "normalize_package_data___normalize_package_data_2.5.0.tgz";
- url = "https://registry.yarnpkg.com/normalize-package-data/-/normalize-package-data-2.5.0.tgz";
- sha1 = "e66db1838b200c1dfc233225d12cb36520e234a8";
- };
- }
- {
- name = "normalize_package_data___normalize_package_data_3.0.0.tgz";
- path = fetchurl {
- name = "normalize_package_data___normalize_package_data_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/normalize-package-data/-/normalize-package-data-3.0.0.tgz";
- sha1 = "1f8a7c423b3d2e85eb36985eaf81de381d01301a";
- };
- }
- {
- name = "normalize_path___normalize_path_2.1.1.tgz";
- path = fetchurl {
- name = "normalize_path___normalize_path_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/normalize-path/-/normalize-path-2.1.1.tgz";
- sha1 = "1ab28b556e198363a8c1a6f7e6fa20137fe6aed9";
- };
- }
- {
- name = "normalize_path___normalize_path_3.0.0.tgz";
- path = fetchurl {
- name = "normalize_path___normalize_path_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/normalize-path/-/normalize-path-3.0.0.tgz";
- sha1 = "0dcd69ff23a1c9b11fd0978316644a0388216a65";
- };
- }
- {
- name = "normalize_range___normalize_range_0.1.2.tgz";
- path = fetchurl {
- name = "normalize_range___normalize_range_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/normalize-range/-/normalize-range-0.1.2.tgz";
- sha1 = "2d10c06bdfd312ea9777695a4d28439456b75942";
- };
- }
- {
- name = "normalize_selector___normalize_selector_0.2.0.tgz";
- path = fetchurl {
- name = "normalize_selector___normalize_selector_0.2.0.tgz";
- url = "https://registry.yarnpkg.com/normalize-selector/-/normalize-selector-0.2.0.tgz";
- sha1 = "d0b145eb691189c63a78d201dc4fdb1293ef0c03";
- };
- }
- {
- name = "normalize_url___normalize_url_4.5.0.tgz";
- path = fetchurl {
- name = "normalize_url___normalize_url_4.5.0.tgz";
- url = "https://registry.yarnpkg.com/normalize-url/-/normalize-url-4.5.0.tgz";
- sha1 = "453354087e6ca96957bd8f5baf753f5982142129";
- };
- }
- {
- name = "npm_run_path___npm_run_path_2.0.2.tgz";
- path = fetchurl {
- name = "npm_run_path___npm_run_path_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/npm-run-path/-/npm-run-path-2.0.2.tgz";
- sha1 = "35a9232dfa35d7067b4cb2ddf2357b1871536c5f";
- };
- }
- {
- name = "npm_run_path___npm_run_path_4.0.1.tgz";
- path = fetchurl {
- name = "npm_run_path___npm_run_path_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/npm-run-path/-/npm-run-path-4.0.1.tgz";
- sha1 = "b7ecd1e5ed53da8e37a55e1c2269e0b97ed748ea";
- };
- }
- {
- name = "nth_check___nth_check_2.0.0.tgz";
- path = fetchurl {
- name = "nth_check___nth_check_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/nth-check/-/nth-check-2.0.0.tgz";
- sha1 = "1bb4f6dac70072fc313e8c9cd1417b5074c0a125";
- };
- }
- {
- name = "num2fraction___num2fraction_1.2.2.tgz";
- path = fetchurl {
- name = "num2fraction___num2fraction_1.2.2.tgz";
- url = "https://registry.yarnpkg.com/num2fraction/-/num2fraction-1.2.2.tgz";
- sha1 = "6f682b6a027a4e9ddfa4564cd2589d1d4e669ede";
- };
- }
- {
- name = "nwsapi___nwsapi_2.2.0.tgz";
- path = fetchurl {
- name = "nwsapi___nwsapi_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/nwsapi/-/nwsapi-2.2.0.tgz";
- sha1 = "204879a9e3d068ff2a55139c2c772780681a38b7";
- };
- }
- {
- name = "oauth_sign___oauth_sign_0.9.0.tgz";
- path = fetchurl {
- name = "oauth_sign___oauth_sign_0.9.0.tgz";
- url = "https://registry.yarnpkg.com/oauth-sign/-/oauth-sign-0.9.0.tgz";
- sha1 = "47a7b016baa68b5fa0ecf3dee08a85c679ac6455";
- };
- }
- {
- name = "object_assign___object_assign_4.1.1.tgz";
- path = fetchurl {
- name = "object_assign___object_assign_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/object-assign/-/object-assign-4.1.1.tgz";
- sha1 = "2109adc7965887cfc05cbbd442cac8bfbb360863";
- };
- }
- {
- name = "object_component___object_component_0.0.3.tgz";
- path = fetchurl {
- name = "object_component___object_component_0.0.3.tgz";
- url = "https://registry.yarnpkg.com/object-component/-/object-component-0.0.3.tgz";
- sha1 = "f0c69aa50efc95b866c186f400a33769cb2f1291";
- };
- }
- {
- name = "object_copy___object_copy_0.1.0.tgz";
- path = fetchurl {
- name = "object_copy___object_copy_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/object-copy/-/object-copy-0.1.0.tgz";
- sha1 = "7e7d858b781bd7c991a41ba975ed3812754e998c";
- };
- }
- {
- name = "object_inspect___object_inspect_1.9.0.tgz";
- path = fetchurl {
- name = "object_inspect___object_inspect_1.9.0.tgz";
- url = "https://registry.yarnpkg.com/object-inspect/-/object-inspect-1.9.0.tgz";
- sha1 = "c90521d74e1127b67266ded3394ad6116986533a";
- };
- }
- {
- name = "object_keys___object_keys_1.1.1.tgz";
- path = fetchurl {
- name = "object_keys___object_keys_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/object-keys/-/object-keys-1.1.1.tgz";
- sha1 = "1c47f272df277f3b1daf061677d9c82e2322c60e";
- };
- }
- {
- name = "object_visit___object_visit_1.0.1.tgz";
- path = fetchurl {
- name = "object_visit___object_visit_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/object-visit/-/object-visit-1.0.1.tgz";
- sha1 = "f79c4493af0c5377b59fe39d395e41042dd045bb";
- };
- }
- {
- name = "object.assign___object.assign_4.1.2.tgz";
- path = fetchurl {
- name = "object.assign___object.assign_4.1.2.tgz";
- url = "https://registry.yarnpkg.com/object.assign/-/object.assign-4.1.2.tgz";
- sha1 = "0ed54a342eceb37b38ff76eb831a0e788cb63940";
- };
- }
- {
- name = "object.entries___object.entries_1.1.3.tgz";
- path = fetchurl {
- name = "object.entries___object.entries_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/object.entries/-/object.entries-1.1.3.tgz";
- sha1 = "c601c7f168b62374541a07ddbd3e2d5e4f7711a6";
- };
- }
- {
- name = "object.pick___object.pick_1.3.0.tgz";
- path = fetchurl {
- name = "object.pick___object.pick_1.3.0.tgz";
- url = "https://registry.yarnpkg.com/object.pick/-/object.pick-1.3.0.tgz";
- sha1 = "87a10ac4c1694bd2e1cbf53591a66141fb5dd747";
- };
- }
- {
- name = "object.values___object.values_1.1.2.tgz";
- path = fetchurl {
- name = "object.values___object.values_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/object.values/-/object.values-1.1.2.tgz";
- sha1 = "7a2015e06fcb0f546bd652486ce8583a4731c731";
- };
- }
- {
- name = "obuf___obuf_1.1.2.tgz";
- path = fetchurl {
- name = "obuf___obuf_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/obuf/-/obuf-1.1.2.tgz";
- sha1 = "09bea3343d41859ebd446292d11c9d4db619084e";
- };
- }
- {
- name = "on_finished___on_finished_2.3.0.tgz";
- path = fetchurl {
- name = "on_finished___on_finished_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/on-finished/-/on-finished-2.3.0.tgz";
- sha1 = "20f1336481b083cd75337992a16971aa2d906947";
- };
- }
- {
- name = "on_headers___on_headers_1.0.2.tgz";
- path = fetchurl {
- name = "on_headers___on_headers_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/on-headers/-/on-headers-1.0.2.tgz";
- sha1 = "772b0ae6aaa525c399e489adfad90c403eb3c28f";
- };
- }
- {
- name = "once___once_1.4.0.tgz";
- path = fetchurl {
- name = "once___once_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/once/-/once-1.4.0.tgz";
- sha1 = "583b1aa775961d4b113ac17d9c50baef9dd76bd1";
- };
- }
- {
- name = "onetime___onetime_5.1.0.tgz";
- path = fetchurl {
- name = "onetime___onetime_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/onetime/-/onetime-5.1.0.tgz";
- sha1 = "fff0f3c91617fe62bb50189636e99ac8a6df7be5";
- };
- }
- {
- name = "opener___opener_1.5.2.tgz";
- path = fetchurl {
- name = "opener___opener_1.5.2.tgz";
- url = "https://registry.yarnpkg.com/opener/-/opener-1.5.2.tgz";
- sha1 = "5d37e1f35077b9dcac4301372271afdeb2a13598";
- };
- }
- {
- name = "opn___opn_5.5.0.tgz";
- path = fetchurl {
- name = "opn___opn_5.5.0.tgz";
- url = "https://registry.yarnpkg.com/opn/-/opn-5.5.0.tgz";
- sha1 = "fc7164fab56d235904c51c3b27da6758ca3b9bfc";
- };
- }
- {
- name = "optimism___optimism_0.10.3.tgz";
- path = fetchurl {
- name = "optimism___optimism_0.10.3.tgz";
- url = "https://registry.yarnpkg.com/optimism/-/optimism-0.10.3.tgz";
- sha1 = "163268fdc741dea2fb50f300bedda80356445fd7";
- };
- }
- {
- name = "optimist___optimist_0.6.1.tgz";
- path = fetchurl {
- name = "optimist___optimist_0.6.1.tgz";
- url = "https://registry.yarnpkg.com/optimist/-/optimist-0.6.1.tgz";
- sha1 = "da3ea74686fa21a19a111c326e90eb15a0196686";
- };
- }
- {
- name = "optionator___optionator_0.8.3.tgz";
- path = fetchurl {
- name = "optionator___optionator_0.8.3.tgz";
- url = "https://registry.yarnpkg.com/optionator/-/optionator-0.8.3.tgz";
- sha1 = "84fa1d036fe9d3c7e21d99884b601167ec8fb495";
- };
- }
- {
- name = "optionator___optionator_0.9.1.tgz";
- path = fetchurl {
- name = "optionator___optionator_0.9.1.tgz";
- url = "https://registry.yarnpkg.com/optionator/-/optionator-0.9.1.tgz";
- sha1 = "4f236a6373dae0566a6d43e1326674f50c291499";
- };
- }
- {
- name = "orderedmap___orderedmap_1.1.1.tgz";
- path = fetchurl {
- name = "orderedmap___orderedmap_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/orderedmap/-/orderedmap-1.1.1.tgz";
- sha1 = "c618e77611b3b21d0fe3edc92586265e0059c789";
- };
- }
- {
- name = "original___original_1.0.2.tgz";
- path = fetchurl {
- name = "original___original_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/original/-/original-1.0.2.tgz";
- sha1 = "e442a61cffe1c5fd20a65f3261c26663b303f25f";
- };
- }
- {
- name = "os_browserify___os_browserify_0.3.0.tgz";
- path = fetchurl {
- name = "os_browserify___os_browserify_0.3.0.tgz";
- url = "https://registry.yarnpkg.com/os-browserify/-/os-browserify-0.3.0.tgz";
- sha1 = "854373c7f5c2315914fc9bfc6bd8238fdda1ec27";
- };
- }
- {
- name = "os_homedir___os_homedir_1.0.2.tgz";
- path = fetchurl {
- name = "os_homedir___os_homedir_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/os-homedir/-/os-homedir-1.0.2.tgz";
- sha1 = "ffbc4988336e0e833de0c168c7ef152121aa7fb3";
- };
- }
- {
- name = "os_tmpdir___os_tmpdir_1.0.2.tgz";
- path = fetchurl {
- name = "os_tmpdir___os_tmpdir_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/os-tmpdir/-/os-tmpdir-1.0.2.tgz";
- sha1 = "bbe67406c79aa85c5cfec766fe5734555dfa1274";
- };
- }
- {
- name = "osenv___osenv_0.1.5.tgz";
- path = fetchurl {
- name = "osenv___osenv_0.1.5.tgz";
- url = "https://registry.yarnpkg.com/osenv/-/osenv-0.1.5.tgz";
- sha1 = "85cdfafaeb28e8677f416e287592b5f3f49ea410";
- };
- }
- {
- name = "p_cancelable___p_cancelable_1.1.0.tgz";
- path = fetchurl {
- name = "p_cancelable___p_cancelable_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/p-cancelable/-/p-cancelable-1.1.0.tgz";
- sha1 = "d078d15a3af409220c886f1d9a0ca2e441ab26cc";
- };
- }
- {
- name = "p_each_series___p_each_series_2.1.0.tgz";
- path = fetchurl {
- name = "p_each_series___p_each_series_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/p-each-series/-/p-each-series-2.1.0.tgz";
- sha1 = "961c8dd3f195ea96c747e636b262b800a6b1af48";
- };
- }
- {
- name = "p_finally___p_finally_1.0.0.tgz";
- path = fetchurl {
- name = "p_finally___p_finally_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/p-finally/-/p-finally-1.0.0.tgz";
- sha1 = "3fbcfb15b899a44123b34b6dcc18b724336a2cae";
- };
- }
- {
- name = "p_limit___p_limit_1.2.0.tgz";
- path = fetchurl {
- name = "p_limit___p_limit_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/p-limit/-/p-limit-1.2.0.tgz";
- sha1 = "0e92b6bedcb59f022c13d0f1949dc82d15909f1c";
- };
- }
- {
- name = "p_limit___p_limit_2.2.2.tgz";
- path = fetchurl {
- name = "p_limit___p_limit_2.2.2.tgz";
- url = "https://registry.yarnpkg.com/p-limit/-/p-limit-2.2.2.tgz";
- sha1 = "61279b67721f5287aa1c13a9a7fbbc48c9291b1e";
- };
- }
- {
- name = "p_limit___p_limit_3.1.0.tgz";
- path = fetchurl {
- name = "p_limit___p_limit_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/p-limit/-/p-limit-3.1.0.tgz";
- sha1 = "e1daccbe78d0d1388ca18c64fea38e3e57e3706b";
- };
- }
- {
- name = "p_locate___p_locate_2.0.0.tgz";
- path = fetchurl {
- name = "p_locate___p_locate_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/p-locate/-/p-locate-2.0.0.tgz";
- sha1 = "20a0103b222a70c8fd39cc2e580680f3dde5ec43";
- };
- }
- {
- name = "p_locate___p_locate_3.0.0.tgz";
- path = fetchurl {
- name = "p_locate___p_locate_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/p-locate/-/p-locate-3.0.0.tgz";
- sha1 = "322d69a05c0264b25997d9f40cd8a891ab0064a4";
- };
- }
- {
- name = "p_locate___p_locate_4.1.0.tgz";
- path = fetchurl {
- name = "p_locate___p_locate_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/p-locate/-/p-locate-4.1.0.tgz";
- sha1 = "a3428bb7088b3a60292f66919278b7c297ad4f07";
- };
- }
- {
- name = "p_map___p_map_2.1.0.tgz";
- path = fetchurl {
- name = "p_map___p_map_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/p-map/-/p-map-2.1.0.tgz";
- sha1 = "310928feef9c9ecc65b68b17693018a665cea175";
- };
- }
- {
- name = "p_map___p_map_4.0.0.tgz";
- path = fetchurl {
- name = "p_map___p_map_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/p-map/-/p-map-4.0.0.tgz";
- sha1 = "bb2f95a5eda2ec168ec9274e06a747c3e2904d2b";
- };
- }
- {
- name = "p_retry___p_retry_3.0.1.tgz";
- path = fetchurl {
- name = "p_retry___p_retry_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/p-retry/-/p-retry-3.0.1.tgz";
- sha1 = "316b4c8893e2c8dc1cfa891f406c4b422bebf328";
- };
- }
- {
- name = "p_try___p_try_1.0.0.tgz";
- path = fetchurl {
- name = "p_try___p_try_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/p-try/-/p-try-1.0.0.tgz";
- sha1 = "cbc79cdbaf8fd4228e13f621f2b1a237c1b207b3";
- };
- }
- {
- name = "p_try___p_try_2.2.0.tgz";
- path = fetchurl {
- name = "p_try___p_try_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/p-try/-/p-try-2.2.0.tgz";
- sha1 = "cb2868540e313d61de58fafbe35ce9004d5540e6";
- };
- }
- {
- name = "package_json___package_json_6.5.0.tgz";
- path = fetchurl {
- name = "package_json___package_json_6.5.0.tgz";
- url = "https://registry.yarnpkg.com/package-json/-/package-json-6.5.0.tgz";
- sha1 = "6feedaca35e75725876d0b0e64974697fed145b0";
- };
- }
- {
- name = "pako___pako_1.0.6.tgz";
- path = fetchurl {
- name = "pako___pako_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/pako/-/pako-1.0.6.tgz";
- sha1 = "0101211baa70c4bca4a0f63f2206e97b7dfaf258";
- };
- }
- {
- name = "papaparse___papaparse_5.3.1.tgz";
- path = fetchurl {
- name = "papaparse___papaparse_5.3.1.tgz";
- url = "https://registry.yarnpkg.com/papaparse/-/papaparse-5.3.1.tgz";
- sha1 = "770b7a9124d821d4b2132132b7bd7dce7194b5b1";
- };
- }
- {
- name = "parallel_transform___parallel_transform_1.1.0.tgz";
- path = fetchurl {
- name = "parallel_transform___parallel_transform_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/parallel-transform/-/parallel-transform-1.1.0.tgz";
- sha1 = "d410f065b05da23081fcd10f28854c29bda33b06";
- };
- }
- {
- name = "param_case___param_case_2.1.1.tgz";
- path = fetchurl {
- name = "param_case___param_case_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/param-case/-/param-case-2.1.1.tgz";
- sha1 = "df94fd8cf6531ecf75e6bef9a0858fbc72be2247";
- };
- }
- {
- name = "parent_module___parent_module_1.0.1.tgz";
- path = fetchurl {
- name = "parent_module___parent_module_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/parent-module/-/parent-module-1.0.1.tgz";
- sha1 = "691d2709e78c79fae3a156622452d00762caaaa2";
- };
- }
- {
- name = "parse_asn1___parse_asn1_5.1.0.tgz";
- path = fetchurl {
- name = "parse_asn1___parse_asn1_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/parse-asn1/-/parse-asn1-5.1.0.tgz";
- sha1 = "37c4f9b7ed3ab65c74817b5f2480937fbf97c712";
- };
- }
- {
- name = "parse_color___parse_color_1.0.0.tgz";
- path = fetchurl {
- name = "parse_color___parse_color_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/parse-color/-/parse-color-1.0.0.tgz";
- sha1 = "7b748b95a83f03f16a94f535e52d7f3d94658619";
- };
- }
- {
- name = "parse_entities___parse_entities_2.0.0.tgz";
- path = fetchurl {
- name = "parse_entities___parse_entities_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/parse-entities/-/parse-entities-2.0.0.tgz";
- sha1 = "53c6eb5b9314a1f4ec99fa0fdf7ce01ecda0cbe8";
- };
- }
- {
- name = "parse_json___parse_json_2.2.0.tgz";
- path = fetchurl {
- name = "parse_json___parse_json_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/parse-json/-/parse-json-2.2.0.tgz";
- sha1 = "f480f40434ef80741f8469099f8dea18f55a4dc9";
- };
- }
- {
- name = "parse_json___parse_json_5.1.0.tgz";
- path = fetchurl {
- name = "parse_json___parse_json_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/parse-json/-/parse-json-5.1.0.tgz";
- sha1 = "f96088cdf24a8faa9aea9a009f2d9d942c999646";
- };
- }
- {
- name = "parse_passwd___parse_passwd_1.0.0.tgz";
- path = fetchurl {
- name = "parse_passwd___parse_passwd_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/parse-passwd/-/parse-passwd-1.0.0.tgz";
- sha1 = "6d5b934a456993b23d37f40a382d6f1666a8e5c6";
- };
- }
- {
- name = "parse5_htmlparser2_tree_adapter___parse5_htmlparser2_tree_adapter_6.0.1.tgz";
- path = fetchurl {
- name = "parse5_htmlparser2_tree_adapter___parse5_htmlparser2_tree_adapter_6.0.1.tgz";
- url = "https://registry.yarnpkg.com/parse5-htmlparser2-tree-adapter/-/parse5-htmlparser2-tree-adapter-6.0.1.tgz";
- sha1 = "2cdf9ad823321140370d4dbf5d3e92c7c8ddc6e6";
- };
- }
- {
- name = "parse5___parse5_6.0.1.tgz";
- path = fetchurl {
- name = "parse5___parse5_6.0.1.tgz";
- url = "https://registry.yarnpkg.com/parse5/-/parse5-6.0.1.tgz";
- sha1 = "e1a1c085c569b3dc08321184f19a39cc27f7c30b";
- };
- }
- {
- name = "parse5___parse5_5.1.1.tgz";
- path = fetchurl {
- name = "parse5___parse5_5.1.1.tgz";
- url = "https://registry.yarnpkg.com/parse5/-/parse5-5.1.1.tgz";
- sha1 = "f68e4e5ba1852ac2cadc00f4555fff6c2abb6178";
- };
- }
- {
- name = "parseqs___parseqs_0.0.5.tgz";
- path = fetchurl {
- name = "parseqs___parseqs_0.0.5.tgz";
- url = "https://registry.yarnpkg.com/parseqs/-/parseqs-0.0.5.tgz";
- sha1 = "d5208a3738e46766e291ba2ea173684921a8b89d";
- };
- }
- {
- name = "parseuri___parseuri_0.0.5.tgz";
- path = fetchurl {
- name = "parseuri___parseuri_0.0.5.tgz";
- url = "https://registry.yarnpkg.com/parseuri/-/parseuri-0.0.5.tgz";
- sha1 = "80204a50d4dbb779bfdc6ebe2778d90e4bce320a";
- };
- }
- {
- name = "parseurl___parseurl_1.3.3.tgz";
- path = fetchurl {
- name = "parseurl___parseurl_1.3.3.tgz";
- url = "https://registry.yarnpkg.com/parseurl/-/parseurl-1.3.3.tgz";
- sha1 = "9da19e7bee8d12dff0513ed5b76957793bc2e8d4";
- };
- }
- {
- name = "pascalcase___pascalcase_0.1.1.tgz";
- path = fetchurl {
- name = "pascalcase___pascalcase_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/pascalcase/-/pascalcase-0.1.1.tgz";
- sha1 = "b363e55e8006ca6fe21784d2db22bd15d7917f14";
- };
- }
- {
- name = "path_browserify___path_browserify_0.0.1.tgz";
- path = fetchurl {
- name = "path_browserify___path_browserify_0.0.1.tgz";
- url = "https://registry.yarnpkg.com/path-browserify/-/path-browserify-0.0.1.tgz";
- sha1 = "e6c4ddd7ed3aa27c68a20cc4e50e1a4ee83bbc4a";
- };
- }
- {
- name = "path_exists___path_exists_3.0.0.tgz";
- path = fetchurl {
- name = "path_exists___path_exists_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/path-exists/-/path-exists-3.0.0.tgz";
- sha1 = "ce0ebeaa5f78cb18925ea7d810d7b59b010fd515";
- };
- }
- {
- name = "path_exists___path_exists_4.0.0.tgz";
- path = fetchurl {
- name = "path_exists___path_exists_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/path-exists/-/path-exists-4.0.0.tgz";
- sha1 = "513bdbe2d3b95d7762e8c1137efa195c6c61b5b3";
- };
- }
- {
- name = "path_is_absolute___path_is_absolute_1.0.1.tgz";
- path = fetchurl {
- name = "path_is_absolute___path_is_absolute_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/path-is-absolute/-/path-is-absolute-1.0.1.tgz";
- sha1 = "174b9268735534ffbc7ace6bf53a5a9e1b5c5f5f";
- };
- }
- {
- name = "path_is_inside___path_is_inside_1.0.2.tgz";
- path = fetchurl {
- name = "path_is_inside___path_is_inside_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/path-is-inside/-/path-is-inside-1.0.2.tgz";
- sha1 = "365417dede44430d1c11af61027facf074bdfc53";
- };
- }
- {
- name = "path_key___path_key_2.0.1.tgz";
- path = fetchurl {
- name = "path_key___path_key_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/path-key/-/path-key-2.0.1.tgz";
- sha1 = "411cadb574c5a140d3a4b1910d40d80cc9f40b40";
- };
- }
- {
- name = "path_key___path_key_3.1.1.tgz";
- path = fetchurl {
- name = "path_key___path_key_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/path-key/-/path-key-3.1.1.tgz";
- sha1 = "581f6ade658cbba65a0d3380de7753295054f375";
- };
- }
- {
- name = "path_parse___path_parse_1.0.6.tgz";
- path = fetchurl {
- name = "path_parse___path_parse_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/path-parse/-/path-parse-1.0.6.tgz";
- sha1 = "d62dbb5679405d72c4737ec58600e9ddcf06d24c";
- };
- }
- {
- name = "path_to_regexp___path_to_regexp_0.1.7.tgz";
- path = fetchurl {
- name = "path_to_regexp___path_to_regexp_0.1.7.tgz";
- url = "https://registry.yarnpkg.com/path-to-regexp/-/path-to-regexp-0.1.7.tgz";
- sha1 = "df604178005f522f15eb4490e7247a1bfaa67f8c";
- };
- }
- {
- name = "path_type___path_type_2.0.0.tgz";
- path = fetchurl {
- name = "path_type___path_type_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/path-type/-/path-type-2.0.0.tgz";
- sha1 = "f012ccb8415b7096fc2daa1054c3d72389594c73";
- };
- }
- {
- name = "path_type___path_type_4.0.0.tgz";
- path = fetchurl {
- name = "path_type___path_type_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/path-type/-/path-type-4.0.0.tgz";
- sha1 = "84ed01c0a7ba380afe09d90a8c180dcd9d03043b";
- };
- }
- {
- name = "pbkdf2___pbkdf2_3.0.14.tgz";
- path = fetchurl {
- name = "pbkdf2___pbkdf2_3.0.14.tgz";
- url = "https://registry.yarnpkg.com/pbkdf2/-/pbkdf2-3.0.14.tgz";
- sha1 = "a35e13c64799b06ce15320f459c230e68e73bade";
- };
- }
- {
- name = "pdfjs_dist___pdfjs_dist_2.1.266.tgz";
- path = fetchurl {
- name = "pdfjs_dist___pdfjs_dist_2.1.266.tgz";
- url = "https://registry.yarnpkg.com/pdfjs-dist/-/pdfjs-dist-2.1.266.tgz";
- sha1 = "cded02268b389559e807f410d2a729db62160026";
- };
- }
- {
- name = "performance_now___performance_now_2.1.0.tgz";
- path = fetchurl {
- name = "performance_now___performance_now_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/performance-now/-/performance-now-2.1.0.tgz";
- sha1 = "6309f4e0e5fa913ec1c69307ae364b4b377c9e7b";
- };
- }
- {
- name = "picomatch___picomatch_2.2.2.tgz";
- path = fetchurl {
- name = "picomatch___picomatch_2.2.2.tgz";
- url = "https://registry.yarnpkg.com/picomatch/-/picomatch-2.2.2.tgz";
- sha1 = "21f333e9b6b8eaff02468f5146ea406d345f4dad";
- };
- }
- {
- name = "pify___pify_2.3.0.tgz";
- path = fetchurl {
- name = "pify___pify_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/pify/-/pify-2.3.0.tgz";
- sha1 = "ed141a6ac043a849ea588498e7dca8b15330e90c";
- };
- }
- {
- name = "pify___pify_4.0.1.tgz";
- path = fetchurl {
- name = "pify___pify_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/pify/-/pify-4.0.1.tgz";
- sha1 = "4b2cd25c50d598735c50292224fd8c6df41e3231";
- };
- }
- {
- name = "pikaday___pikaday_1.8.0.tgz";
- path = fetchurl {
- name = "pikaday___pikaday_1.8.0.tgz";
- url = "https://registry.yarnpkg.com/pikaday/-/pikaday-1.8.0.tgz";
- sha1 = "ce930e257042e852e6aadee1115e01554b2d71c5";
- };
- }
- {
- name = "pinkie_promise___pinkie_promise_2.0.1.tgz";
- path = fetchurl {
- name = "pinkie_promise___pinkie_promise_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/pinkie-promise/-/pinkie-promise-2.0.1.tgz";
- sha1 = "2135d6dfa7a358c069ac9b178776288228450ffa";
- };
- }
- {
- name = "pinkie___pinkie_2.0.4.tgz";
- path = fetchurl {
- name = "pinkie___pinkie_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/pinkie/-/pinkie-2.0.4.tgz";
- sha1 = "72556b80cfa0d48a974e80e77248e80ed4f7f870";
- };
- }
- {
- name = "pirates___pirates_4.0.1.tgz";
- path = fetchurl {
- name = "pirates___pirates_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/pirates/-/pirates-4.0.1.tgz";
- sha1 = "643a92caf894566f91b2b986d2c66950a8e2fb87";
- };
- }
- {
- name = "pkg_dir___pkg_dir_2.0.0.tgz";
- path = fetchurl {
- name = "pkg_dir___pkg_dir_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/pkg-dir/-/pkg-dir-2.0.0.tgz";
- sha1 = "f6d5d1109e19d63edf428e0bd57e12777615334b";
- };
- }
- {
- name = "pkg_dir___pkg_dir_3.0.0.tgz";
- path = fetchurl {
- name = "pkg_dir___pkg_dir_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/pkg-dir/-/pkg-dir-3.0.0.tgz";
- sha1 = "2749020f239ed990881b1f71210d51eb6523bea3";
- };
- }
- {
- name = "pkg_dir___pkg_dir_4.2.0.tgz";
- path = fetchurl {
- name = "pkg_dir___pkg_dir_4.2.0.tgz";
- url = "https://registry.yarnpkg.com/pkg-dir/-/pkg-dir-4.2.0.tgz";
- sha1 = "f099133df7ede422e81d1d8448270eeb3e4261f3";
- };
- }
- {
- name = "pofile___pofile_1.0.11.tgz";
- path = fetchurl {
- name = "pofile___pofile_1.0.11.tgz";
- url = "https://registry.yarnpkg.com/pofile/-/pofile-1.0.11.tgz";
- sha1 = "35aff58c17491d127a07336d5522ebc9df57c954";
- };
- }
- {
- name = "popper.js___popper.js_1.16.1.tgz";
- path = fetchurl {
- name = "popper.js___popper.js_1.16.1.tgz";
- url = "https://registry.yarnpkg.com/popper.js/-/popper.js-1.16.1.tgz";
- sha1 = "2a223cb3dc7b6213d740e40372be40de43e65b1b";
- };
- }
- {
- name = "portal_vue___portal_vue_2.1.7.tgz";
- path = fetchurl {
- name = "portal_vue___portal_vue_2.1.7.tgz";
- url = "https://registry.yarnpkg.com/portal-vue/-/portal-vue-2.1.7.tgz";
- sha1 = "ea08069b25b640ca08a5b86f67c612f15f4e4ad4";
- };
- }
- {
- name = "portfinder___portfinder_1.0.28.tgz";
- path = fetchurl {
- name = "portfinder___portfinder_1.0.28.tgz";
- url = "https://registry.yarnpkg.com/portfinder/-/portfinder-1.0.28.tgz";
- sha1 = "67c4622852bd5374dd1dd900f779f53462fac778";
- };
- }
- {
- name = "posix_character_classes___posix_character_classes_0.1.1.tgz";
- path = fetchurl {
- name = "posix_character_classes___posix_character_classes_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/posix-character-classes/-/posix-character-classes-0.1.1.tgz";
- sha1 = "01eac0fe3b5af71a2a6c02feabb8c1fef7e00eab";
- };
- }
- {
- name = "postcss_html___postcss_html_0.36.0.tgz";
- path = fetchurl {
- name = "postcss_html___postcss_html_0.36.0.tgz";
- url = "https://registry.yarnpkg.com/postcss-html/-/postcss-html-0.36.0.tgz";
- sha1 = "b40913f94eaacc2453fd30a1327ad6ee1f88b204";
- };
- }
- {
- name = "postcss_less___postcss_less_3.1.4.tgz";
- path = fetchurl {
- name = "postcss_less___postcss_less_3.1.4.tgz";
- url = "https://registry.yarnpkg.com/postcss-less/-/postcss-less-3.1.4.tgz";
- sha1 = "369f58642b5928ef898ffbc1a6e93c958304c5ad";
- };
- }
- {
- name = "postcss_media_query_parser___postcss_media_query_parser_0.2.3.tgz";
- path = fetchurl {
- name = "postcss_media_query_parser___postcss_media_query_parser_0.2.3.tgz";
- url = "https://registry.yarnpkg.com/postcss-media-query-parser/-/postcss-media-query-parser-0.2.3.tgz";
- sha1 = "27b39c6f4d94f81b1a73b8f76351c609e5cef244";
- };
- }
- {
- name = "postcss_modules_extract_imports___postcss_modules_extract_imports_2.0.0.tgz";
- path = fetchurl {
- name = "postcss_modules_extract_imports___postcss_modules_extract_imports_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/postcss-modules-extract-imports/-/postcss-modules-extract-imports-2.0.0.tgz";
- sha1 = "818719a1ae1da325f9832446b01136eeb493cd7e";
- };
- }
- {
- name = "postcss_modules_local_by_default___postcss_modules_local_by_default_2.0.6.tgz";
- path = fetchurl {
- name = "postcss_modules_local_by_default___postcss_modules_local_by_default_2.0.6.tgz";
- url = "https://registry.yarnpkg.com/postcss-modules-local-by-default/-/postcss-modules-local-by-default-2.0.6.tgz";
- sha1 = "dd9953f6dd476b5fd1ef2d8830c8929760b56e63";
- };
- }
- {
- name = "postcss_modules_scope___postcss_modules_scope_2.2.0.tgz";
- path = fetchurl {
- name = "postcss_modules_scope___postcss_modules_scope_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/postcss-modules-scope/-/postcss-modules-scope-2.2.0.tgz";
- sha1 = "385cae013cc7743f5a7d7602d1073a89eaae62ee";
- };
- }
- {
- name = "postcss_modules_values___postcss_modules_values_2.0.0.tgz";
- path = fetchurl {
- name = "postcss_modules_values___postcss_modules_values_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/postcss-modules-values/-/postcss-modules-values-2.0.0.tgz";
- sha1 = "479b46dc0c5ca3dc7fa5270851836b9ec7152f64";
- };
- }
- {
- name = "postcss_resolve_nested_selector___postcss_resolve_nested_selector_0.1.1.tgz";
- path = fetchurl {
- name = "postcss_resolve_nested_selector___postcss_resolve_nested_selector_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/postcss-resolve-nested-selector/-/postcss-resolve-nested-selector-0.1.1.tgz";
- sha1 = "29ccbc7c37dedfac304e9fff0bf1596b3f6a0e4e";
- };
- }
- {
- name = "postcss_safe_parser___postcss_safe_parser_4.0.2.tgz";
- path = fetchurl {
- name = "postcss_safe_parser___postcss_safe_parser_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/postcss-safe-parser/-/postcss-safe-parser-4.0.2.tgz";
- sha1 = "a6d4e48f0f37d9f7c11b2a581bf00f8ba4870b96";
- };
- }
- {
- name = "postcss_sass___postcss_sass_0.4.4.tgz";
- path = fetchurl {
- name = "postcss_sass___postcss_sass_0.4.4.tgz";
- url = "https://registry.yarnpkg.com/postcss-sass/-/postcss-sass-0.4.4.tgz";
- sha1 = "91f0f3447b45ce373227a98b61f8d8f0785285a3";
- };
- }
- {
- name = "postcss_scss___postcss_scss_2.1.1.tgz";
- path = fetchurl {
- name = "postcss_scss___postcss_scss_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/postcss-scss/-/postcss-scss-2.1.1.tgz";
- sha1 = "ec3a75fa29a55e016b90bf3269026c53c1d2b383";
- };
- }
- {
- name = "postcss_selector_parser___postcss_selector_parser_6.0.4.tgz";
- path = fetchurl {
- name = "postcss_selector_parser___postcss_selector_parser_6.0.4.tgz";
- url = "https://registry.yarnpkg.com/postcss-selector-parser/-/postcss-selector-parser-6.0.4.tgz";
- sha1 = "56075a1380a04604c38b063ea7767a129af5c2b3";
- };
- }
- {
- name = "postcss_syntax___postcss_syntax_0.36.2.tgz";
- path = fetchurl {
- name = "postcss_syntax___postcss_syntax_0.36.2.tgz";
- url = "https://registry.yarnpkg.com/postcss-syntax/-/postcss-syntax-0.36.2.tgz";
- sha1 = "f08578c7d95834574e5593a82dfbfa8afae3b51c";
- };
- }
- {
- name = "postcss_value_parser___postcss_value_parser_3.3.1.tgz";
- path = fetchurl {
- name = "postcss_value_parser___postcss_value_parser_3.3.1.tgz";
- url = "https://registry.yarnpkg.com/postcss-value-parser/-/postcss-value-parser-3.3.1.tgz";
- sha1 = "9ff822547e2893213cf1c30efa51ac5fd1ba8281";
- };
- }
- {
- name = "postcss_value_parser___postcss_value_parser_4.1.0.tgz";
- path = fetchurl {
- name = "postcss_value_parser___postcss_value_parser_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/postcss-value-parser/-/postcss-value-parser-4.1.0.tgz";
- sha1 = "443f6a20ced6481a2bda4fa8532a6e55d789a2cb";
- };
- }
- {
- name = "postcss___postcss_7.0.35.tgz";
- path = fetchurl {
- name = "postcss___postcss_7.0.35.tgz";
- url = "https://registry.yarnpkg.com/postcss/-/postcss-7.0.35.tgz";
- sha1 = "d2be00b998f7f211d8a276974079f2e92b970e24";
- };
- }
- {
- name = "postcss___postcss_8.2.15.tgz";
- path = fetchurl {
- name = "postcss___postcss_8.2.15.tgz";
- url = "https://registry.yarnpkg.com/postcss/-/postcss-8.2.15.tgz";
- sha1 = "9e66ccf07292817d226fc315cbbf9bc148fbca65";
- };
- }
- {
- name = "prelude_ls___prelude_ls_1.2.1.tgz";
- path = fetchurl {
- name = "prelude_ls___prelude_ls_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/prelude-ls/-/prelude-ls-1.2.1.tgz";
- sha1 = "debc6489d7a6e6b0e7611888cec880337d316396";
- };
- }
- {
- name = "prelude_ls___prelude_ls_1.1.2.tgz";
- path = fetchurl {
- name = "prelude_ls___prelude_ls_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/prelude-ls/-/prelude-ls-1.1.2.tgz";
- sha1 = "21932a549f5e52ffd9a827f570e04be62a97da54";
- };
- }
- {
- name = "prepend_http___prepend_http_2.0.0.tgz";
- path = fetchurl {
- name = "prepend_http___prepend_http_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/prepend-http/-/prepend-http-2.0.0.tgz";
- sha1 = "e92434bfa5ea8c19f41cdfd401d741a3c819d897";
- };
- }
- {
- name = "pretender___pretender_3.4.3.tgz";
- path = fetchurl {
- name = "pretender___pretender_3.4.3.tgz";
- url = "https://registry.yarnpkg.com/pretender/-/pretender-3.4.3.tgz";
- sha1 = "a3b4160516007075d29127262f3a0063d19896e9";
- };
- }
- {
- name = "prettier___prettier_2.0.5.tgz";
- path = fetchurl {
- name = "prettier___prettier_2.0.5.tgz";
- url = "https://registry.yarnpkg.com/prettier/-/prettier-2.0.5.tgz";
- sha1 = "d6d56282455243f2f92cc1716692c08aa31522d4";
- };
- }
- {
- name = "prettier___prettier_2.2.1.tgz";
- path = fetchurl {
- name = "prettier___prettier_2.2.1.tgz";
- url = "https://registry.yarnpkg.com/prettier/-/prettier-2.2.1.tgz";
- sha1 = "795a1a78dd52f073da0cd42b21f9c91381923ff5";
- };
- }
- {
- name = "prettier___prettier_1.18.2.tgz";
- path = fetchurl {
- name = "prettier___prettier_1.18.2.tgz";
- url = "https://registry.yarnpkg.com/prettier/-/prettier-1.18.2.tgz";
- sha1 = "6823e7c5900017b4bd3acf46fe9ac4b4d7bda9ea";
- };
- }
- {
- name = "pretty_format___pretty_format_26.5.2.tgz";
- path = fetchurl {
- name = "pretty_format___pretty_format_26.5.2.tgz";
- url = "https://registry.yarnpkg.com/pretty-format/-/pretty-format-26.5.2.tgz";
- sha1 = "5d896acfdaa09210683d34b6dc0e6e21423cd3e1";
- };
- }
- {
- name = "pretty___pretty_2.0.0.tgz";
- path = fetchurl {
- name = "pretty___pretty_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/pretty/-/pretty-2.0.0.tgz";
- sha1 = "adbc7960b7bbfe289a557dc5f737619a220d06a5";
- };
- }
- {
- name = "prismjs___prismjs_1.21.0.tgz";
- path = fetchurl {
- name = "prismjs___prismjs_1.21.0.tgz";
- url = "https://registry.yarnpkg.com/prismjs/-/prismjs-1.21.0.tgz";
- sha1 = "36c086ec36b45319ec4218ee164c110f9fc015a3";
- };
- }
- {
- name = "private___private_0.1.8.tgz";
- path = fetchurl {
- name = "private___private_0.1.8.tgz";
- url = "https://registry.yarnpkg.com/private/-/private-0.1.8.tgz";
- sha1 = "2381edb3689f7a53d653190060fcf822d2f368ff";
- };
- }
- {
- name = "process_nextick_args___process_nextick_args_1.0.7.tgz";
- path = fetchurl {
- name = "process_nextick_args___process_nextick_args_1.0.7.tgz";
- url = "https://registry.yarnpkg.com/process-nextick-args/-/process-nextick-args-1.0.7.tgz";
- sha1 = "150e20b756590ad3f91093f25a4f2ad8bff30ba3";
- };
- }
- {
- name = "process_nextick_args___process_nextick_args_2.0.1.tgz";
- path = fetchurl {
- name = "process_nextick_args___process_nextick_args_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/process-nextick-args/-/process-nextick-args-2.0.1.tgz";
- sha1 = "7820d9b16120cc55ca9ae7792680ae7dba6d7fe2";
- };
- }
- {
- name = "process___process_0.11.10.tgz";
- path = fetchurl {
- name = "process___process_0.11.10.tgz";
- url = "https://registry.yarnpkg.com/process/-/process-0.11.10.tgz";
- sha1 = "7332300e840161bda3e69a1d1d91a7d4bc16f182";
- };
- }
- {
- name = "progress___progress_2.0.3.tgz";
- path = fetchurl {
- name = "progress___progress_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/progress/-/progress-2.0.3.tgz";
- sha1 = "7e8cf8d8f5b8f239c1bc68beb4eb78567d572ef8";
- };
- }
- {
- name = "promise_inflight___promise_inflight_1.0.1.tgz";
- path = fetchurl {
- name = "promise_inflight___promise_inflight_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/promise-inflight/-/promise-inflight-1.0.1.tgz";
- sha1 = "98472870bf228132fcbdd868129bad12c3c029e3";
- };
- }
- {
- name = "prompts___prompts_2.3.2.tgz";
- path = fetchurl {
- name = "prompts___prompts_2.3.2.tgz";
- url = "https://registry.yarnpkg.com/prompts/-/prompts-2.3.2.tgz";
- sha1 = "480572d89ecf39566d2bd3fe2c9fccb7c4c0b068";
- };
- }
- {
- name = "prosemirror_collab___prosemirror_collab_1.2.2.tgz";
- path = fetchurl {
- name = "prosemirror_collab___prosemirror_collab_1.2.2.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-collab/-/prosemirror-collab-1.2.2.tgz";
- sha1 = "8d2c0e82779cfef5d051154bd0836428bd6d9c4a";
- };
- }
- {
- name = "prosemirror_commands___prosemirror_commands_1.1.10.tgz";
- path = fetchurl {
- name = "prosemirror_commands___prosemirror_commands_1.1.10.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-commands/-/prosemirror-commands-1.1.10.tgz";
- sha1 = "406a6589966e6cd80809cea2d801fb998639b37d";
- };
- }
- {
- name = "prosemirror_dropcursor___prosemirror_dropcursor_1.3.5.tgz";
- path = fetchurl {
- name = "prosemirror_dropcursor___prosemirror_dropcursor_1.3.5.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-dropcursor/-/prosemirror-dropcursor-1.3.5.tgz";
- sha1 = "d2808c17089df0e441ad66016aecc2b6457c8a1f";
- };
- }
- {
- name = "prosemirror_gapcursor___prosemirror_gapcursor_1.1.5.tgz";
- path = fetchurl {
- name = "prosemirror_gapcursor___prosemirror_gapcursor_1.1.5.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-gapcursor/-/prosemirror-gapcursor-1.1.5.tgz";
- sha1 = "0c37fd6cbb1d7c46358c2e7397f8da9a8b5c6246";
- };
- }
- {
- name = "prosemirror_history___prosemirror_history_1.1.3.tgz";
- path = fetchurl {
- name = "prosemirror_history___prosemirror_history_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-history/-/prosemirror-history-1.1.3.tgz";
- sha1 = "4f76a1e71db4ef7cdf0e13dec6d8da2aeaecd489";
- };
- }
- {
- name = "prosemirror_inputrules___prosemirror_inputrules_1.1.3.tgz";
- path = fetchurl {
- name = "prosemirror_inputrules___prosemirror_inputrules_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-inputrules/-/prosemirror-inputrules-1.1.3.tgz";
- sha1 = "93f9199ca02473259c30d7e352e4c14022d54638";
- };
- }
- {
- name = "prosemirror_keymap___prosemirror_keymap_1.1.4.tgz";
- path = fetchurl {
- name = "prosemirror_keymap___prosemirror_keymap_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-keymap/-/prosemirror-keymap-1.1.4.tgz";
- sha1 = "8b481bf8389a5ac40d38dbd67ec3da2c7eac6a6d";
- };
- }
- {
- name = "prosemirror_markdown___prosemirror_markdown_1.5.1.tgz";
- path = fetchurl {
- name = "prosemirror_markdown___prosemirror_markdown_1.5.1.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-markdown/-/prosemirror-markdown-1.5.1.tgz";
- sha1 = "877c7faea2225d3c52e988599bbe4457bcb3190f";
- };
- }
- {
- name = "prosemirror_model___prosemirror_model_1.14.2.tgz";
- path = fetchurl {
- name = "prosemirror_model___prosemirror_model_1.14.2.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-model/-/prosemirror-model-1.14.2.tgz";
- sha1 = "4e8c39cfff4e097631af4495e125d9a8a9773116";
- };
- }
- {
- name = "prosemirror_schema_basic___prosemirror_schema_basic_1.1.2.tgz";
- path = fetchurl {
- name = "prosemirror_schema_basic___prosemirror_schema_basic_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-schema-basic/-/prosemirror-schema-basic-1.1.2.tgz";
- sha1 = "4bde5c339c845e0d08ec8fe473064e372ca51ae3";
- };
- }
- {
- name = "prosemirror_schema_list___prosemirror_schema_list_1.1.5.tgz";
- path = fetchurl {
- name = "prosemirror_schema_list___prosemirror_schema_list_1.1.5.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-schema-list/-/prosemirror-schema-list-1.1.5.tgz";
- sha1 = "e7ad9e337ea3d77da6d6a4250f3d7bd51ae980a4";
- };
- }
- {
- name = "prosemirror_state___prosemirror_state_1.3.4.tgz";
- path = fetchurl {
- name = "prosemirror_state___prosemirror_state_1.3.4.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-state/-/prosemirror-state-1.3.4.tgz";
- sha1 = "4c6b52628216e753fc901c6d2bfd84ce109e8952";
- };
- }
- {
- name = "prosemirror_tables___prosemirror_tables_1.1.1.tgz";
- path = fetchurl {
- name = "prosemirror_tables___prosemirror_tables_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-tables/-/prosemirror-tables-1.1.1.tgz";
- sha1 = "ad66300cc49500455cf1243bb129c9e7d883321e";
- };
- }
- {
- name = "prosemirror_test_builder___prosemirror_test_builder_1.0.4.tgz";
- path = fetchurl {
- name = "prosemirror_test_builder___prosemirror_test_builder_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-test-builder/-/prosemirror-test-builder-1.0.4.tgz";
- sha1 = "68d1d1cedcd90cc2fdd976d736ce87b7a5f1e873";
- };
- }
- {
- name = "prosemirror_transform___prosemirror_transform_1.3.2.tgz";
- path = fetchurl {
- name = "prosemirror_transform___prosemirror_transform_1.3.2.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-transform/-/prosemirror-transform-1.3.2.tgz";
- sha1 = "5620ebe7379e6fae4f34ecc881886cb22ce96579";
- };
- }
- {
- name = "prosemirror_view___prosemirror_view_1.18.9.tgz";
- path = fetchurl {
- name = "prosemirror_view___prosemirror_view_1.18.9.tgz";
- url = "https://registry.yarnpkg.com/prosemirror-view/-/prosemirror-view-1.18.9.tgz";
- sha1 = "29bc11759438aecc5b7fadaa8520165c84c2144a";
- };
- }
- {
- name = "proto_list___proto_list_1.2.4.tgz";
- path = fetchurl {
- name = "proto_list___proto_list_1.2.4.tgz";
- url = "https://registry.yarnpkg.com/proto-list/-/proto-list-1.2.4.tgz";
- sha1 = "212d5bfe1318306a420f6402b8e26ff39647a849";
- };
- }
- {
- name = "proxy_addr___proxy_addr_2.0.5.tgz";
- path = fetchurl {
- name = "proxy_addr___proxy_addr_2.0.5.tgz";
- url = "https://registry.yarnpkg.com/proxy-addr/-/proxy-addr-2.0.5.tgz";
- sha1 = "34cbd64a2d81f4b1fd21e76f9f06c8a45299ee34";
- };
- }
- {
- name = "prr___prr_1.0.1.tgz";
- path = fetchurl {
- name = "prr___prr_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/prr/-/prr-1.0.1.tgz";
- sha1 = "d3fc114ba06995a45ec6893f484ceb1d78f5f476";
- };
- }
- {
- name = "pseudomap___pseudomap_1.0.2.tgz";
- path = fetchurl {
- name = "pseudomap___pseudomap_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/pseudomap/-/pseudomap-1.0.2.tgz";
- sha1 = "f052a28da70e618917ef0a8ac34c1ae5a68286b3";
- };
- }
- {
- name = "psl___psl_1.8.0.tgz";
- path = fetchurl {
- name = "psl___psl_1.8.0.tgz";
- url = "https://registry.yarnpkg.com/psl/-/psl-1.8.0.tgz";
- sha1 = "9326f8bcfb013adcc005fdff056acce020e51c24";
- };
- }
- {
- name = "pstree.remy___pstree.remy_1.1.8.tgz";
- path = fetchurl {
- name = "pstree.remy___pstree.remy_1.1.8.tgz";
- url = "https://registry.yarnpkg.com/pstree.remy/-/pstree.remy-1.1.8.tgz";
- sha1 = "c242224f4a67c21f686839bbdb4ac282b8373d3a";
- };
- }
- {
- name = "public_encrypt___public_encrypt_4.0.0.tgz";
- path = fetchurl {
- name = "public_encrypt___public_encrypt_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/public-encrypt/-/public-encrypt-4.0.0.tgz";
- sha1 = "39f699f3a46560dd5ebacbca693caf7c65c18cc6";
- };
- }
- {
- name = "pump___pump_2.0.1.tgz";
- path = fetchurl {
- name = "pump___pump_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/pump/-/pump-2.0.1.tgz";
- sha1 = "12399add6e4cf7526d973cbc8b5ce2e2908b3909";
- };
- }
- {
- name = "pump___pump_3.0.0.tgz";
- path = fetchurl {
- name = "pump___pump_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/pump/-/pump-3.0.0.tgz";
- sha1 = "b4a2116815bde2f4e1ea602354e8c75565107a64";
- };
- }
- {
- name = "pumpify___pumpify_1.5.1.tgz";
- path = fetchurl {
- name = "pumpify___pumpify_1.5.1.tgz";
- url = "https://registry.yarnpkg.com/pumpify/-/pumpify-1.5.1.tgz";
- sha1 = "36513be246ab27570b1a374a5ce278bfd74370ce";
- };
- }
- {
- name = "punycode___punycode_1.3.2.tgz";
- path = fetchurl {
- name = "punycode___punycode_1.3.2.tgz";
- url = "https://registry.yarnpkg.com/punycode/-/punycode-1.3.2.tgz";
- sha1 = "9653a036fb7c1ee42342f2325cceefea3926c48d";
- };
- }
- {
- name = "punycode___punycode_2.1.1.tgz";
- path = fetchurl {
- name = "punycode___punycode_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/punycode/-/punycode-2.1.1.tgz";
- sha1 = "b58b010ac40c22c5657616c8d2c2c02c7bf479ec";
- };
- }
- {
- name = "pupa___pupa_2.0.1.tgz";
- path = fetchurl {
- name = "pupa___pupa_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/pupa/-/pupa-2.0.1.tgz";
- sha1 = "dbdc9ff48ffbea4a26a069b6f9f7abb051008726";
- };
- }
- {
- name = "purgecss_from_html___purgecss_from_html_4.0.3.tgz";
- path = fetchurl {
- name = "purgecss_from_html___purgecss_from_html_4.0.3.tgz";
- url = "https://registry.yarnpkg.com/purgecss-from-html/-/purgecss-from-html-4.0.3.tgz";
- sha1 = "28d86d3dc8292581c4ab529a77a57daf7c2dd940";
- };
- }
- {
- name = "purgecss___purgecss_4.0.3.tgz";
- path = fetchurl {
- name = "purgecss___purgecss_4.0.3.tgz";
- url = "https://registry.yarnpkg.com/purgecss/-/purgecss-4.0.3.tgz";
- sha1 = "8147b429f9c09db719e05d64908ea8b672913742";
- };
- }
- {
- name = "qjobs___qjobs_1.2.0.tgz";
- path = fetchurl {
- name = "qjobs___qjobs_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/qjobs/-/qjobs-1.2.0.tgz";
- sha1 = "c45e9c61800bd087ef88d7e256423bdd49e5d071";
- };
- }
- {
- name = "qs___qs_6.7.0.tgz";
- path = fetchurl {
- name = "qs___qs_6.7.0.tgz";
- url = "https://registry.yarnpkg.com/qs/-/qs-6.7.0.tgz";
- sha1 = "41dc1a015e3d581f1621776be31afb2876a9b1bc";
- };
- }
- {
- name = "qs___qs_6.5.2.tgz";
- path = fetchurl {
- name = "qs___qs_6.5.2.tgz";
- url = "https://registry.yarnpkg.com/qs/-/qs-6.5.2.tgz";
- sha1 = "cb3ae806e8740444584ef154ce8ee98d403f3e36";
- };
- }
- {
- name = "querystring_es3___querystring_es3_0.2.1.tgz";
- path = fetchurl {
- name = "querystring_es3___querystring_es3_0.2.1.tgz";
- url = "https://registry.yarnpkg.com/querystring-es3/-/querystring-es3-0.2.1.tgz";
- sha1 = "9ec61f79049875707d69414596fd907a4d711e73";
- };
- }
- {
- name = "querystring___querystring_0.2.0.tgz";
- path = fetchurl {
- name = "querystring___querystring_0.2.0.tgz";
- url = "https://registry.yarnpkg.com/querystring/-/querystring-0.2.0.tgz";
- sha1 = "b209849203bb25df820da756e747005878521620";
- };
- }
- {
- name = "querystringify___querystringify_2.2.0.tgz";
- path = fetchurl {
- name = "querystringify___querystringify_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/querystringify/-/querystringify-2.2.0.tgz";
- sha1 = "3345941b4153cb9d082d8eee4cda2016a9aef7f6";
- };
- }
- {
- name = "quick_lru___quick_lru_4.0.1.tgz";
- path = fetchurl {
- name = "quick_lru___quick_lru_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/quick-lru/-/quick-lru-4.0.1.tgz";
- sha1 = "5b8878f113a58217848c6482026c73e1ba57727f";
- };
- }
- {
- name = "randombytes___randombytes_2.1.0.tgz";
- path = fetchurl {
- name = "randombytes___randombytes_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/randombytes/-/randombytes-2.1.0.tgz";
- sha1 = "df6f84372f0270dc65cdf6291349ab7a473d4f2a";
- };
- }
- {
- name = "randomfill___randomfill_1.0.4.tgz";
- path = fetchurl {
- name = "randomfill___randomfill_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/randomfill/-/randomfill-1.0.4.tgz";
- sha1 = "c92196fc86ab42be983f1bf31778224931d61458";
- };
- }
- {
- name = "range_parser___range_parser_1.2.1.tgz";
- path = fetchurl {
- name = "range_parser___range_parser_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/range-parser/-/range-parser-1.2.1.tgz";
- sha1 = "3cf37023d199e1c24d1a55b84800c2f3e6468031";
- };
- }
- {
- name = "raphael___raphael_2.2.7.tgz";
- path = fetchurl {
- name = "raphael___raphael_2.2.7.tgz";
- url = "https://registry.yarnpkg.com/raphael/-/raphael-2.2.7.tgz";
- sha1 = "231b19141f8d086986d8faceb66f8b562ee2c810";
- };
- }
- {
- name = "raw_body___raw_body_2.4.0.tgz";
- path = fetchurl {
- name = "raw_body___raw_body_2.4.0.tgz";
- url = "https://registry.yarnpkg.com/raw-body/-/raw-body-2.4.0.tgz";
- sha1 = "a1ce6fb9c9bc356ca52e89256ab59059e13d0332";
- };
- }
- {
- name = "raw_loader___raw_loader_4.0.2.tgz";
- path = fetchurl {
- name = "raw_loader___raw_loader_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/raw-loader/-/raw-loader-4.0.2.tgz";
- sha1 = "1aac6b7d1ad1501e66efdac1522c73e59a584eb6";
- };
- }
- {
- name = "rc___rc_1.2.8.tgz";
- path = fetchurl {
- name = "rc___rc_1.2.8.tgz";
- url = "https://registry.yarnpkg.com/rc/-/rc-1.2.8.tgz";
- sha1 = "cd924bf5200a075b83c188cd6b9e211b7fc0d3ed";
- };
- }
- {
- name = "react_is___react_is_16.13.1.tgz";
- path = fetchurl {
- name = "react_is___react_is_16.13.1.tgz";
- url = "https://registry.yarnpkg.com/react-is/-/react-is-16.13.1.tgz";
- sha1 = "789729a4dc36de2999dc156dd6c1d9c18cea56a4";
- };
- }
- {
- name = "read_pkg_up___read_pkg_up_2.0.0.tgz";
- path = fetchurl {
- name = "read_pkg_up___read_pkg_up_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/read-pkg-up/-/read-pkg-up-2.0.0.tgz";
- sha1 = "6b72a8048984e0c41e79510fd5e9fa99b3b549be";
- };
- }
- {
- name = "read_pkg_up___read_pkg_up_7.0.1.tgz";
- path = fetchurl {
- name = "read_pkg_up___read_pkg_up_7.0.1.tgz";
- url = "https://registry.yarnpkg.com/read-pkg-up/-/read-pkg-up-7.0.1.tgz";
- sha1 = "f3a6135758459733ae2b95638056e1854e7ef507";
- };
- }
- {
- name = "read_pkg___read_pkg_2.0.0.tgz";
- path = fetchurl {
- name = "read_pkg___read_pkg_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/read-pkg/-/read-pkg-2.0.0.tgz";
- sha1 = "8ef1c0623c6a6db0dc6713c4bfac46332b2368f8";
- };
- }
- {
- name = "read_pkg___read_pkg_5.2.0.tgz";
- path = fetchurl {
- name = "read_pkg___read_pkg_5.2.0.tgz";
- url = "https://registry.yarnpkg.com/read-pkg/-/read-pkg-5.2.0.tgz";
- sha1 = "7bf295438ca5a33e56cd30e053b34ee7250c93cc";
- };
- }
- {
- name = "readable_stream___readable_stream_2.3.7.tgz";
- path = fetchurl {
- name = "readable_stream___readable_stream_2.3.7.tgz";
- url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-2.3.7.tgz";
- sha1 = "1eca1cf711aef814c04f62252a36a62f6cb23b57";
- };
- }
- {
- name = "readable_stream___readable_stream_3.6.0.tgz";
- path = fetchurl {
- name = "readable_stream___readable_stream_3.6.0.tgz";
- url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-3.6.0.tgz";
- sha1 = "337bbda3adc0706bd3e024426a286d4b4b2c9198";
- };
- }
- {
- name = "readable_stream___readable_stream_2.0.6.tgz";
- path = fetchurl {
- name = "readable_stream___readable_stream_2.0.6.tgz";
- url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-2.0.6.tgz";
- sha1 = "8f90341e68a53ccc928788dacfcd11b36eb9b78e";
- };
- }
- {
- name = "readdir_enhanced___readdir_enhanced_2.2.4.tgz";
- path = fetchurl {
- name = "readdir_enhanced___readdir_enhanced_2.2.4.tgz";
- url = "https://registry.yarnpkg.com/readdir-enhanced/-/readdir-enhanced-2.2.4.tgz";
- sha1 = "773fb8a8de5f645fb13d9403746d490d4facb3e6";
- };
- }
- {
- name = "readdirp___readdirp_3.4.0.tgz";
- path = fetchurl {
- name = "readdirp___readdirp_3.4.0.tgz";
- url = "https://registry.yarnpkg.com/readdirp/-/readdirp-3.4.0.tgz";
- sha1 = "9fdccdf9e9155805449221ac645e8303ab5b9ada";
- };
- }
- {
- name = "redent___redent_3.0.0.tgz";
- path = fetchurl {
- name = "redent___redent_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/redent/-/redent-3.0.0.tgz";
- sha1 = "e557b7998316bb53c9f1f56fa626352c6963059f";
- };
- }
- {
- name = "regenerate_unicode_properties___regenerate_unicode_properties_8.2.0.tgz";
- path = fetchurl {
- name = "regenerate_unicode_properties___regenerate_unicode_properties_8.2.0.tgz";
- url = "https://registry.yarnpkg.com/regenerate-unicode-properties/-/regenerate-unicode-properties-8.2.0.tgz";
- sha1 = "e5de7111d655e7ba60c057dbe9ff37c87e65cdec";
- };
- }
- {
- name = "regenerate___regenerate_1.4.0.tgz";
- path = fetchurl {
- name = "regenerate___regenerate_1.4.0.tgz";
- url = "https://registry.yarnpkg.com/regenerate/-/regenerate-1.4.0.tgz";
- sha1 = "4a856ec4b56e4077c557589cae85e7a4c8869a11";
- };
- }
- {
- name = "regenerator_runtime___regenerator_runtime_0.13.5.tgz";
- path = fetchurl {
- name = "regenerator_runtime___regenerator_runtime_0.13.5.tgz";
- url = "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.13.5.tgz";
- sha1 = "d878a1d094b4306d10b9096484b33ebd55e26697";
- };
- }
- {
- name = "regenerator_transform___regenerator_transform_0.14.4.tgz";
- path = fetchurl {
- name = "regenerator_transform___regenerator_transform_0.14.4.tgz";
- url = "https://registry.yarnpkg.com/regenerator-transform/-/regenerator-transform-0.14.4.tgz";
- sha1 = "5266857896518d1616a78a0479337a30ea974cc7";
- };
- }
- {
- name = "regex_not___regex_not_1.0.2.tgz";
- path = fetchurl {
- name = "regex_not___regex_not_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/regex-not/-/regex-not-1.0.2.tgz";
- sha1 = "1f4ece27e00b0b65e0247a6810e6a85d83a5752c";
- };
- }
- {
- name = "regexpp___regexpp_3.1.0.tgz";
- path = fetchurl {
- name = "regexpp___regexpp_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/regexpp/-/regexpp-3.1.0.tgz";
- sha1 = "206d0ad0a5648cffbdb8ae46438f3dc51c9f78e2";
- };
- }
- {
- name = "regexpu_core___regexpu_core_4.7.0.tgz";
- path = fetchurl {
- name = "regexpu_core___regexpu_core_4.7.0.tgz";
- url = "https://registry.yarnpkg.com/regexpu-core/-/regexpu-core-4.7.0.tgz";
- sha1 = "fcbf458c50431b0bb7b45d6967b8192d91f3d938";
- };
- }
- {
- name = "registry_auth_token___registry_auth_token_4.1.1.tgz";
- path = fetchurl {
- name = "registry_auth_token___registry_auth_token_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/registry-auth-token/-/registry-auth-token-4.1.1.tgz";
- sha1 = "40a33be1e82539460f94328b0f7f0f84c16d9479";
- };
- }
- {
- name = "registry_url___registry_url_5.1.0.tgz";
- path = fetchurl {
- name = "registry_url___registry_url_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/registry-url/-/registry-url-5.1.0.tgz";
- sha1 = "e98334b50d5434b81136b44ec638d9c2009c5009";
- };
- }
- {
- name = "regjsgen___regjsgen_0.5.2.tgz";
- path = fetchurl {
- name = "regjsgen___regjsgen_0.5.2.tgz";
- url = "https://registry.yarnpkg.com/regjsgen/-/regjsgen-0.5.2.tgz";
- sha1 = "92ff295fb1deecbf6ecdab2543d207e91aa33733";
- };
- }
- {
- name = "regjsparser___regjsparser_0.6.4.tgz";
- path = fetchurl {
- name = "regjsparser___regjsparser_0.6.4.tgz";
- url = "https://registry.yarnpkg.com/regjsparser/-/regjsparser-0.6.4.tgz";
- sha1 = "a769f8684308401a66e9b529d2436ff4d0666272";
- };
- }
- {
- name = "relateurl___relateurl_0.2.7.tgz";
- path = fetchurl {
- name = "relateurl___relateurl_0.2.7.tgz";
- url = "https://registry.yarnpkg.com/relateurl/-/relateurl-0.2.7.tgz";
- sha1 = "54dbf377e51440aca90a4cd274600d3ff2d888a9";
- };
- }
- {
- name = "remark_parse___remark_parse_9.0.0.tgz";
- path = fetchurl {
- name = "remark_parse___remark_parse_9.0.0.tgz";
- url = "https://registry.yarnpkg.com/remark-parse/-/remark-parse-9.0.0.tgz";
- sha1 = "4d20a299665880e4f4af5d90b7c7b8a935853640";
- };
- }
- {
- name = "remark_stringify___remark_stringify_9.0.1.tgz";
- path = fetchurl {
- name = "remark_stringify___remark_stringify_9.0.1.tgz";
- url = "https://registry.yarnpkg.com/remark-stringify/-/remark-stringify-9.0.1.tgz";
- sha1 = "576d06e910548b0a7191a71f27b33f1218862894";
- };
- }
- {
- name = "remark___remark_13.0.0.tgz";
- path = fetchurl {
- name = "remark___remark_13.0.0.tgz";
- url = "https://registry.yarnpkg.com/remark/-/remark-13.0.0.tgz";
- sha1 = "d15d9bf71a402f40287ebe36067b66d54868e425";
- };
- }
- {
- name = "remove_trailing_separator___remove_trailing_separator_1.1.0.tgz";
- path = fetchurl {
- name = "remove_trailing_separator___remove_trailing_separator_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/remove-trailing-separator/-/remove-trailing-separator-1.1.0.tgz";
- sha1 = "c24bce2a283adad5bc3f58e0d48249b92379d8ef";
- };
- }
- {
- name = "repeat_element___repeat_element_1.1.3.tgz";
- path = fetchurl {
- name = "repeat_element___repeat_element_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/repeat-element/-/repeat-element-1.1.3.tgz";
- sha1 = "782e0d825c0c5a3bb39731f84efee6b742e6b1ce";
- };
- }
- {
- name = "repeat_string___repeat_string_1.6.1.tgz";
- path = fetchurl {
- name = "repeat_string___repeat_string_1.6.1.tgz";
- url = "https://registry.yarnpkg.com/repeat-string/-/repeat-string-1.6.1.tgz";
- sha1 = "8dcae470e1c88abc2d600fff4a776286da75e637";
- };
- }
- {
- name = "request_light___request_light_0.2.5.tgz";
- path = fetchurl {
- name = "request_light___request_light_0.2.5.tgz";
- url = "https://registry.yarnpkg.com/request-light/-/request-light-0.2.5.tgz";
- sha1 = "38a3da7b2e56f7af8cbba57e8a94930ee2380746";
- };
- }
- {
- name = "request_promise_core___request_promise_core_1.1.4.tgz";
- path = fetchurl {
- name = "request_promise_core___request_promise_core_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/request-promise-core/-/request-promise-core-1.1.4.tgz";
- sha1 = "3eedd4223208d419867b78ce815167d10593a22f";
- };
- }
- {
- name = "request_promise_native___request_promise_native_1.0.9.tgz";
- path = fetchurl {
- name = "request_promise_native___request_promise_native_1.0.9.tgz";
- url = "https://registry.yarnpkg.com/request-promise-native/-/request-promise-native-1.0.9.tgz";
- sha1 = "e407120526a5efdc9a39b28a5679bf47b9d9dc28";
- };
- }
- {
- name = "request___request_2.88.2.tgz";
- path = fetchurl {
- name = "request___request_2.88.2.tgz";
- url = "https://registry.yarnpkg.com/request/-/request-2.88.2.tgz";
- sha1 = "d73c918731cb5a87da047e207234146f664d12b3";
- };
- }
- {
- name = "require_directory___require_directory_2.1.1.tgz";
- path = fetchurl {
- name = "require_directory___require_directory_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/require-directory/-/require-directory-2.1.1.tgz";
- sha1 = "8c64ad5fd30dab1c976e2344ffe7f792a6a6df42";
- };
- }
- {
- name = "require_from_string___require_from_string_2.0.2.tgz";
- path = fetchurl {
- name = "require_from_string___require_from_string_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/require-from-string/-/require-from-string-2.0.2.tgz";
- sha1 = "89a7fdd938261267318eafe14f9c32e598c36909";
- };
- }
- {
- name = "require_main_filename___require_main_filename_2.0.0.tgz";
- path = fetchurl {
- name = "require_main_filename___require_main_filename_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/require-main-filename/-/require-main-filename-2.0.0.tgz";
- sha1 = "d0b329ecc7cc0f61649f62215be69af54aa8989b";
- };
- }
- {
- name = "require_package_name___require_package_name_2.0.1.tgz";
- path = fetchurl {
- name = "require_package_name___require_package_name_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/require-package-name/-/require-package-name-2.0.1.tgz";
- sha1 = "c11e97276b65b8e2923f75dabf5fb2ef0c3841b9";
- };
- }
- {
- name = "requires_port___requires_port_1.0.0.tgz";
- path = fetchurl {
- name = "requires_port___requires_port_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/requires-port/-/requires-port-1.0.0.tgz";
- sha1 = "925d2601d39ac485e091cf0da5c6e694dc3dcaff";
- };
- }
- {
- name = "requizzle___requizzle_0.2.1.tgz";
- path = fetchurl {
- name = "requizzle___requizzle_0.2.1.tgz";
- url = "https://registry.yarnpkg.com/requizzle/-/requizzle-0.2.1.tgz";
- sha1 = "6943c3530c4d9a7e46f1cddd51c158fc670cdbde";
- };
- }
- {
- name = "resolve_cwd___resolve_cwd_2.0.0.tgz";
- path = fetchurl {
- name = "resolve_cwd___resolve_cwd_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/resolve-cwd/-/resolve-cwd-2.0.0.tgz";
- sha1 = "00a9f7387556e27038eae232caa372a6a59b665a";
- };
- }
- {
- name = "resolve_cwd___resolve_cwd_3.0.0.tgz";
- path = fetchurl {
- name = "resolve_cwd___resolve_cwd_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/resolve-cwd/-/resolve-cwd-3.0.0.tgz";
- sha1 = "0f0075f1bb2544766cf73ba6a6e2adfebcb13f2d";
- };
- }
- {
- name = "resolve_dir___resolve_dir_1.0.1.tgz";
- path = fetchurl {
- name = "resolve_dir___resolve_dir_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/resolve-dir/-/resolve-dir-1.0.1.tgz";
- sha1 = "79a40644c362be82f26effe739c9bb5382046f43";
- };
- }
- {
- name = "resolve_from___resolve_from_3.0.0.tgz";
- path = fetchurl {
- name = "resolve_from___resolve_from_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/resolve-from/-/resolve-from-3.0.0.tgz";
- sha1 = "b22c7af7d9d6881bc8b6e653335eebcb0a188748";
- };
- }
- {
- name = "resolve_from___resolve_from_4.0.0.tgz";
- path = fetchurl {
- name = "resolve_from___resolve_from_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/resolve-from/-/resolve-from-4.0.0.tgz";
- sha1 = "4abcd852ad32dd7baabfe9b40e00a36db5f392e6";
- };
- }
- {
- name = "resolve_from___resolve_from_5.0.0.tgz";
- path = fetchurl {
- name = "resolve_from___resolve_from_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/resolve-from/-/resolve-from-5.0.0.tgz";
- sha1 = "c35225843df8f776df21c57557bc087e9dfdfc69";
- };
- }
- {
- name = "resolve_url___resolve_url_0.2.1.tgz";
- path = fetchurl {
- name = "resolve_url___resolve_url_0.2.1.tgz";
- url = "https://registry.yarnpkg.com/resolve-url/-/resolve-url-0.2.1.tgz";
- sha1 = "2c637fe77c893afd2a663fe21aa9080068e2052a";
- };
- }
- {
- name = "resolve___resolve_1.20.0.tgz";
- path = fetchurl {
- name = "resolve___resolve_1.20.0.tgz";
- url = "https://registry.yarnpkg.com/resolve/-/resolve-1.20.0.tgz";
- sha1 = "629a013fb3f70755d6f0b7935cc1c2c5378b1975";
- };
- }
- {
- name = "responselike___responselike_1.0.2.tgz";
- path = fetchurl {
- name = "responselike___responselike_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/responselike/-/responselike-1.0.2.tgz";
- sha1 = "918720ef3b631c5642be068f15ade5a46f4ba1e7";
- };
- }
- {
- name = "ret___ret_0.1.15.tgz";
- path = fetchurl {
- name = "ret___ret_0.1.15.tgz";
- url = "https://registry.yarnpkg.com/ret/-/ret-0.1.15.tgz";
- sha1 = "b8a4825d5bdb1fc3f6f53c2bc33f81388681c7bc";
- };
- }
- {
- name = "retry___retry_0.12.0.tgz";
- path = fetchurl {
- name = "retry___retry_0.12.0.tgz";
- url = "https://registry.yarnpkg.com/retry/-/retry-0.12.0.tgz";
- sha1 = "1b42a6266a21f07421d1b0b54b7dc167b01c013b";
- };
- }
- {
- name = "reusify___reusify_1.0.4.tgz";
- path = fetchurl {
- name = "reusify___reusify_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/reusify/-/reusify-1.0.4.tgz";
- sha1 = "90da382b1e126efc02146e90845a88db12925d76";
- };
- }
- {
- name = "rfdc___rfdc_1.1.4.tgz";
- path = fetchurl {
- name = "rfdc___rfdc_1.1.4.tgz";
- url = "https://registry.yarnpkg.com/rfdc/-/rfdc-1.1.4.tgz";
- sha1 = "ba72cc1367a0ccd9cf81a870b3b58bd3ad07f8c2";
- };
- }
- {
- name = "rimraf___rimraf_2.6.3.tgz";
- path = fetchurl {
- name = "rimraf___rimraf_2.6.3.tgz";
- url = "https://registry.yarnpkg.com/rimraf/-/rimraf-2.6.3.tgz";
- sha1 = "b2d104fe0d8fb27cf9e0a1cda8262dd3833c6cab";
- };
- }
- {
- name = "rimraf___rimraf_3.0.2.tgz";
- path = fetchurl {
- name = "rimraf___rimraf_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/rimraf/-/rimraf-3.0.2.tgz";
- sha1 = "f1a5402ba6220ad52cc1282bac1ae3aa49fd061a";
- };
- }
- {
- name = "ripemd160___ripemd160_2.0.1.tgz";
- path = fetchurl {
- name = "ripemd160___ripemd160_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/ripemd160/-/ripemd160-2.0.1.tgz";
- sha1 = "0f4584295c53a3628af7e6d79aca21ce57d1c6e7";
- };
- }
- {
- name = "rope_sequence___rope_sequence_1.3.2.tgz";
- path = fetchurl {
- name = "rope_sequence___rope_sequence_1.3.2.tgz";
- url = "https://registry.yarnpkg.com/rope-sequence/-/rope-sequence-1.3.2.tgz";
- sha1 = "a19e02d72991ca71feb6b5f8a91154e48e3c098b";
- };
- }
- {
- name = "route_recognizer___route_recognizer_0.3.4.tgz";
- path = fetchurl {
- name = "route_recognizer___route_recognizer_0.3.4.tgz";
- url = "https://registry.yarnpkg.com/route-recognizer/-/route-recognizer-0.3.4.tgz";
- sha1 = "39ab1ffbce1c59e6d2bdca416f0932611e4f3ca3";
- };
- }
- {
- name = "rsvp___rsvp_4.8.4.tgz";
- path = fetchurl {
- name = "rsvp___rsvp_4.8.4.tgz";
- url = "https://registry.yarnpkg.com/rsvp/-/rsvp-4.8.4.tgz";
- sha1 = "b50e6b34583f3dd89329a2f23a8a2be072845911";
- };
- }
- {
- name = "run_parallel___run_parallel_1.1.10.tgz";
- path = fetchurl {
- name = "run_parallel___run_parallel_1.1.10.tgz";
- url = "https://registry.yarnpkg.com/run-parallel/-/run-parallel-1.1.10.tgz";
- sha1 = "60a51b2ae836636c81377df16cb107351bcd13ef";
- };
- }
- {
- name = "run_queue___run_queue_1.0.3.tgz";
- path = fetchurl {
- name = "run_queue___run_queue_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/run-queue/-/run-queue-1.0.3.tgz";
- sha1 = "e848396f057d223f24386924618e25694161ec47";
- };
- }
- {
- name = "rw___rw_1.3.3.tgz";
- path = fetchurl {
- name = "rw___rw_1.3.3.tgz";
- url = "https://registry.yarnpkg.com/rw/-/rw-1.3.3.tgz";
- sha1 = "3f862dfa91ab766b14885ef4d01124bfda074fb4";
- };
- }
- {
- name = "safe_buffer___safe_buffer_5.1.2.tgz";
- path = fetchurl {
- name = "safe_buffer___safe_buffer_5.1.2.tgz";
- url = "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.1.2.tgz";
- sha1 = "991ec69d296e0313747d59bdfd2b745c35f8828d";
- };
- }
- {
- name = "safe_regex___safe_regex_1.1.0.tgz";
- path = fetchurl {
- name = "safe_regex___safe_regex_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/safe-regex/-/safe-regex-1.1.0.tgz";
- sha1 = "40a3669f3b077d1e943d44629e157dd48023bf2e";
- };
- }
- {
- name = "safer_buffer___safer_buffer_2.1.2.tgz";
- path = fetchurl {
- name = "safer_buffer___safer_buffer_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/safer-buffer/-/safer-buffer-2.1.2.tgz";
- sha1 = "44fa161b0187b9549dd84bb91802f9bd8385cd6a";
- };
- }
- {
- name = "sane___sane_4.1.0.tgz";
- path = fetchurl {
- name = "sane___sane_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/sane/-/sane-4.1.0.tgz";
- sha1 = "ed881fd922733a6c461bc189dc2b6c006f3ffded";
- };
- }
- {
- name = "sass___sass_1.32.12.tgz";
- path = fetchurl {
- name = "sass___sass_1.32.12.tgz";
- url = "https://registry.yarnpkg.com/sass/-/sass-1.32.12.tgz";
- sha1 = "a2a47ad0f1c168222db5206444a30c12457abb9f";
- };
- }
- {
- name = "sax___sax_1.2.1.tgz";
- path = fetchurl {
- name = "sax___sax_1.2.1.tgz";
- url = "https://registry.yarnpkg.com/sax/-/sax-1.2.1.tgz";
- sha1 = "7b8e656190b228e81a66aea748480d828cd2d37a";
- };
- }
- {
- name = "saxes___saxes_5.0.1.tgz";
- path = fetchurl {
- name = "saxes___saxes_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/saxes/-/saxes-5.0.1.tgz";
- sha1 = "eebab953fa3b7608dbe94e5dadb15c888fa6696d";
- };
- }
- {
- name = "schema_utils___schema_utils_0.4.5.tgz";
- path = fetchurl {
- name = "schema_utils___schema_utils_0.4.5.tgz";
- url = "https://registry.yarnpkg.com/schema-utils/-/schema-utils-0.4.5.tgz";
- sha1 = "21836f0608aac17b78f9e3e24daff14a5ca13a3e";
- };
- }
- {
- name = "schema_utils___schema_utils_1.0.0.tgz";
- path = fetchurl {
- name = "schema_utils___schema_utils_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/schema-utils/-/schema-utils-1.0.0.tgz";
- sha1 = "0b79a93204d7b600d4b2850d1f66c2a34951c770";
- };
- }
- {
- name = "schema_utils___schema_utils_2.7.1.tgz";
- path = fetchurl {
- name = "schema_utils___schema_utils_2.7.1.tgz";
- url = "https://registry.yarnpkg.com/schema-utils/-/schema-utils-2.7.1.tgz";
- sha1 = "1ca4f32d1b24c590c203b8e7a50bf0ea4cd394d7";
- };
- }
- {
- name = "schema_utils___schema_utils_3.0.0.tgz";
- path = fetchurl {
- name = "schema_utils___schema_utils_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/schema-utils/-/schema-utils-3.0.0.tgz";
- sha1 = "67502f6aa2b66a2d4032b4279a2944978a0913ef";
- };
- }
- {
- name = "scrollparent___scrollparent_2.0.1.tgz";
- path = fetchurl {
- name = "scrollparent___scrollparent_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/scrollparent/-/scrollparent-2.0.1.tgz";
- sha1 = "715d5b9cc57760fb22bdccc3befb5bfe06b1a317";
- };
- }
- {
- name = "select_hose___select_hose_2.0.0.tgz";
- path = fetchurl {
- name = "select_hose___select_hose_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/select-hose/-/select-hose-2.0.0.tgz";
- sha1 = "625d8658f865af43ec962bfc376a37359a4994ca";
- };
- }
- {
- name = "select2___select2_3.5.2_browserify.tgz";
- path = fetchurl {
- name = "select2___select2_3.5.2_browserify.tgz";
- url = "https://registry.yarnpkg.com/select2/-/select2-3.5.2-browserify.tgz";
- sha1 = "dc4dafda38d67a734e8a97a46f0d3529ae05391d";
- };
- }
- {
- name = "select___select_1.1.2.tgz";
- path = fetchurl {
- name = "select___select_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/select/-/select-1.1.2.tgz";
- sha1 = "0e7350acdec80b1108528786ec1d4418d11b396d";
- };
- }
- {
- name = "selfsigned___selfsigned_1.10.8.tgz";
- path = fetchurl {
- name = "selfsigned___selfsigned_1.10.8.tgz";
- url = "https://registry.yarnpkg.com/selfsigned/-/selfsigned-1.10.8.tgz";
- sha1 = "0d17208b7d12c33f8eac85c41835f27fc3d81a30";
- };
- }
- {
- name = "semver_diff___semver_diff_3.1.1.tgz";
- path = fetchurl {
- name = "semver_diff___semver_diff_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/semver-diff/-/semver-diff-3.1.1.tgz";
- sha1 = "05f77ce59f325e00e2706afd67bb506ddb1ca32b";
- };
- }
- {
- name = "semver___semver_5.7.1.tgz";
- path = fetchurl {
- name = "semver___semver_5.7.1.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-5.7.1.tgz";
- sha1 = "a954f931aeba508d307bbf069eff0c01c96116f7";
- };
- }
- {
- name = "semver___semver_7.0.0.tgz";
- path = fetchurl {
- name = "semver___semver_7.0.0.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-7.0.0.tgz";
- sha1 = "5f3ca35761e47e05b206c6daff2cf814f0316b8e";
- };
- }
- {
- name = "semver___semver_6.3.0.tgz";
- path = fetchurl {
- name = "semver___semver_6.3.0.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-6.3.0.tgz";
- sha1 = "ee0a64c8af5e8ceea67687b133761e1becbd1d3d";
- };
- }
- {
- name = "semver___semver_7.3.4.tgz";
- path = fetchurl {
- name = "semver___semver_7.3.4.tgz";
- url = "https://registry.yarnpkg.com/semver/-/semver-7.3.4.tgz";
- sha1 = "27aaa7d2e4ca76452f98d3add093a72c943edc97";
- };
- }
- {
- name = "send___send_0.17.1.tgz";
- path = fetchurl {
- name = "send___send_0.17.1.tgz";
- url = "https://registry.yarnpkg.com/send/-/send-0.17.1.tgz";
- sha1 = "c1d8b059f7900f7466dd4938bdc44e11ddb376c8";
- };
- }
- {
- name = "serialize_javascript___serialize_javascript_2.1.2.tgz";
- path = fetchurl {
- name = "serialize_javascript___serialize_javascript_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/serialize-javascript/-/serialize-javascript-2.1.2.tgz";
- sha1 = "ecec53b0e0317bdc95ef76ab7074b7384785fa61";
- };
- }
- {
- name = "serialize_javascript___serialize_javascript_4.0.0.tgz";
- path = fetchurl {
- name = "serialize_javascript___serialize_javascript_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/serialize-javascript/-/serialize-javascript-4.0.0.tgz";
- sha1 = "b525e1238489a5ecfc42afacc3fe99e666f4b1aa";
- };
- }
- {
- name = "serialize_javascript___serialize_javascript_5.0.1.tgz";
- path = fetchurl {
- name = "serialize_javascript___serialize_javascript_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/serialize-javascript/-/serialize-javascript-5.0.1.tgz";
- sha1 = "7886ec848049a462467a97d3d918ebb2aaf934f4";
- };
- }
- {
- name = "serve_index___serve_index_1.9.1.tgz";
- path = fetchurl {
- name = "serve_index___serve_index_1.9.1.tgz";
- url = "https://registry.yarnpkg.com/serve-index/-/serve-index-1.9.1.tgz";
- sha1 = "d3768d69b1e7d82e5ce050fff5b453bea12a9239";
- };
- }
- {
- name = "serve_static___serve_static_1.14.1.tgz";
- path = fetchurl {
- name = "serve_static___serve_static_1.14.1.tgz";
- url = "https://registry.yarnpkg.com/serve-static/-/serve-static-1.14.1.tgz";
- sha1 = "666e636dc4f010f7ef29970a88a674320898b2f9";
- };
- }
- {
- name = "set_blocking___set_blocking_2.0.0.tgz";
- path = fetchurl {
- name = "set_blocking___set_blocking_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/set-blocking/-/set-blocking-2.0.0.tgz";
- sha1 = "045f9782d011ae9a6803ddd382b24392b3d890f7";
- };
- }
- {
- name = "set_value___set_value_2.0.1.tgz";
- path = fetchurl {
- name = "set_value___set_value_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/set-value/-/set-value-2.0.1.tgz";
- sha1 = "a18d40530e6f07de4228c7defe4227af8cad005b";
- };
- }
- {
- name = "setimmediate___setimmediate_1.0.5.tgz";
- path = fetchurl {
- name = "setimmediate___setimmediate_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/setimmediate/-/setimmediate-1.0.5.tgz";
- sha1 = "290cbb232e306942d7d7ea9b83732ab7856f8285";
- };
- }
- {
- name = "setprototypeof___setprototypeof_1.0.3.tgz";
- path = fetchurl {
- name = "setprototypeof___setprototypeof_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/setprototypeof/-/setprototypeof-1.0.3.tgz";
- sha1 = "66567e37043eeb4f04d91bd658c0cbefb55b8e04";
- };
- }
- {
- name = "setprototypeof___setprototypeof_1.1.1.tgz";
- path = fetchurl {
- name = "setprototypeof___setprototypeof_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/setprototypeof/-/setprototypeof-1.1.1.tgz";
- sha1 = "7e95acb24aa92f5885e0abef5ba131330d4ae683";
- };
- }
- {
- name = "sha.js___sha.js_2.4.10.tgz";
- path = fetchurl {
- name = "sha.js___sha.js_2.4.10.tgz";
- url = "https://registry.yarnpkg.com/sha.js/-/sha.js-2.4.10.tgz";
- sha1 = "b1fde5cd7d11a5626638a07c604ab909cfa31f9b";
- };
- }
- {
- name = "shallow_clone___shallow_clone_3.0.1.tgz";
- path = fetchurl {
- name = "shallow_clone___shallow_clone_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/shallow-clone/-/shallow-clone-3.0.1.tgz";
- sha1 = "8f2981ad92531f55035b01fb230769a40e02efa3";
- };
- }
- {
- name = "shebang_command___shebang_command_1.2.0.tgz";
- path = fetchurl {
- name = "shebang_command___shebang_command_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/shebang-command/-/shebang-command-1.2.0.tgz";
- sha1 = "44aac65b695b03398968c39f363fee5deafdf1ea";
- };
- }
- {
- name = "shebang_command___shebang_command_2.0.0.tgz";
- path = fetchurl {
- name = "shebang_command___shebang_command_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/shebang-command/-/shebang-command-2.0.0.tgz";
- sha1 = "ccd0af4f8835fbdc265b82461aaf0c36663f34ea";
- };
- }
- {
- name = "shebang_regex___shebang_regex_1.0.0.tgz";
- path = fetchurl {
- name = "shebang_regex___shebang_regex_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/shebang-regex/-/shebang-regex-1.0.0.tgz";
- sha1 = "da42f49740c0b42db2ca9728571cb190c98efea3";
- };
- }
- {
- name = "shebang_regex___shebang_regex_3.0.0.tgz";
- path = fetchurl {
- name = "shebang_regex___shebang_regex_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/shebang-regex/-/shebang-regex-3.0.0.tgz";
- sha1 = "ae16f1644d873ecad843b0307b143362d4c42172";
- };
- }
- {
- name = "shellwords___shellwords_0.1.1.tgz";
- path = fetchurl {
- name = "shellwords___shellwords_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/shellwords/-/shellwords-0.1.1.tgz";
- sha1 = "d6b9181c1a48d397324c84871efbcfc73fc0654b";
- };
- }
- {
- name = "shortcss___shortcss_0.1.3.tgz";
- path = fetchurl {
- name = "shortcss___shortcss_0.1.3.tgz";
- url = "https://registry.yarnpkg.com/shortcss/-/shortcss-0.1.3.tgz";
- sha1 = "ee2a7904d80b7f5502c98408f4a2f313faadfb48";
- };
- }
- {
- name = "sigmund___sigmund_1.0.1.tgz";
- path = fetchurl {
- name = "sigmund___sigmund_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/sigmund/-/sigmund-1.0.1.tgz";
- sha1 = "3ff21f198cad2175f9f3b781853fd94d0d19b590";
- };
- }
- {
- name = "signal_exit___signal_exit_3.0.3.tgz";
- path = fetchurl {
- name = "signal_exit___signal_exit_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/signal-exit/-/signal-exit-3.0.3.tgz";
- sha1 = "a1410c2edd8f077b08b4e253c8eacfcaf057461c";
- };
- }
- {
- name = "sirv___sirv_1.0.11.tgz";
- path = fetchurl {
- name = "sirv___sirv_1.0.11.tgz";
- url = "https://registry.yarnpkg.com/sirv/-/sirv-1.0.11.tgz";
- sha1 = "81c19a29202048507d6ec0d8ba8910fda52eb5a4";
- };
- }
- {
- name = "sisteransi___sisteransi_1.0.5.tgz";
- path = fetchurl {
- name = "sisteransi___sisteransi_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/sisteransi/-/sisteransi-1.0.5.tgz";
- sha1 = "134d681297756437cc05ca01370d3a7a571075ed";
- };
- }
- {
- name = "slash___slash_3.0.0.tgz";
- path = fetchurl {
- name = "slash___slash_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/slash/-/slash-3.0.0.tgz";
- sha1 = "6539be870c165adbd5240220dbe361f1bc4d4634";
- };
- }
- {
- name = "slice_ansi___slice_ansi_4.0.0.tgz";
- path = fetchurl {
- name = "slice_ansi___slice_ansi_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/slice-ansi/-/slice-ansi-4.0.0.tgz";
- sha1 = "500e8dd0fd55b05815086255b3195adf2a45fe6b";
- };
- }
- {
- name = "smooshpack___smooshpack_0.0.62.tgz";
- path = fetchurl {
- name = "smooshpack___smooshpack_0.0.62.tgz";
- url = "https://registry.yarnpkg.com/smooshpack/-/smooshpack-0.0.62.tgz";
- sha1 = "cb31b9f808f73de3146b050f84d044eb353b5503";
- };
- }
- {
- name = "snapdragon_node___snapdragon_node_2.1.1.tgz";
- path = fetchurl {
- name = "snapdragon_node___snapdragon_node_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/snapdragon-node/-/snapdragon-node-2.1.1.tgz";
- sha1 = "6c175f86ff14bdb0724563e8f3c1b021a286853b";
- };
- }
- {
- name = "snapdragon_util___snapdragon_util_3.0.1.tgz";
- path = fetchurl {
- name = "snapdragon_util___snapdragon_util_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/snapdragon-util/-/snapdragon-util-3.0.1.tgz";
- sha1 = "f956479486f2acd79700693f6f7b805e45ab56e2";
- };
- }
- {
- name = "snapdragon___snapdragon_0.8.2.tgz";
- path = fetchurl {
- name = "snapdragon___snapdragon_0.8.2.tgz";
- url = "https://registry.yarnpkg.com/snapdragon/-/snapdragon-0.8.2.tgz";
- sha1 = "64922e7c565b0e14204ba1aa7d6964278d25182d";
- };
- }
- {
- name = "socket.io_adapter___socket.io_adapter_1.1.1.tgz";
- path = fetchurl {
- name = "socket.io_adapter___socket.io_adapter_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/socket.io-adapter/-/socket.io-adapter-1.1.1.tgz";
- sha1 = "2a805e8a14d6372124dd9159ad4502f8cb07f06b";
- };
- }
- {
- name = "socket.io_client___socket.io_client_2.1.1.tgz";
- path = fetchurl {
- name = "socket.io_client___socket.io_client_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/socket.io-client/-/socket.io-client-2.1.1.tgz";
- sha1 = "dcb38103436ab4578ddb026638ae2f21b623671f";
- };
- }
- {
- name = "socket.io_parser___socket.io_parser_3.2.0.tgz";
- path = fetchurl {
- name = "socket.io_parser___socket.io_parser_3.2.0.tgz";
- url = "https://registry.yarnpkg.com/socket.io-parser/-/socket.io-parser-3.2.0.tgz";
- sha1 = "e7c6228b6aa1f814e6148aea325b51aa9499e077";
- };
- }
- {
- name = "socket.io___socket.io_2.1.1.tgz";
- path = fetchurl {
- name = "socket.io___socket.io_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/socket.io/-/socket.io-2.1.1.tgz";
- sha1 = "a069c5feabee3e6b214a75b40ce0652e1cfb9980";
- };
- }
- {
- name = "sockjs_client___sockjs_client_1.5.0.tgz";
- path = fetchurl {
- name = "sockjs_client___sockjs_client_1.5.0.tgz";
- url = "https://registry.yarnpkg.com/sockjs-client/-/sockjs-client-1.5.0.tgz";
- sha1 = "2f8ff5d4b659e0d092f7aba0b7c386bd2aa20add";
- };
- }
- {
- name = "sockjs___sockjs_0.3.21.tgz";
- path = fetchurl {
- name = "sockjs___sockjs_0.3.21.tgz";
- url = "https://registry.yarnpkg.com/sockjs/-/sockjs-0.3.21.tgz";
- sha1 = "b34ffb98e796930b60a0cfa11904d6a339a7d417";
- };
- }
- {
- name = "sortablejs___sortablejs_1.10.2.tgz";
- path = fetchurl {
- name = "sortablejs___sortablejs_1.10.2.tgz";
- url = "https://registry.yarnpkg.com/sortablejs/-/sortablejs-1.10.2.tgz";
- sha1 = "6e40364d913f98b85a14f6678f92b5c1221f5290";
- };
- }
- {
- name = "source_list_map___source_list_map_2.0.0.tgz";
- path = fetchurl {
- name = "source_list_map___source_list_map_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/source-list-map/-/source-list-map-2.0.0.tgz";
- sha1 = "aaa47403f7b245a92fbc97ea08f250d6087ed085";
- };
- }
- {
- name = "source_map_resolve___source_map_resolve_0.5.3.tgz";
- path = fetchurl {
- name = "source_map_resolve___source_map_resolve_0.5.3.tgz";
- url = "https://registry.yarnpkg.com/source-map-resolve/-/source-map-resolve-0.5.3.tgz";
- sha1 = "190866bece7553e1f8f267a2ee82c606b5509a1a";
- };
- }
- {
- name = "source_map_support___source_map_support_0.5.19.tgz";
- path = fetchurl {
- name = "source_map_support___source_map_support_0.5.19.tgz";
- url = "https://registry.yarnpkg.com/source-map-support/-/source-map-support-0.5.19.tgz";
- sha1 = "a98b62f86dcaf4f67399648c085291ab9e8fed61";
- };
- }
- {
- name = "source_map_url___source_map_url_0.4.0.tgz";
- path = fetchurl {
- name = "source_map_url___source_map_url_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/source-map-url/-/source-map-url-0.4.0.tgz";
- sha1 = "3e935d7ddd73631b97659956d55128e87b5084a3";
- };
- }
- {
- name = "source_map___source_map_0.5.6.tgz";
- path = fetchurl {
- name = "source_map___source_map_0.5.6.tgz";
- url = "https://registry.yarnpkg.com/source-map/-/source-map-0.5.6.tgz";
- sha1 = "75ce38f52bf0733c5a7f0c118d81334a2bb5f412";
- };
- }
- {
- name = "source_map___source_map_0.6.1.tgz";
- path = fetchurl {
- name = "source_map___source_map_0.6.1.tgz";
- url = "https://registry.yarnpkg.com/source-map/-/source-map-0.6.1.tgz";
- sha1 = "74722af32e9614e9c287a8d0bbde48b5e2f1a263";
- };
- }
- {
- name = "source_map___source_map_0.7.3.tgz";
- path = fetchurl {
- name = "source_map___source_map_0.7.3.tgz";
- url = "https://registry.yarnpkg.com/source-map/-/source-map-0.7.3.tgz";
- sha1 = "5302f8169031735226544092e64981f751750383";
- };
- }
- {
- name = "spdx_correct___spdx_correct_3.1.1.tgz";
- path = fetchurl {
- name = "spdx_correct___spdx_correct_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/spdx-correct/-/spdx-correct-3.1.1.tgz";
- sha1 = "dece81ac9c1e6713e5f7d1b6f17d468fa53d89a9";
- };
- }
- {
- name = "spdx_exceptions___spdx_exceptions_2.3.0.tgz";
- path = fetchurl {
- name = "spdx_exceptions___spdx_exceptions_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/spdx-exceptions/-/spdx-exceptions-2.3.0.tgz";
- sha1 = "3f28ce1a77a00372683eade4a433183527a2163d";
- };
- }
- {
- name = "spdx_expression_parse___spdx_expression_parse_3.0.1.tgz";
- path = fetchurl {
- name = "spdx_expression_parse___spdx_expression_parse_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/spdx-expression-parse/-/spdx-expression-parse-3.0.1.tgz";
- sha1 = "cf70f50482eefdc98e3ce0a6833e4a53ceeba679";
- };
- }
- {
- name = "spdx_license_ids___spdx_license_ids_3.0.6.tgz";
- path = fetchurl {
- name = "spdx_license_ids___spdx_license_ids_3.0.6.tgz";
- url = "https://registry.yarnpkg.com/spdx-license-ids/-/spdx-license-ids-3.0.6.tgz";
- sha1 = "c80757383c28abf7296744998cbc106ae8b854ce";
- };
- }
- {
- name = "spdy_transport___spdy_transport_3.0.0.tgz";
- path = fetchurl {
- name = "spdy_transport___spdy_transport_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/spdy-transport/-/spdy-transport-3.0.0.tgz";
- sha1 = "00d4863a6400ad75df93361a1608605e5dcdcf31";
- };
- }
- {
- name = "spdy___spdy_4.0.2.tgz";
- path = fetchurl {
- name = "spdy___spdy_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/spdy/-/spdy-4.0.2.tgz";
- sha1 = "b74f466203a3eda452c02492b91fb9e84a27677b";
- };
- }
- {
- name = "specificity___specificity_0.4.1.tgz";
- path = fetchurl {
- name = "specificity___specificity_0.4.1.tgz";
- url = "https://registry.yarnpkg.com/specificity/-/specificity-0.4.1.tgz";
- sha1 = "aab5e645012db08ba182e151165738d00887b019";
- };
- }
- {
- name = "split_string___split_string_3.1.0.tgz";
- path = fetchurl {
- name = "split_string___split_string_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/split-string/-/split-string-3.1.0.tgz";
- sha1 = "7cb09dda3a86585705c64b39a6466038682e8fe2";
- };
- }
- {
- name = "sprintf_js___sprintf_js_1.0.3.tgz";
- path = fetchurl {
- name = "sprintf_js___sprintf_js_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/sprintf-js/-/sprintf-js-1.0.3.tgz";
- sha1 = "04e6926f662895354f3dd015203633b857297e2c";
- };
- }
- {
- name = "sql.js___sql.js_0.4.0.tgz";
- path = fetchurl {
- name = "sql.js___sql.js_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/sql.js/-/sql.js-0.4.0.tgz";
- sha1 = "23be9635520eb0ff43a741e7e830397266e88445";
- };
- }
- {
- name = "sshpk___sshpk_1.16.1.tgz";
- path = fetchurl {
- name = "sshpk___sshpk_1.16.1.tgz";
- url = "https://registry.yarnpkg.com/sshpk/-/sshpk-1.16.1.tgz";
- sha1 = "fb661c0bef29b39db40769ee39fa70093d6f6877";
- };
- }
- {
- name = "ssri___ssri_6.0.1.tgz";
- path = fetchurl {
- name = "ssri___ssri_6.0.1.tgz";
- url = "https://registry.yarnpkg.com/ssri/-/ssri-6.0.1.tgz";
- sha1 = "2a3c41b28dd45b62b63676ecb74001265ae9edd8";
- };
- }
- {
- name = "ssri___ssri_8.0.0.tgz";
- path = fetchurl {
- name = "ssri___ssri_8.0.0.tgz";
- url = "https://registry.yarnpkg.com/ssri/-/ssri-8.0.0.tgz";
- sha1 = "79ca74e21f8ceaeddfcb4b90143c458b8d988808";
- };
- }
- {
- name = "stack_utils___stack_utils_2.0.2.tgz";
- path = fetchurl {
- name = "stack_utils___stack_utils_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/stack-utils/-/stack-utils-2.0.2.tgz";
- sha1 = "5cf48b4557becb4638d0bc4f21d23f5d19586593";
- };
- }
- {
- name = "static_extend___static_extend_0.1.2.tgz";
- path = fetchurl {
- name = "static_extend___static_extend_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/static-extend/-/static-extend-0.1.2.tgz";
- sha1 = "60809c39cbff55337226fd5e0b520f341f1fb5c6";
- };
- }
- {
- name = "statuses___statuses_1.5.0.tgz";
- path = fetchurl {
- name = "statuses___statuses_1.5.0.tgz";
- url = "https://registry.yarnpkg.com/statuses/-/statuses-1.5.0.tgz";
- sha1 = "161c7dac177659fd9811f43771fa99381478628c";
- };
- }
- {
- name = "statuses___statuses_1.3.1.tgz";
- path = fetchurl {
- name = "statuses___statuses_1.3.1.tgz";
- url = "https://registry.yarnpkg.com/statuses/-/statuses-1.3.1.tgz";
- sha1 = "faf51b9eb74aaef3b3acf4ad5f61abf24cb7b93e";
- };
- }
- {
- name = "stealthy_require___stealthy_require_1.1.1.tgz";
- path = fetchurl {
- name = "stealthy_require___stealthy_require_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/stealthy-require/-/stealthy-require-1.1.1.tgz";
- sha1 = "35b09875b4ff49f26a777e509b3090a3226bf24b";
- };
- }
- {
- name = "stream_browserify___stream_browserify_2.0.1.tgz";
- path = fetchurl {
- name = "stream_browserify___stream_browserify_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/stream-browserify/-/stream-browserify-2.0.1.tgz";
- sha1 = "66266ee5f9bdb9940a4e4514cafb43bb71e5c9db";
- };
- }
- {
- name = "stream_each___stream_each_1.2.2.tgz";
- path = fetchurl {
- name = "stream_each___stream_each_1.2.2.tgz";
- url = "https://registry.yarnpkg.com/stream-each/-/stream-each-1.2.2.tgz";
- sha1 = "8e8c463f91da8991778765873fe4d960d8f616bd";
- };
- }
- {
- name = "stream_http___stream_http_2.8.2.tgz";
- path = fetchurl {
- name = "stream_http___stream_http_2.8.2.tgz";
- url = "https://registry.yarnpkg.com/stream-http/-/stream-http-2.8.2.tgz";
- sha1 = "4126e8c6b107004465918aa2fc35549e77402c87";
- };
- }
- {
- name = "stream_shift___stream_shift_1.0.0.tgz";
- path = fetchurl {
- name = "stream_shift___stream_shift_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/stream-shift/-/stream-shift-1.0.0.tgz";
- sha1 = "d5c752825e5367e786f78e18e445ea223a155952";
- };
- }
- {
- name = "streamroller___streamroller_1.0.6.tgz";
- path = fetchurl {
- name = "streamroller___streamroller_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/streamroller/-/streamroller-1.0.6.tgz";
- sha1 = "8167d8496ed9f19f05ee4b158d9611321b8cacd9";
- };
- }
- {
- name = "string_hash___string_hash_1.1.3.tgz";
- path = fetchurl {
- name = "string_hash___string_hash_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/string-hash/-/string-hash-1.1.3.tgz";
- sha1 = "e8aafc0ac1855b4666929ed7dd1275df5d6c811b";
- };
- }
- {
- name = "string_length___string_length_4.0.1.tgz";
- path = fetchurl {
- name = "string_length___string_length_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/string-length/-/string-length-4.0.1.tgz";
- sha1 = "4a973bf31ef77c4edbceadd6af2611996985f8a1";
- };
- }
- {
- name = "string_width___string_width_3.1.0.tgz";
- path = fetchurl {
- name = "string_width___string_width_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/string-width/-/string-width-3.1.0.tgz";
- sha1 = "22767be21b62af1081574306f69ac51b62203961";
- };
- }
- {
- name = "string_width___string_width_4.2.0.tgz";
- path = fetchurl {
- name = "string_width___string_width_4.2.0.tgz";
- url = "https://registry.yarnpkg.com/string-width/-/string-width-4.2.0.tgz";
- sha1 = "952182c46cc7b2c313d1596e623992bd163b72b5";
- };
- }
- {
- name = "string.prototype.trimend___string.prototype.trimend_1.0.3.tgz";
- path = fetchurl {
- name = "string.prototype.trimend___string.prototype.trimend_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/string.prototype.trimend/-/string.prototype.trimend-1.0.3.tgz";
- sha1 = "a22bd53cca5c7cf44d7c9d5c732118873d6cd18b";
- };
- }
- {
- name = "string.prototype.trimstart___string.prototype.trimstart_1.0.3.tgz";
- path = fetchurl {
- name = "string.prototype.trimstart___string.prototype.trimstart_1.0.3.tgz";
- url = "https://registry.yarnpkg.com/string.prototype.trimstart/-/string.prototype.trimstart-1.0.3.tgz";
- sha1 = "9b4cb590e123bb36564401d59824298de50fd5aa";
- };
- }
- {
- name = "string_decoder___string_decoder_1.1.1.tgz";
- path = fetchurl {
- name = "string_decoder___string_decoder_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/string_decoder/-/string_decoder-1.1.1.tgz";
- sha1 = "9cf1611ba62685d7030ae9e4ba34149c3af03fc8";
- };
- }
- {
- name = "string_decoder___string_decoder_0.10.31.tgz";
- path = fetchurl {
- name = "string_decoder___string_decoder_0.10.31.tgz";
- url = "https://registry.yarnpkg.com/string_decoder/-/string_decoder-0.10.31.tgz";
- sha1 = "62e203bc41766c6c28c9fc84301dab1c5310fa94";
- };
- }
- {
- name = "strip_ansi___strip_ansi_3.0.1.tgz";
- path = fetchurl {
- name = "strip_ansi___strip_ansi_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-3.0.1.tgz";
- sha1 = "6a385fb8853d952d5ff05d0e8aaf94278dc63dcf";
- };
- }
- {
- name = "strip_ansi___strip_ansi_4.0.0.tgz";
- path = fetchurl {
- name = "strip_ansi___strip_ansi_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-4.0.0.tgz";
- sha1 = "a8479022eb1ac368a871389b635262c505ee368f";
- };
- }
- {
- name = "strip_ansi___strip_ansi_5.2.0.tgz";
- path = fetchurl {
- name = "strip_ansi___strip_ansi_5.2.0.tgz";
- url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-5.2.0.tgz";
- sha1 = "8c9a536feb6afc962bdfa5b104a5091c1ad9c0ae";
- };
- }
- {
- name = "strip_ansi___strip_ansi_6.0.0.tgz";
- path = fetchurl {
- name = "strip_ansi___strip_ansi_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-6.0.0.tgz";
- sha1 = "0b1571dd7669ccd4f3e06e14ef1eed26225ae532";
- };
- }
- {
- name = "strip_bom___strip_bom_3.0.0.tgz";
- path = fetchurl {
- name = "strip_bom___strip_bom_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-bom/-/strip-bom-3.0.0.tgz";
- sha1 = "2334c18e9c759f7bdd56fdef7e9ae3d588e68ed3";
- };
- }
- {
- name = "strip_bom___strip_bom_4.0.0.tgz";
- path = fetchurl {
- name = "strip_bom___strip_bom_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-bom/-/strip-bom-4.0.0.tgz";
- sha1 = "9c3505c1db45bcedca3d9cf7a16f5c5aa3901878";
- };
- }
- {
- name = "strip_eof___strip_eof_1.0.0.tgz";
- path = fetchurl {
- name = "strip_eof___strip_eof_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-eof/-/strip-eof-1.0.0.tgz";
- sha1 = "bb43ff5598a6eb05d89b59fcd129c983313606bf";
- };
- }
- {
- name = "strip_final_newline___strip_final_newline_2.0.0.tgz";
- path = fetchurl {
- name = "strip_final_newline___strip_final_newline_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-final-newline/-/strip-final-newline-2.0.0.tgz";
- sha1 = "89b852fb2fcbe936f6f4b3187afb0a12c1ab58ad";
- };
- }
- {
- name = "strip_indent___strip_indent_3.0.0.tgz";
- path = fetchurl {
- name = "strip_indent___strip_indent_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/strip-indent/-/strip-indent-3.0.0.tgz";
- sha1 = "c32e1cee940b6b3432c771bc2c54bcce73cd3001";
- };
- }
- {
- name = "strip_json_comments___strip_json_comments_3.1.1.tgz";
- path = fetchurl {
- name = "strip_json_comments___strip_json_comments_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/strip-json-comments/-/strip-json-comments-3.1.1.tgz";
- sha1 = "31f1281b3832630434831c310c01cccda8cbe006";
- };
- }
- {
- name = "strip_json_comments___strip_json_comments_2.0.1.tgz";
- path = fetchurl {
- name = "strip_json_comments___strip_json_comments_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/strip-json-comments/-/strip-json-comments-2.0.1.tgz";
- sha1 = "3c531942e908c2697c0ec344858c286c7ca0a60a";
- };
- }
- {
- name = "style_loader___style_loader_2.0.0.tgz";
- path = fetchurl {
- name = "style_loader___style_loader_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/style-loader/-/style-loader-2.0.0.tgz";
- sha1 = "9669602fd4690740eaaec137799a03addbbc393c";
- };
- }
- {
- name = "style_search___style_search_0.1.0.tgz";
- path = fetchurl {
- name = "style_search___style_search_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/style-search/-/style-search-0.1.0.tgz";
- sha1 = "7958c793e47e32e07d2b5cafe5c0bf8e12e77902";
- };
- }
- {
- name = "stylelint_declaration_strict_value___stylelint_declaration_strict_value_1.7.7.tgz";
- path = fetchurl {
- name = "stylelint_declaration_strict_value___stylelint_declaration_strict_value_1.7.7.tgz";
- url = "https://registry.yarnpkg.com/stylelint-declaration-strict-value/-/stylelint-declaration-strict-value-1.7.7.tgz";
- sha1 = "d2f0aabc7f3e701a8988207f27d9696bd1d1ed0d";
- };
- }
- {
- name = "stylelint_scss___stylelint_scss_3.18.0.tgz";
- path = fetchurl {
- name = "stylelint_scss___stylelint_scss_3.18.0.tgz";
- url = "https://registry.yarnpkg.com/stylelint-scss/-/stylelint-scss-3.18.0.tgz";
- sha1 = "8f06371c223909bf3f62e839548af1badeed31e9";
- };
- }
- {
- name = "stylelint___stylelint_13.9.0.tgz";
- path = fetchurl {
- name = "stylelint___stylelint_13.9.0.tgz";
- url = "https://registry.yarnpkg.com/stylelint/-/stylelint-13.9.0.tgz";
- sha1 = "93921ee6e11d4556b9f31131f485dc813b68e32a";
- };
- }
- {
- name = "stylis___stylis_3.5.4.tgz";
- path = fetchurl {
- name = "stylis___stylis_3.5.4.tgz";
- url = "https://registry.yarnpkg.com/stylis/-/stylis-3.5.4.tgz";
- sha1 = "f665f25f5e299cf3d64654ab949a57c768b73fbe";
- };
- }
- {
- name = "sugarss___sugarss_2.0.0.tgz";
- path = fetchurl {
- name = "sugarss___sugarss_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/sugarss/-/sugarss-2.0.0.tgz";
- sha1 = "ddd76e0124b297d40bf3cca31c8b22ecb43bc61d";
- };
- }
- {
- name = "supports_color___supports_color_5.5.0.tgz";
- path = fetchurl {
- name = "supports_color___supports_color_5.5.0.tgz";
- url = "https://registry.yarnpkg.com/supports-color/-/supports-color-5.5.0.tgz";
- sha1 = "e2e69a44ac8772f78a1ec0b35b689df6530efc8f";
- };
- }
- {
- name = "supports_color___supports_color_6.1.0.tgz";
- path = fetchurl {
- name = "supports_color___supports_color_6.1.0.tgz";
- url = "https://registry.yarnpkg.com/supports-color/-/supports-color-6.1.0.tgz";
- sha1 = "0764abc69c63d5ac842dd4867e8d025e880df8f3";
- };
- }
- {
- name = "supports_color___supports_color_7.2.0.tgz";
- path = fetchurl {
- name = "supports_color___supports_color_7.2.0.tgz";
- url = "https://registry.yarnpkg.com/supports-color/-/supports-color-7.2.0.tgz";
- sha1 = "1b7dcdcb32b8138801b3e478ba6a51caa89648da";
- };
- }
- {
- name = "supports_hyperlinks___supports_hyperlinks_2.1.0.tgz";
- path = fetchurl {
- name = "supports_hyperlinks___supports_hyperlinks_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/supports-hyperlinks/-/supports-hyperlinks-2.1.0.tgz";
- sha1 = "f663df252af5f37c5d49bbd7eeefa9e0b9e59e47";
- };
- }
- {
- name = "svg_tags___svg_tags_1.0.0.tgz";
- path = fetchurl {
- name = "svg_tags___svg_tags_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/svg-tags/-/svg-tags-1.0.0.tgz";
- sha1 = "58f71cee3bd519b59d4b2a843b6c7de64ac04764";
- };
- }
- {
- name = "swagger_ui_dist___swagger_ui_dist_3.44.1.tgz";
- path = fetchurl {
- name = "swagger_ui_dist___swagger_ui_dist_3.44.1.tgz";
- url = "https://registry.yarnpkg.com/swagger-ui-dist/-/swagger-ui-dist-3.44.1.tgz";
- sha1 = "757385a79698b8ef7045287be585671db4e4a252";
- };
- }
- {
- name = "symbol_observable___symbol_observable_1.2.0.tgz";
- path = fetchurl {
- name = "symbol_observable___symbol_observable_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/symbol-observable/-/symbol-observable-1.2.0.tgz";
- sha1 = "c22688aed4eab3cdc2dfeacbb561660560a00804";
- };
- }
- {
- name = "symbol_tree___symbol_tree_3.2.4.tgz";
- path = fetchurl {
- name = "symbol_tree___symbol_tree_3.2.4.tgz";
- url = "https://registry.yarnpkg.com/symbol-tree/-/symbol-tree-3.2.4.tgz";
- sha1 = "430637d248ba77e078883951fb9aa0eed7c63fa2";
- };
- }
- {
- name = "table___table_6.7.1.tgz";
- path = fetchurl {
- name = "table___table_6.7.1.tgz";
- url = "https://registry.yarnpkg.com/table/-/table-6.7.1.tgz";
- sha1 = "ee05592b7143831a8c94f3cee6aae4c1ccef33e2";
- };
- }
- {
- name = "taffydb___taffydb_2.6.2.tgz";
- path = fetchurl {
- name = "taffydb___taffydb_2.6.2.tgz";
- url = "https://registry.yarnpkg.com/taffydb/-/taffydb-2.6.2.tgz";
- sha1 = "7cbcb64b5a141b6a2efc2c5d2c67b4e150b2a268";
- };
- }
- {
- name = "tapable___tapable_0.1.10.tgz";
- path = fetchurl {
- name = "tapable___tapable_0.1.10.tgz";
- url = "https://registry.yarnpkg.com/tapable/-/tapable-0.1.10.tgz";
- sha1 = "29c35707c2b70e50d07482b5d202e8ed446dafd4";
- };
- }
- {
- name = "tapable___tapable_1.1.3.tgz";
- path = fetchurl {
- name = "tapable___tapable_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/tapable/-/tapable-1.1.3.tgz";
- sha1 = "a1fccc06b58db61fd7a45da2da44f5f3a3e67ba2";
- };
- }
- {
- name = "tar___tar_6.0.5.tgz";
- path = fetchurl {
- name = "tar___tar_6.0.5.tgz";
- url = "https://registry.yarnpkg.com/tar/-/tar-6.0.5.tgz";
- sha1 = "bde815086e10b39f1dcd298e89d596e1535e200f";
- };
- }
- {
- name = "term_size___term_size_2.2.0.tgz";
- path = fetchurl {
- name = "term_size___term_size_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/term-size/-/term-size-2.2.0.tgz";
- sha1 = "1f16adedfe9bdc18800e1776821734086fcc6753";
- };
- }
- {
- name = "terminal_link___terminal_link_2.1.1.tgz";
- path = fetchurl {
- name = "terminal_link___terminal_link_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/terminal-link/-/terminal-link-2.1.1.tgz";
- sha1 = "14a64a27ab3c0df933ea546fba55f2d078edc994";
- };
- }
- {
- name = "terser_webpack_plugin___terser_webpack_plugin_1.4.3.tgz";
- path = fetchurl {
- name = "terser_webpack_plugin___terser_webpack_plugin_1.4.3.tgz";
- url = "https://registry.yarnpkg.com/terser-webpack-plugin/-/terser-webpack-plugin-1.4.3.tgz";
- sha1 = "5ecaf2dbdc5fb99745fd06791f46fc9ddb1c9a7c";
- };
- }
- {
- name = "terser___terser_4.3.1.tgz";
- path = fetchurl {
- name = "terser___terser_4.3.1.tgz";
- url = "https://registry.yarnpkg.com/terser/-/terser-4.3.1.tgz";
- sha1 = "09820bcb3398299c4b48d9a86aefc65127d0ed65";
- };
- }
- {
- name = "test_exclude___test_exclude_6.0.0.tgz";
- path = fetchurl {
- name = "test_exclude___test_exclude_6.0.0.tgz";
- url = "https://registry.yarnpkg.com/test-exclude/-/test-exclude-6.0.0.tgz";
- sha1 = "04a8698661d805ea6fa293b6cb9e63ac044ef15e";
- };
- }
- {
- name = "text_table___text_table_0.2.0.tgz";
- path = fetchurl {
- name = "text_table___text_table_0.2.0.tgz";
- url = "https://registry.yarnpkg.com/text-table/-/text-table-0.2.0.tgz";
- sha1 = "7f5ee823ae805207c00af2df4a84ec3fcfa570b4";
- };
- }
- {
- name = "textextensions___textextensions_2.2.0.tgz";
- path = fetchurl {
- name = "textextensions___textextensions_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/textextensions/-/textextensions-2.2.0.tgz";
- sha1 = "38ac676151285b658654581987a0ce1a4490d286";
- };
- }
- {
- name = "three_orbit_controls___three_orbit_controls_82.1.0.tgz";
- path = fetchurl {
- name = "three_orbit_controls___three_orbit_controls_82.1.0.tgz";
- url = "https://registry.yarnpkg.com/three-orbit-controls/-/three-orbit-controls-82.1.0.tgz";
- sha1 = "11a7f33d0a20ecec98f098b37780f6537374fab4";
- };
- }
- {
- name = "three_stl_loader___three_stl_loader_1.0.4.tgz";
- path = fetchurl {
- name = "three_stl_loader___three_stl_loader_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/three-stl-loader/-/three-stl-loader-1.0.4.tgz";
- sha1 = "6b3319a31e3b910aab1883d19b00c81a663c3e03";
- };
- }
- {
- name = "three___three_0.84.0.tgz";
- path = fetchurl {
- name = "three___three_0.84.0.tgz";
- url = "https://registry.yarnpkg.com/three/-/three-0.84.0.tgz";
- sha1 = "95be85a55a0fa002aa625ed559130957dcffd918";
- };
- }
- {
- name = "throat___throat_5.0.0.tgz";
- path = fetchurl {
- name = "throat___throat_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/throat/-/throat-5.0.0.tgz";
- sha1 = "c5199235803aad18754a667d659b5e72ce16764b";
- };
- }
- {
- name = "throttle_debounce___throttle_debounce_2.1.0.tgz";
- path = fetchurl {
- name = "throttle_debounce___throttle_debounce_2.1.0.tgz";
- url = "https://registry.yarnpkg.com/throttle-debounce/-/throttle-debounce-2.1.0.tgz";
- sha1 = "257e648f0a56bd9e54fe0f132c4ab8611df4e1d5";
- };
- }
- {
- name = "through2___through2_2.0.5.tgz";
- path = fetchurl {
- name = "through2___through2_2.0.5.tgz";
- url = "https://registry.yarnpkg.com/through2/-/through2-2.0.5.tgz";
- sha1 = "01c1e39eb31d07cb7d03a96a70823260b23132cd";
- };
- }
- {
- name = "thunky___thunky_0.1.0.tgz";
- path = fetchurl {
- name = "thunky___thunky_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/thunky/-/thunky-0.1.0.tgz";
- sha1 = "bf30146824e2b6e67b0f2d7a4ac8beb26908684e";
- };
- }
- {
- name = "timeago.js___timeago.js_4.0.2.tgz";
- path = fetchurl {
- name = "timeago.js___timeago.js_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/timeago.js/-/timeago.js-4.0.2.tgz";
- sha1 = "724e8c8833e3490676c7bb0a75f5daf20e558028";
- };
- }
- {
- name = "timers_browserify___timers_browserify_2.0.10.tgz";
- path = fetchurl {
- name = "timers_browserify___timers_browserify_2.0.10.tgz";
- url = "https://registry.yarnpkg.com/timers-browserify/-/timers-browserify-2.0.10.tgz";
- sha1 = "1d28e3d2aadf1d5a5996c4e9f95601cd053480ae";
- };
- }
- {
- name = "timezone_mock___timezone_mock_1.0.8.tgz";
- path = fetchurl {
- name = "timezone_mock___timezone_mock_1.0.8.tgz";
- url = "https://registry.yarnpkg.com/timezone-mock/-/timezone-mock-1.0.8.tgz";
- sha1 = "1b9f7af13f2bf84b7aa3d3d6e24aa17255b6037d";
- };
- }
- {
- name = "tiny_emitter___tiny_emitter_2.0.2.tgz";
- path = fetchurl {
- name = "tiny_emitter___tiny_emitter_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/tiny-emitter/-/tiny-emitter-2.0.2.tgz";
- sha1 = "82d27468aca5ade8e5fd1e6d22b57dd43ebdfb7c";
- };
- }
- {
- name = "tippy.js___tippy.js_6.3.1.tgz";
- path = fetchurl {
- name = "tippy.js___tippy.js_6.3.1.tgz";
- url = "https://registry.yarnpkg.com/tippy.js/-/tippy.js-6.3.1.tgz";
- sha1 = "3788a007be7015eee0fd589a66b98fb3f8f10181";
- };
- }
- {
- name = "tiptap_commands___tiptap_commands_1.17.1.tgz";
- path = fetchurl {
- name = "tiptap_commands___tiptap_commands_1.17.1.tgz";
- url = "https://registry.yarnpkg.com/tiptap-commands/-/tiptap-commands-1.17.1.tgz";
- sha1 = "a8974a26d87db57b2fd4fc56a552520c69e43a4a";
- };
- }
- {
- name = "tiptap_extensions___tiptap_extensions_1.35.2.tgz";
- path = fetchurl {
- name = "tiptap_extensions___tiptap_extensions_1.35.2.tgz";
- url = "https://registry.yarnpkg.com/tiptap-extensions/-/tiptap-extensions-1.35.2.tgz";
- sha1 = "83dd6ee703ae8c83b58c7608f97253fcc4f1a94c";
- };
- }
- {
- name = "tiptap_utils___tiptap_utils_1.13.1.tgz";
- path = fetchurl {
- name = "tiptap_utils___tiptap_utils_1.13.1.tgz";
- url = "https://registry.yarnpkg.com/tiptap-utils/-/tiptap-utils-1.13.1.tgz";
- sha1 = "f2150ded432465d66aa03a5ab333803415cddd20";
- };
- }
- {
- name = "tiptap___tiptap_1.32.2.tgz";
- path = fetchurl {
- name = "tiptap___tiptap_1.32.2.tgz";
- url = "https://registry.yarnpkg.com/tiptap/-/tiptap-1.32.2.tgz";
- sha1 = "cd6259e853652bfc6860758ff44ebb695d5edd1c";
- };
- }
- {
- name = "tmp___tmp_0.0.33.tgz";
- path = fetchurl {
- name = "tmp___tmp_0.0.33.tgz";
- url = "https://registry.yarnpkg.com/tmp/-/tmp-0.0.33.tgz";
- sha1 = "6d34335889768d21b2bcda0aa277ced3b1bfadf9";
- };
- }
- {
- name = "tmpl___tmpl_1.0.4.tgz";
- path = fetchurl {
- name = "tmpl___tmpl_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/tmpl/-/tmpl-1.0.4.tgz";
- sha1 = "23640dd7b42d00433911140820e5cf440e521dd1";
- };
- }
- {
- name = "to_array___to_array_0.1.4.tgz";
- path = fetchurl {
- name = "to_array___to_array_0.1.4.tgz";
- url = "https://registry.yarnpkg.com/to-array/-/to-array-0.1.4.tgz";
- sha1 = "17e6c11f73dd4f3d74cda7a4ff3238e9ad9bf890";
- };
- }
- {
- name = "to_arraybuffer___to_arraybuffer_1.0.1.tgz";
- path = fetchurl {
- name = "to_arraybuffer___to_arraybuffer_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/to-arraybuffer/-/to-arraybuffer-1.0.1.tgz";
- sha1 = "7d229b1fcc637e466ca081180836a7aabff83f43";
- };
- }
- {
- name = "to_fast_properties___to_fast_properties_2.0.0.tgz";
- path = fetchurl {
- name = "to_fast_properties___to_fast_properties_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/to-fast-properties/-/to-fast-properties-2.0.0.tgz";
- sha1 = "dc5e698cbd079265bc73e0377681a4e4e83f616e";
- };
- }
- {
- name = "to_object_path___to_object_path_0.3.0.tgz";
- path = fetchurl {
- name = "to_object_path___to_object_path_0.3.0.tgz";
- url = "https://registry.yarnpkg.com/to-object-path/-/to-object-path-0.3.0.tgz";
- sha1 = "297588b7b0e7e0ac08e04e672f85c1f4999e17af";
- };
- }
- {
- name = "to_readable_stream___to_readable_stream_1.0.0.tgz";
- path = fetchurl {
- name = "to_readable_stream___to_readable_stream_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/to-readable-stream/-/to-readable-stream-1.0.0.tgz";
- sha1 = "ce0aa0c2f3df6adf852efb404a783e77c0475771";
- };
- }
- {
- name = "to_regex_range___to_regex_range_2.1.1.tgz";
- path = fetchurl {
- name = "to_regex_range___to_regex_range_2.1.1.tgz";
- url = "https://registry.yarnpkg.com/to-regex-range/-/to-regex-range-2.1.1.tgz";
- sha1 = "7c80c17b9dfebe599e27367e0d4dd5590141db38";
- };
- }
- {
- name = "to_regex_range___to_regex_range_5.0.1.tgz";
- path = fetchurl {
- name = "to_regex_range___to_regex_range_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/to-regex-range/-/to-regex-range-5.0.1.tgz";
- sha1 = "1648c44aae7c8d988a326018ed72f5b4dd0392e4";
- };
- }
- {
- name = "to_regex___to_regex_3.0.2.tgz";
- path = fetchurl {
- name = "to_regex___to_regex_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/to-regex/-/to-regex-3.0.2.tgz";
- sha1 = "13cfdd9b336552f30b51f33a8ae1b42a7a7599ce";
- };
- }
- {
- name = "toggle_selection___toggle_selection_1.0.6.tgz";
- path = fetchurl {
- name = "toggle_selection___toggle_selection_1.0.6.tgz";
- url = "https://registry.yarnpkg.com/toggle-selection/-/toggle-selection-1.0.6.tgz";
- sha1 = "6e45b1263f2017fa0acc7d89d78b15b8bf77da32";
- };
- }
- {
- name = "toidentifier___toidentifier_1.0.0.tgz";
- path = fetchurl {
- name = "toidentifier___toidentifier_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/toidentifier/-/toidentifier-1.0.0.tgz";
- sha1 = "7e1be3470f1e77948bc43d94a3c8f4d7752ba553";
- };
- }
- {
- name = "totalist___totalist_1.1.0.tgz";
- path = fetchurl {
- name = "totalist___totalist_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/totalist/-/totalist-1.1.0.tgz";
- sha1 = "a4d65a3e546517701e3e5c37a47a70ac97fe56df";
- };
- }
- {
- name = "touch___touch_3.1.0.tgz";
- path = fetchurl {
- name = "touch___touch_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/touch/-/touch-3.1.0.tgz";
- sha1 = "fe365f5f75ec9ed4e56825e0bb76d24ab74af83b";
- };
- }
- {
- name = "tough_cookie___tough_cookie_2.5.0.tgz";
- path = fetchurl {
- name = "tough_cookie___tough_cookie_2.5.0.tgz";
- url = "https://registry.yarnpkg.com/tough-cookie/-/tough-cookie-2.5.0.tgz";
- sha1 = "cd9fb2a0aa1d5a12b473bd9fb96fa3dcff65ade2";
- };
- }
- {
- name = "tough_cookie___tough_cookie_3.0.1.tgz";
- path = fetchurl {
- name = "tough_cookie___tough_cookie_3.0.1.tgz";
- url = "https://registry.yarnpkg.com/tough-cookie/-/tough-cookie-3.0.1.tgz";
- sha1 = "9df4f57e739c26930a018184887f4adb7dca73b2";
- };
- }
- {
- name = "tr46___tr46_2.0.2.tgz";
- path = fetchurl {
- name = "tr46___tr46_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/tr46/-/tr46-2.0.2.tgz";
- sha1 = "03273586def1595ae08fedb38d7733cee91d2479";
- };
- }
- {
- name = "trim_newlines___trim_newlines_3.0.0.tgz";
- path = fetchurl {
- name = "trim_newlines___trim_newlines_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/trim-newlines/-/trim-newlines-3.0.0.tgz";
- sha1 = "79726304a6a898aa8373427298d54c2ee8b1cb30";
- };
- }
- {
- name = "trough___trough_1.0.5.tgz";
- path = fetchurl {
- name = "trough___trough_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/trough/-/trough-1.0.5.tgz";
- sha1 = "b8b639cefad7d0bb2abd37d433ff8293efa5f406";
- };
- }
- {
- name = "try_catch___try_catch_2.0.0.tgz";
- path = fetchurl {
- name = "try_catch___try_catch_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/try-catch/-/try-catch-2.0.0.tgz";
- sha1 = "a491141d597f8b72b46757fe1c47059341a16aed";
- };
- }
- {
- name = "try_to_catch___try_to_catch_1.1.1.tgz";
- path = fetchurl {
- name = "try_to_catch___try_to_catch_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/try-to-catch/-/try-to-catch-1.1.1.tgz";
- sha1 = "770162dd13b9a0e55da04db5b7f888956072038a";
- };
- }
- {
- name = "ts_invariant___ts_invariant_0.4.4.tgz";
- path = fetchurl {
- name = "ts_invariant___ts_invariant_0.4.4.tgz";
- url = "https://registry.yarnpkg.com/ts-invariant/-/ts-invariant-0.4.4.tgz";
- sha1 = "97a523518688f93aafad01b0e80eb803eb2abd86";
- };
- }
- {
- name = "tsconfig_paths___tsconfig_paths_3.9.0.tgz";
- path = fetchurl {
- name = "tsconfig_paths___tsconfig_paths_3.9.0.tgz";
- url = "https://registry.yarnpkg.com/tsconfig-paths/-/tsconfig-paths-3.9.0.tgz";
- sha1 = "098547a6c4448807e8fcb8eae081064ee9a3c90b";
- };
- }
- {
- name = "tslib___tslib_1.13.0.tgz";
- path = fetchurl {
- name = "tslib___tslib_1.13.0.tgz";
- url = "https://registry.yarnpkg.com/tslib/-/tslib-1.13.0.tgz";
- sha1 = "c881e13cc7015894ed914862d276436fa9a47043";
- };
- }
- {
- name = "tslib___tslib_2.2.0.tgz";
- path = fetchurl {
- name = "tslib___tslib_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/tslib/-/tslib-2.2.0.tgz";
- sha1 = "fb2c475977e35e241311ede2693cee1ec6698f5c";
- };
- }
- {
- name = "tsutils___tsutils_3.17.1.tgz";
- path = fetchurl {
- name = "tsutils___tsutils_3.17.1.tgz";
- url = "https://registry.yarnpkg.com/tsutils/-/tsutils-3.17.1.tgz";
- sha1 = "ed719917f11ca0dee586272b2ac49e015a2dd759";
- };
- }
- {
- name = "tty_browserify___tty_browserify_0.0.0.tgz";
- path = fetchurl {
- name = "tty_browserify___tty_browserify_0.0.0.tgz";
- url = "https://registry.yarnpkg.com/tty-browserify/-/tty-browserify-0.0.0.tgz";
- sha1 = "a157ba402da24e9bf957f9aa69d524eed42901a6";
- };
- }
- {
- name = "tunnel_agent___tunnel_agent_0.6.0.tgz";
- path = fetchurl {
- name = "tunnel_agent___tunnel_agent_0.6.0.tgz";
- url = "https://registry.yarnpkg.com/tunnel-agent/-/tunnel-agent-0.6.0.tgz";
- sha1 = "27a5dea06b36b04a0a9966774b290868f0fc40fd";
- };
- }
- {
- name = "tweetnacl___tweetnacl_0.14.5.tgz";
- path = fetchurl {
- name = "tweetnacl___tweetnacl_0.14.5.tgz";
- url = "https://registry.yarnpkg.com/tweetnacl/-/tweetnacl-0.14.5.tgz";
- sha1 = "5ae68177f192d4456269d108afa93ff8743f4f64";
- };
- }
- {
- name = "type_check___type_check_0.4.0.tgz";
- path = fetchurl {
- name = "type_check___type_check_0.4.0.tgz";
- url = "https://registry.yarnpkg.com/type-check/-/type-check-0.4.0.tgz";
- sha1 = "07b8203bfa7056c0657050e3ccd2c37730bab8f1";
- };
- }
- {
- name = "type_check___type_check_0.3.2.tgz";
- path = fetchurl {
- name = "type_check___type_check_0.3.2.tgz";
- url = "https://registry.yarnpkg.com/type-check/-/type-check-0.3.2.tgz";
- sha1 = "5884cab512cf1d355e3fb784f30804b2b520db72";
- };
- }
- {
- name = "type_detect___type_detect_4.0.8.tgz";
- path = fetchurl {
- name = "type_detect___type_detect_4.0.8.tgz";
- url = "https://registry.yarnpkg.com/type-detect/-/type-detect-4.0.8.tgz";
- sha1 = "7646fb5f18871cfbb7749e69bd39a6388eb7450c";
- };
- }
- {
- name = "type_fest___type_fest_0.18.1.tgz";
- path = fetchurl {
- name = "type_fest___type_fest_0.18.1.tgz";
- url = "https://registry.yarnpkg.com/type-fest/-/type-fest-0.18.1.tgz";
- sha1 = "db4bc151a4a2cf4eebf9add5db75508db6cc841f";
- };
- }
- {
- name = "type_fest___type_fest_0.20.2.tgz";
- path = fetchurl {
- name = "type_fest___type_fest_0.20.2.tgz";
- url = "https://registry.yarnpkg.com/type-fest/-/type-fest-0.20.2.tgz";
- sha1 = "1bf207f4b28f91583666cb5fbd327887301cd5f4";
- };
- }
- {
- name = "type_fest___type_fest_0.6.0.tgz";
- path = fetchurl {
- name = "type_fest___type_fest_0.6.0.tgz";
- url = "https://registry.yarnpkg.com/type-fest/-/type-fest-0.6.0.tgz";
- sha1 = "8d2a2370d3df886eb5c90ada1c5bf6188acf838b";
- };
- }
- {
- name = "type_fest___type_fest_0.8.1.tgz";
- path = fetchurl {
- name = "type_fest___type_fest_0.8.1.tgz";
- url = "https://registry.yarnpkg.com/type-fest/-/type-fest-0.8.1.tgz";
- sha1 = "09e249ebde851d3b1e48d27c105444667f17b83d";
- };
- }
- {
- name = "type_is___type_is_1.6.18.tgz";
- path = fetchurl {
- name = "type_is___type_is_1.6.18.tgz";
- url = "https://registry.yarnpkg.com/type-is/-/type-is-1.6.18.tgz";
- sha1 = "4e552cd05df09467dcbc4ef739de89f2cf37c131";
- };
- }
- {
- name = "typedarray_to_buffer___typedarray_to_buffer_3.1.5.tgz";
- path = fetchurl {
- name = "typedarray_to_buffer___typedarray_to_buffer_3.1.5.tgz";
- url = "https://registry.yarnpkg.com/typedarray-to-buffer/-/typedarray-to-buffer-3.1.5.tgz";
- sha1 = "a97ee7a9ff42691b9f783ff1bc5112fe3fca9080";
- };
- }
- {
- name = "typedarray___typedarray_0.0.6.tgz";
- path = fetchurl {
- name = "typedarray___typedarray_0.0.6.tgz";
- url = "https://registry.yarnpkg.com/typedarray/-/typedarray-0.0.6.tgz";
- sha1 = "867ac74e3864187b1d3d47d996a78ec5c8830777";
- };
- }
- {
- name = "typescript___typescript_4.1.5.tgz";
- path = fetchurl {
- name = "typescript___typescript_4.1.5.tgz";
- url = "https://registry.yarnpkg.com/typescript/-/typescript-4.1.5.tgz";
- sha1 = "123a3b214aaff3be32926f0d8f1f6e704eb89a72";
- };
- }
- {
- name = "uc.micro___uc.micro_1.0.5.tgz";
- path = fetchurl {
- name = "uc.micro___uc.micro_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/uc.micro/-/uc.micro-1.0.5.tgz";
- sha1 = "0c65f15f815aa08b560a61ce8b4db7ffc3f45376";
- };
- }
- {
- name = "uglify_js___uglify_js_3.6.0.tgz";
- path = fetchurl {
- name = "uglify_js___uglify_js_3.6.0.tgz";
- url = "https://registry.yarnpkg.com/uglify-js/-/uglify-js-3.6.0.tgz";
- sha1 = "704681345c53a8b2079fb6cec294b05ead242ff5";
- };
- }
- {
- name = "ultron___ultron_1.1.1.tgz";
- path = fetchurl {
- name = "ultron___ultron_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/ultron/-/ultron-1.1.1.tgz";
- sha1 = "9fe1536a10a664a65266a1e3ccf85fd36302bc9c";
- };
- }
- {
- name = "undefsafe___undefsafe_2.0.2.tgz";
- path = fetchurl {
- name = "undefsafe___undefsafe_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/undefsafe/-/undefsafe-2.0.2.tgz";
- sha1 = "225f6b9e0337663e0d8e7cfd686fc2836ccace76";
- };
- }
- {
- name = "underscore_contrib___underscore_contrib_0.3.0.tgz";
- path = fetchurl {
- name = "underscore_contrib___underscore_contrib_0.3.0.tgz";
- url = "https://registry.yarnpkg.com/underscore-contrib/-/underscore-contrib-0.3.0.tgz";
- sha1 = "665b66c24783f8fa2b18c9f8cbb0e2c7d48c26c7";
- };
- }
- {
- name = "underscore___underscore_1.6.0.tgz";
- path = fetchurl {
- name = "underscore___underscore_1.6.0.tgz";
- url = "https://registry.yarnpkg.com/underscore/-/underscore-1.6.0.tgz";
- sha1 = "8b38b10cacdef63337b8b24e4ff86d45aea529a8";
- };
- }
- {
- name = "underscore___underscore_1.8.3.tgz";
- path = fetchurl {
- name = "underscore___underscore_1.8.3.tgz";
- url = "https://registry.yarnpkg.com/underscore/-/underscore-1.8.3.tgz";
- sha1 = "4f3fb53b106e6097fcf9cb4109f2a5e9bdfa5022";
- };
- }
- {
- name = "unicode_canonical_property_names_ecmascript___unicode_canonical_property_names_ecmascript_1.0.4.tgz";
- path = fetchurl {
- name = "unicode_canonical_property_names_ecmascript___unicode_canonical_property_names_ecmascript_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/unicode-canonical-property-names-ecmascript/-/unicode-canonical-property-names-ecmascript-1.0.4.tgz";
- sha1 = "2619800c4c825800efdd8343af7dd9933cbe2818";
- };
- }
- {
- name = "unicode_match_property_ecmascript___unicode_match_property_ecmascript_1.0.4.tgz";
- path = fetchurl {
- name = "unicode_match_property_ecmascript___unicode_match_property_ecmascript_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/unicode-match-property-ecmascript/-/unicode-match-property-ecmascript-1.0.4.tgz";
- sha1 = "8ed2a32569961bce9227d09cd3ffbb8fed5f020c";
- };
- }
- {
- name = "unicode_match_property_value_ecmascript___unicode_match_property_value_ecmascript_1.2.0.tgz";
- path = fetchurl {
- name = "unicode_match_property_value_ecmascript___unicode_match_property_value_ecmascript_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/unicode-match-property-value-ecmascript/-/unicode-match-property-value-ecmascript-1.2.0.tgz";
- sha1 = "0d91f600eeeb3096aa962b1d6fc88876e64ea531";
- };
- }
- {
- name = "unicode_property_aliases_ecmascript___unicode_property_aliases_ecmascript_1.0.4.tgz";
- path = fetchurl {
- name = "unicode_property_aliases_ecmascript___unicode_property_aliases_ecmascript_1.0.4.tgz";
- url = "https://registry.yarnpkg.com/unicode-property-aliases-ecmascript/-/unicode-property-aliases-ecmascript-1.0.4.tgz";
- sha1 = "5a533f31b4317ea76f17d807fa0d116546111dd0";
- };
- }
- {
- name = "unified___unified_9.2.0.tgz";
- path = fetchurl {
- name = "unified___unified_9.2.0.tgz";
- url = "https://registry.yarnpkg.com/unified/-/unified-9.2.0.tgz";
- sha1 = "67a62c627c40589edebbf60f53edfd4d822027f8";
- };
- }
- {
- name = "union_value___union_value_1.0.1.tgz";
- path = fetchurl {
- name = "union_value___union_value_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/union-value/-/union-value-1.0.1.tgz";
- sha1 = "0b6fe7b835aecda61c6ea4d4f02c14221e109847";
- };
- }
- {
- name = "uniq___uniq_1.0.1.tgz";
- path = fetchurl {
- name = "uniq___uniq_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/uniq/-/uniq-1.0.1.tgz";
- sha1 = "b31c5ae8254844a3a8281541ce2b04b865a734ff";
- };
- }
- {
- name = "unique_filename___unique_filename_1.1.1.tgz";
- path = fetchurl {
- name = "unique_filename___unique_filename_1.1.1.tgz";
- url = "https://registry.yarnpkg.com/unique-filename/-/unique-filename-1.1.1.tgz";
- sha1 = "1d69769369ada0583103a1e6ae87681b56573230";
- };
- }
- {
- name = "unique_slug___unique_slug_2.0.0.tgz";
- path = fetchurl {
- name = "unique_slug___unique_slug_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/unique-slug/-/unique-slug-2.0.0.tgz";
- sha1 = "db6676e7c7cc0629878ff196097c78855ae9f4ab";
- };
- }
- {
- name = "unique_string___unique_string_2.0.0.tgz";
- path = fetchurl {
- name = "unique_string___unique_string_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/unique-string/-/unique-string-2.0.0.tgz";
- sha1 = "39c6451f81afb2749de2b233e3f7c5e8843bd89d";
- };
- }
- {
- name = "unist_util_find_all_after___unist_util_find_all_after_3.0.2.tgz";
- path = fetchurl {
- name = "unist_util_find_all_after___unist_util_find_all_after_3.0.2.tgz";
- url = "https://registry.yarnpkg.com/unist-util-find-all-after/-/unist-util-find-all-after-3.0.2.tgz";
- sha1 = "fdfecd14c5b7aea5e9ef38d5e0d5f774eeb561f6";
- };
- }
- {
- name = "unist_util_is___unist_util_is_4.0.4.tgz";
- path = fetchurl {
- name = "unist_util_is___unist_util_is_4.0.4.tgz";
- url = "https://registry.yarnpkg.com/unist-util-is/-/unist-util-is-4.0.4.tgz";
- sha1 = "3e9e8de6af2eb0039a59f50c9b3e99698a924f50";
- };
- }
- {
- name = "unist_util_stringify_position___unist_util_stringify_position_2.0.3.tgz";
- path = fetchurl {
- name = "unist_util_stringify_position___unist_util_stringify_position_2.0.3.tgz";
- url = "https://registry.yarnpkg.com/unist-util-stringify-position/-/unist-util-stringify-position-2.0.3.tgz";
- sha1 = "cce3bfa1cdf85ba7375d1d5b17bdc4cada9bd9da";
- };
- }
- {
- name = "universalify___universalify_0.1.2.tgz";
- path = fetchurl {
- name = "universalify___universalify_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/universalify/-/universalify-0.1.2.tgz";
- sha1 = "b646f69be3942dabcecc9d6639c80dc105efaa66";
- };
- }
- {
- name = "unpipe___unpipe_1.0.0.tgz";
- path = fetchurl {
- name = "unpipe___unpipe_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/unpipe/-/unpipe-1.0.0.tgz";
- sha1 = "b2bf4ee8514aae6165b4817829d21b2ef49904ec";
- };
- }
- {
- name = "unset_value___unset_value_1.0.0.tgz";
- path = fetchurl {
- name = "unset_value___unset_value_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/unset-value/-/unset-value-1.0.0.tgz";
- sha1 = "8376873f7d2335179ffb1e6fc3a8ed0dfc8ab559";
- };
- }
- {
- name = "update_notifier___update_notifier_4.1.0.tgz";
- path = fetchurl {
- name = "update_notifier___update_notifier_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/update-notifier/-/update-notifier-4.1.0.tgz";
- sha1 = "4866b98c3bc5b5473c020b1250583628f9a328f3";
- };
- }
- {
- name = "upper_case___upper_case_1.1.3.tgz";
- path = fetchurl {
- name = "upper_case___upper_case_1.1.3.tgz";
- url = "https://registry.yarnpkg.com/upper-case/-/upper-case-1.1.3.tgz";
- sha1 = "f6b4501c2ec4cdd26ba78be7222961de77621598";
- };
- }
- {
- name = "uri_js___uri_js_4.4.0.tgz";
- path = fetchurl {
- name = "uri_js___uri_js_4.4.0.tgz";
- url = "https://registry.yarnpkg.com/uri-js/-/uri-js-4.4.0.tgz";
- sha1 = "aa714261de793e8a82347a7bcc9ce74e86f28602";
- };
- }
- {
- name = "urix___urix_0.1.0.tgz";
- path = fetchurl {
- name = "urix___urix_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/urix/-/urix-0.1.0.tgz";
- sha1 = "da937f7a62e21fec1fd18d49b35c2935067a6c72";
- };
- }
- {
- name = "url_loader___url_loader_4.1.1.tgz";
- path = fetchurl {
- name = "url_loader___url_loader_4.1.1.tgz";
- url = "https://registry.yarnpkg.com/url-loader/-/url-loader-4.1.1.tgz";
- sha1 = "28505e905cae158cf07c92ca622d7f237e70a4e2";
- };
- }
- {
- name = "url_parse_lax___url_parse_lax_3.0.0.tgz";
- path = fetchurl {
- name = "url_parse_lax___url_parse_lax_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/url-parse-lax/-/url-parse-lax-3.0.0.tgz";
- sha1 = "16b5cafc07dbe3676c1b1999177823d6503acb0c";
- };
- }
- {
- name = "url_parse___url_parse_1.4.7.tgz";
- path = fetchurl {
- name = "url_parse___url_parse_1.4.7.tgz";
- url = "https://registry.yarnpkg.com/url-parse/-/url-parse-1.4.7.tgz";
- sha1 = "a8a83535e8c00a316e403a5db4ac1b9b853ae278";
- };
- }
- {
- name = "url_search_params_polyfill___url_search_params_polyfill_5.1.0.tgz";
- path = fetchurl {
- name = "url_search_params_polyfill___url_search_params_polyfill_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/url-search-params-polyfill/-/url-search-params-polyfill-5.1.0.tgz";
- sha1 = "f0405dcc2e921bf7f5fdf8c4e616f1e8088ef31b";
- };
- }
- {
- name = "url___url_0.10.3.tgz";
- path = fetchurl {
- name = "url___url_0.10.3.tgz";
- url = "https://registry.yarnpkg.com/url/-/url-0.10.3.tgz";
- sha1 = "021e4d9c7705f21bbf37d03ceb58767402774c64";
- };
- }
- {
- name = "url___url_0.11.0.tgz";
- path = fetchurl {
- name = "url___url_0.11.0.tgz";
- url = "https://registry.yarnpkg.com/url/-/url-0.11.0.tgz";
- sha1 = "3838e97cfc60521eb73c525a8e55bfdd9e2e28f1";
- };
- }
- {
- name = "use___use_3.1.1.tgz";
- path = fetchurl {
- name = "use___use_3.1.1.tgz";
- url = "https://registry.yarnpkg.com/use/-/use-3.1.1.tgz";
- sha1 = "d50c8cac79a19fbc20f2911f56eb973f4e10070f";
- };
- }
- {
- name = "useragent___useragent_2.3.0.tgz";
- path = fetchurl {
- name = "useragent___useragent_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/useragent/-/useragent-2.3.0.tgz";
- sha1 = "217f943ad540cb2128658ab23fc960f6a88c9972";
- };
- }
- {
- name = "util_deprecate___util_deprecate_1.0.2.tgz";
- path = fetchurl {
- name = "util_deprecate___util_deprecate_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/util-deprecate/-/util-deprecate-1.0.2.tgz";
- sha1 = "450d4dc9fa70de732762fbd2d4a28981419a0ccf";
- };
- }
- {
- name = "util___util_0.10.3.tgz";
- path = fetchurl {
- name = "util___util_0.10.3.tgz";
- url = "https://registry.yarnpkg.com/util/-/util-0.10.3.tgz";
- sha1 = "7afb1afe50805246489e3db7fe0ed379336ac0f9";
- };
- }
- {
- name = "util___util_0.11.1.tgz";
- path = fetchurl {
- name = "util___util_0.11.1.tgz";
- url = "https://registry.yarnpkg.com/util/-/util-0.11.1.tgz";
- sha1 = "3236733720ec64bb27f6e26f421aaa2e1b588d61";
- };
- }
- {
- name = "utils_merge___utils_merge_1.0.1.tgz";
- path = fetchurl {
- name = "utils_merge___utils_merge_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/utils-merge/-/utils-merge-1.0.1.tgz";
- sha1 = "9f95710f50a267947b2ccc124741c1028427e713";
- };
- }
- {
- name = "uuid___uuid_3.3.2.tgz";
- path = fetchurl {
- name = "uuid___uuid_3.3.2.tgz";
- url = "https://registry.yarnpkg.com/uuid/-/uuid-3.3.2.tgz";
- sha1 = "1b4af4955eb3077c501c23872fc6513811587131";
- };
- }
- {
- name = "uuid___uuid_8.1.0.tgz";
- path = fetchurl {
- name = "uuid___uuid_8.1.0.tgz";
- url = "https://registry.yarnpkg.com/uuid/-/uuid-8.1.0.tgz";
- sha1 = "6f1536eb43249f473abc6bd58ff983da1ca30d8d";
- };
- }
- {
- name = "uuid___uuid_3.4.0.tgz";
- path = fetchurl {
- name = "uuid___uuid_3.4.0.tgz";
- url = "https://registry.yarnpkg.com/uuid/-/uuid-3.4.0.tgz";
- sha1 = "b23e4358afa8a202fe7a100af1f5f883f02007ee";
- };
- }
- {
- name = "uuid___uuid_8.3.1.tgz";
- path = fetchurl {
- name = "uuid___uuid_8.3.1.tgz";
- url = "https://registry.yarnpkg.com/uuid/-/uuid-8.3.1.tgz";
- sha1 = "2ba2e6ca000da60fce5a196954ab241131e05a31";
- };
- }
- {
- name = "v8_compile_cache___v8_compile_cache_2.2.0.tgz";
- path = fetchurl {
- name = "v8_compile_cache___v8_compile_cache_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/v8-compile-cache/-/v8-compile-cache-2.2.0.tgz";
- sha1 = "9471efa3ef9128d2f7c6a7ca39c4dd6b5055b132";
- };
- }
- {
- name = "v8_to_istanbul___v8_to_istanbul_5.0.1.tgz";
- path = fetchurl {
- name = "v8_to_istanbul___v8_to_istanbul_5.0.1.tgz";
- url = "https://registry.yarnpkg.com/v8-to-istanbul/-/v8-to-istanbul-5.0.1.tgz";
- sha1 = "0608f5b49a481458625edb058488607f25498ba5";
- };
- }
- {
- name = "validate_npm_package_license___validate_npm_package_license_3.0.4.tgz";
- path = fetchurl {
- name = "validate_npm_package_license___validate_npm_package_license_3.0.4.tgz";
- url = "https://registry.yarnpkg.com/validate-npm-package-license/-/validate-npm-package-license-3.0.4.tgz";
- sha1 = "fc91f6b9c7ba15c857f4cb2c5defeec39d4f410a";
- };
- }
- {
- name = "vary___vary_1.1.2.tgz";
- path = fetchurl {
- name = "vary___vary_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/vary/-/vary-1.1.2.tgz";
- sha1 = "2299f02c6ded30d4a5961b0b9f74524a18f634fc";
- };
- }
- {
- name = "verror___verror_1.10.0.tgz";
- path = fetchurl {
- name = "verror___verror_1.10.0.tgz";
- url = "https://registry.yarnpkg.com/verror/-/verror-1.10.0.tgz";
- sha1 = "3a105ca17053af55d6e270c1f8288682e18da400";
- };
- }
- {
- name = "vfile_message___vfile_message_2.0.4.tgz";
- path = fetchurl {
- name = "vfile_message___vfile_message_2.0.4.tgz";
- url = "https://registry.yarnpkg.com/vfile-message/-/vfile-message-2.0.4.tgz";
- sha1 = "5b43b88171d409eae58477d13f23dd41d52c371a";
- };
- }
- {
- name = "vfile___vfile_4.2.1.tgz";
- path = fetchurl {
- name = "vfile___vfile_4.2.1.tgz";
- url = "https://registry.yarnpkg.com/vfile/-/vfile-4.2.1.tgz";
- sha1 = "03f1dce28fc625c625bc6514350fbdb00fa9e624";
- };
- }
- {
- name = "visibilityjs___visibilityjs_1.2.4.tgz";
- path = fetchurl {
- name = "visibilityjs___visibilityjs_1.2.4.tgz";
- url = "https://registry.yarnpkg.com/visibilityjs/-/visibilityjs-1.2.4.tgz";
- sha1 = "bff8663da62c8c10ad4ee5ae6a1ae6fac4259d63";
- };
- }
- {
- name = "vm_browserify___vm_browserify_1.1.0.tgz";
- path = fetchurl {
- name = "vm_browserify___vm_browserify_1.1.0.tgz";
- url = "https://registry.yarnpkg.com/vm-browserify/-/vm-browserify-1.1.0.tgz";
- sha1 = "bd76d6a23323e2ca8ffa12028dc04559c75f9019";
- };
- }
- {
- name = "void_elements___void_elements_2.0.1.tgz";
- path = fetchurl {
- name = "void_elements___void_elements_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/void-elements/-/void-elements-2.0.1.tgz";
- sha1 = "c066afb582bb1cb4128d60ea92392e94d5e9dbec";
- };
- }
- {
- name = "vscode_json_languageservice___vscode_json_languageservice_3.9.1.tgz";
- path = fetchurl {
- name = "vscode_json_languageservice___vscode_json_languageservice_3.9.1.tgz";
- url = "https://registry.yarnpkg.com/vscode-json-languageservice/-/vscode-json-languageservice-3.9.1.tgz";
- sha1 = "f72b581f8cd2bd9b47445ccf8b0ddcde6aba7483";
- };
- }
- {
- name = "vscode_jsonrpc___vscode_jsonrpc_4.0.0.tgz";
- path = fetchurl {
- name = "vscode_jsonrpc___vscode_jsonrpc_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/vscode-jsonrpc/-/vscode-jsonrpc-4.0.0.tgz";
- sha1 = "a7bf74ef3254d0a0c272fab15c82128e378b3be9";
- };
- }
- {
- name = "vscode_languageserver_protocol___vscode_languageserver_protocol_3.14.1.tgz";
- path = fetchurl {
- name = "vscode_languageserver_protocol___vscode_languageserver_protocol_3.14.1.tgz";
- url = "https://registry.yarnpkg.com/vscode-languageserver-protocol/-/vscode-languageserver-protocol-3.14.1.tgz";
- sha1 = "b8aab6afae2849c84a8983d39a1cf742417afe2f";
- };
- }
- {
- name = "vscode_languageserver_textdocument___vscode_languageserver_textdocument_1.0.1.tgz";
- path = fetchurl {
- name = "vscode_languageserver_textdocument___vscode_languageserver_textdocument_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/vscode-languageserver-textdocument/-/vscode-languageserver-textdocument-1.0.1.tgz";
- sha1 = "178168e87efad6171b372add1dea34f53e5d330f";
- };
- }
- {
- name = "vscode_languageserver_types___vscode_languageserver_types_3.14.0.tgz";
- path = fetchurl {
- name = "vscode_languageserver_types___vscode_languageserver_types_3.14.0.tgz";
- url = "https://registry.yarnpkg.com/vscode-languageserver-types/-/vscode-languageserver-types-3.14.0.tgz";
- sha1 = "d3b5952246d30e5241592b6dde8280e03942e743";
- };
- }
- {
- name = "vscode_languageserver_types___vscode_languageserver_types_3.16.0_next.2.tgz";
- path = fetchurl {
- name = "vscode_languageserver_types___vscode_languageserver_types_3.16.0_next.2.tgz";
- url = "https://registry.yarnpkg.com/vscode-languageserver-types/-/vscode-languageserver-types-3.16.0-next.2.tgz";
- sha1 = "940bd15c992295a65eae8ab6b8568a1e8daa3083";
- };
- }
- {
- name = "vscode_languageserver_types___vscode_languageserver_types_3.15.1.tgz";
- path = fetchurl {
- name = "vscode_languageserver_types___vscode_languageserver_types_3.15.1.tgz";
- url = "https://registry.yarnpkg.com/vscode-languageserver-types/-/vscode-languageserver-types-3.15.1.tgz";
- sha1 = "17be71d78d2f6236d414f0001ce1ef4d23e6b6de";
- };
- }
- {
- name = "vscode_languageserver___vscode_languageserver_5.2.1.tgz";
- path = fetchurl {
- name = "vscode_languageserver___vscode_languageserver_5.2.1.tgz";
- url = "https://registry.yarnpkg.com/vscode-languageserver/-/vscode-languageserver-5.2.1.tgz";
- sha1 = "0d2feddd33f92aadf5da32450df498d52f6f14eb";
- };
- }
- {
- name = "vscode_nls___vscode_nls_4.1.2.tgz";
- path = fetchurl {
- name = "vscode_nls___vscode_nls_4.1.2.tgz";
- url = "https://registry.yarnpkg.com/vscode-nls/-/vscode-nls-4.1.2.tgz";
- sha1 = "ca8bf8bb82a0987b32801f9fddfdd2fb9fd3c167";
- };
- }
- {
- name = "vscode_nls___vscode_nls_5.0.0.tgz";
- path = fetchurl {
- name = "vscode_nls___vscode_nls_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/vscode-nls/-/vscode-nls-5.0.0.tgz";
- sha1 = "99f0da0bd9ea7cda44e565a74c54b1f2bc257840";
- };
- }
- {
- name = "vscode_uri___vscode_uri_1.0.8.tgz";
- path = fetchurl {
- name = "vscode_uri___vscode_uri_1.0.8.tgz";
- url = "https://registry.yarnpkg.com/vscode-uri/-/vscode-uri-1.0.8.tgz";
- sha1 = "9769aaececae4026fb6e22359cb38946580ded59";
- };
- }
- {
- name = "vscode_uri___vscode_uri_2.1.2.tgz";
- path = fetchurl {
- name = "vscode_uri___vscode_uri_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/vscode-uri/-/vscode-uri-2.1.2.tgz";
- sha1 = "c8d40de93eb57af31f3c715dd650e2ca2c096f1c";
- };
- }
- {
- name = "vue_apollo___vue_apollo_3.0.3.tgz";
- path = fetchurl {
- name = "vue_apollo___vue_apollo_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/vue-apollo/-/vue-apollo-3.0.3.tgz";
- sha1 = "7f29558df76eec0f03251847eef153816a261827";
- };
- }
- {
- name = "vue_eslint_parser___vue_eslint_parser_7.4.1.tgz";
- path = fetchurl {
- name = "vue_eslint_parser___vue_eslint_parser_7.4.1.tgz";
- url = "https://registry.yarnpkg.com/vue-eslint-parser/-/vue-eslint-parser-7.4.1.tgz";
- sha1 = "e4adcf7876a7379758d9056a72235af18a587f92";
- };
- }
- {
- name = "vue_functional_data_merge___vue_functional_data_merge_3.1.0.tgz";
- path = fetchurl {
- name = "vue_functional_data_merge___vue_functional_data_merge_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/vue-functional-data-merge/-/vue-functional-data-merge-3.1.0.tgz";
- sha1 = "08a7797583b7f35680587f8a1d51d729aa1dc657";
- };
- }
- {
- name = "vue_hot_reload_api___vue_hot_reload_api_2.3.0.tgz";
- path = fetchurl {
- name = "vue_hot_reload_api___vue_hot_reload_api_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/vue-hot-reload-api/-/vue-hot-reload-api-2.3.0.tgz";
- sha1 = "97976142405d13d8efae154749e88c4e358cf926";
- };
- }
- {
- name = "vue_jest___vue_jest_4.0.1.tgz";
- path = fetchurl {
- name = "vue_jest___vue_jest_4.0.1.tgz";
- url = "https://registry.yarnpkg.com/vue-jest/-/vue-jest-4.0.1.tgz";
- sha1 = "683efc351c24456865b1356bae69d5bb663dafb5";
- };
- }
- {
- name = "vue_loader___vue_loader_15.9.6.tgz";
- path = fetchurl {
- name = "vue_loader___vue_loader_15.9.6.tgz";
- url = "https://registry.yarnpkg.com/vue-loader/-/vue-loader-15.9.6.tgz";
- sha1 = "f4bb9ae20c3a8370af3ecf09b8126d38ffdb6b8b";
- };
- }
- {
- name = "vue_observe_visibility___vue_observe_visibility_1.0.0.tgz";
- path = fetchurl {
- name = "vue_observe_visibility___vue_observe_visibility_1.0.0.tgz";
- url = "https://registry.yarnpkg.com/vue-observe-visibility/-/vue-observe-visibility-1.0.0.tgz";
- sha1 = "17cf1b2caf74022f0f3c95371468ddf2b9573152";
- };
- }
- {
- name = "vue_resize___vue_resize_1.0.1.tgz";
- path = fetchurl {
- name = "vue_resize___vue_resize_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/vue-resize/-/vue-resize-1.0.1.tgz";
- sha1 = "c120bed4e09938771d622614f57dbcf58a5147ee";
- };
- }
- {
- name = "vue_router___vue_router_3.4.9.tgz";
- path = fetchurl {
- name = "vue_router___vue_router_3.4.9.tgz";
- url = "https://registry.yarnpkg.com/vue-router/-/vue-router-3.4.9.tgz";
- sha1 = "c016f42030ae2932f14e4748b39a1d9a0e250e66";
- };
- }
- {
- name = "vue_runtime_helpers___vue_runtime_helpers_1.1.2.tgz";
- path = fetchurl {
- name = "vue_runtime_helpers___vue_runtime_helpers_1.1.2.tgz";
- url = "https://registry.yarnpkg.com/vue-runtime-helpers/-/vue-runtime-helpers-1.1.2.tgz";
- sha1 = "446b7b820888ab0c5264d2c3a32468e72e4100f3";
- };
- }
- {
- name = "vue_style_loader___vue_style_loader_4.1.0.tgz";
- path = fetchurl {
- name = "vue_style_loader___vue_style_loader_4.1.0.tgz";
- url = "https://registry.yarnpkg.com/vue-style-loader/-/vue-style-loader-4.1.0.tgz";
- sha1 = "7588bd778e2c9f8d87bfc3c5a4a039638da7a863";
- };
- }
- {
- name = "vue_template_compiler___vue_template_compiler_2.6.12.tgz";
- path = fetchurl {
- name = "vue_template_compiler___vue_template_compiler_2.6.12.tgz";
- url = "https://registry.yarnpkg.com/vue-template-compiler/-/vue-template-compiler-2.6.12.tgz";
- sha1 = "947ed7196744c8a5285ebe1233fe960437fcc57e";
- };
- }
- {
- name = "vue_template_es2015_compiler___vue_template_es2015_compiler_1.9.1.tgz";
- path = fetchurl {
- name = "vue_template_es2015_compiler___vue_template_es2015_compiler_1.9.1.tgz";
- url = "https://registry.yarnpkg.com/vue-template-es2015-compiler/-/vue-template-es2015-compiler-1.9.1.tgz";
- sha1 = "1ee3bc9a16ecbf5118be334bb15f9c46f82f5825";
- };
- }
- {
- name = "vue_virtual_scroll_list___vue_virtual_scroll_list_1.4.7.tgz";
- path = fetchurl {
- name = "vue_virtual_scroll_list___vue_virtual_scroll_list_1.4.7.tgz";
- url = "https://registry.yarnpkg.com/vue-virtual-scroll-list/-/vue-virtual-scroll-list-1.4.7.tgz";
- sha1 = "12ee26833885f5bb4d37dc058085ccf3ce5b5a74";
- };
- }
- {
- name = "vue___vue_2.6.12.tgz";
- path = fetchurl {
- name = "vue___vue_2.6.12.tgz";
- url = "https://registry.yarnpkg.com/vue/-/vue-2.6.12.tgz";
- sha1 = "f5ebd4fa6bd2869403e29a896aed4904456c9123";
- };
- }
- {
- name = "vuedraggable___vuedraggable_2.23.0.tgz";
- path = fetchurl {
- name = "vuedraggable___vuedraggable_2.23.0.tgz";
- url = "https://registry.yarnpkg.com/vuedraggable/-/vuedraggable-2.23.0.tgz";
- sha1 = "1f4a5a601675a5dbf0d96ee61aebfffa43445262";
- };
- }
- {
- name = "vuex___vuex_3.6.0.tgz";
- path = fetchurl {
- name = "vuex___vuex_3.6.0.tgz";
- url = "https://registry.yarnpkg.com/vuex/-/vuex-3.6.0.tgz";
- sha1 = "95efa56a58f7607c135b053350833a09e01aa813";
- };
- }
- {
- name = "w3c_hr_time___w3c_hr_time_1.0.2.tgz";
- path = fetchurl {
- name = "w3c_hr_time___w3c_hr_time_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/w3c-hr-time/-/w3c-hr-time-1.0.2.tgz";
- sha1 = "0a89cdf5cc15822df9c360543676963e0cc308cd";
- };
- }
- {
- name = "w3c_keyname___w3c_keyname_2.2.4.tgz";
- path = fetchurl {
- name = "w3c_keyname___w3c_keyname_2.2.4.tgz";
- url = "https://registry.yarnpkg.com/w3c-keyname/-/w3c-keyname-2.2.4.tgz";
- sha1 = "4ade6916f6290224cdbd1db8ac49eab03d0eef6b";
- };
- }
- {
- name = "w3c_xmlserializer___w3c_xmlserializer_2.0.0.tgz";
- path = fetchurl {
- name = "w3c_xmlserializer___w3c_xmlserializer_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/w3c-xmlserializer/-/w3c-xmlserializer-2.0.0.tgz";
- sha1 = "3e7104a05b75146cc60f564380b7f683acf1020a";
- };
- }
- {
- name = "walker___walker_1.0.7.tgz";
- path = fetchurl {
- name = "walker___walker_1.0.7.tgz";
- url = "https://registry.yarnpkg.com/walker/-/walker-1.0.7.tgz";
- sha1 = "2f7f9b8fd10d677262b18a884e28d19618e028fb";
- };
- }
- {
- name = "watchpack_chokidar2___watchpack_chokidar2_2.0.1.tgz";
- path = fetchurl {
- name = "watchpack_chokidar2___watchpack_chokidar2_2.0.1.tgz";
- url = "https://registry.yarnpkg.com/watchpack-chokidar2/-/watchpack-chokidar2-2.0.1.tgz";
- sha1 = "38500072ee6ece66f3769936950ea1771be1c957";
- };
- }
- {
- name = "watchpack___watchpack_1.7.5.tgz";
- path = fetchurl {
- name = "watchpack___watchpack_1.7.5.tgz";
- url = "https://registry.yarnpkg.com/watchpack/-/watchpack-1.7.5.tgz";
- sha1 = "1267e6c55e0b9b5be44c2023aed5437a2c26c453";
- };
- }
- {
- name = "wbuf___wbuf_1.7.3.tgz";
- path = fetchurl {
- name = "wbuf___wbuf_1.7.3.tgz";
- url = "https://registry.yarnpkg.com/wbuf/-/wbuf-1.7.3.tgz";
- sha1 = "c1d8d149316d3ea852848895cb6a0bfe887b87df";
- };
- }
- {
- name = "web_vitals___web_vitals_0.2.4.tgz";
- path = fetchurl {
- name = "web_vitals___web_vitals_0.2.4.tgz";
- url = "https://registry.yarnpkg.com/web-vitals/-/web-vitals-0.2.4.tgz";
- sha1 = "ec3df43c834a207fd7cdefd732b2987896e08511";
- };
- }
- {
- name = "webidl_conversions___webidl_conversions_5.0.0.tgz";
- path = fetchurl {
- name = "webidl_conversions___webidl_conversions_5.0.0.tgz";
- url = "https://registry.yarnpkg.com/webidl-conversions/-/webidl-conversions-5.0.0.tgz";
- sha1 = "ae59c8a00b121543a2acc65c0434f57b0fc11aff";
- };
- }
- {
- name = "webidl_conversions___webidl_conversions_6.1.0.tgz";
- path = fetchurl {
- name = "webidl_conversions___webidl_conversions_6.1.0.tgz";
- url = "https://registry.yarnpkg.com/webidl-conversions/-/webidl-conversions-6.1.0.tgz";
- sha1 = "9111b4d7ea80acd40f5270d666621afa78b69514";
- };
- }
- {
- name = "webpack_bundle_analyzer___webpack_bundle_analyzer_4.4.2.tgz";
- path = fetchurl {
- name = "webpack_bundle_analyzer___webpack_bundle_analyzer_4.4.2.tgz";
- url = "https://registry.yarnpkg.com/webpack-bundle-analyzer/-/webpack-bundle-analyzer-4.4.2.tgz";
- sha1 = "39898cf6200178240910d629705f0f3493f7d666";
- };
- }
- {
- name = "webpack_cli___webpack_cli_3.3.12.tgz";
- path = fetchurl {
- name = "webpack_cli___webpack_cli_3.3.12.tgz";
- url = "https://registry.yarnpkg.com/webpack-cli/-/webpack-cli-3.3.12.tgz";
- sha1 = "94e9ada081453cd0aa609c99e500012fd3ad2d4a";
- };
- }
- {
- name = "webpack_dev_middleware___webpack_dev_middleware_3.7.2.tgz";
- path = fetchurl {
- name = "webpack_dev_middleware___webpack_dev_middleware_3.7.2.tgz";
- url = "https://registry.yarnpkg.com/webpack-dev-middleware/-/webpack-dev-middleware-3.7.2.tgz";
- sha1 = "0019c3db716e3fa5cecbf64f2ab88a74bab331f3";
- };
- }
- {
- name = "webpack_dev_server___webpack_dev_server_3.11.2.tgz";
- path = fetchurl {
- name = "webpack_dev_server___webpack_dev_server_3.11.2.tgz";
- url = "https://registry.yarnpkg.com/webpack-dev-server/-/webpack-dev-server-3.11.2.tgz";
- sha1 = "695ebced76a4929f0d5de7fd73fafe185fe33708";
- };
- }
- {
- name = "webpack_log___webpack_log_2.0.0.tgz";
- path = fetchurl {
- name = "webpack_log___webpack_log_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/webpack-log/-/webpack-log-2.0.0.tgz";
- sha1 = "5b7928e0637593f119d32f6227c1e0ac31e1b47f";
- };
- }
- {
- name = "webpack_sources___webpack_sources_1.4.3.tgz";
- path = fetchurl {
- name = "webpack_sources___webpack_sources_1.4.3.tgz";
- url = "https://registry.yarnpkg.com/webpack-sources/-/webpack-sources-1.4.3.tgz";
- sha1 = "eedd8ec0b928fbf1cbfe994e22d2d890f330a933";
- };
- }
- {
- name = "webpack_stats_plugin___webpack_stats_plugin_0.3.1.tgz";
- path = fetchurl {
- name = "webpack_stats_plugin___webpack_stats_plugin_0.3.1.tgz";
- url = "https://registry.yarnpkg.com/webpack-stats-plugin/-/webpack-stats-plugin-0.3.1.tgz";
- sha1 = "1103c39a305a4e6ba15d5078db84bc0b35447417";
- };
- }
- {
- name = "webpack___webpack_4.46.0.tgz";
- path = fetchurl {
- name = "webpack___webpack_4.46.0.tgz";
- url = "https://registry.yarnpkg.com/webpack/-/webpack-4.46.0.tgz";
- sha1 = "bf9b4404ea20a073605e0a011d188d77cb6ad542";
- };
- }
- {
- name = "websocket_driver___websocket_driver_0.7.4.tgz";
- path = fetchurl {
- name = "websocket_driver___websocket_driver_0.7.4.tgz";
- url = "https://registry.yarnpkg.com/websocket-driver/-/websocket-driver-0.7.4.tgz";
- sha1 = "89ad5295bbf64b480abcba31e4953aca706f5760";
- };
- }
- {
- name = "websocket_extensions___websocket_extensions_0.1.1.tgz";
- path = fetchurl {
- name = "websocket_extensions___websocket_extensions_0.1.1.tgz";
- url = "https://registry.yarnpkg.com/websocket-extensions/-/websocket-extensions-0.1.1.tgz";
- sha1 = "76899499c184b6ef754377c2dbb0cd6cb55d29e7";
- };
- }
- {
- name = "whatwg_encoding___whatwg_encoding_1.0.5.tgz";
- path = fetchurl {
- name = "whatwg_encoding___whatwg_encoding_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/whatwg-encoding/-/whatwg-encoding-1.0.5.tgz";
- sha1 = "5abacf777c32166a51d085d6b4f3e7d27113ddb0";
- };
- }
- {
- name = "whatwg_mimetype___whatwg_mimetype_2.3.0.tgz";
- path = fetchurl {
- name = "whatwg_mimetype___whatwg_mimetype_2.3.0.tgz";
- url = "https://registry.yarnpkg.com/whatwg-mimetype/-/whatwg-mimetype-2.3.0.tgz";
- sha1 = "3d4b1e0312d2079879f826aff18dbeeca5960fbf";
- };
- }
- {
- name = "whatwg_url___whatwg_url_8.1.0.tgz";
- path = fetchurl {
- name = "whatwg_url___whatwg_url_8.1.0.tgz";
- url = "https://registry.yarnpkg.com/whatwg-url/-/whatwg-url-8.1.0.tgz";
- sha1 = "c628acdcf45b82274ce7281ee31dd3c839791771";
- };
- }
- {
- name = "which_module___which_module_2.0.0.tgz";
- path = fetchurl {
- name = "which_module___which_module_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/which-module/-/which-module-2.0.0.tgz";
- sha1 = "d9ef07dce77b9902b8a3a8fa4b31c3e3f7e6e87a";
- };
- }
- {
- name = "which___which_1.3.1.tgz";
- path = fetchurl {
- name = "which___which_1.3.1.tgz";
- url = "https://registry.yarnpkg.com/which/-/which-1.3.1.tgz";
- sha1 = "a45043d54f5805316da8d62f9f50918d3da70b0a";
- };
- }
- {
- name = "which___which_2.0.2.tgz";
- path = fetchurl {
- name = "which___which_2.0.2.tgz";
- url = "https://registry.yarnpkg.com/which/-/which-2.0.2.tgz";
- sha1 = "7c6a8dd0a636a0327e10b59c9286eee93f3f51b1";
- };
- }
- {
- name = "widest_line___widest_line_3.1.0.tgz";
- path = fetchurl {
- name = "widest_line___widest_line_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/widest-line/-/widest-line-3.1.0.tgz";
- sha1 = "8292333bbf66cb45ff0de1603b136b7ae1496eca";
- };
- }
- {
- name = "word_wrap___word_wrap_1.2.3.tgz";
- path = fetchurl {
- name = "word_wrap___word_wrap_1.2.3.tgz";
- url = "https://registry.yarnpkg.com/word-wrap/-/word-wrap-1.2.3.tgz";
- sha1 = "610636f6b1f703891bd34771ccb17fb93b47079c";
- };
- }
- {
- name = "wordwrap___wordwrap_0.0.3.tgz";
- path = fetchurl {
- name = "wordwrap___wordwrap_0.0.3.tgz";
- url = "https://registry.yarnpkg.com/wordwrap/-/wordwrap-0.0.3.tgz";
- sha1 = "a3d5da6cd5c0bc0008d37234bbaf1bed63059107";
- };
- }
- {
- name = "worker_farm___worker_farm_1.7.0.tgz";
- path = fetchurl {
- name = "worker_farm___worker_farm_1.7.0.tgz";
- url = "https://registry.yarnpkg.com/worker-farm/-/worker-farm-1.7.0.tgz";
- sha1 = "26a94c5391bbca926152002f69b84a4bf772e5a8";
- };
- }
- {
- name = "worker_loader___worker_loader_2.0.0.tgz";
- path = fetchurl {
- name = "worker_loader___worker_loader_2.0.0.tgz";
- url = "https://registry.yarnpkg.com/worker-loader/-/worker-loader-2.0.0.tgz";
- sha1 = "45fda3ef76aca815771a89107399ee4119b430ac";
- };
- }
- {
- name = "wrap_ansi___wrap_ansi_5.1.0.tgz";
- path = fetchurl {
- name = "wrap_ansi___wrap_ansi_5.1.0.tgz";
- url = "https://registry.yarnpkg.com/wrap-ansi/-/wrap-ansi-5.1.0.tgz";
- sha1 = "1fd1f67235d5b6d0fee781056001bfb694c03b09";
- };
- }
- {
- name = "wrap_ansi___wrap_ansi_6.2.0.tgz";
- path = fetchurl {
- name = "wrap_ansi___wrap_ansi_6.2.0.tgz";
- url = "https://registry.yarnpkg.com/wrap-ansi/-/wrap-ansi-6.2.0.tgz";
- sha1 = "e9393ba07102e6c91a3b221478f0257cd2856e53";
- };
- }
- {
- name = "wrappy___wrappy_1.0.2.tgz";
- path = fetchurl {
- name = "wrappy___wrappy_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/wrappy/-/wrappy-1.0.2.tgz";
- sha1 = "b5243d8f3ec1aa35f1364605bc0d1036e30ab69f";
- };
- }
- {
- name = "write_file_atomic___write_file_atomic_3.0.3.tgz";
- path = fetchurl {
- name = "write_file_atomic___write_file_atomic_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/write-file-atomic/-/write-file-atomic-3.0.3.tgz";
- sha1 = "56bd5c5a5c70481cd19c571bd39ab965a5de56e8";
- };
- }
- {
- name = "ws___ws_6.2.1.tgz";
- path = fetchurl {
- name = "ws___ws_6.2.1.tgz";
- url = "https://registry.yarnpkg.com/ws/-/ws-6.2.1.tgz";
- sha1 = "442fdf0a47ed64f59b6a5d8ff130f4748ed524fb";
- };
- }
- {
- name = "ws___ws_7.4.4.tgz";
- path = fetchurl {
- name = "ws___ws_7.4.4.tgz";
- url = "https://registry.yarnpkg.com/ws/-/ws-7.4.4.tgz";
- sha1 = "383bc9742cb202292c9077ceab6f6047b17f2d59";
- };
- }
- {
- name = "ws___ws_3.3.3.tgz";
- path = fetchurl {
- name = "ws___ws_3.3.3.tgz";
- url = "https://registry.yarnpkg.com/ws/-/ws-3.3.3.tgz";
- sha1 = "f1cf84fe2d5e901ebce94efaece785f187a228f2";
- };
- }
- {
- name = "xdg_basedir___xdg_basedir_4.0.0.tgz";
- path = fetchurl {
- name = "xdg_basedir___xdg_basedir_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/xdg-basedir/-/xdg-basedir-4.0.0.tgz";
- sha1 = "4bc8d9984403696225ef83a1573cbbcb4e79db13";
- };
- }
- {
- name = "xhr_mock___xhr_mock_2.5.1.tgz";
- path = fetchurl {
- name = "xhr_mock___xhr_mock_2.5.1.tgz";
- url = "https://registry.yarnpkg.com/xhr-mock/-/xhr-mock-2.5.1.tgz";
- sha1 = "c591498a8269cc1ce5fefac20d590357affd348b";
- };
- }
- {
- name = "xml_name_validator___xml_name_validator_3.0.0.tgz";
- path = fetchurl {
- name = "xml_name_validator___xml_name_validator_3.0.0.tgz";
- url = "https://registry.yarnpkg.com/xml-name-validator/-/xml-name-validator-3.0.0.tgz";
- sha1 = "6ae73e06de4d8c6e47f9fb181f78d648ad457c6a";
- };
- }
- {
- name = "xml2js___xml2js_0.4.19.tgz";
- path = fetchurl {
- name = "xml2js___xml2js_0.4.19.tgz";
- url = "https://registry.yarnpkg.com/xml2js/-/xml2js-0.4.19.tgz";
- sha1 = "686c20f213209e94abf0d1bcf1efaa291c7827a7";
- };
- }
- {
- name = "xml___xml_1.0.1.tgz";
- path = fetchurl {
- name = "xml___xml_1.0.1.tgz";
- url = "https://registry.yarnpkg.com/xml/-/xml-1.0.1.tgz";
- sha1 = "78ba72020029c5bc87b8a81a3cfcd74b4a2fc1e5";
- };
- }
- {
- name = "xmlbuilder___xmlbuilder_8.2.2.tgz";
- path = fetchurl {
- name = "xmlbuilder___xmlbuilder_8.2.2.tgz";
- url = "https://registry.yarnpkg.com/xmlbuilder/-/xmlbuilder-8.2.2.tgz";
- sha1 = "69248673410b4ba42e1a6136551d2922335aa773";
- };
- }
- {
- name = "xmlbuilder___xmlbuilder_9.0.7.tgz";
- path = fetchurl {
- name = "xmlbuilder___xmlbuilder_9.0.7.tgz";
- url = "https://registry.yarnpkg.com/xmlbuilder/-/xmlbuilder-9.0.7.tgz";
- sha1 = "132ee63d2ec5565c557e20f4c22df9aca686b10d";
- };
- }
- {
- name = "xmlchars___xmlchars_2.2.0.tgz";
- path = fetchurl {
- name = "xmlchars___xmlchars_2.2.0.tgz";
- url = "https://registry.yarnpkg.com/xmlchars/-/xmlchars-2.2.0.tgz";
- sha1 = "060fe1bcb7f9c76fe2a17db86a9bc3ab894210cb";
- };
- }
- {
- name = "xmlcreate___xmlcreate_1.0.2.tgz";
- path = fetchurl {
- name = "xmlcreate___xmlcreate_1.0.2.tgz";
- url = "https://registry.yarnpkg.com/xmlcreate/-/xmlcreate-1.0.2.tgz";
- sha1 = "fa6bf762a60a413fb3dd8f4b03c5b269238d308f";
- };
- }
- {
- name = "xmlhttprequest_ssl___xmlhttprequest_ssl_1.5.5.tgz";
- path = fetchurl {
- name = "xmlhttprequest_ssl___xmlhttprequest_ssl_1.5.5.tgz";
- url = "https://registry.yarnpkg.com/xmlhttprequest-ssl/-/xmlhttprequest-ssl-1.5.5.tgz";
- sha1 = "c2876b06168aadc40e57d97e81191ac8f4398b3e";
- };
- }
- {
- name = "xtend___xtend_4.0.2.tgz";
- path = fetchurl {
- name = "xtend___xtend_4.0.2.tgz";
- url = "https://registry.yarnpkg.com/xtend/-/xtend-4.0.2.tgz";
- sha1 = "bb72779f5fa465186b1f438f674fa347fdb5db54";
- };
- }
- {
- name = "xterm___xterm_3.14.5.tgz";
- path = fetchurl {
- name = "xterm___xterm_3.14.5.tgz";
- url = "https://registry.yarnpkg.com/xterm/-/xterm-3.14.5.tgz";
- sha1 = "c9d14e48be6873aa46fb429f22f2165557fd2dea";
- };
- }
- {
- name = "y18n___y18n_4.0.0.tgz";
- path = fetchurl {
- name = "y18n___y18n_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/y18n/-/y18n-4.0.0.tgz";
- sha1 = "95ef94f85ecc81d007c264e190a120f0a3c8566b";
- };
- }
- {
- name = "yallist___yallist_2.1.2.tgz";
- path = fetchurl {
- name = "yallist___yallist_2.1.2.tgz";
- url = "https://registry.yarnpkg.com/yallist/-/yallist-2.1.2.tgz";
- sha1 = "1c11f9218f076089a47dd512f93c6699a6a81d52";
- };
- }
- {
- name = "yallist___yallist_3.0.3.tgz";
- path = fetchurl {
- name = "yallist___yallist_3.0.3.tgz";
- url = "https://registry.yarnpkg.com/yallist/-/yallist-3.0.3.tgz";
- sha1 = "b4b049e314be545e3ce802236d6cd22cd91c3de9";
- };
- }
- {
- name = "yallist___yallist_4.0.0.tgz";
- path = fetchurl {
- name = "yallist___yallist_4.0.0.tgz";
- url = "https://registry.yarnpkg.com/yallist/-/yallist-4.0.0.tgz";
- sha1 = "9bb92790d9c0effec63be73519e11a35019a3a72";
- };
- }
- {
- name = "yaml_ast_parser_custom_tags___yaml_ast_parser_custom_tags_0.0.43.tgz";
- path = fetchurl {
- name = "yaml_ast_parser_custom_tags___yaml_ast_parser_custom_tags_0.0.43.tgz";
- url = "https://registry.yarnpkg.com/yaml-ast-parser-custom-tags/-/yaml-ast-parser-custom-tags-0.0.43.tgz";
- sha1 = "46968145ce4e24cb03c3312057f0f141b93a7d02";
- };
- }
- {
- name = "yaml_language_server___yaml_language_server_0.11.1.tgz";
- path = fetchurl {
- name = "yaml_language_server___yaml_language_server_0.11.1.tgz";
- url = "https://registry.yarnpkg.com/yaml-language-server/-/yaml-language-server-0.11.1.tgz";
- sha1 = "4ddc72eb9a6dd7dc41f31af2a8f5c72cce456cc9";
- };
- }
- {
- name = "yaml___yaml_1.10.0.tgz";
- path = fetchurl {
- name = "yaml___yaml_1.10.0.tgz";
- url = "https://registry.yarnpkg.com/yaml/-/yaml-1.10.0.tgz";
- sha1 = "3b593add944876077d4d683fee01081bd9fff31e";
- };
- }
- {
- name = "yargs_parser___yargs_parser_13.1.2.tgz";
- path = fetchurl {
- name = "yargs_parser___yargs_parser_13.1.2.tgz";
- url = "https://registry.yarnpkg.com/yargs-parser/-/yargs-parser-13.1.2.tgz";
- sha1 = "130f09702ebaeef2650d54ce6e3e5706f7a4fb38";
- };
- }
- {
- name = "yargs_parser___yargs_parser_18.1.3.tgz";
- path = fetchurl {
- name = "yargs_parser___yargs_parser_18.1.3.tgz";
- url = "https://registry.yarnpkg.com/yargs-parser/-/yargs-parser-18.1.3.tgz";
- sha1 = "be68c4975c6b2abf469236b0c870362fab09a7b0";
- };
- }
- {
- name = "yargs_parser___yargs_parser_20.2.4.tgz";
- path = fetchurl {
- name = "yargs_parser___yargs_parser_20.2.4.tgz";
- url = "https://registry.yarnpkg.com/yargs-parser/-/yargs-parser-20.2.4.tgz";
- sha1 = "b42890f14566796f85ae8e3a25290d205f154a54";
- };
- }
- {
- name = "yargs___yargs_13.3.2.tgz";
- path = fetchurl {
- name = "yargs___yargs_13.3.2.tgz";
- url = "https://registry.yarnpkg.com/yargs/-/yargs-13.3.2.tgz";
- sha1 = "ad7ffefec1aa59565ac915f82dccb38a9c31a2dd";
- };
- }
- {
- name = "yargs___yargs_15.4.1.tgz";
- path = fetchurl {
- name = "yargs___yargs_15.4.1.tgz";
- url = "https://registry.yarnpkg.com/yargs/-/yargs-15.4.1.tgz";
- sha1 = "0d87a16de01aee9d8bec2bfbf74f67851730f4f8";
- };
- }
- {
- name = "yarn_check_webpack_plugin___yarn_check_webpack_plugin_1.2.0.tgz";
- path = fetchurl {
- name = "yarn_check_webpack_plugin___yarn_check_webpack_plugin_1.2.0.tgz";
- url = "https://registry.yarnpkg.com/yarn-check-webpack-plugin/-/yarn-check-webpack-plugin-1.2.0.tgz";
- sha1 = "0eb00cdcdb430f0494222a3eab1d2832737840cc";
- };
- }
- {
- name = "yarn_deduplicate___yarn_deduplicate_3.1.0.tgz";
- path = fetchurl {
- name = "yarn_deduplicate___yarn_deduplicate_3.1.0.tgz";
- url = "https://registry.yarnpkg.com/yarn-deduplicate/-/yarn-deduplicate-3.1.0.tgz";
- sha1 = "3018d93e95f855f236a215b591fe8bc4bcabba3e";
- };
- }
- {
- name = "yeast___yeast_0.1.2.tgz";
- path = fetchurl {
- name = "yeast___yeast_0.1.2.tgz";
- url = "https://registry.yarnpkg.com/yeast/-/yeast-0.1.2.tgz";
- sha1 = "008e06d8094320c372dbc2f8ed76a0ca6c8ac419";
- };
- }
- {
- name = "yocto_queue___yocto_queue_0.1.0.tgz";
- path = fetchurl {
- name = "yocto_queue___yocto_queue_0.1.0.tgz";
- url = "https://registry.yarnpkg.com/yocto-queue/-/yocto-queue-0.1.0.tgz";
- sha1 = "0294eb3dee05028d31ee1a5fa2c556a6aaf10a1b";
- };
- }
- {
- name = "zen_observable_ts___zen_observable_ts_0.8.21.tgz";
- path = fetchurl {
- name = "zen_observable_ts___zen_observable_ts_0.8.21.tgz";
- url = "https://registry.yarnpkg.com/zen-observable-ts/-/zen-observable-ts-0.8.21.tgz";
- sha1 = "85d0031fbbde1eba3cd07d3ba90da241215f421d";
- };
- }
- {
- name = "zen_observable___zen_observable_0.8.11.tgz";
- path = fetchurl {
- name = "zen_observable___zen_observable_0.8.11.tgz";
- url = "https://registry.yarnpkg.com/zen-observable/-/zen-observable-0.8.11.tgz";
- sha1 = "d3415885eeeb42ee5abb9821c95bb518fcd6d199";
- };
- }
- {
- name = "zrender___zrender_4.3.2.tgz";
- path = fetchurl {
- name = "zrender___zrender_4.3.2.tgz";
- url = "https://registry.yarnpkg.com/zrender/-/zrender-4.3.2.tgz";
- sha1 = "ec7432f9415c82c73584b6b7b8c47e1b016209c6";
- };
- }
- {
- name = "zwitch___zwitch_1.0.5.tgz";
- path = fetchurl {
- name = "zwitch___zwitch_1.0.5.tgz";
- url = "https://registry.yarnpkg.com/zwitch/-/zwitch-1.0.5.tgz";
- sha1 = "d11d7381ffed16b742f6af7b3f223d5cd9fe9920";
- };
- }
- ];
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitoxide/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitoxide/default.nix
index 1f798e33eb8..b4bc0db4bac 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitoxide/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/gitoxide/default.nix
@@ -1,22 +1,22 @@
{ lib, stdenv, rustPlatform, cmake, fetchFromGitHub, pkg-config, openssl
-, libiconv, Security }:
+, libiconv, Security, SystemConfiguration }:
rustPlatform.buildRustPackage rec {
pname = "gitoxide";
- version = "0.7.0";
+ version = "0.8.4";
src = fetchFromGitHub {
owner = "Byron";
repo = "gitoxide";
rev = "v${version}";
- sha256 = "12f5qrrfjfqp1aph2nmfi9nyzs1ndvgrb3y53mrszm9kf7fa6pyg";
+ sha256 = "WH8YiW1X7TkURjncm0OefxrZhnhGHaGLwxRNxe17g/0=";
};
- cargoSha256 = "0gw19zdxbkgnj1kcyqn1naj1dnhsx10j860m0xgs5z7bbvfg82p6";
+ cargoSha256 = "eTPJMYl9m81o4PJKfpDs61KmehSvKnY+bgybEodOhAM=";
nativeBuildInputs = [ cmake pkg-config ];
buildInputs = if stdenv.isDarwin
- then [ libiconv Security ]
+ then [ libiconv Security SystemConfiguration]
else [ openssl ];
# Needed to get openssl-sys to use pkg-config.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/got/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/got/default.nix
new file mode 100644
index 00000000000..b80712fd96d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/got/default.nix
@@ -0,0 +1,41 @@
+{ lib, stdenv, fetchurl, pkg-config, openssl, libuuid, libmd, zlib, ncurses }:
+
+stdenv.mkDerivation rec {
+ pname = "got";
+ version = "0.60";
+
+ src = fetchurl {
+ url =
+ "https://gameoftrees.org/releases/portable/got-portable-${version}.tar.gz";
+ sha256 = "sha256-tT8F3Kx7Rcjd/tWjIXXNWygYlkMddWwrttpjnYLnBdo=";
+ };
+
+ nativeBuildInputs = [ pkg-config ];
+
+ buildInputs = [ openssl libuuid libmd zlib ncurses ];
+
+ doInstallCheck = true;
+
+ installCheckPhase = ''
+ runHook preInstallCheck
+ test "$($out/bin/got --version)" = '${pname} "${version}"'
+ runHook postInstallCheck
+ '';
+
+ meta = with lib; {
+ description = "A version control system which prioritizes ease of use and simplicity over flexibility";
+ longDescription = ''
+ Game of Trees (Got) is a version control system which prioritizes
+ ease of use and simplicity over flexibility.
+
+ Got uses Git repositories to store versioned data. Git can be used
+ for any functionality which has not yet been implemented in
+ Got. It will always remain possible to work with both Got and Git
+ on the same repository.
+ '';
+ homepage = "https://gameoftrees.org";
+ license = licenses.isc;
+ platforms = platforms.all;
+ maintainers = with maintainers; [ abbe ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/meld/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/meld/default.nix
index 9859163031c..f3400f7e8e0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/meld/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/meld/default.nix
@@ -59,7 +59,7 @@ python3.pkgs.buildPythonApplication rec {
passthru = {
updateScript = gnome.updateScript {
packageName = pname;
- versionPolicy = "odd-unstable";
+ versionPolicy = "none"; # should be odd-unstable but we are tracking unstable versions for now
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/mercurial/4.9.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/mercurial/4.9.nix
deleted file mode 100644
index 0a76f7df970..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/mercurial/4.9.nix
+++ /dev/null
@@ -1,68 +0,0 @@
-{ lib, stdenv, fetchurl, python2Packages, makeWrapper
-, guiSupport ? false, tk ? null
-, ApplicationServices
-}:
-
-let
- inherit (python2Packages) docutils hg-git dulwich python;
-
-in python2Packages.buildPythonApplication rec {
- pname = "mercurial";
- version = "4.9.1";
-
- src = fetchurl {
- url = "https://mercurial-scm.org/release/mercurial-${version}.tar.gz";
- sha256 = "0iybbkd9add066729zg01kwz5hhc1s6lhp9rrnsmzq6ihyxj3p8v";
- };
-
- format = "other";
-
- inherit python; # pass it so that the same version can be used in hg2git
-
- nativeBuildInputs = [ makeWrapper ];
- buildInputs = [ docutils ]
- ++ lib.optionals stdenv.isDarwin [ ApplicationServices ];
-
- propagatedBuildInputs = [ hg-git dulwich ];
-
- makeFlags = [ "PREFIX=$(out)" ];
-
- postInstall = (lib.optionalString guiSupport
- ''
- mkdir -p $out/etc/mercurial
- cp contrib/hgk $out/bin
- cat >> $out/etc/mercurial/hgrc << EOF
- [extensions]
- hgk=$out/lib/${python.libPrefix}/site-packages/hgext/hgk.py
- EOF
- # setting HG so that hgk can be run itself as well (not only hg view)
- WRAP_TK=" --set TK_LIBRARY ${tk}/lib/${tk.libPrefix}
- --set HG $out/bin/hg
- --prefix PATH : ${tk}/bin "
- '') +
- ''
- for i in $(cd $out/bin && ls); do
- wrapProgram $out/bin/$i \
- $WRAP_TK
- done
-
- # copy hgweb.cgi to allow use in apache
- mkdir -p $out/share/cgi-bin
- cp -v hgweb.cgi contrib/hgweb.wsgi $out/share/cgi-bin
- chmod u+x $out/share/cgi-bin/hgweb.cgi
-
- # install bash/zsh completions
- install -v -m644 -D contrib/bash_completion $out/share/bash-completion/completions/_hg
- install -v -m644 -D contrib/zsh_completion $out/share/zsh/site-functions/_hg
- '';
-
- meta = {
- description = "A fast, lightweight SCM system for very large distributed projects";
- homepage = "https://www.mercurial-scm.org";
- downloadPage = "https://www.mercurial-scm.org/release/";
- license = lib.licenses.gpl2;
- maintainers = [ lib.maintainers.eraserhd ];
- updateWalker = true;
- platforms = lib.platforms.unix;
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/mercurial/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/mercurial/default.nix
index 128b70c38e8..3780be96fd6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/mercurial/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/mercurial/default.nix
@@ -13,26 +13,13 @@ let
self = python3Packages.buildPythonApplication rec {
pname = "mercurial";
- version = "5.8";
+ version = "5.9.1";
src = fetchurl {
url = "https://mercurial-scm.org/release/mercurial-${version}.tar.gz";
- sha256 = "17rhlmmkqz5ll3k68jfzpcifg3nndbcbc2nx7kw8xn3qcj7nlpgw";
+ sha256 = "09wzyhyajy1dbp5kxkmvs9x8jk964wri19p5jgf83mv27i1zkvrj";
};
- patches = [
- # https://phab.mercurial-scm.org/D10638, needed for below patch to apply
- (fetchpatch {
- url = "https://www.mercurial-scm.org/repo/hg/raw-rev/c365850b611490a5fdb235eb1cea310a542c2f84";
- sha256 = "1gn3xvahbjdhbglffqpmj559w1bkqqsk70wqcanwv7nh972aqy9g";
- })
- # https://phab.mercurial-scm.org/D10639, fixes https://bz.mercurial-scm.org/show_bug.cgi?id=6514
- (fetchpatch {
- url = "https://www.mercurial-scm.org/repo/hg/raw-rev/c8f62920f07a40af3403ba9aefa1dac8a97d53ea";
- sha256 = "1kw0xjg2c4jby0ncarjvpa5qafsyl1wzbk6jxls4hnxlxdl53nmn";
- })
- ];
-
format = "other";
passthru = { inherit python; }; # pass it so that the same version can be used in hg2git
@@ -40,7 +27,7 @@ let
cargoDeps = if rustSupport then rustPlatform.fetchCargoTarball {
inherit src;
name = "${pname}-${version}";
- sha256 = "1kc2giqvfwsdl5fb0qmz96ws1gdrs3skfdzvpiif2i8f7r4nqlhd";
+ sha256 = "1f7q0kmp46rphw38rlzh1yvpn3rdjdnzzbxzjkrjks8n1gm8baca";
sourceRoot = "${pname}-${version}/rust";
} else null;
cargoRoot = if rustSupport then "rust" else null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/pijul/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/pijul/default.nix
index e5a12dd9006..32126c7ce66 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/pijul/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/pijul/default.nix
@@ -13,14 +13,14 @@
rustPlatform.buildRustPackage rec {
pname = "pijul";
- version = "1.0.0-alpha.53";
+ version = "1.0.0-alpha.55";
src = fetchCrate {
inherit version pname;
- sha256 = "1y5wnqscyfhd806qs6gfmssm7hvfdi7mxc9p1125jnmzca4wcsm2";
+ sha256 = "sha256-1nnn0cdDe+WOetGtRe7dMEyuCcbfRHdJWFxQ4bTXebQ=";
};
- cargoSha256 = "0m9zjagq59rxf5pysklal030f4n0dqgmjsgwcnljajxc2r26665h";
+ cargoSha256 = "sha256-j9xf97qPdhtakIwhAql0/Go5fPxlyWKAVLk5CMBfAbs=";
cargoBuildFlags = lib.optional gitImportSupport "--features=git";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/redmine/Gemfile.lock b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/redmine/Gemfile.lock
index e90405c9a8b..00ac026e869 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/redmine/Gemfile.lock
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/redmine/Gemfile.lock
@@ -77,7 +77,7 @@ GEM
nokogiri (>= 1.5.9)
mail (2.7.1)
mini_mime (>= 0.1.1)
- marcel (1.0.1)
+ marcel (1.0.2)
method_source (1.0.0)
mini_magick (4.11.0)
mini_mime (1.0.3)
@@ -90,12 +90,12 @@ GEM
nokogiri (1.11.7)
mini_portile2 (~> 2.5.0)
racc (~> 1.4)
- parallel (1.20.1)
+ parallel (1.21.0)
parser (3.0.2.0)
ast (~> 2.4.1)
pg (1.2.3)
public_suffix (4.0.6)
- puma (5.4.0)
+ puma (5.5.2)
nio4r (~> 2.0)
racc (1.5.2)
rack (2.2.3)
@@ -120,7 +120,7 @@ GEM
rails-dom-testing (2.0.3)
activesupport (>= 4.2.0)
nokogiri (>= 1.6)
- rails-html-sanitizer (1.3.0)
+ rails-html-sanitizer (1.4.2)
loofah (~> 2.3)
railties (5.2.6)
actionpack (= 5.2.6)
@@ -146,11 +146,11 @@ GEM
railties (>= 5.1, < 6.2)
roadie (>= 3.1, < 5.0)
rotp (6.2.0)
- rouge (3.26.0)
- rqrcode (2.0.0)
+ rouge (3.26.1)
+ rqrcode (2.1.0)
chunky_png (~> 1.0)
rqrcode_core (~> 1.0)
- rqrcode_core (1.1.0)
+ rqrcode_core (1.2.0)
rubocop (1.12.1)
parallel (~> 1.10)
parser (>= 3.0.0.0)
@@ -160,7 +160,7 @@ GEM
rubocop-ast (>= 1.2.0, < 2.0)
ruby-progressbar (~> 1.7)
unicode-display_width (>= 1.4.0, < 3.0)
- rubocop-ast (1.10.0)
+ rubocop-ast (1.12.0)
parser (>= 3.0.1.1)
rubocop-performance (1.10.2)
rubocop (>= 0.90.0, < 2.0)
@@ -190,8 +190,8 @@ GEM
thread_safe (0.3.6)
tzinfo (1.2.9)
thread_safe (~> 0.1)
- unicode-display_width (2.0.0)
- webdrivers (4.6.0)
+ unicode-display_width (2.1.0)
+ webdrivers (4.6.1)
nokogiri (~> 1.6)
rubyzip (>= 1.3.0)
selenium-webdriver (>= 3.0, < 4.0)
@@ -245,7 +245,7 @@ DEPENDENCIES
yard
RUBY VERSION
- ruby 2.7.3p183
+ ruby 2.7.4p191
BUNDLED WITH
2.1.4
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/redmine/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/redmine/default.nix
index eb6218826bf..5a964f51e36 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/redmine/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/redmine/default.nix
@@ -1,7 +1,7 @@
{ lib, stdenv, fetchurl, bundlerEnv, ruby, makeWrapper }:
let
- version = "4.2.2";
+ version = "4.2.3";
rubyEnv = bundlerEnv {
name = "redmine-env-${version}";
@@ -16,7 +16,7 @@ in
src = fetchurl {
url = "https://www.redmine.org/releases/${pname}-${version}.tar.gz";
- sha256 = "1xlqf7g5imjmc3as2aajvbrs217jh3qpdvvpsd9mka9rk4kykyz6";
+ sha256 = "033slhr5kmz5b29v7n52336i0r7y4m9si748b22r85s2jpf37xkj";
};
nativeBuildInputs = [ makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/redmine/gemset.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/redmine/gemset.nix
index 376084e80dc..dbbef0f218a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/redmine/gemset.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/redmine/gemset.nix
@@ -301,10 +301,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0bp001p687nsa4a8sp3q1iv8pfhs24w7s3avychjp64sdkg6jxq3";
+ sha256 = "0kky3yiwagsk8gfbzn3mvl2fxlh3b39v6nawzm4wpjs6xxvvc4x0";
type = "gem";
};
- version = "1.0.1";
+ version = "1.0.2";
};
method_source = {
groups = ["default"];
@@ -420,10 +420,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0055br0mibnqz0j8wvy20zry548dhkakws681bhj3ycb972awkzd";
+ sha256 = "1hkfpm78c2vs1qblnva3k1grijvxh87iixcnyd83s3lxrxsjvag4";
type = "gem";
};
- version = "1.20.1";
+ version = "1.21.0";
};
parser = {
dependencies = ["ast"];
@@ -470,10 +470,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0bz9y1hxfyv73yb26nvs2kcw08gxi7nxkfc94j82hgx2sifcnv3x";
+ sha256 = "1xblxnrs0c5m326v7kgr32k4m00cl2ipcf5m0qvyisrw62vd5dbn";
type = "gem";
};
- version = "5.4.0";
+ version = "5.5.2";
};
racc = {
groups = ["default" "test"];
@@ -545,10 +545,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1icpqmxbppl4ynzmn6dx7wdil5hhq6fz707m9ya6d86c7ys8sd4f";
+ sha256 = "09qrfi3pgllxb08r024lln9k0qzxs57v0slsj8616xf9c0cwnwbk";
type = "gem";
};
- version = "1.3.0";
+ version = "1.4.2";
};
railties = {
dependencies = ["actionpack" "activesupport" "method_source" "rake" "thor"];
@@ -680,10 +680,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0b4b300i3m4m4kw7w1n9wgxwy16zccnb7271miksyzd0wq5b9pm3";
+ sha256 = "197k0vskf72wxx0gzwld2jzg27bb7982xlvnzy9adlvkzp7nh8vf";
type = "gem";
};
- version = "3.26.0";
+ version = "3.26.1";
};
rqrcode = {
dependencies = ["chunky_png" "rqrcode_core"];
@@ -691,20 +691,20 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "073w0qgjydkqpsqsb9yr8qg0mhvwlzx6z53hqr2b5zifvb9wzh02";
+ sha256 = "0444sgvx3ahvgr3c9swpy32kcdpciwgcqahp3pb4m7d23xp1qjdc";
type = "gem";
};
- version = "2.0.0";
+ version = "2.1.0";
};
rqrcode_core = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0d632w2pd34bw9l3bsfnyqaa8vgbz9pxpj29gpf8parqr7wq922k";
+ sha256 = "06ld6386hbdhy5h0k09axmgn424kavpc8f27k1vjhknjhbf8jjfg";
type = "gem";
};
- version = "1.1.0";
+ version = "1.2.0";
};
rubocop = {
dependencies = ["parallel" "parser" "rainbow" "regexp_parser" "rexml" "rubocop-ast" "ruby-progressbar" "unicode-display_width"];
@@ -723,10 +723,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0x6za0j9wwxr14rkkkmpbnwj04lak4yjmkyrdl8c31m9acba80zw";
+ sha256 = "0x0xfq2mpg194rcanbjrgvjbh94s9kq72jynxx61789s628kxy59";
type = "gem";
};
- version = "1.10.0";
+ version = "1.12.0";
};
rubocop-performance = {
dependencies = ["rubocop" "rubocop-ast"];
@@ -870,10 +870,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1bilbnc8j6jkb59lrf177i3p1pdyxll0n8400hzqr35vl3r3kv2m";
+ sha256 = "0csjm9shhfik0ci9mgimb7hf3xgh7nx45rkd9rzgdz6vkwr8rzxn";
type = "gem";
};
- version = "2.0.0";
+ version = "2.1.0";
};
webdrivers = {
dependencies = ["nokogiri" "rubyzip" "selenium-webdriver"];
@@ -881,10 +881,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0hi6pgkfwgz1bzfclyrr449xy9y2f2bcrnnnlb5ghvvrqkgn0dry";
+ sha256 = "1naymcfmm9pkf0f67xd99d9f6dpv477ggyvc1c04gxifirynfydp";
type = "gem";
};
- version = "4.6.0";
+ version = "4.6.1";
};
websocket-driver = {
dependencies = ["websocket-extensions"];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/tortoisehg/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/tortoisehg/default.nix
index 73d8f3aa5ec..3e1b6e4cd8c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/tortoisehg/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/tortoisehg/default.nix
@@ -4,11 +4,11 @@
python3Packages.buildPythonApplication rec {
pname = "tortoisehg";
- version = "5.8";
+ version = "5.9";
src = fetchurl {
url = "https://www.mercurial-scm.org/release/tortoisehg/targz/tortoisehg-${version}.tar.gz";
- sha256 = "154q7kyrdk045wx7rsblzx41k3wbvp2f40kzkxmiiaa5n35srsm3";
+ sha256 = "1y8nb2b9j9qx11k1wrb9hydc94dgbsqx4yf2bv8y878hqmk1z57a";
};
# Extension point for when thg's mercurial is lagging behind mainline.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/vcsh/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/vcsh/default.nix
index e272342fe95..84716e76081 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/vcsh/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/vcsh/default.nix
@@ -1,26 +1,38 @@
-{ lib, stdenv, fetchFromGitHub, which, git, ronn, perlPackages }:
+{ lib, stdenv
+, fetchurl
+, makeWrapper
+, pkg-config
+, git
+, perlPackages
+}:
-stdenv.mkDerivation {
- version = "1.20170915"; # date of commit we're pulling
+stdenv.mkDerivation rec {
pname = "vcsh";
+ version = "2.0.2";
- src = fetchFromGitHub {
- owner = "RichiH";
- repo = "vcsh";
- rev = "eadb8df6aa71a76e5be36492edcadb118bd862ac";
- sha256 = "1wfzp8167lcq6akdpbi8fikjv0z3h1i5minh3423dljc04q0klm1";
+ src = fetchurl {
+ url = "https://github.com/RichiH/vcsh/releases/download/v${version}/${pname}-${version}.tar.xz";
+ sha256 = "0qdd4f6rm5rhnym9f114pcj9vafhjjpg962c4g420rn78fxhpz1z";
};
- buildInputs = [ which git ronn ]
- ++ (with perlPackages; [ perl ShellCommand TestMost TestDifferences TestDeep TestException TestWarn ]);
+ nativeBuildInputs = [
+ pkg-config
+ makeWrapper
+ ];
- installPhase = "make install PREFIX=$out";
+ buildInputs = [ git ];
+
+ checkInputs = []
+ ++ (with perlPackages; [ perl ShellCommand TestMost ]);
+
+ outputs = [ "out" "doc" "man" ];
meta = with lib; {
description = "Version Control System for $HOME";
homepage = "https://github.com/RichiH/vcsh";
+ changelog = "https://github.com/RichiH/vcsh/blob/v${version}/changelog";
license = licenses.gpl2Plus;
- maintainers = with maintainers; [ ttuegel ];
+ maintainers = with maintainers; [ ttuegel alerque ];
platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/verco/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/verco/default.nix
new file mode 100644
index 00000000000..715ae0978cc
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/verco/default.nix
@@ -0,0 +1,22 @@
+{ fetchFromGitHub, lib, rustPlatform }:
+
+rustPlatform.buildRustPackage rec {
+ pname = "verco";
+ version = "6.7.0";
+
+ src = fetchFromGitHub {
+ owner = "vamolessa";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "sha256-H8rDaRVU3G3iuBv0Tz/YOuYbL2k8wEoEIIEG7hjU6eM=";
+ };
+
+ cargoSha256 = "sha256-4Ou/stedL3WCY4Awsl++lc5fZ9gxd4uorf4G2/0DiPc=";
+
+ meta = with lib; {
+ description = "A simple Git/Mercurial/PlasticSCM tui client based on keyboard shortcuts";
+ homepage = "https://vamolessa.github.io/verco";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ figsoda ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/yadm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/yadm/default.nix
index fc8bee5fcb7..e0e26b804f5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/yadm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/version-management/yadm/default.nix
@@ -2,7 +2,7 @@
stdenv.mkDerivation rec {
pname = "yadm";
- version = "3.1.0";
+ version = "3.1.1";
buildInputs = [ git gnupg ];
@@ -12,7 +12,7 @@ stdenv.mkDerivation rec {
owner = "TheLocehiliosan";
repo = "yadm";
rev = version;
- sha256 = "0ga0p28nvqilswa07bzi93adk7wx6d5pgxlacr9wl9v1h6cds92s";
+ sha256 = "sha256-bgiRBlqEjDq0gQ0+aUWpFDeE2piFX3Gy2gEAXgChAOk=";
};
dontConfigure = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/catt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/catt/default.nix
index 4b285cfc007..1f75997ce7b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/catt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/catt/default.nix
@@ -1,11 +1,48 @@
-{ lib, python3 }:
+{ lib
+, fetchFromGitHub
+, python3
+}:
-with python3.pkgs;
+let
+ py = python3.override {
+ packageOverrides = self: super: {
+ # Upstream is pinning releases incl. dependencies of their dependencies
+ zeroconf = super.zeroconf.overridePythonAttrs (oldAttrs: rec {
+ version = "0.31.0";
+ src = fetchFromGitHub {
+ owner = "jstasiak";
+ repo = "python-zeroconf";
+ rev = version;
+ sha256 = "158dqay74zvnz6kmpvip4ml0kw59nf2aaajwgaamx0zc8ci1p5pj";
+ };
+ });
+
+ click = super.click.overridePythonAttrs (oldAttrs: rec {
+ version = "7.1.2";
+ src = oldAttrs.src.override {
+ inherit version;
+ sha256 = "06kbzd6sjfkqan3miwj9wqyddfxc2b6hi7p5s4dvqjb3gif2bdfj";
+ };
+ });
+
+ PyChromecast = super.PyChromecast.overridePythonAttrs (oldAttrs: rec {
+ version = "9.2.0";
+ src = oldAttrs.src.override {
+ inherit version;
+ sha256 = "02ig2wf2yyrnnl88r2n13s1naskwsifwgx3syifmcxygflsmjd3d";
+ };
+ });
+ };
+ };
+in
+with py.pkgs;
buildPythonApplication rec {
pname = "catt";
version = "0.12.2";
+ disabled = python3.pythonOlder "3.4";
+
src = fetchPypi {
inherit pname version;
sha256 = "sha256-BOETKTkcbLOu5SubiejswU7D47qWS13QZ7rU9x3jf5Y=";
@@ -19,19 +56,12 @@ buildPythonApplication rec {
youtube-dl
];
- # remove click when 0.12.3 is released
- # upstream doesn't use zeroconf directly but pins it for pychromecast
- postPatch = ''
- substituteInPlace setup.py \
- --replace "zeroconf==0.31.0" "" \
- --replace "Click>=7.1.2,<8" "click"
- '';
-
doCheck = false; # attempts to access various URLs
+
pythonImportsCheck = [ "catt" ];
meta = with lib; {
- description = "Cast All The Things allows you to send videos from many, many online sources to your Chromecast";
+ description = "Tool to send media from online sources to Chromecast devices";
homepage = "https://github.com/skorokithakis/catt";
license = licenses.bsd2;
maintainers = with maintainers; [ dtzWill ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/clapper/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/clapper/default.nix
new file mode 100644
index 00000000000..176efb79954
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/clapper/default.nix
@@ -0,0 +1,89 @@
+{ config
+, lib
+, stdenv
+, fetchFromGitHub
+, glib
+, gobject-introspection
+, python3
+, pkg-config
+, ninja
+, wayland
+, wayland-protocols
+, desktop-file-utils
+, makeWrapper
+, shared-mime-info
+, wrapGAppsHook
+, meson
+, gjs
+, gtk4
+, gst_all_1
+, libadwaita
+, appstream-glib
+, libsoup
+}:
+
+stdenv.mkDerivation rec {
+ pname = "clapper";
+ version = "0.4.0";
+
+ src = fetchFromGitHub {
+ owner = "Rafostar";
+ repo = pname;
+ rev = version;
+ sha256 = "1gf4z9lib5rxi1xilkxxyywakm9zlq5915w2wib09jyh0if82ahr";
+ };
+
+ nativeBuildInputs = [
+ appstream-glib
+ desktop-file-utils # for update-desktop-database
+ glib
+ gobject-introspection
+ meson
+ ninja
+ makeWrapper
+ pkg-config
+ python3
+ shared-mime-info # for update-mime-database
+ wrapGAppsHook # for gsettings
+ ];
+
+ buildInputs = [
+ gjs
+ gst_all_1.gstreamer
+ gst_all_1.gst-plugins-base
+ gst_all_1.gst-plugins-good
+ gst_all_1.gst-plugins-bad
+ gst_all_1.gst-plugins-ugly
+ gtk4
+ libadwaita
+ libsoup
+ wayland
+ wayland-protocols
+ ];
+
+ postPatch = ''
+ patchShebangs build-aux/meson/postinstall.py
+ '';
+
+ mesonFlags = [
+ # TODO: https://github.com/NixOS/nixpkgs/issues/36468
+ "-Dc_args=-I${glib.dev}/include/gio-unix-2.0"
+ ];
+
+ postInstall = ''
+ cp ${src}/data/icons/*.svg $out/share/icons/hicolor/scalable/apps/
+ cp ${src}/data/icons/*.svg $out/share/icons/hicolor/symbolic/apps/
+ '';
+
+ meta = with lib; {
+ description = "A GNOME media player built using GJS with GTK4 toolkit and powered by GStreamer with OpenGL rendering. ";
+ longDescription = ''
+ Clapper is a GNOME media player build using GJS with GTK4 toolkit.
+ The media player is using GStreamer as a media backend and renders everything via OpenGL.
+ '';
+ homepage = "https://github.com/Rafostar/clapper";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ tomfitzhenry ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/ffmpeg-normalize/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/ffmpeg-normalize/default.nix
index de0b088030f..41c035350d8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/ffmpeg-normalize/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/ffmpeg-normalize/default.nix
@@ -7,11 +7,11 @@
buildPythonApplication rec {
pname = "ffmpeg-normalize";
- version = "1.22.1";
+ version = "1.22.4";
src = fetchPypi {
inherit pname version;
- sha256 = "df826053212d540ab1bbe9819587fcbf36162f8c2535ae85b88b252e47d6d632";
+ sha256 = "a20978538ae9a51bdbe5ef5aa0f87ede0f8efd2817ab240980de56eac36982e3";
};
propagatedBuildInputs = [ ffmpeg ffmpeg-progress-yield ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/freetube/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/freetube/default.nix
index 5151f1f9e5e..aa32e8828e0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/freetube/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/freetube/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "freetube";
- version = "0.13.2";
+ version = "0.15.0";
src = fetchurl {
url = "https://github.com/FreeTubeApp/FreeTube/releases/download/v${version}-beta/freetube_${version}_amd64.AppImage";
- sha256 = "sha256:0rzx66nshzcrvvd9ky0wamvv5wb0xxlf3zi25xrxmh6haimqbrpv";
+ sha256 = "sha256-52cVY3SBT048tErydk3l27yBvM/FMVpEMf5miAeInDM=";
};
appimageContents = appimageTools.extractType2 {
@@ -44,7 +44,7 @@ stdenv.mkDerivation rec {
description = "An Open Source YouTube app for privacy";
homepage = "https://freetubeapp.io/";
license = licenses.agpl3Only;
- maintainers = with maintainers; [ ryneeverett ];
+ maintainers = with maintainers; [ ryneeverett alyaeanyx ];
platforms = [ "x86_64-linux" ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/haruna/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/haruna/default.nix
index 3e45dd62d68..a9e87861365 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/haruna/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/haruna/default.nix
@@ -1,10 +1,11 @@
{ lib
-, fetchFromGitHub
+, fetchFromGitLab
, mkDerivation
, breeze-icons
, breeze-qt5
, cmake
, extra-cmake-modules
+, ffmpeg-full
, kcodecs
, kconfig
, kcoreaddons
@@ -26,18 +27,20 @@
mkDerivation rec {
pname = "haruna";
- version = "0.6.3";
+ version = "0.7.2";
- src = fetchFromGitHub {
- owner = "g-fb";
+ src = fetchFromGitLab {
+ owner = "multimedia";
repo = "haruna";
- rev = version;
- sha256 = "sha256-gJCLc8qJolv4Yufm/OBCTTEpyoodtySAqKH+zMHCoLU=";
+ rev = "v${version}";
+ sha256 = "sha256-0s4v3YJhSssp2S9mppMXq0AtWXPIaqOYWPmJgKjXjDE=";
+ domain = "invent.kde.org";
};
buildInputs = [
breeze-icons
breeze-qt5
+ ffmpeg-full
kcodecs
kconfig
kcoreaddons
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/kodi-packages/inputstreamhelper/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/kodi-packages/inputstreamhelper/default.nix
index fcf53e7a169..7698e6619d9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/kodi-packages/inputstreamhelper/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/kodi-packages/inputstreamhelper/default.nix
@@ -2,11 +2,11 @@
buildKodiAddon rec {
pname = "inputstreamhelper";
namespace = "script.module.inputstreamhelper";
- version = "0.5.5+matrix.1";
+ version = "0.5.8+matrix.1";
src = fetchzip {
url = "https://mirrors.kodi.tv/addons/matrix/${namespace}/${namespace}-${version}.zip";
- sha256 = "0y4xn3ygwv1kb7gya7iwdga0g9sa89snpnram0wwqzqn8wn2lyb4";
+ sha256 = "xdsUzmz8ji9JcYLEUFWwvXq0Oig5i08VPQD93K8R9hk=";
};
passthru = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/kodi-packages/youtube/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/kodi-packages/youtube/default.nix
index 8e57adbfb1a..7c16aa606f2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/kodi-packages/youtube/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/kodi-packages/youtube/default.nix
@@ -3,11 +3,11 @@
buildKodiAddon rec {
pname = "youtube";
namespace = "plugin.video.youtube";
- version = "6.8.14+matrix.1";
+ version = "6.8.17+matrix.1";
src = fetchzip {
url = "https://mirrors.kodi.tv/addons/matrix/${namespace}/${namespace}-${version}.zip";
- sha256 = "1a18whh3fisprwipwhxf26kc958sxhwpvs8pxwq2sq96vr7b4xq7";
+ sha256 = "AuYoUyv0DDtHnxFiNl3AF5jrMjuNRXdlJvw7d7b+yh8=";
};
propagatedBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/kodi/unwrapped.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/kodi/unwrapped.nix
index c31eb244c52..1ad0dee49c7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/kodi/unwrapped.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/kodi/unwrapped.nix
@@ -1,6 +1,6 @@
{ stdenv, lib, fetchFromGitHub, autoconf, automake, libtool, makeWrapper
, pkg-config, cmake, gnumake, yasm, python3Packages
-, libgcrypt, libgpgerror, libunistring
+, libgcrypt, libgpg-error, libunistring
, boost, avahi, lame
, gettext, pcre-cpp, yajl, fribidi, which
, openssl, gperf, tinyxml2, taglib, libssh, swig, jre_headless
@@ -38,25 +38,25 @@ assert usbSupport -> !udevSupport; # libusb-compat-0_1 won't be used if udev is
assert gbmSupport || waylandSupport || x11Support;
let
- kodiReleaseDate = "20210508";
- kodiVersion = "19.1";
+ kodiReleaseDate = "20211006";
+ kodiVersion = "19.2";
rel = "Matrix";
kodi_src = fetchFromGitHub {
owner = "xbmc";
repo = "xbmc";
rev = "${kodiVersion}-${rel}";
- sha256 = "0jh67vw3983lnfgqzqfislawwbpq0vxxk1ljsg7mar06mlwfxb7h";
+ sha256 = "sha256-w5m7xlnjQDJ4l75b3ctF0wMZ4kqi+H0X6WFLs0gV6lM=";
};
ffmpeg = stdenv.mkDerivation rec {
pname = "kodi-ffmpeg";
- version = "4.3.1";
+ version = "4.3.2";
src = fetchFromGitHub {
owner = "xbmc";
repo = "FFmpeg";
- rev = "${version}-${rel}-Beta1";
- sha256 = "1c5rwlxn6xj501iw7masdv2p6wb9rkmd299lmlkx97sw1kvxvg2w";
+ rev = "${version}-${rel}-${kodiVersion}";
+ sha256 = "14s215sgc93ds1mrdbkgb7fvy94lpgv2ldricyxzis0gbzqfgs4f";
};
preConfigure = ''
cp ${kodi_src}/tools/depends/target/ffmpeg/{CMakeLists.txt,*.cmake} .
@@ -79,21 +79,21 @@ let
libdvdcss = fetchFromGitHub {
owner = "xbmc";
repo = "libdvdcss";
- rev = "1.4.2-${rel}-Beta-5";
+ rev = "1.4.2-Leia-Beta-5";
sha256 = "0j41ydzx0imaix069s3z07xqw9q95k7llh06fc27dcn6f7b8ydyl";
};
libdvdnav = fetchFromGitHub {
owner = "xbmc";
repo = "libdvdnav";
- rev = "6.0.0-${rel}-Alpha-3";
+ rev = "6.0.0-Leia-Alpha-3";
sha256 = "0qwlf4lgahxqxk1r2pzl866mi03pbp7l1fc0rk522sc0ak2s9jhb";
};
libdvdread = fetchFromGitHub {
owner = "xbmc";
repo = "libdvdread";
- rev = "6.0.0-${rel}-Alpha-3";
+ rev = "6.0.0-Leia-Alpha-3";
sha256 = "1xxn01mhkdnp10cqdr357wx77vyzfb5glqpqyg8m0skyi75aii59";
};
@@ -122,7 +122,7 @@ in stdenv.mkDerivation {
sqlite libmysqlclient avahi lame
curl bzip2 zip unzip glxinfo
libcec libcec_platform dcadec libuuid
- libgcrypt libgpgerror libunistring
+ libgcrypt libgpg-error libunistring
libcrossguid libplist
bluez giflib glib harfbuzz lcms2 libpthreadstubs
ffmpeg flatbuffers fmt fstrcmp rapidjson
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mediathekview/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mediathekview/default.nix
index 2005a949a5c..1a6f1972133 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mediathekview/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mediathekview/default.nix
@@ -1,34 +1,38 @@
{ lib, stdenv, fetchurl, makeWrapper, jre }:
stdenv.mkDerivation rec {
- version = "13.7.1";
+ version = "13.8.0";
pname = "mediathekview";
src = fetchurl {
url = "https://download.mediathekview.de/stabil/MediathekView-${version}-linux.tar.gz";
- sha256 = "sha256-yFPyj1Mbgj2eJv4DpvfOtPyTbL9gMAXC5TzSx8AV27o=";
+ sha256 = "0zfkwz5psv7m0881ykgqrxwjhadg39c55aj2wpy7m1jdara86c5q";
};
nativeBuildInputs = [ makeWrapper ];
installPhase = ''
+ runHook preInstall
+
mkdir -p $out/{bin,lib}
install -m644 MediathekView.jar $out/lib
makeWrapper ${jre}/bin/java $out/bin/mediathek \
- --add-flags "-Xmx1G --enable-preview -jar $out/lib/MediathekView.jar"
+ --add-flags "-jar $out/lib/MediathekView.jar"
makeWrapper ${jre}/bin/java $out/bin/MediathekView \
- --add-flags "-Xmx1G --enable-preview -jar $out/lib/MediathekView.jar"
+ --add-flags "-jar $out/lib/MediathekView.jar"
makeWrapper ${jre}/bin/java $out/bin/MediathekView_ipv4 \
- --add-flags "-Xmx1G --enable-preview -Djava.net.preferIPv4Stack=true -jar $out/lib/MediathekView.jar"
+ --add-flags "-Djava.net.preferIPv4Stack=true -jar $out/lib/MediathekView.jar"
+
+ runHook postInstall
'';
meta = with lib; {
description = "Offers access to the Mediathek of different tv stations (ARD, ZDF, Arte, etc.)";
homepage = "https://mediathekview.de/";
- license = licenses.gpl3;
+ license = licenses.gpl3Plus;
maintainers = with maintainers; [ moredread ];
platforms = platforms.all;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/default.nix
new file mode 100644
index 00000000000..3987e125284
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/default.nix
@@ -0,0 +1,95 @@
+# NOTE: Mirakurun is packaged outside of nodePackages because Node2nix can't
+# handle one of its subdependencies. See below link for details.
+#
+# https://github.com/Chinachu/node-aribts/blob/af84dbbbd81ea80b946e538083b64b5b2dc7e8f2/package.json#L26
+
+{ lib
+, stdenvNoCC
+, bash
+, common-updater-scripts
+, fetchFromGitHub
+, genericUpdater
+, jq
+, makeWrapper
+, mkYarnPackage
+, which
+, writers
+, v4l-utils
+, yarn
+, yarn2nix
+}:
+
+stdenvNoCC.mkDerivation rec {
+ pname = "mirakurun";
+ version = "3.8.0";
+
+ src = fetchFromGitHub {
+ owner = "Chinachu";
+ repo = "Mirakurun";
+ rev = version;
+ sha256 = "1fmzi3jc3havvpc1kz5z16k52lnrsmc3b5yqyxc7i911gqyjsxzr";
+ };
+
+ nativeBuildInputs = [ makeWrapper ];
+
+ mirakurun = mkYarnPackage rec {
+ name = "${pname}-${version}";
+ inherit version src;
+
+ yarnNix = ./yarn.nix;
+ yarnLock = ./yarn.lock;
+ packageJSON = ./package.json;
+
+ patches = [
+ # NOTE: fixes for hardcoded paths and assumptions about filesystem
+ # permissions
+ ./nix-filesystem.patch
+ ];
+
+ buildPhase = ''
+ yarn --offline build
+ '';
+
+ distPhase = "true";
+ };
+
+ installPhase =
+ let
+ runtimeDeps = [ bash which v4l-utils ];
+ in
+ ''
+ mkdir -p $out/bin
+
+ makeWrapper ${mirakurun}/bin/mirakurun-epgdump $out/bin/mirakurun-epgdump \
+ --run "cd ${mirakurun}/libexec/mirakurun/node_modules/mirakurun" \
+ --prefix PATH : ${lib.makeBinPath runtimeDeps}
+
+ # XXX: The original mirakurun command uses PM2 to manage the Mirakurun
+ # server. However, we invoke the server directly and let systemd
+ # manage it to avoid complication. This is okay since no features
+ # unique to PM2 is currently being used.
+ makeWrapper ${yarn}/bin/yarn $out/bin/mirakurun-start \
+ --add-flags "start" \
+ --run "cd ${mirakurun}/libexec/mirakurun/node_modules/mirakurun" \
+ --prefix PATH : ${lib.makeBinPath runtimeDeps}
+ '';
+
+ passthru.updateScript = import ./update.nix {
+ inherit lib;
+ inherit (src.meta) homepage;
+ inherit
+ pname
+ version
+ common-updater-scripts
+ genericUpdater
+ writers
+ jq
+ yarn
+ yarn2nix;
+ };
+
+ meta = {
+ inherit (mirakurun.meta) description platforms;
+ maintainers = with lib.maintainers; [ midchildan ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/nix-filesystem.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/nix-filesystem.patch
new file mode 100644
index 00000000000..f7f06e2a6db
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/nix-filesystem.patch
@@ -0,0 +1,46 @@
+diff --git a/processes.json b/processes.json
+index b54d404..a40dfab 100644
+--- a/processes.json
++++ b/processes.json
+@@ -4,10 +4,10 @@
+ "name": "mirakurun-server",
+ "script": "lib/server.js",
+ "node_args" : "-r source-map-support/register",
+- "error_file": "/usr/local/var/log/mirakurun.stderr.log",
+- "out_file": "/usr/local/var/log/mirakurun.stdout.log",
++ "error_file": "/var/log/mirakurun.stderr.log",
++ "out_file": "/var/log/mirakurun.stdout.log",
+ "merge_logs": true,
+- "pid_file": "/usr/local/var/run/mirakurun.pid",
++ "pid_file": "/var/run/mirakurun.pid",
+ "exec_mode": "fork",
+ "autorestart": true,
+ "env": {
+diff --git a/src/Mirakurun/config.ts b/src/Mirakurun/config.ts
+index 0b8a1a2..ff02fda 100644
+--- a/src/Mirakurun/config.ts
++++ b/src/Mirakurun/config.ts
+@@ -146,6 +146,7 @@ export function loadServer(): Server {
+ fs.copyFileSync("config/server.win32.yml", path);
+ } else {
+ fs.copyFileSync("config/server.yml", path);
++ fs.chmodSync(path, 0o644);
+ }
+ } catch (e) {
+ log.fatal("failed to copy server config to `%s`", path);
+@@ -300,6 +301,7 @@ export function loadTuners(): Tuner[] {
+ fs.copyFileSync("config/tuners.win32.yml", path);
+ } else {
+ fs.copyFileSync("config/tuners.yml", path);
++ fs.chmodSync(path, 0o644);
+ }
+ } catch (e) {
+ log.fatal("failed to copy tuners config to `%s`", path);
+@@ -342,6 +344,7 @@ export function loadChannels(): Channel[] {
+ fs.copyFileSync("config/channels.win32.yml", path);
+ } else {
+ fs.copyFileSync("config/channels.yml", path);
++ fs.chmodSync(path, 0o644);
+ }
+ } catch (e) {
+ log.fatal("failed to copy channels config to `%s`", path);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/package.json b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/package.json
new file mode 100644
index 00000000000..5188a7559c5
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/package.json
@@ -0,0 +1,129 @@
+{
+ "name": "mirakurun",
+ "preferGlobal": true,
+ "description": "Japanese DTV Tuner Server Service.",
+ "version": "3.8.0",
+ "homepage": "https://github.com/Chinachu/Mirakurun",
+ "keywords": [
+ "mirakurun",
+ "chinachu",
+ "rivarun",
+ "arib",
+ "isdb",
+ "dvb",
+ "dvr",
+ "dtv",
+ "tv"
+ ],
+ "author": {
+ "name": "kanreisa",
+ "url": "https://github.com/kanreisa"
+ },
+ "contributors": [
+ "rndomhack"
+ ],
+ "repository": {
+ "type": "git",
+ "url": "https://github.com/Chinachu/Mirakurun.git"
+ },
+ "bugs": {
+ "url": "https://github.com/Chinachu/Mirakurun/issues"
+ },
+ "license": "Apache-2.0",
+ "bin": {
+ "mirakurun": "bin/cli.sh",
+ "mirakurun-epgdump": "bin/epgdump.js"
+ },
+ "main": "lib/client.js",
+ "scripts": {
+ "start": "node -r source-map-support/register lib/server.js",
+ "debug": "node -r source-map-support/register --inspect=0.0.0.0:9229 lib/server.js",
+ "start.win32": "node.exe -r source-map-support/register bin/init.win32.js",
+ "debug.win32": "node.exe -r source-map-support/register --inspect bin/init.win32.js",
+ "build": "tslint --project . && tsc --declaration && webpack",
+ "watch": "tsc -w --declaration",
+ "watch-webpack": "webpack -w",
+ "test": "tslint --project . && mocha --exit test/*.spec.js",
+ "clean": "rimraf lib/*",
+ "prepublishOnly": "npm run clean && npm run build",
+ "preinstall": "node bin/preinstall.js",
+ "postinstall": "node bin/postinstall.js && opencollective-postinstall",
+ "preuninstall": "node bin/preuninstall.js",
+ "docker-build": "docker-compose -f docker/docker-compose.yml build",
+ "docker-run": "docker-compose -f docker/docker-compose.yml run --rm --service-ports mirakurun",
+ "docker-debug": "docker-compose -f docker/docker-compose.yml run --rm --service-ports -e DEBUG=true mirakurun"
+ },
+ "directories": {
+ "doc": "doc",
+ "lib": "lib"
+ },
+ "dependencies": {
+ "@fluentui/react": "8.27.0",
+ "aribts": "^1.3.5",
+ "colors": "^1.4.0",
+ "cors": "^2.8.5",
+ "dotenv": "^8.6.0",
+ "eventemitter3": "^4.0.7",
+ "express": "^4.17.1",
+ "express-openapi": "^8.0.0",
+ "glob": "^7.1.7",
+ "ip": "^1.1.4",
+ "js-yaml": "^4.1.0",
+ "latest-version": "^5.1.0",
+ "morgan": "^1.10.0",
+ "openapi-types": "^7.2.3",
+ "opencollective": "^1.0.3",
+ "opencollective-postinstall": "^2.0.3",
+ "promise-queue": "^2.2.3",
+ "react": "^17.0.2",
+ "react-dom": "^17.0.2",
+ "semver": "^7.3.5",
+ "sift": "^7.0.1",
+ "source-map-support": "^0.5.19",
+ "stream-http": "^3.2.0",
+ "swagger-ui-dist": "3.51.2",
+ "tail": "^2.2.3"
+ },
+ "devDependencies": {
+ "@types/cors": "^2.8.12",
+ "@types/express": "^4.17.13",
+ "@types/ip": "^1.1.0",
+ "@types/js-yaml": "^4.0.2",
+ "@types/morgan": "^1.9.3",
+ "@types/node": "^12.20.17",
+ "@types/promise-queue": "^2.2.0",
+ "@types/react": "^17.0.14",
+ "@types/react-dom": "^17.0.9",
+ "buffer": "^6.0.3",
+ "copy-webpack-plugin": "^9.0.1",
+ "css-loader": "5.2.7",
+ "mocha": "^8.4.0",
+ "process": "^0.11.10",
+ "rimraf": "^3.0.2",
+ "style-loader": "^2.0.0",
+ "ts-loader": "^9.2.3",
+ "tslint": "^6.1.3",
+ "tslint-config-prettier": "^1.18.0",
+ "typescript": "^4.3.5",
+ "url": "^0.11.0",
+ "webpack": "5.48.0",
+ "webpack-cli": "^4.7.2"
+ },
+ "engines": {
+ "node": "^12 || ^14 || ^16"
+ },
+ "engineStrict": true,
+ "os": [
+ "linux",
+ "darwin",
+ "win32"
+ ],
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/Mirakurun"
+ },
+ "collective": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/Mirakurun"
+ }
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/update.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/update.nix
new file mode 100644
index 00000000000..ccea2279783
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/update.nix
@@ -0,0 +1,55 @@
+{ pname
+, version
+, homepage
+, lib
+, common-updater-scripts
+, genericUpdater
+, writers
+, jq
+, yarn
+, yarn2nix
+}:
+
+let
+ updater = genericUpdater {
+ inherit pname version;
+ attrPath = lib.toLower pname;
+
+ # exclude prerelease versions
+ versionLister = writers.writeBash "list-mirakurun-versions" ''
+ ${common-updater-scripts}/bin/list-git-tags ${homepage} \
+ | grep '^[0-9]\+\.[0-9]\+\.[0-9]\+$'
+ '';
+ };
+ updateScript = builtins.elemAt updater 0;
+ updateArgs = map (lib.escapeShellArg) (builtins.tail updater);
+in writers.writeBash "update-mirakurun" ''
+ set -euxo pipefail
+
+ WORKDIR="$(mktemp -d)"
+ cleanup() {
+ rm -rf "$WORKDIR"
+ }
+ trap cleanup EXIT
+
+ # bump the version
+ ${updateScript} ${lib.concatStringsSep " " updateArgs}
+
+ # Get the path to the latest source. Note that we can't just pass the value
+ # of mirakurun.src directly because it'd be evaluated before we can run
+ # updateScript.
+ SRC="$(nix-build "${toString ../../../..}" --no-out-link -A mirakurun.src)"
+ if [[ "${version}" == "$(${jq}/bin/jq -r .version "$SRC/package.json")" ]]; then
+ echo "[INFO] Already using the latest version of ${pname}" >&2
+ exit
+ fi
+
+ cd "$WORKDIR"
+
+ cp "$SRC/package.json" package.json
+ "${yarn}/bin/yarn" install --ignore-scripts
+
+ "${yarn2nix}/bin/yarn2nix" > "${toString ./.}/yarn.nix"
+ cp yarn.lock "${toString ./.}/yarn.lock"
+ cp package.json "${toString ./.}/package.json"
+''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/yarn.lock b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/yarn.lock
new file mode 100644
index 00000000000..1ec1c418445
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/yarn.lock
@@ -0,0 +1,3232 @@
+# THIS IS AN AUTOGENERATED FILE. DO NOT EDIT THIS FILE DIRECTLY.
+# yarn lockfile v1
+
+
+"@babel/code-frame@^7.0.0":
+ version "7.14.5"
+ resolved "https://registry.yarnpkg.com/@babel/code-frame/-/code-frame-7.14.5.tgz#23b08d740e83f49c5e59945fbf1b43e80bbf4edb"
+ integrity sha512-9pzDqyc6OLDaqe+zbACgFkb6fKMNG6CObKpnYXChRsvYGyEdc7CA2BaqeOM+vOtCS5ndmJicPJhKAwYRI6UfFw==
+ dependencies:
+ "@babel/highlight" "^7.14.5"
+
+"@babel/helper-validator-identifier@^7.14.5":
+ version "7.14.9"
+ resolved "https://registry.yarnpkg.com/@babel/helper-validator-identifier/-/helper-validator-identifier-7.14.9.tgz#6654d171b2024f6d8ee151bf2509699919131d48"
+ integrity sha512-pQYxPY0UP6IHISRitNe8bsijHex4TWZXi2HwKVsjPiltzlhse2znVcm9Ace510VT1kxIHjGJCZZQBX2gJDbo0g==
+
+"@babel/highlight@^7.14.5":
+ version "7.14.5"
+ resolved "https://registry.yarnpkg.com/@babel/highlight/-/highlight-7.14.5.tgz#6861a52f03966405001f6aa534a01a24d99e8cd9"
+ integrity sha512-qf9u2WFWVV0MppaL877j2dBtQIDgmidgjGk5VIMw3OadXvYaXn66U1BFlH2t4+t3i+8PhedppRv+i40ABzd+gg==
+ dependencies:
+ "@babel/helper-validator-identifier" "^7.14.5"
+ chalk "^2.0.0"
+ js-tokens "^4.0.0"
+
+"@discoveryjs/json-ext@^0.5.0":
+ version "0.5.3"
+ resolved "https://registry.yarnpkg.com/@discoveryjs/json-ext/-/json-ext-0.5.3.tgz#90420f9f9c6d3987f176a19a7d8e764271a2f55d"
+ integrity sha512-Fxt+AfXgjMoin2maPIYzFZnQjAXjAL0PHscM5pRTtatFqB+vZxAM9tLp2Optnuw3QOQC40jTNeGYFOMvyf7v9g==
+
+"@fluentui/date-time-utilities@^8.2.2":
+ version "8.2.2"
+ resolved "https://registry.yarnpkg.com/@fluentui/date-time-utilities/-/date-time-utilities-8.2.2.tgz#535d5bb6ee7ccfa8cc774c790e31d3d5d4edbad6"
+ integrity sha512-djHrX/38ty+F93qLQjzmRzPzK598CW9g/RPhQH6GyrFBLPSWM1swYKB5TP6E7FrIf+fT4pVqrNUSYZhgi2rrOQ==
+ dependencies:
+ "@fluentui/set-version" "^8.1.4"
+ tslib "^2.1.0"
+
+"@fluentui/dom-utilities@^2.1.4":
+ version "2.1.4"
+ resolved "https://registry.yarnpkg.com/@fluentui/dom-utilities/-/dom-utilities-2.1.4.tgz#a8eeaf906cc19f547ae40c662d2776cb2540ea11"
+ integrity sha512-+gsAnEjgoKB37o+tsMdSLtgqZ9z2PzpvnHx/2IqhRWjQQd7Xc7MbQsbZaQ5qfkioFHLnWGc/+WORpqKPy/sWrg==
+ dependencies:
+ "@fluentui/set-version" "^8.1.4"
+ tslib "^2.1.0"
+
+"@fluentui/font-icons-mdl2@^8.1.8":
+ version "8.1.11"
+ resolved "https://registry.yarnpkg.com/@fluentui/font-icons-mdl2/-/font-icons-mdl2-8.1.11.tgz#ba974aa5e1fd219a96f3b664d3b9a18956d39bab"
+ integrity sha512-R9ZBsoacKe91VcB+5D3u+AG9Au1snJ9jUItbWjTVJ+fIYXSZ01XB4Rmj/P0H+giUwFxYVpjOy0ybF2JLA6MddA==
+ dependencies:
+ "@fluentui/set-version" "^8.1.4"
+ "@fluentui/style-utilities" "^8.3.2"
+ tslib "^2.1.0"
+
+"@fluentui/foundation-legacy@^8.1.8":
+ version "8.1.11"
+ resolved "https://registry.yarnpkg.com/@fluentui/foundation-legacy/-/foundation-legacy-8.1.11.tgz#a7c51037af8bb58894bc769db16663b991084f8b"
+ integrity sha512-1Yyvk9gk4SoVuhmYi4tjRnEK6edz6juNw1mVi9mTacPB460KrtCbRswcE+IhVQJZCYAljlv4GQcob7J3CwCoyA==
+ dependencies:
+ "@fluentui/merge-styles" "^8.1.5"
+ "@fluentui/set-version" "^8.1.4"
+ "@fluentui/style-utilities" "^8.3.2"
+ "@fluentui/utilities" "^8.3.2"
+ tslib "^2.1.0"
+
+"@fluentui/keyboard-key@^0.3.4":
+ version "0.3.4"
+ resolved "https://registry.yarnpkg.com/@fluentui/keyboard-key/-/keyboard-key-0.3.4.tgz#27c95ea9d43d91cc9c64c318feb10986250584cd"
+ integrity sha512-pVY2m3IC5+LLmMzsaPApX9eKTzpOzdgQwrR3FNTE6mGx3N/+QWYM7fdF+T1ldZQt87dCRSeQnmAo5kqjtxeA/w==
+ dependencies:
+ tslib "^2.1.0"
+
+"@fluentui/merge-styles@^8.1.4", "@fluentui/merge-styles@^8.1.5":
+ version "8.1.5"
+ resolved "https://registry.yarnpkg.com/@fluentui/merge-styles/-/merge-styles-8.1.5.tgz#f5d5c4bd547aa41311f970e652a512a1c5a5bfb3"
+ integrity sha512-hmEb5LnOxCTpM/6oJQJI0w5AlYzwrceozPgsMdOF5BuT5MkXPlXLK3L2auzXGNYHkoGiouH61ImsS/TSM0mV/g==
+ dependencies:
+ "@fluentui/set-version" "^8.1.4"
+ tslib "^2.1.0"
+
+"@fluentui/react-focus@^8.1.10":
+ version "8.2.2"
+ resolved "https://registry.yarnpkg.com/@fluentui/react-focus/-/react-focus-8.2.2.tgz#74231170eeb02ce1798ed8ceb72a0fcdcf89a337"
+ integrity sha512-cmWPphKuFFPqvxyjmhH4r1v5lw8D3HytSgn/LaMQEHhT6RGuLLnx17QDZBUYCrZ0vyBf3nGnO1lsw+EGGsc1SQ==
+ dependencies:
+ "@fluentui/keyboard-key" "^0.3.4"
+ "@fluentui/merge-styles" "^8.1.5"
+ "@fluentui/set-version" "^8.1.4"
+ "@fluentui/style-utilities" "^8.3.2"
+ "@fluentui/utilities" "^8.3.2"
+ tslib "^2.1.0"
+
+"@fluentui/react-hooks@^8.2.6":
+ version "8.3.2"
+ resolved "https://registry.yarnpkg.com/@fluentui/react-hooks/-/react-hooks-8.3.2.tgz#fb6e900a0ecbada116f52cc2df8628e7c54a9fa9"
+ integrity sha512-mGmDCaUjavYj4Bv/IPoNix4HMXX2ZPnPMfyH5X0QjiFEeSuOFlIM6By0sV6Vf30dsjoHNdUUsU461axtFTRVsg==
+ dependencies:
+ "@fluentui/react-window-provider" "^2.1.4"
+ "@fluentui/set-version" "^8.1.4"
+ "@fluentui/utilities" "^8.3.2"
+ tslib "^2.1.0"
+
+"@fluentui/react-window-provider@^2.1.4":
+ version "2.1.4"
+ resolved "https://registry.yarnpkg.com/@fluentui/react-window-provider/-/react-window-provider-2.1.4.tgz#2e8368fd85f9e10062c726b060b146ffc1f916b3"
+ integrity sha512-RztmJ7ol2eMDr3NCs2OcAA1cQjZdPPUEa4aurgh4Aq+JM/BiY0aK6S4SeFtVD7F8Q7PBOz/xwOG4HlnSMQtlsg==
+ dependencies:
+ "@fluentui/set-version" "^8.1.4"
+ tslib "^2.1.0"
+
+"@fluentui/react@8.27.0":
+ version "8.27.0"
+ resolved "https://registry.yarnpkg.com/@fluentui/react/-/react-8.27.0.tgz#dc41d11eed9b217ff0d3ad5ace85e92281f855e3"
+ integrity sha512-5LSh5XVU3qy6nY949jxS3BwF7UZA6jGjcH9JOTosgtxuHZUIXkzfZlT7fyt5xp+27B1B5ro9K9u2pDjItDHVHg==
+ dependencies:
+ "@fluentui/date-time-utilities" "^8.2.2"
+ "@fluentui/font-icons-mdl2" "^8.1.8"
+ "@fluentui/foundation-legacy" "^8.1.8"
+ "@fluentui/merge-styles" "^8.1.4"
+ "@fluentui/react-focus" "^8.1.10"
+ "@fluentui/react-hooks" "^8.2.6"
+ "@fluentui/react-window-provider" "^2.1.4"
+ "@fluentui/set-version" "^8.1.4"
+ "@fluentui/style-utilities" "^8.2.2"
+ "@fluentui/theme" "^2.2.1"
+ "@fluentui/utilities" "^8.2.2"
+ "@microsoft/load-themed-styles" "^1.10.26"
+ tslib "^2.1.0"
+
+"@fluentui/set-version@^8.1.4":
+ version "8.1.4"
+ resolved "https://registry.yarnpkg.com/@fluentui/set-version/-/set-version-8.1.4.tgz#89fa88223f421981427dfd5372d46210045354e8"
+ integrity sha512-2otMyJ+s+W+hjBD4BKjwYKKinJUDeIKYKz93qKrrJS0i3fKfftNroy9dHFlIblZ7n747L334plLi3bzQO1bnvA==
+ dependencies:
+ tslib "^2.1.0"
+
+"@fluentui/style-utilities@^8.2.2", "@fluentui/style-utilities@^8.3.2":
+ version "8.3.2"
+ resolved "https://registry.yarnpkg.com/@fluentui/style-utilities/-/style-utilities-8.3.2.tgz#721a975e41996db24256064b02def921e201e323"
+ integrity sha512-AuP3IlnANCDfECAkcpP3bQaTaG8ZsS7yphPmA2zpLEyHHDS2QS1OWDyh2WvajwGkvjOCdWjo+eLEq6rE29JY6g==
+ dependencies:
+ "@fluentui/merge-styles" "^8.1.5"
+ "@fluentui/set-version" "^8.1.4"
+ "@fluentui/theme" "^2.3.2"
+ "@fluentui/utilities" "^8.3.2"
+ "@microsoft/load-themed-styles" "^1.10.26"
+ tslib "^2.1.0"
+
+"@fluentui/theme@^2.2.1", "@fluentui/theme@^2.3.2":
+ version "2.3.2"
+ resolved "https://registry.yarnpkg.com/@fluentui/theme/-/theme-2.3.2.tgz#9094fc8e52758c34a5f396be91a0219bb85111a7"
+ integrity sha512-ND6hOONR4wYNccdnvXre8i9cvd9ZZkfihIAGd7X+3+TobN6pMroFDfhygoU/ShaeX2Uy1BrKIpWHnIiokzuCBw==
+ dependencies:
+ "@fluentui/merge-styles" "^8.1.5"
+ "@fluentui/set-version" "^8.1.4"
+ "@fluentui/utilities" "^8.3.2"
+ tslib "^2.1.0"
+
+"@fluentui/utilities@^8.2.2", "@fluentui/utilities@^8.3.2":
+ version "8.3.2"
+ resolved "https://registry.yarnpkg.com/@fluentui/utilities/-/utilities-8.3.2.tgz#3378c17523d1833d6ba829bcd5d091aab03f59e5"
+ integrity sha512-XP/NG3jg8LqLzU139SuNzO01nu7IAizlnC9KWNkYxrV5Hc9pGrW/seKloc7F7RVK36Vr5l4gl3DXX9lhUZUP/Q==
+ dependencies:
+ "@fluentui/dom-utilities" "^2.1.4"
+ "@fluentui/merge-styles" "^8.1.5"
+ "@fluentui/set-version" "^8.1.4"
+ tslib "^2.1.0"
+
+"@microsoft/load-themed-styles@^1.10.26":
+ version "1.10.206"
+ resolved "https://registry.yarnpkg.com/@microsoft/load-themed-styles/-/load-themed-styles-1.10.206.tgz#9b18bb4cb5bcfd92e07d4323889731574ba6eb06"
+ integrity sha512-Q+oO5n0bZqrfC4SFD3b4oAE9RIC9QEncBmLTbFB0saen3xfvMpCKfcPwcGYGh3/hT6035Nmryur7ONthqh83ag==
+
+"@nodelib/fs.scandir@2.1.5":
+ version "2.1.5"
+ resolved "https://registry.yarnpkg.com/@nodelib/fs.scandir/-/fs.scandir-2.1.5.tgz#7619c2eb21b25483f6d167548b4cfd5a7488c3d5"
+ integrity sha512-vq24Bq3ym5HEQm2NKCr3yXDwjc7vTsEThRDnkp2DK9p1uqLR+DHurm/NOTo0KG7HYHU7eppKZj3MyqYuMBf62g==
+ dependencies:
+ "@nodelib/fs.stat" "2.0.5"
+ run-parallel "^1.1.9"
+
+"@nodelib/fs.stat@2.0.5", "@nodelib/fs.stat@^2.0.2":
+ version "2.0.5"
+ resolved "https://registry.yarnpkg.com/@nodelib/fs.stat/-/fs.stat-2.0.5.tgz#5bd262af94e9d25bd1e71b05deed44876a222e8b"
+ integrity sha512-RkhPPp2zrqDAQA/2jNhnztcPAlv64XdhIp7a7454A5ovI7Bukxgt7MX7udwAu3zg1DcpPU0rz3VV1SeaqvY4+A==
+
+"@nodelib/fs.walk@^1.2.3":
+ version "1.2.8"
+ resolved "https://registry.yarnpkg.com/@nodelib/fs.walk/-/fs.walk-1.2.8.tgz#e95737e8bb6746ddedf69c556953494f196fe69a"
+ integrity sha512-oGB+UxlgWcgQkgwo8GcEGwemoTFt3FIO9ababBmaGwXIoBKZ+GTy0pP185beGg7Llih/NSHSV2XAs1lnznocSg==
+ dependencies:
+ "@nodelib/fs.scandir" "2.1.5"
+ fastq "^1.6.0"
+
+"@sindresorhus/is@^0.14.0":
+ version "0.14.0"
+ resolved "https://registry.yarnpkg.com/@sindresorhus/is/-/is-0.14.0.tgz#9fb3a3cf3132328151f353de4632e01e52102bea"
+ integrity sha512-9NET910DNaIPngYnLLPeg+Ogzqsi9uM4mSboU5y6p8S5DzMTVEsJZrawi+BoDNUVBa2DhJqQYUFvMDfgU062LQ==
+
+"@szmarczak/http-timer@^1.1.2":
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/@szmarczak/http-timer/-/http-timer-1.1.2.tgz#b1665e2c461a2cd92f4c1bbf50d5454de0d4b421"
+ integrity sha512-XIB2XbzHTN6ieIjfIMV9hlVcfPU26s2vafYWQcZHWXHOxiaRZYEDKEwdl129Zyg50+foYV2jCgtrqSA6qNuNSA==
+ dependencies:
+ defer-to-connect "^1.0.1"
+
+"@types/body-parser@*":
+ version "1.19.1"
+ resolved "https://registry.yarnpkg.com/@types/body-parser/-/body-parser-1.19.1.tgz#0c0174c42a7d017b818303d4b5d969cb0b75929c"
+ integrity sha512-a6bTJ21vFOGIkwM0kzh9Yr89ziVxq4vYH2fQ6N8AeipEzai/cFK6aGMArIkUeIdRIgpwQa+2bXiLuUJCpSf2Cg==
+ dependencies:
+ "@types/connect" "*"
+ "@types/node" "*"
+
+"@types/connect@*":
+ version "3.4.35"
+ resolved "https://registry.yarnpkg.com/@types/connect/-/connect-3.4.35.tgz#5fcf6ae445e4021d1fc2219a4873cc73a3bb2ad1"
+ integrity sha512-cdeYyv4KWoEgpBISTxWvqYsVy444DOqehiF3fM3ne10AmJ62RSyNkUnxMJXHQWRQQX2eR94m5y1IZyDwBjV9FQ==
+ dependencies:
+ "@types/node" "*"
+
+"@types/cors@^2.8.12":
+ version "2.8.12"
+ resolved "https://registry.yarnpkg.com/@types/cors/-/cors-2.8.12.tgz#6b2c510a7ad7039e98e7b8d3d6598f4359e5c080"
+ integrity sha512-vt+kDhq/M2ayberEtJcIN/hxXy1Pk+59g2FV/ZQceeaTyCtCucjL2Q7FXlFjtWn4n15KCr1NE2lNNFhp0lEThw==
+
+"@types/eslint-scope@^3.7.0":
+ version "3.7.1"
+ resolved "https://registry.yarnpkg.com/@types/eslint-scope/-/eslint-scope-3.7.1.tgz#8dc390a7b4f9dd9f1284629efce982e41612116e"
+ integrity sha512-SCFeogqiptms4Fg29WpOTk5nHIzfpKCemSN63ksBQYKTcXoJEmJagV+DhVmbapZzY4/5YaOV1nZwrsU79fFm1g==
+ dependencies:
+ "@types/eslint" "*"
+ "@types/estree" "*"
+
+"@types/eslint@*":
+ version "7.28.0"
+ resolved "https://registry.yarnpkg.com/@types/eslint/-/eslint-7.28.0.tgz#7e41f2481d301c68e14f483fe10b017753ce8d5a"
+ integrity sha512-07XlgzX0YJUn4iG1ocY4IX9DzKSmMGUs6ESKlxWhZRaa0fatIWaHWUVapcuGa8r5HFnTqzj+4OCjd5f7EZ/i/A==
+ dependencies:
+ "@types/estree" "*"
+ "@types/json-schema" "*"
+
+"@types/estree@*", "@types/estree@^0.0.50":
+ version "0.0.50"
+ resolved "https://registry.yarnpkg.com/@types/estree/-/estree-0.0.50.tgz#1e0caa9364d3fccd2931c3ed96fdbeaa5d4cca83"
+ integrity sha512-C6N5s2ZFtuZRj54k2/zyRhNDjJwwcViAM3Nbm8zjBpbqAdZ00mr0CFxvSKeO8Y/e03WVFLpQMdHYVfUd6SB+Hw==
+
+"@types/express-serve-static-core@^4.17.18":
+ version "4.17.24"
+ resolved "https://registry.yarnpkg.com/@types/express-serve-static-core/-/express-serve-static-core-4.17.24.tgz#ea41f93bf7e0d59cd5a76665068ed6aab6815c07"
+ integrity sha512-3UJuW+Qxhzwjq3xhwXm2onQcFHn76frIYVbTu+kn24LFxI+dEhdfISDFovPB8VpEgW8oQCTpRuCe+0zJxB7NEA==
+ dependencies:
+ "@types/node" "*"
+ "@types/qs" "*"
+ "@types/range-parser" "*"
+
+"@types/express@^4.17.13":
+ version "4.17.13"
+ resolved "https://registry.yarnpkg.com/@types/express/-/express-4.17.13.tgz#a76e2995728999bab51a33fabce1d705a3709034"
+ integrity sha512-6bSZTPaTIACxn48l50SR+axgrqm6qXFIxrdAKaG6PaJk3+zuUr35hBlgT7vOmJcum+OEaIBLtHV/qloEAFITeA==
+ dependencies:
+ "@types/body-parser" "*"
+ "@types/express-serve-static-core" "^4.17.18"
+ "@types/qs" "*"
+ "@types/serve-static" "*"
+
+"@types/ip@^1.1.0":
+ version "1.1.0"
+ resolved "https://registry.yarnpkg.com/@types/ip/-/ip-1.1.0.tgz#aec4f5bfd49e4a4c53b590d88c36eb078827a7c0"
+ integrity sha512-dwNe8gOoF70VdL6WJBwVHtQmAX4RMd62M+mAB9HQFjG1/qiCLM/meRy95Pd14FYBbEDwCq7jgJs89cHpLBu4HQ==
+ dependencies:
+ "@types/node" "*"
+
+"@types/js-yaml@^4.0.2":
+ version "4.0.3"
+ resolved "https://registry.yarnpkg.com/@types/js-yaml/-/js-yaml-4.0.3.tgz#9f33cd6fbf0d5ec575dc8c8fc69c7fec1b4eb200"
+ integrity sha512-5t9BhoORasuF5uCPr+d5/hdB++zRFUTMIZOzbNkr+jZh3yQht4HYbRDyj9fY8n2TZT30iW9huzav73x4NikqWg==
+
+"@types/json-schema@*", "@types/json-schema@^7.0.8":
+ version "7.0.9"
+ resolved "https://registry.yarnpkg.com/@types/json-schema/-/json-schema-7.0.9.tgz#97edc9037ea0c38585320b28964dde3b39e4660d"
+ integrity sha512-qcUXuemtEu+E5wZSJHNxUXeCZhAfXKQ41D+duX+VYPde7xyEVZci+/oXKJL13tnRs9lR2pr4fod59GT6/X1/yQ==
+
+"@types/mime@^1":
+ version "1.3.2"
+ resolved "https://registry.yarnpkg.com/@types/mime/-/mime-1.3.2.tgz#93e25bf9ee75fe0fd80b594bc4feb0e862111b5a"
+ integrity sha512-YATxVxgRqNH6nHEIsvg6k2Boc1JHI9ZbH5iWFFv/MTkchz3b1ieGDa5T0a9RznNdI0KhVbdbWSN+KWWrQZRxTw==
+
+"@types/morgan@^1.9.3":
+ version "1.9.3"
+ resolved "https://registry.yarnpkg.com/@types/morgan/-/morgan-1.9.3.tgz#ae04180dff02c437312bc0cfb1e2960086b2f540"
+ integrity sha512-BiLcfVqGBZCyNCnCH3F4o2GmDLrpy0HeBVnNlyZG4fo88ZiE9SoiBe3C+2ezuwbjlEyT+PDZ17//TAlRxAn75Q==
+ dependencies:
+ "@types/node" "*"
+
+"@types/node@*":
+ version "16.7.10"
+ resolved "https://registry.yarnpkg.com/@types/node/-/node-16.7.10.tgz#7aa732cc47341c12a16b7d562f519c2383b6d4fc"
+ integrity sha512-S63Dlv4zIPb8x6MMTgDq5WWRJQe56iBEY0O3SOFA9JrRienkOVDXSXBjjJw6HTNQYSE2JI6GMCR6LVbIMHJVvA==
+
+"@types/node@^12.20.17":
+ version "12.20.23"
+ resolved "https://registry.yarnpkg.com/@types/node/-/node-12.20.23.tgz#d0d5885bb885ee9b1ed114a04ea586540a1b2e2a"
+ integrity sha512-FW0q7NI8UnjbKrJK8NGr6QXY69ATw9IFe6ItIo5yozPwA9DU/xkhiPddctUVyrmFXvyFYerYgQak/qu200UBDw==
+
+"@types/promise-queue@^2.2.0":
+ version "2.2.0"
+ resolved "https://registry.yarnpkg.com/@types/promise-queue/-/promise-queue-2.2.0.tgz#cdba35f1b2c0bd8aa2bf925c2b1ed02958067a0a"
+ integrity sha512-9QLtid6GxEWqpF+QImxBRG6bSVOHtpAm2kXuIyEvZBbSOupLvqhhJv8uaHbS8kUL8FDjzH3RWcSyC/52WOVtGw==
+
+"@types/prop-types@*":
+ version "15.7.4"
+ resolved "https://registry.yarnpkg.com/@types/prop-types/-/prop-types-15.7.4.tgz#fcf7205c25dff795ee79af1e30da2c9790808f11"
+ integrity sha512-rZ5drC/jWjrArrS8BR6SIr4cWpW09RNTYt9AMZo3Jwwif+iacXAqgVjm0B0Bv/S1jhDXKHqRVNCbACkJ89RAnQ==
+
+"@types/qs@*":
+ version "6.9.7"
+ resolved "https://registry.yarnpkg.com/@types/qs/-/qs-6.9.7.tgz#63bb7d067db107cc1e457c303bc25d511febf6cb"
+ integrity sha512-FGa1F62FT09qcrueBA6qYTrJPVDzah9a+493+o2PCXsesWHIn27G98TsSMs3WPNbZIEj4+VJf6saSFpvD+3Zsw==
+
+"@types/range-parser@*":
+ version "1.2.4"
+ resolved "https://registry.yarnpkg.com/@types/range-parser/-/range-parser-1.2.4.tgz#cd667bcfdd025213aafb7ca5915a932590acdcdc"
+ integrity sha512-EEhsLsD6UsDM1yFhAvy0Cjr6VwmpMWqFBCb9w07wVugF7w9nfajxLuVmngTIpgS6svCnm6Vaw+MZhoDCKnOfsw==
+
+"@types/react-dom@^17.0.9":
+ version "17.0.9"
+ resolved "https://registry.yarnpkg.com/@types/react-dom/-/react-dom-17.0.9.tgz#441a981da9d7be117042e1a6fd3dac4b30f55add"
+ integrity sha512-wIvGxLfgpVDSAMH5utdL9Ngm5Owu0VsGmldro3ORLXV8CShrL8awVj06NuEXFQ5xyaYfdca7Sgbk/50Ri1GdPg==
+ dependencies:
+ "@types/react" "*"
+
+"@types/react@*", "@types/react@^17.0.14":
+ version "17.0.20"
+ resolved "https://registry.yarnpkg.com/@types/react/-/react-17.0.20.tgz#a4284b184d47975c71658cd69e759b6bd37c3b8c"
+ integrity sha512-wWZrPlihslrPpcKyCSlmIlruakxr57/buQN1RjlIeaaTWDLtJkTtRW429MoQJergvVKc4IWBpRhWw7YNh/7GVA==
+ dependencies:
+ "@types/prop-types" "*"
+ "@types/scheduler" "*"
+ csstype "^3.0.2"
+
+"@types/scheduler@*":
+ version "0.16.2"
+ resolved "https://registry.yarnpkg.com/@types/scheduler/-/scheduler-0.16.2.tgz#1a62f89525723dde24ba1b01b092bf5df8ad4d39"
+ integrity sha512-hppQEBDmlwhFAXKJX2KnWLYu5yMfi91yazPb2l+lbJiwW+wdo1gNeRA+3RgNSO39WYX2euey41KEwnqesU2Jew==
+
+"@types/serve-static@*":
+ version "1.13.10"
+ resolved "https://registry.yarnpkg.com/@types/serve-static/-/serve-static-1.13.10.tgz#f5e0ce8797d2d7cc5ebeda48a52c96c4fa47a8d9"
+ integrity sha512-nCkHGI4w7ZgAdNkrEu0bv+4xNV/XDqW+DydknebMOQwkpDGx8G+HTlj7R7ABI8i8nKxVw0wtKPi1D+lPOkh4YQ==
+ dependencies:
+ "@types/mime" "^1"
+ "@types/node" "*"
+
+"@ungap/promise-all-settled@1.1.2":
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/@ungap/promise-all-settled/-/promise-all-settled-1.1.2.tgz#aa58042711d6e3275dd37dc597e5d31e8c290a44"
+ integrity sha512-sL/cEvJWAnClXw0wHk85/2L0G6Sj8UB0Ctc1TEMbKSsmpRosqhwj9gWgFRZSrBr2f9tiXISwNhCPmlfqUqyb9Q==
+
+"@webassemblyjs/ast@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/ast/-/ast-1.11.1.tgz#2bfd767eae1a6996f432ff7e8d7fc75679c0b6a7"
+ integrity sha512-ukBh14qFLjxTQNTXocdyksN5QdM28S1CxHt2rdskFyL+xFV7VremuBLVbmCePj+URalXBENx/9Lm7lnhihtCSw==
+ dependencies:
+ "@webassemblyjs/helper-numbers" "1.11.1"
+ "@webassemblyjs/helper-wasm-bytecode" "1.11.1"
+
+"@webassemblyjs/floating-point-hex-parser@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/floating-point-hex-parser/-/floating-point-hex-parser-1.11.1.tgz#f6c61a705f0fd7a6aecaa4e8198f23d9dc179e4f"
+ integrity sha512-iGRfyc5Bq+NnNuX8b5hwBrRjzf0ocrJPI6GWFodBFzmFnyvrQ83SHKhmilCU/8Jv67i4GJZBMhEzltxzcNagtQ==
+
+"@webassemblyjs/helper-api-error@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/helper-api-error/-/helper-api-error-1.11.1.tgz#1a63192d8788e5c012800ba6a7a46c705288fd16"
+ integrity sha512-RlhS8CBCXfRUR/cwo2ho9bkheSXG0+NwooXcc3PAILALf2QLdFyj7KGsKRbVc95hZnhnERon4kW/D3SZpp6Tcg==
+
+"@webassemblyjs/helper-buffer@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/helper-buffer/-/helper-buffer-1.11.1.tgz#832a900eb444884cde9a7cad467f81500f5e5ab5"
+ integrity sha512-gwikF65aDNeeXa8JxXa2BAk+REjSyhrNC9ZwdT0f8jc4dQQeDQ7G4m0f2QCLPJiMTTO6wfDmRmj/pW0PsUvIcA==
+
+"@webassemblyjs/helper-numbers@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/helper-numbers/-/helper-numbers-1.11.1.tgz#64d81da219fbbba1e3bd1bfc74f6e8c4e10a62ae"
+ integrity sha512-vDkbxiB8zfnPdNK9Rajcey5C0w+QJugEglN0of+kmO8l7lDb77AnlKYQF7aarZuCrv+l0UvqL+68gSDr3k9LPQ==
+ dependencies:
+ "@webassemblyjs/floating-point-hex-parser" "1.11.1"
+ "@webassemblyjs/helper-api-error" "1.11.1"
+ "@xtuc/long" "4.2.2"
+
+"@webassemblyjs/helper-wasm-bytecode@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/helper-wasm-bytecode/-/helper-wasm-bytecode-1.11.1.tgz#f328241e41e7b199d0b20c18e88429c4433295e1"
+ integrity sha512-PvpoOGiJwXeTrSf/qfudJhwlvDQxFgelbMqtq52WWiXC6Xgg1IREdngmPN3bs4RoO83PnL/nFrxucXj1+BX62Q==
+
+"@webassemblyjs/helper-wasm-section@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/helper-wasm-section/-/helper-wasm-section-1.11.1.tgz#21ee065a7b635f319e738f0dd73bfbda281c097a"
+ integrity sha512-10P9No29rYX1j7F3EVPX3JvGPQPae+AomuSTPiF9eBQeChHI6iqjMIwR9JmOJXwpnn/oVGDk7I5IlskuMwU/pg==
+ dependencies:
+ "@webassemblyjs/ast" "1.11.1"
+ "@webassemblyjs/helper-buffer" "1.11.1"
+ "@webassemblyjs/helper-wasm-bytecode" "1.11.1"
+ "@webassemblyjs/wasm-gen" "1.11.1"
+
+"@webassemblyjs/ieee754@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/ieee754/-/ieee754-1.11.1.tgz#963929e9bbd05709e7e12243a099180812992614"
+ integrity sha512-hJ87QIPtAMKbFq6CGTkZYJivEwZDbQUgYd3qKSadTNOhVY7p+gfP6Sr0lLRVTaG1JjFj+r3YchoqRYxNH3M0GQ==
+ dependencies:
+ "@xtuc/ieee754" "^1.2.0"
+
+"@webassemblyjs/leb128@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/leb128/-/leb128-1.11.1.tgz#ce814b45574e93d76bae1fb2644ab9cdd9527aa5"
+ integrity sha512-BJ2P0hNZ0u+Th1YZXJpzW6miwqQUGcIHT1G/sf72gLVD9DZ5AdYTqPNbHZh6K1M5VmKvFXwGSWZADz+qBWxeRw==
+ dependencies:
+ "@xtuc/long" "4.2.2"
+
+"@webassemblyjs/utf8@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/utf8/-/utf8-1.11.1.tgz#d1f8b764369e7c6e6bae350e854dec9a59f0a3ff"
+ integrity sha512-9kqcxAEdMhiwQkHpkNiorZzqpGrodQQ2IGrHHxCy+Ozng0ofyMA0lTqiLkVs1uzTRejX+/O0EOT7KxqVPuXosQ==
+
+"@webassemblyjs/wasm-edit@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/wasm-edit/-/wasm-edit-1.11.1.tgz#ad206ebf4bf95a058ce9880a8c092c5dec8193d6"
+ integrity sha512-g+RsupUC1aTHfR8CDgnsVRVZFJqdkFHpsHMfJuWQzWU3tvnLC07UqHICfP+4XyL2tnr1amvl1Sdp06TnYCmVkA==
+ dependencies:
+ "@webassemblyjs/ast" "1.11.1"
+ "@webassemblyjs/helper-buffer" "1.11.1"
+ "@webassemblyjs/helper-wasm-bytecode" "1.11.1"
+ "@webassemblyjs/helper-wasm-section" "1.11.1"
+ "@webassemblyjs/wasm-gen" "1.11.1"
+ "@webassemblyjs/wasm-opt" "1.11.1"
+ "@webassemblyjs/wasm-parser" "1.11.1"
+ "@webassemblyjs/wast-printer" "1.11.1"
+
+"@webassemblyjs/wasm-gen@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/wasm-gen/-/wasm-gen-1.11.1.tgz#86c5ea304849759b7d88c47a32f4f039ae3c8f76"
+ integrity sha512-F7QqKXwwNlMmsulj6+O7r4mmtAlCWfO/0HdgOxSklZfQcDu0TpLiD1mRt/zF25Bk59FIjEuGAIyn5ei4yMfLhA==
+ dependencies:
+ "@webassemblyjs/ast" "1.11.1"
+ "@webassemblyjs/helper-wasm-bytecode" "1.11.1"
+ "@webassemblyjs/ieee754" "1.11.1"
+ "@webassemblyjs/leb128" "1.11.1"
+ "@webassemblyjs/utf8" "1.11.1"
+
+"@webassemblyjs/wasm-opt@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/wasm-opt/-/wasm-opt-1.11.1.tgz#657b4c2202f4cf3b345f8a4c6461c8c2418985f2"
+ integrity sha512-VqnkNqnZlU5EB64pp1l7hdm3hmQw7Vgqa0KF/KCNO9sIpI6Fk6brDEiX+iCOYrvMuBWDws0NkTOxYEb85XQHHw==
+ dependencies:
+ "@webassemblyjs/ast" "1.11.1"
+ "@webassemblyjs/helper-buffer" "1.11.1"
+ "@webassemblyjs/wasm-gen" "1.11.1"
+ "@webassemblyjs/wasm-parser" "1.11.1"
+
+"@webassemblyjs/wasm-parser@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/wasm-parser/-/wasm-parser-1.11.1.tgz#86ca734534f417e9bd3c67c7a1c75d8be41fb199"
+ integrity sha512-rrBujw+dJu32gYB7/Lup6UhdkPx9S9SnobZzRVL7VcBH9Bt9bCBLEuX/YXOOtBsOZ4NQrRykKhffRWHvigQvOA==
+ dependencies:
+ "@webassemblyjs/ast" "1.11.1"
+ "@webassemblyjs/helper-api-error" "1.11.1"
+ "@webassemblyjs/helper-wasm-bytecode" "1.11.1"
+ "@webassemblyjs/ieee754" "1.11.1"
+ "@webassemblyjs/leb128" "1.11.1"
+ "@webassemblyjs/utf8" "1.11.1"
+
+"@webassemblyjs/wast-printer@1.11.1":
+ version "1.11.1"
+ resolved "https://registry.yarnpkg.com/@webassemblyjs/wast-printer/-/wast-printer-1.11.1.tgz#d0c73beda8eec5426f10ae8ef55cee5e7084c2f0"
+ integrity sha512-IQboUWM4eKzWW+N/jij2sRatKMh99QEelo3Eb2q0qXkvPRISAj8Qxtmw5itwqK+TTkBuUIE45AxYPToqPtL5gg==
+ dependencies:
+ "@webassemblyjs/ast" "1.11.1"
+ "@xtuc/long" "4.2.2"
+
+"@webpack-cli/configtest@^1.0.4":
+ version "1.0.4"
+ resolved "https://registry.yarnpkg.com/@webpack-cli/configtest/-/configtest-1.0.4.tgz#f03ce6311c0883a83d04569e2c03c6238316d2aa"
+ integrity sha512-cs3XLy+UcxiP6bj0A6u7MLLuwdXJ1c3Dtc0RkKg+wiI1g/Ti1om8+/2hc2A2B60NbBNAbMgyBMHvyymWm/j4wQ==
+
+"@webpack-cli/info@^1.3.0":
+ version "1.3.0"
+ resolved "https://registry.yarnpkg.com/@webpack-cli/info/-/info-1.3.0.tgz#9d78a31101a960997a4acd41ffd9b9300627fe2b"
+ integrity sha512-ASiVB3t9LOKHs5DyVUcxpraBXDOKubYu/ihHhU+t1UPpxsivg6Od2E2qU4gJCekfEddzRBzHhzA/Acyw/mlK/w==
+ dependencies:
+ envinfo "^7.7.3"
+
+"@webpack-cli/serve@^1.5.2":
+ version "1.5.2"
+ resolved "https://registry.yarnpkg.com/@webpack-cli/serve/-/serve-1.5.2.tgz#ea584b637ff63c5a477f6f21604b5a205b72c9ec"
+ integrity sha512-vgJ5OLWadI8aKjDlOH3rb+dYyPd2GTZuQC/Tihjct6F9GpXGZINo3Y/IVuZVTM1eDQB+/AOsjPUWH/WySDaXvw==
+
+"@xtuc/ieee754@^1.2.0":
+ version "1.2.0"
+ resolved "https://registry.yarnpkg.com/@xtuc/ieee754/-/ieee754-1.2.0.tgz#eef014a3145ae477a1cbc00cd1e552336dceb790"
+ integrity sha512-DX8nKgqcGwsc0eJSqYt5lwP4DH5FlHnmuWWBRy7X0NcaGR0ZtuyeESgMwTYVEtxmsNGY+qit4QYT/MIYTOTPeA==
+
+"@xtuc/long@4.2.2":
+ version "4.2.2"
+ resolved "https://registry.yarnpkg.com/@xtuc/long/-/long-4.2.2.tgz#d291c6a4e97989b5c61d9acf396ae4fe133a718d"
+ integrity sha512-NuHqBY1PB/D8xU6s/thBgOAiAP7HOYDQ32+BFZILJ8ivkUkAHQnWfn6WhL79Owj1qmUnoN/YPhktdIoucipkAQ==
+
+accepts@~1.3.7:
+ version "1.3.7"
+ resolved "https://registry.yarnpkg.com/accepts/-/accepts-1.3.7.tgz#531bc726517a3b2b41f850021c6cc15eaab507cd"
+ integrity sha512-Il80Qs2WjYlJIBNzNkK6KYqlVMTbZLXgHx2oT0pU/fjRHyEp+PEfEPY0R3WCwAGVOtauxh1hOxNgIf5bv7dQpA==
+ dependencies:
+ mime-types "~2.1.24"
+ negotiator "0.6.2"
+
+acorn-import-assertions@^1.7.6:
+ version "1.7.6"
+ resolved "https://registry.yarnpkg.com/acorn-import-assertions/-/acorn-import-assertions-1.7.6.tgz#580e3ffcae6770eebeec76c3b9723201e9d01f78"
+ integrity sha512-FlVvVFA1TX6l3lp8VjDnYYq7R1nyW6x3svAt4nDgrWQ9SBaSh9CnbwgSUTasgfNfOG5HlM1ehugCvM+hjo56LA==
+
+acorn@^8.4.1:
+ version "8.4.1"
+ resolved "https://registry.yarnpkg.com/acorn/-/acorn-8.4.1.tgz#56c36251fc7cabc7096adc18f05afe814321a28c"
+ integrity sha512-asabaBSkEKosYKMITunzX177CXxQ4Q8BSSzMTKD+FefUhipQC70gfW5SiUDhYQ3vk8G+81HqQk7Fv9OXwwn9KA==
+
+ajv-keywords@^3.5.2:
+ version "3.5.2"
+ resolved "https://registry.yarnpkg.com/ajv-keywords/-/ajv-keywords-3.5.2.tgz#31f29da5ab6e00d1c2d329acf7b5929614d5014d"
+ integrity sha512-5p6WTN0DdTGVQk6VjcEju19IgaHudalcfabD7yhDGeA6bcQnmL+CpveLJq/3hvfwd1aof6L386Ougkx6RfyMIQ==
+
+ajv@^6.12.5, ajv@^6.5.2, ajv@^6.5.4:
+ version "6.12.6"
+ resolved "https://registry.yarnpkg.com/ajv/-/ajv-6.12.6.tgz#baf5a62e802b07d977034586f8c3baf5adf26df4"
+ integrity sha512-j3fVLgvTo527anyYyJOGTYJbG+vnnQYvE0m5mmkc1TK+nxAppkCLMIL0aZ4dblVCNoGShhm+kzE4ZUykBoMg4g==
+ dependencies:
+ fast-deep-equal "^3.1.1"
+ fast-json-stable-stringify "^2.0.0"
+ json-schema-traverse "^0.4.1"
+ uri-js "^4.2.2"
+
+ansi-colors@4.1.1:
+ version "4.1.1"
+ resolved "https://registry.yarnpkg.com/ansi-colors/-/ansi-colors-4.1.1.tgz#cbb9ae256bf750af1eab344f229aa27fe94ba348"
+ integrity sha512-JoX0apGbHaUJBNl6yF+p6JAFYZ666/hhCGKN5t9QFjbJQKUU/g8MNbFDbvfrgKXvI1QpZplPOnwIo99lX/AAmA==
+
+ansi-escapes@^1.1.0:
+ version "1.4.0"
+ resolved "https://registry.yarnpkg.com/ansi-escapes/-/ansi-escapes-1.4.0.tgz#d3a8a83b319aa67793662b13e761c7911422306e"
+ integrity sha1-06ioOzGapneTZisT52HHkRQiMG4=
+
+ansi-regex@^2.0.0:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-2.1.1.tgz#c3b33ab5ee360d86e0e628f0468ae7ef27d654df"
+ integrity sha1-w7M6te42DYbg5ijwRorn7yfWVN8=
+
+ansi-regex@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-3.0.0.tgz#ed0317c322064f79466c02966bddb605ab37d998"
+ integrity sha1-7QMXwyIGT3lGbAKWa922Bas32Zg=
+
+ansi-regex@^5.0.0:
+ version "5.0.0"
+ resolved "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-5.0.0.tgz#388539f55179bf39339c81af30a654d69f87cb75"
+ integrity sha512-bY6fj56OUQ0hU1KjFNDQuJFezqKdrAyFdIevADiqrWHwSlbmBNMHp5ak2f40Pm8JTFyM2mqxkG6ngkHO11f/lg==
+
+ansi-styles@^2.2.1:
+ version "2.2.1"
+ resolved "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-2.2.1.tgz#b432dd3358b634cf75e1e4664368240533c1ddbe"
+ integrity sha1-tDLdM1i2NM914eRmQ2gkBTPB3b4=
+
+ansi-styles@^3.2.1:
+ version "3.2.1"
+ resolved "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-3.2.1.tgz#41fbb20243e50b12be0f04b8dedbf07520ce841d"
+ integrity sha512-VT0ZI6kZRdTh8YyJw3SMbYm/u+NqfsAxEpWO0Pf9sq8/e94WxxOpPKx9FR1FlyCtOVDNOQ+8ntlqFxiRc+r5qA==
+ dependencies:
+ color-convert "^1.9.0"
+
+ansi-styles@^4.0.0, ansi-styles@^4.1.0:
+ version "4.3.0"
+ resolved "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-4.3.0.tgz#edd803628ae71c04c85ae7a0906edad34b648937"
+ integrity sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==
+ dependencies:
+ color-convert "^2.0.1"
+
+anymatch@~3.1.1:
+ version "3.1.2"
+ resolved "https://registry.yarnpkg.com/anymatch/-/anymatch-3.1.2.tgz#c0557c096af32f106198f4f4e2a383537e378716"
+ integrity sha512-P43ePfOAIupkguHUycrc4qJ9kz8ZiuOUijaETwX7THt0Y/GNK7v0aa8rY816xWjZ7rJdA5XdMcpVFTKMq+RvWg==
+ dependencies:
+ normalize-path "^3.0.0"
+ picomatch "^2.0.4"
+
+argparse@^1.0.7:
+ version "1.0.10"
+ resolved "https://registry.yarnpkg.com/argparse/-/argparse-1.0.10.tgz#bcd6791ea5ae09725e17e5ad988134cd40b3d911"
+ integrity sha512-o5Roy6tNG4SL/FOkCAN6RzjiakZS25RLYFrcMttJqbdd8BWrnA+fGz57iN5Pb06pvBGvl5gQ0B48dJlslXvoTg==
+ dependencies:
+ sprintf-js "~1.0.2"
+
+argparse@^2.0.1:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/argparse/-/argparse-2.0.1.tgz#246f50f3ca78a3240f6c997e8a9bd1eac49e4b38"
+ integrity sha512-8+9WqebbFzpX9OR+Wa6O29asIogeRMzcGtAINdpMHHyAg10f05aSFVBbcEqGf/PXw1EjAZ+q2/bEBg3DvurK3Q==
+
+aribts@^1.3.5:
+ version "1.3.5"
+ resolved "https://registry.yarnpkg.com/aribts/-/aribts-1.3.5.tgz#f986ba5afb1a8ff202435101544299fc9397baf5"
+ integrity sha512-fvDR4iYpZkbMqMbTfKynPGfpXDhFTxzZWSS7C3c70xQ8ElmFkjwVrg/NLcEA+R3s4Jz6mVrz/1vOLEAI+ycrSQ==
+ dependencies:
+ crc "^3.4.0"
+ iconv-lite "^0.4.13"
+
+array-flatten@1.1.1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/array-flatten/-/array-flatten-1.1.1.tgz#9a5f699051b1e7073328f2a008968b64ea2955d2"
+ integrity sha1-ml9pkFGx5wczKPKgCJaLZOopVdI=
+
+array-union@^2.1.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/array-union/-/array-union-2.1.0.tgz#b798420adbeb1de828d84acd8a2e23d3efe85e8d"
+ integrity sha512-HGyxoOTYUyCM6stUe6EJgnd4EoewAI7zMdfqO+kGjnlZmBDz/cR5pf8r/cR4Wq60sL/p0IkcjUEEPwS3GFrIyw==
+
+babel-polyfill@6.23.0:
+ version "6.23.0"
+ resolved "https://registry.yarnpkg.com/babel-polyfill/-/babel-polyfill-6.23.0.tgz#8364ca62df8eafb830499f699177466c3b03499d"
+ integrity sha1-g2TKYt+Or7gwSZ9pkXdGbDsDSZ0=
+ dependencies:
+ babel-runtime "^6.22.0"
+ core-js "^2.4.0"
+ regenerator-runtime "^0.10.0"
+
+babel-runtime@^6.22.0:
+ version "6.26.0"
+ resolved "https://registry.yarnpkg.com/babel-runtime/-/babel-runtime-6.26.0.tgz#965c7058668e82b55d7bfe04ff2337bc8b5647fe"
+ integrity sha1-llxwWGaOgrVde/4E/yM3vItWR/4=
+ dependencies:
+ core-js "^2.4.0"
+ regenerator-runtime "^0.11.0"
+
+balanced-match@^1.0.0:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/balanced-match/-/balanced-match-1.0.2.tgz#e83e3a7e3f300b34cb9d87f615fa0cbf357690ee"
+ integrity sha512-3oSeUO0TMV67hN1AmbXsK4yaqU7tjiHlbxRDZOpH0KW9+CeX4bRAaX0Anxt0tx2MrpRpWwQaPwIlISEJhYU5Pw==
+
+base64-js@^1.3.1:
+ version "1.5.1"
+ resolved "https://registry.yarnpkg.com/base64-js/-/base64-js-1.5.1.tgz#1b1b440160a5bf7ad40b650f095963481903930a"
+ integrity sha512-AKpaYlHn8t4SVbOHCy+b5+KKgvR4vrsD8vbvrbiQJps7fKDTkjkDry6ji0rUJjC0kzbNePLwzxq8iypo41qeWA==
+
+basic-auth@~2.0.1:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/basic-auth/-/basic-auth-2.0.1.tgz#b998279bf47ce38344b4f3cf916d4679bbf51e3a"
+ integrity sha512-NF+epuEdnUYVlGuhaxbbq+dvJttwLnGY+YixlXlME5KpQ5W3CnXA5cVTneY3SPbPDRkcjMbifrwmFYcClgOZeg==
+ dependencies:
+ safe-buffer "5.1.2"
+
+big.js@^5.2.2:
+ version "5.2.2"
+ resolved "https://registry.yarnpkg.com/big.js/-/big.js-5.2.2.tgz#65f0af382f578bcdc742bd9c281e9cb2d7768328"
+ integrity sha512-vyL2OymJxmarO8gxMr0mhChsO9QGwhynfuu4+MHTAW6czfq9humCB7rKpUjDd9YUiDPU4mzpyupFSvOClAwbmQ==
+
+binary-extensions@^2.0.0:
+ version "2.2.0"
+ resolved "https://registry.yarnpkg.com/binary-extensions/-/binary-extensions-2.2.0.tgz#75f502eeaf9ffde42fc98829645be4ea76bd9e2d"
+ integrity sha512-jDctJ/IVQbZoJykoeHbhXpOlNBqGNcwXJKJog42E5HDPUwQTSdjCHdihjj0DlnheQ7blbT6dHOafNAiS8ooQKA==
+
+body-parser@1.19.0:
+ version "1.19.0"
+ resolved "https://registry.yarnpkg.com/body-parser/-/body-parser-1.19.0.tgz#96b2709e57c9c4e09a6fd66a8fd979844f69f08a"
+ integrity sha512-dhEPs72UPbDnAQJ9ZKMNTP6ptJaionhP5cBb541nXPlW60Jepo9RV/a4fX4XWW9CuFNK22krhrj1+rgzifNCsw==
+ dependencies:
+ bytes "3.1.0"
+ content-type "~1.0.4"
+ debug "2.6.9"
+ depd "~1.1.2"
+ http-errors "1.7.2"
+ iconv-lite "0.4.24"
+ on-finished "~2.3.0"
+ qs "6.7.0"
+ raw-body "2.4.0"
+ type-is "~1.6.17"
+
+brace-expansion@^1.1.7:
+ version "1.1.11"
+ resolved "https://registry.yarnpkg.com/brace-expansion/-/brace-expansion-1.1.11.tgz#3c7fcbf529d87226f3d2f52b966ff5271eb441dd"
+ integrity sha512-iCuPHDFgrHX7H2vEI/5xpz07zSHB00TpugqhmYtVmMO6518mCuRMoOYFldEBl0g187ufozdaHgWKcYFb61qGiA==
+ dependencies:
+ balanced-match "^1.0.0"
+ concat-map "0.0.1"
+
+braces@^3.0.1, braces@~3.0.2:
+ version "3.0.2"
+ resolved "https://registry.yarnpkg.com/braces/-/braces-3.0.2.tgz#3454e1a462ee8d599e236df336cd9ea4f8afe107"
+ integrity sha512-b8um+L1RzM3WDSzvhm6gIz1yfTbBt6YTlcEKAvsmqCZZFw46z626lVj9j1yEPW33H5H+lBQpZMP1k8l+78Ha0A==
+ dependencies:
+ fill-range "^7.0.1"
+
+browser-stdout@1.3.1:
+ version "1.3.1"
+ resolved "https://registry.yarnpkg.com/browser-stdout/-/browser-stdout-1.3.1.tgz#baa559ee14ced73452229bad7326467c61fabd60"
+ integrity sha512-qhAVI1+Av2X7qelOfAIYwXONood6XlZE/fXaBSmW/T5SzLAmCgzi+eiWE7fUvbHaeNBQH13UftjpXxsfLkMpgw==
+
+browserslist@^4.14.5:
+ version "4.17.0"
+ resolved "https://registry.yarnpkg.com/browserslist/-/browserslist-4.17.0.tgz#1fcd81ec75b41d6d4994fb0831b92ac18c01649c"
+ integrity sha512-g2BJ2a0nEYvEFQC208q8mVAhfNwpZ5Mu8BwgtCdZKO3qx98HChmeg448fPdUzld8aFmfLgVh7yymqV+q1lJZ5g==
+ dependencies:
+ caniuse-lite "^1.0.30001254"
+ colorette "^1.3.0"
+ electron-to-chromium "^1.3.830"
+ escalade "^3.1.1"
+ node-releases "^1.1.75"
+
+buffer-from@^1.0.0:
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/buffer-from/-/buffer-from-1.1.2.tgz#2b146a6fd72e80b4f55d255f35ed59a3a9a41bd5"
+ integrity sha512-E+XQCRwSbaaiChtv6k6Dwgc+bx+Bs6vuKJHHl5kox/BaKbhiXzqQOwK4cO22yElGp2OCmjwVhT3HmxgyPGnJfQ==
+
+buffer@^5.1.0:
+ version "5.7.1"
+ resolved "https://registry.yarnpkg.com/buffer/-/buffer-5.7.1.tgz#ba62e7c13133053582197160851a8f648e99eed0"
+ integrity sha512-EHcyIPBQ4BSGlvjB16k5KgAJ27CIsHY/2JBmCRReo48y9rQ3MaUzWX3KVlBa4U7MyX02HdVj0K7C3WaB3ju7FQ==
+ dependencies:
+ base64-js "^1.3.1"
+ ieee754 "^1.1.13"
+
+buffer@^6.0.3:
+ version "6.0.3"
+ resolved "https://registry.yarnpkg.com/buffer/-/buffer-6.0.3.tgz#2ace578459cc8fbe2a70aaa8f52ee63b6a74c6c6"
+ integrity sha512-FTiCpNxtwiZZHEZbcbTIcZjERVICn9yq/pDFkTl95/AxzD1naBctN7YO68riM/gLSDY7sdrMby8hofADYuuqOA==
+ dependencies:
+ base64-js "^1.3.1"
+ ieee754 "^1.2.1"
+
+builtin-modules@^1.1.1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/builtin-modules/-/builtin-modules-1.1.1.tgz#270f076c5a72c02f5b65a47df94c5fe3a278892f"
+ integrity sha1-Jw8HbFpywC9bZaR9+Uxf46J4iS8=
+
+builtin-status-codes@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/builtin-status-codes/-/builtin-status-codes-3.0.0.tgz#85982878e21b98e1c66425e03d0174788f569ee8"
+ integrity sha1-hZgoeOIbmOHGZCXgPQF0eI9Wnug=
+
+bytes@3.1.0:
+ version "3.1.0"
+ resolved "https://registry.yarnpkg.com/bytes/-/bytes-3.1.0.tgz#f6cf7933a360e0588fa9fde85651cdc7f805d1f6"
+ integrity sha512-zauLjrfCG+xvoyaqLoV8bLVXXNGC4JqlxFCutSDWA6fJrTo2ZuvLYTqZ7aHBLZSMOopbzwv8f+wZcVzfVTI2Dg==
+
+cacheable-request@^6.0.0:
+ version "6.1.0"
+ resolved "https://registry.yarnpkg.com/cacheable-request/-/cacheable-request-6.1.0.tgz#20ffb8bd162ba4be11e9567d823db651052ca912"
+ integrity sha512-Oj3cAGPCqOZX7Rz64Uny2GYAZNliQSqfbePrgAQ1wKAihYmCUnraBtJtKcGR4xz7wF+LoJC+ssFZvv5BgF9Igg==
+ dependencies:
+ clone-response "^1.0.2"
+ get-stream "^5.1.0"
+ http-cache-semantics "^4.0.0"
+ keyv "^3.0.0"
+ lowercase-keys "^2.0.0"
+ normalize-url "^4.1.0"
+ responselike "^1.0.2"
+
+camelcase@^6.0.0:
+ version "6.2.0"
+ resolved "https://registry.yarnpkg.com/camelcase/-/camelcase-6.2.0.tgz#924af881c9d525ac9d87f40d964e5cea982a1809"
+ integrity sha512-c7wVvbw3f37nuobQNtgsgG9POC9qMbNuMQmTCqZv23b6MIz0fcYpBiOlv9gEN/hdLdnZTDQhg6e9Dq5M1vKvfg==
+
+caniuse-lite@^1.0.30001254:
+ version "1.0.30001254"
+ resolved "https://registry.yarnpkg.com/caniuse-lite/-/caniuse-lite-1.0.30001254.tgz#974d45e8b7f6e3b63d4b1435e97752717612d4b9"
+ integrity sha512-GxeHOvR0LFMYPmFGA+NiTOt9uwYDxB3h154tW2yBYwfz2EMX3i1IBgr6gmJGfU0K8KQsqPa5XqLD8zVdP5lUzA==
+
+chalk@1.1.3, chalk@^1.0.0:
+ version "1.1.3"
+ resolved "https://registry.yarnpkg.com/chalk/-/chalk-1.1.3.tgz#a8115c55e4a702fe4d150abd3872822a7e09fc98"
+ integrity sha1-qBFcVeSnAv5NFQq9OHKCKn4J/Jg=
+ dependencies:
+ ansi-styles "^2.2.1"
+ escape-string-regexp "^1.0.2"
+ has-ansi "^2.0.0"
+ strip-ansi "^3.0.0"
+ supports-color "^2.0.0"
+
+chalk@^2.0.0, chalk@^2.3.0:
+ version "2.4.2"
+ resolved "https://registry.yarnpkg.com/chalk/-/chalk-2.4.2.tgz#cd42541677a54333cf541a49108c1432b44c9424"
+ integrity sha512-Mti+f9lpJNcwF4tWV8/OrTTtF1gZi+f8FqlyAdouralcFWFQWF2+NgCHShjkCb+IFBLq9buZwE1xckQU4peSuQ==
+ dependencies:
+ ansi-styles "^3.2.1"
+ escape-string-regexp "^1.0.5"
+ supports-color "^5.3.0"
+
+chalk@^4.0.0, chalk@^4.1.0:
+ version "4.1.2"
+ resolved "https://registry.yarnpkg.com/chalk/-/chalk-4.1.2.tgz#aac4e2b7734a740867aeb16bf02aad556a1e7a01"
+ integrity sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==
+ dependencies:
+ ansi-styles "^4.1.0"
+ supports-color "^7.1.0"
+
+chardet@^0.4.0:
+ version "0.4.2"
+ resolved "https://registry.yarnpkg.com/chardet/-/chardet-0.4.2.tgz#b5473b33dc97c424e5d98dc87d55d4d8a29c8bf2"
+ integrity sha1-tUc7M9yXxCTl2Y3IfVXU2KKci/I=
+
+chokidar@3.5.1:
+ version "3.5.1"
+ resolved "https://registry.yarnpkg.com/chokidar/-/chokidar-3.5.1.tgz#ee9ce7bbebd2b79f49f304799d5468e31e14e68a"
+ integrity sha512-9+s+Od+W0VJJzawDma/gvBNQqkTiqYTWLuZoyAsivsI4AaWTCzHG06/TMjsf1cYe9Cb97UCEhjz7HvnPk2p/tw==
+ dependencies:
+ anymatch "~3.1.1"
+ braces "~3.0.2"
+ glob-parent "~5.1.0"
+ is-binary-path "~2.1.0"
+ is-glob "~4.0.1"
+ normalize-path "~3.0.0"
+ readdirp "~3.5.0"
+ optionalDependencies:
+ fsevents "~2.3.1"
+
+chrome-trace-event@^1.0.2:
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/chrome-trace-event/-/chrome-trace-event-1.0.3.tgz#1015eced4741e15d06664a957dbbf50d041e26ac"
+ integrity sha512-p3KULyQg4S7NIHixdwbGX+nFHkoBiA4YQmyWtjb8XngSKV124nJmRysgAeujbUVb15vh+RvFUfCPqU7rXk+hZg==
+
+cli-cursor@^2.1.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/cli-cursor/-/cli-cursor-2.1.0.tgz#b35dac376479facc3e94747d41d0d0f5238ffcb5"
+ integrity sha1-s12sN2R5+sw+lHR9QdDQ9SOP/LU=
+ dependencies:
+ restore-cursor "^2.0.0"
+
+cli-width@^2.0.0:
+ version "2.2.1"
+ resolved "https://registry.yarnpkg.com/cli-width/-/cli-width-2.2.1.tgz#b0433d0b4e9c847ef18868a4ef16fd5fc8271c48"
+ integrity sha512-GRMWDxpOB6Dgk2E5Uo+3eEBvtOOlimMmpbFiKuLFnQzYDavtLFY3K5ona41jgN/WdRZtG7utuVSVTL4HbZHGkw==
+
+cliui@^7.0.2:
+ version "7.0.4"
+ resolved "https://registry.yarnpkg.com/cliui/-/cliui-7.0.4.tgz#a0265ee655476fc807aea9df3df8df7783808b4f"
+ integrity sha512-OcRE68cOsVMXp1Yvonl/fzkQOyjLSu/8bhPDfQt0e0/Eb283TKP20Fs2MqoPsr9SwA595rRCA+QMzYc9nBP+JQ==
+ dependencies:
+ string-width "^4.2.0"
+ strip-ansi "^6.0.0"
+ wrap-ansi "^7.0.0"
+
+clone-deep@^4.0.1:
+ version "4.0.1"
+ resolved "https://registry.yarnpkg.com/clone-deep/-/clone-deep-4.0.1.tgz#c19fd9bdbbf85942b4fd979c84dcf7d5f07c2387"
+ integrity sha512-neHB9xuzh/wk0dIHweyAXv2aPGZIVk3pLMe+/RNzINf17fe0OG96QroktYAUm7SM1PBnzTabaLboqqxDyMU+SQ==
+ dependencies:
+ is-plain-object "^2.0.4"
+ kind-of "^6.0.2"
+ shallow-clone "^3.0.0"
+
+clone-response@^1.0.2:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/clone-response/-/clone-response-1.0.2.tgz#d1dc973920314df67fbeb94223b4ee350239e96b"
+ integrity sha1-0dyXOSAxTfZ/vrlCI7TuNQI56Ws=
+ dependencies:
+ mimic-response "^1.0.0"
+
+color-convert@^1.9.0:
+ version "1.9.3"
+ resolved "https://registry.yarnpkg.com/color-convert/-/color-convert-1.9.3.tgz#bb71850690e1f136567de629d2d5471deda4c1e8"
+ integrity sha512-QfAUtd+vFdAtFQcC8CCyYt1fYWxSqAiK2cSD6zDB8N3cpsEBAvRxp9zOGg6G/SHHJYAT88/az/IuDGALsNVbGg==
+ dependencies:
+ color-name "1.1.3"
+
+color-convert@^2.0.1:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/color-convert/-/color-convert-2.0.1.tgz#72d3a68d598c9bdb3af2ad1e84f21d896abd4de3"
+ integrity sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==
+ dependencies:
+ color-name "~1.1.4"
+
+color-name@1.1.3:
+ version "1.1.3"
+ resolved "https://registry.yarnpkg.com/color-name/-/color-name-1.1.3.tgz#a7d0558bd89c42f795dd42328f740831ca53bc25"
+ integrity sha1-p9BVi9icQveV3UIyj3QIMcpTvCU=
+
+color-name@~1.1.4:
+ version "1.1.4"
+ resolved "https://registry.yarnpkg.com/color-name/-/color-name-1.1.4.tgz#c2a09a87acbde69543de6f63fa3995c826c536a2"
+ integrity sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA==
+
+colorette@^1.2.1, colorette@^1.2.2, colorette@^1.3.0:
+ version "1.3.0"
+ resolved "https://registry.yarnpkg.com/colorette/-/colorette-1.3.0.tgz#ff45d2f0edb244069d3b772adeb04fed38d0a0af"
+ integrity sha512-ecORCqbSFP7Wm8Y6lyqMJjexBQqXSF7SSeaTyGGphogUjBlFP9m9o08wy86HL2uB7fMTxtOUzLMk7ogKcxMg1w==
+
+colors@^1.4.0:
+ version "1.4.0"
+ resolved "https://registry.yarnpkg.com/colors/-/colors-1.4.0.tgz#c50491479d4c1bdaed2c9ced32cf7c7dc2360f78"
+ integrity sha512-a+UqTh4kgZg/SlGvfbzDHpgRu7AAQOmmqRHJnxhRZICKFUT91brVhNNt58CMWU9PsBbv3PDCZUHbVxuDiH2mtA==
+
+commander@^2.12.1, commander@^2.20.0:
+ version "2.20.3"
+ resolved "https://registry.yarnpkg.com/commander/-/commander-2.20.3.tgz#fd485e84c03eb4881c20722ba48035e8531aeb33"
+ integrity sha512-GpVkmM8vF2vQUkj2LvZmD35JxeJOLCwJ9cUkugyk2nuhbv3+mJvpLYYt+0+USMxE+oj+ey/lJEnhZw75x/OMcQ==
+
+commander@^7.0.0:
+ version "7.2.0"
+ resolved "https://registry.yarnpkg.com/commander/-/commander-7.2.0.tgz#a36cb57d0b501ce108e4d20559a150a391d97ab7"
+ integrity sha512-QrWXB+ZQSVPmIWIhtEO9H+gwHaMGYiF5ChvoJ+K9ZGHG/sVsa6yiesAD1GC/x46sET00Xlwo1u49RVVVzvcSkw==
+
+concat-map@0.0.1:
+ version "0.0.1"
+ resolved "https://registry.yarnpkg.com/concat-map/-/concat-map-0.0.1.tgz#d8a96bd77fd68df7793a73036a3ba0d5405d477b"
+ integrity sha1-2Klr13/Wjfd5OnMDajug1UBdR3s=
+
+content-disposition@0.5.3:
+ version "0.5.3"
+ resolved "https://registry.yarnpkg.com/content-disposition/-/content-disposition-0.5.3.tgz#e130caf7e7279087c5616c2007d0485698984fbd"
+ integrity sha512-ExO0774ikEObIAEV9kDo50o+79VCUdEB6n6lzKgGwupcVeRlhrj3qGAfwq8G6uBJjkqLrhT0qEYFcWng8z1z0g==
+ dependencies:
+ safe-buffer "5.1.2"
+
+content-type@^1.0.4, content-type@~1.0.4:
+ version "1.0.4"
+ resolved "https://registry.yarnpkg.com/content-type/-/content-type-1.0.4.tgz#e138cc75e040c727b1966fe5e5f8c9aee256fe3b"
+ integrity sha512-hIP3EEPs8tB9AT1L+NUqtwOAps4mk2Zob89MWXMHjHWg9milF/j4osnnQLXBCBFBk/tvIG/tUc9mOUJiPBhPXA==
+
+cookie-signature@1.0.6:
+ version "1.0.6"
+ resolved "https://registry.yarnpkg.com/cookie-signature/-/cookie-signature-1.0.6.tgz#e303a882b342cc3ee8ca513a79999734dab3ae2c"
+ integrity sha1-4wOogrNCzD7oylE6eZmXNNqzriw=
+
+cookie@0.4.0:
+ version "0.4.0"
+ resolved "https://registry.yarnpkg.com/cookie/-/cookie-0.4.0.tgz#beb437e7022b3b6d49019d088665303ebe9c14ba"
+ integrity sha512-+Hp8fLp57wnUSt0tY0tHEXh4voZRDnoIrZPqlo3DPiI4y9lwg/jqx+1Om94/W6ZaPDOUbnjOt/99w66zk+l1Xg==
+
+copy-webpack-plugin@^9.0.1:
+ version "9.0.1"
+ resolved "https://registry.yarnpkg.com/copy-webpack-plugin/-/copy-webpack-plugin-9.0.1.tgz#b71d21991599f61a4ee00ba79087b8ba279bbb59"
+ integrity sha512-14gHKKdYIxF84jCEgPgYXCPpldbwpxxLbCmA7LReY7gvbaT555DgeBWBgBZM116tv/fO6RRJrsivBqRyRlukhw==
+ dependencies:
+ fast-glob "^3.2.5"
+ glob-parent "^6.0.0"
+ globby "^11.0.3"
+ normalize-path "^3.0.0"
+ p-limit "^3.1.0"
+ schema-utils "^3.0.0"
+ serialize-javascript "^6.0.0"
+
+core-js@^2.4.0:
+ version "2.6.12"
+ resolved "https://registry.yarnpkg.com/core-js/-/core-js-2.6.12.tgz#d9333dfa7b065e347cc5682219d6f690859cc2ec"
+ integrity sha512-Kb2wC0fvsWfQrgk8HU5lW6U/Lcs8+9aaYcy4ZFc6DDlo4nZ7n70dEgE5rtR0oG6ufKDUnrwfWL1mXR5ljDatrQ==
+
+cors@^2.8.5:
+ version "2.8.5"
+ resolved "https://registry.yarnpkg.com/cors/-/cors-2.8.5.tgz#eac11da51592dd86b9f06f6e7ac293b3df875d29"
+ integrity sha512-KIHbLJqu73RGr/hnbrO9uBeixNGuvSQjul/jdFvS/KFSIH1hWVd1ng7zOHx+YrEfInLG7q4n6GHQ9cDtxv/P6g==
+ dependencies:
+ object-assign "^4"
+ vary "^1"
+
+crc@^3.4.0:
+ version "3.8.0"
+ resolved "https://registry.yarnpkg.com/crc/-/crc-3.8.0.tgz#ad60269c2c856f8c299e2c4cc0de4556914056c6"
+ integrity sha512-iX3mfgcTMIq3ZKLIsVFAbv7+Mc10kxabAGQb8HvjA1o3T1PIYprbakQ65d3I+2HGHt6nSKkM9PYjgoJO2KcFBQ==
+ dependencies:
+ buffer "^5.1.0"
+
+cross-spawn@^7.0.3:
+ version "7.0.3"
+ resolved "https://registry.yarnpkg.com/cross-spawn/-/cross-spawn-7.0.3.tgz#f73a85b9d5d41d045551c177e2882d4ac85728a6"
+ integrity sha512-iRDPJKUPVEND7dHPO8rkbOnPpyDygcDFtWjpeWNCgy8WP2rXcxXL8TskReQl6OrB2G7+UJrags1q15Fudc7G6w==
+ dependencies:
+ path-key "^3.1.0"
+ shebang-command "^2.0.0"
+ which "^2.0.1"
+
+css-loader@5.2.7:
+ version "5.2.7"
+ resolved "https://registry.yarnpkg.com/css-loader/-/css-loader-5.2.7.tgz#9b9f111edf6fb2be5dc62525644cbc9c232064ae"
+ integrity sha512-Q7mOvpBNBG7YrVGMxRxcBJZFL75o+cH2abNASdibkj/fffYD8qWbInZrD0S9ccI6vZclF3DsHE7njGlLtaHbhg==
+ dependencies:
+ icss-utils "^5.1.0"
+ loader-utils "^2.0.0"
+ postcss "^8.2.15"
+ postcss-modules-extract-imports "^3.0.0"
+ postcss-modules-local-by-default "^4.0.0"
+ postcss-modules-scope "^3.0.0"
+ postcss-modules-values "^4.0.0"
+ postcss-value-parser "^4.1.0"
+ schema-utils "^3.0.0"
+ semver "^7.3.5"
+
+cssesc@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/cssesc/-/cssesc-3.0.0.tgz#37741919903b868565e1c09ea747445cd18983ee"
+ integrity sha512-/Tb/JcjK111nNScGob5MNtsntNM1aCNUDipB/TkwZFhyDrrE47SOx/18wF2bbjgc3ZzCSKW1T5nt5EbFoAz/Vg==
+
+csstype@^3.0.2:
+ version "3.0.8"
+ resolved "https://registry.yarnpkg.com/csstype/-/csstype-3.0.8.tgz#d2266a792729fb227cd216fb572f43728e1ad340"
+ integrity sha512-jXKhWqXPmlUeoQnF/EhTtTl4C9SnrxSH/jZUih3jmO6lBKr99rP3/+FmrMj4EFpOXzMtXHAZkd3x0E6h6Fgflw==
+
+debug@2.6.9:
+ version "2.6.9"
+ resolved "https://registry.yarnpkg.com/debug/-/debug-2.6.9.tgz#5d128515df134ff327e90a4c93f4e077a536341f"
+ integrity sha512-bC7ElrdJaJnPbAP+1EotYvqZsb3ecl5wi6Bfi6BJTUcNowp6cvspg0jXznRTKDjm/E7AdgFBVeAPVMNcKGsHMA==
+ dependencies:
+ ms "2.0.0"
+
+debug@4.3.1:
+ version "4.3.1"
+ resolved "https://registry.yarnpkg.com/debug/-/debug-4.3.1.tgz#f0d229c505e0c6d8c49ac553d1b13dc183f6b2ee"
+ integrity sha512-doEwdvm4PCeK4K3RQN2ZC2BYUBaxwLARCqZmMjtF8a51J2Rb0xpVloFRnCODwqjpwnAoao4pelN8l3RJdv3gRQ==
+ dependencies:
+ ms "2.1.2"
+
+decamelize@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/decamelize/-/decamelize-4.0.0.tgz#aa472d7bf660eb15f3494efd531cab7f2a709837"
+ integrity sha512-9iE1PgSik9HeIIw2JO94IidnE3eBoQrFJ3w7sFuzSX4DpmZ3v5sZpUiV5Swcf6mQEF+Y0ru8Neo+p+nyh2J+hQ==
+
+decompress-response@^3.3.0:
+ version "3.3.0"
+ resolved "https://registry.yarnpkg.com/decompress-response/-/decompress-response-3.3.0.tgz#80a4dd323748384bfa248083622aedec982adff3"
+ integrity sha1-gKTdMjdIOEv6JICDYirt7Jgq3/M=
+ dependencies:
+ mimic-response "^1.0.0"
+
+deep-extend@^0.6.0:
+ version "0.6.0"
+ resolved "https://registry.yarnpkg.com/deep-extend/-/deep-extend-0.6.0.tgz#c4fa7c95404a17a9c3e8ca7e1537312b736330ac"
+ integrity sha512-LOHxIOaPYdHlJRtCQfDIVZtfw/ufM8+rVj649RIHzcm/vGwQRXFt6OPqIFWsm2XEMrNIEtWR64sY1LEKD2vAOA==
+
+defer-to-connect@^1.0.1:
+ version "1.1.3"
+ resolved "https://registry.yarnpkg.com/defer-to-connect/-/defer-to-connect-1.1.3.tgz#331ae050c08dcf789f8c83a7b81f0ed94f4ac591"
+ integrity sha512-0ISdNousHvZT2EiFlZeZAHBUvSxmKswVCEf8hW7KWgG4a8MVEu/3Vb6uWYozkjylyCxe0JBIiRB1jV45S70WVQ==
+
+depd@~1.1.2:
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/depd/-/depd-1.1.2.tgz#9bcd52e14c097763e749b274c4346ed2e560b5a9"
+ integrity sha1-m81S4UwJd2PnSbJ0xDRu0uVgtak=
+
+depd@~2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/depd/-/depd-2.0.0.tgz#b696163cc757560d09cf22cc8fad1571b79e76df"
+ integrity sha512-g7nH6P6dyDioJogAAGprGpCtVImJhpPk/roCzdb3fIh61/s/nPsfR6onyMwkCAR/OlC3yBC0lESvUoQEAssIrw==
+
+destroy@~1.0.4:
+ version "1.0.4"
+ resolved "https://registry.yarnpkg.com/destroy/-/destroy-1.0.4.tgz#978857442c44749e4206613e37946205826abd80"
+ integrity sha1-l4hXRCxEdJ5CBmE+N5RiBYJqvYA=
+
+diff@5.0.0:
+ version "5.0.0"
+ resolved "https://registry.yarnpkg.com/diff/-/diff-5.0.0.tgz#7ed6ad76d859d030787ec35855f5b1daf31d852b"
+ integrity sha512-/VTCrvm5Z0JGty/BWHljh+BAiw3IK+2j87NGMu8Nwc/f48WoDAC395uomO9ZD117ZOBaHmkX1oyLvkVM/aIT3w==
+
+diff@^4.0.1:
+ version "4.0.2"
+ resolved "https://registry.yarnpkg.com/diff/-/diff-4.0.2.tgz#60f3aecb89d5fae520c11aa19efc2bb982aade7d"
+ integrity sha512-58lmxKSA4BNyLz+HHMUzlOEpg09FV+ev6ZMe3vJihgdxzgcwZ8VoEEPmALCZG9LmqfVoNMMKpttIYTVG6uDY7A==
+
+difunc@0.0.4:
+ version "0.0.4"
+ resolved "https://registry.yarnpkg.com/difunc/-/difunc-0.0.4.tgz#09322073e67f82effd2f22881985e7d3e441b3ac"
+ integrity sha512-zBiL4ALDmviHdoLC0g0G6wVme5bwAow9WfhcZLLopXCAWgg3AEf7RYTs2xugszIGulRHzEVDF/SHl9oyQU07Pw==
+ dependencies:
+ esprima "^4.0.0"
+
+dir-glob@^3.0.1:
+ version "3.0.1"
+ resolved "https://registry.yarnpkg.com/dir-glob/-/dir-glob-3.0.1.tgz#56dbf73d992a4a93ba1584f4534063fd2e41717f"
+ integrity sha512-WkrWp9GR4KXfKGYzOLmTuGVi1UWFfws377n9cc55/tb6DuqyF6pcQ5AbiHEshaDpY9v6oaSr2XCDidGmMwdzIA==
+ dependencies:
+ path-type "^4.0.0"
+
+dotenv@^8.6.0:
+ version "8.6.0"
+ resolved "https://registry.yarnpkg.com/dotenv/-/dotenv-8.6.0.tgz#061af664d19f7f4d8fc6e4ff9b584ce237adcb8b"
+ integrity sha512-IrPdXQsk2BbzvCBGBOTmmSH5SodmqZNt4ERAZDmW4CT+tL8VtvinqywuANaFu4bOMWki16nqf0e4oC0QIaDr/g==
+
+duplexer3@^0.1.4:
+ version "0.1.4"
+ resolved "https://registry.yarnpkg.com/duplexer3/-/duplexer3-0.1.4.tgz#ee01dd1cac0ed3cbc7fdbea37dc0a8f1ce002ce2"
+ integrity sha1-7gHdHKwO08vH/b6jfcCo8c4ALOI=
+
+ee-first@1.1.1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/ee-first/-/ee-first-1.1.1.tgz#590c61156b0ae2f4f0255732a158b266bc56b21d"
+ integrity sha1-WQxhFWsK4vTwJVcyoViyZrxWsh0=
+
+electron-to-chromium@^1.3.830:
+ version "1.3.830"
+ resolved "https://registry.yarnpkg.com/electron-to-chromium/-/electron-to-chromium-1.3.830.tgz#40e3144204f8ca11b2cebec83cf14c20d3499236"
+ integrity sha512-gBN7wNAxV5vl1430dG+XRcQhD4pIeYeak6p6rjdCtlz5wWNwDad8jwvphe5oi1chL5MV6RNRikfffBBiFuj+rQ==
+
+emoji-regex@^8.0.0:
+ version "8.0.0"
+ resolved "https://registry.yarnpkg.com/emoji-regex/-/emoji-regex-8.0.0.tgz#e818fd69ce5ccfcb404594f842963bf53164cc37"
+ integrity sha512-MSjYzcWNOA0ewAHpz0MxpYFvwg6yjy1NG3xteoqz644VCo/RPgnr1/GGt+ic3iJTzQ8Eu3TdM14SawnVUmGE6A==
+
+emojis-list@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/emojis-list/-/emojis-list-3.0.0.tgz#5570662046ad29e2e916e71aae260abdff4f6a78"
+ integrity sha512-/kyM18EfinwXZbno9FyUGeFh87KC8HRQBQGildHZbEuRyWFOmv1U10o9BBp8XVZDVNNuQKyIGIu5ZYAAXJ0V2Q==
+
+encodeurl@~1.0.2:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/encodeurl/-/encodeurl-1.0.2.tgz#ad3ff4c86ec2d029322f5a02c3a9a606c95b3f59"
+ integrity sha1-rT/0yG7C0CkyL1oCw6mmBslbP1k=
+
+encoding@^0.1.11:
+ version "0.1.13"
+ resolved "https://registry.yarnpkg.com/encoding/-/encoding-0.1.13.tgz#56574afdd791f54a8e9b2785c0582a2d26210fa9"
+ integrity sha512-ETBauow1T35Y/WZMkio9jiM0Z5xjHHmJ4XmjZOq1l/dXz3lr2sRn87nJy20RupqSh1F2m3HHPSp8ShIPQJrJ3A==
+ dependencies:
+ iconv-lite "^0.6.2"
+
+end-of-stream@^1.1.0:
+ version "1.4.4"
+ resolved "https://registry.yarnpkg.com/end-of-stream/-/end-of-stream-1.4.4.tgz#5ae64a5f45057baf3626ec14da0ca5e4b2431eb0"
+ integrity sha512-+uw1inIHVPQoaVuHzRyXd21icM+cnt4CzD5rW+NC1wjOUSTOs+Te7FOv7AhN7vS9x/oIyhLP5PR1H+phQAHu5Q==
+ dependencies:
+ once "^1.4.0"
+
+enhanced-resolve@^5.0.0, enhanced-resolve@^5.8.0:
+ version "5.8.2"
+ resolved "https://registry.yarnpkg.com/enhanced-resolve/-/enhanced-resolve-5.8.2.tgz#15ddc779345cbb73e97c611cd00c01c1e7bf4d8b"
+ integrity sha512-F27oB3WuHDzvR2DOGNTaYy0D5o0cnrv8TeI482VM4kYgQd/FT9lUQwuNsJ0oOHtBUq7eiW5ytqzp7nBFknL+GA==
+ dependencies:
+ graceful-fs "^4.2.4"
+ tapable "^2.2.0"
+
+envinfo@^7.7.3:
+ version "7.8.1"
+ resolved "https://registry.yarnpkg.com/envinfo/-/envinfo-7.8.1.tgz#06377e3e5f4d379fea7ac592d5ad8927e0c4d475"
+ integrity sha512-/o+BXHmB7ocbHEAs6F2EnG0ogybVVUdkRunTT2glZU9XAaGmhqskrvKwqXuDfNjEO0LZKWdejEEpnq8aM0tOaw==
+
+es-module-lexer@^0.7.1:
+ version "0.7.1"
+ resolved "https://registry.yarnpkg.com/es-module-lexer/-/es-module-lexer-0.7.1.tgz#c2c8e0f46f2df06274cdaf0dd3f3b33e0a0b267d"
+ integrity sha512-MgtWFl5No+4S3TmhDmCz2ObFGm6lEpTnzbQi+Dd+pw4mlTIZTmM2iAs5gRlmx5zS9luzobCSBSI90JM/1/JgOw==
+
+escalade@^3.1.1:
+ version "3.1.1"
+ resolved "https://registry.yarnpkg.com/escalade/-/escalade-3.1.1.tgz#d8cfdc7000965c5a0174b4a82eaa5c0552742e40"
+ integrity sha512-k0er2gUkLf8O0zKJiAhmkTnJlTvINGv7ygDNPbeIsX/TJjGJZHuh9B2UxbsaEkmlEo9MfhrSzmhIlhRlI2GXnw==
+
+escape-html@~1.0.3:
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/escape-html/-/escape-html-1.0.3.tgz#0258eae4d3d0c0974de1c169188ef0051d1d1988"
+ integrity sha1-Aljq5NPQwJdN4cFpGI7wBR0dGYg=
+
+escape-string-regexp@4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-4.0.0.tgz#14ba83a5d373e3d311e5afca29cf5bfad965bf34"
+ integrity sha512-TtpcNJ3XAzx3Gq8sWRzJaVajRs0uVxA2YAkdb1jm2YkPz4G6egUFAyA3n5vtEIZefPk5Wa4UXbKuS5fKkJWdgA==
+
+escape-string-regexp@^1.0.2, escape-string-regexp@^1.0.5:
+ version "1.0.5"
+ resolved "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-1.0.5.tgz#1b61c0562190a8dff6ae3bb2cf0200ca130b86d4"
+ integrity sha1-G2HAViGQqN/2rjuyzwIAyhMLhtQ=
+
+eslint-scope@5.1.1:
+ version "5.1.1"
+ resolved "https://registry.yarnpkg.com/eslint-scope/-/eslint-scope-5.1.1.tgz#e786e59a66cb92b3f6c1fb0d508aab174848f48c"
+ integrity sha512-2NxwbF/hZ0KpepYN0cNbo+FN6XoK7GaHlQhgx/hIZl6Va0bF45RQOOwhLIy8lQDbuCiadSLCBnH2CFYquit5bw==
+ dependencies:
+ esrecurse "^4.3.0"
+ estraverse "^4.1.1"
+
+esprima@^4.0.0:
+ version "4.0.1"
+ resolved "https://registry.yarnpkg.com/esprima/-/esprima-4.0.1.tgz#13b04cdb3e6c5d19df91ab6987a8695619b0aa71"
+ integrity sha512-eGuFFw7Upda+g4p+QHvnW0RyTX/SVeJBDM/gCtMARO0cLuT2HcEKnTPvhjV6aGeqrCB/sbNop0Kszm0jsaWU4A==
+
+esrecurse@^4.3.0:
+ version "4.3.0"
+ resolved "https://registry.yarnpkg.com/esrecurse/-/esrecurse-4.3.0.tgz#7ad7964d679abb28bee72cec63758b1c5d2c9921"
+ integrity sha512-KmfKL3b6G+RXvP8N1vr3Tq1kL/oCFgn2NYXEtqP8/L3pKapUA4G8cFVaoF3SU323CD4XypR/ffioHmkti6/Tag==
+ dependencies:
+ estraverse "^5.2.0"
+
+estraverse@^4.1.1:
+ version "4.3.0"
+ resolved "https://registry.yarnpkg.com/estraverse/-/estraverse-4.3.0.tgz#398ad3f3c5a24948be7725e83d11a7de28cdbd1d"
+ integrity sha512-39nnKffWz8xN1BU/2c79n9nB9HDzo0niYUqx6xyqUnyoAnQyyWpOTdZEeiCch8BBu515t4wp9ZmgVfVhn9EBpw==
+
+estraverse@^5.2.0:
+ version "5.2.0"
+ resolved "https://registry.yarnpkg.com/estraverse/-/estraverse-5.2.0.tgz#307df42547e6cc7324d3cf03c155d5cdb8c53880"
+ integrity sha512-BxbNGGNm0RyRYvUdHpIwv9IWzeM9XClbOxwoATuFdOE7ZE6wHL+HQ5T8hoPM+zHvmKzzsEqhgy0GrQ5X13afiQ==
+
+etag@~1.8.1:
+ version "1.8.1"
+ resolved "https://registry.yarnpkg.com/etag/-/etag-1.8.1.tgz#41ae2eeb65efa62268aebfea83ac7d79299b0887"
+ integrity sha1-Qa4u62XvpiJorr/qg6x9eSmbCIc=
+
+eventemitter3@^4.0.7:
+ version "4.0.7"
+ resolved "https://registry.yarnpkg.com/eventemitter3/-/eventemitter3-4.0.7.tgz#2de9b68f6528d5644ef5c59526a1b4a07306169f"
+ integrity sha512-8guHBZCwKnFhYdHr2ysuRWErTwhoN2X8XELRlrRwpmfeY2jjuUN4taQMsULKUVo1K4DvZl+0pgfyoysHxvmvEw==
+
+events@^3.2.0:
+ version "3.3.0"
+ resolved "https://registry.yarnpkg.com/events/-/events-3.3.0.tgz#31a95ad0a924e2d2c419a813aeb2c4e878ea7400"
+ integrity sha512-mQw+2fkQbALzQ7V0MY0IqdnXNOeTtP4r0lN9z7AAawCXgqea7bDii20AYrIBrFd/Hx0M2Ocz6S111CaFkUcb0Q==
+
+execa@^5.0.0:
+ version "5.1.1"
+ resolved "https://registry.yarnpkg.com/execa/-/execa-5.1.1.tgz#f80ad9cbf4298f7bd1d4c9555c21e93741c411dd"
+ integrity sha512-8uSpZZocAZRBAPIEINJj3Lo9HyGitllczc27Eh5YYojjMFMn8yHMDMaUHE2Jqfq05D/wucwI4JGURyXt1vchyg==
+ dependencies:
+ cross-spawn "^7.0.3"
+ get-stream "^6.0.0"
+ human-signals "^2.1.0"
+ is-stream "^2.0.0"
+ merge-stream "^2.0.0"
+ npm-run-path "^4.0.1"
+ onetime "^5.1.2"
+ signal-exit "^3.0.3"
+ strip-final-newline "^2.0.0"
+
+express-normalize-query-params-middleware@^0.5.0:
+ version "0.5.1"
+ resolved "https://registry.yarnpkg.com/express-normalize-query-params-middleware/-/express-normalize-query-params-middleware-0.5.1.tgz#dbe1e8139aecb234fb6adb5c0059c75db9733d2a"
+ integrity sha1-2+HoE5rssjT7attcAFnHXblzPSo=
+
+express-openapi@^8.0.0:
+ version "8.0.0"
+ resolved "https://registry.yarnpkg.com/express-openapi/-/express-openapi-8.0.0.tgz#ea35ca9afd3619d423f2336d4df2bdf70abb1d46"
+ integrity sha512-MUntG3qQKdU5eRG51WLglaUfIXrVagQHNmStwl44lzu6XKiMj4TBDm/cIbubO49HAMCqNkX5BaiKCOK6pvP5Wg==
+ dependencies:
+ express-normalize-query-params-middleware "^0.5.0"
+ openapi-framework "^8.0.0"
+ openapi-types "^8.0.0"
+
+express@^4.17.1:
+ version "4.17.1"
+ resolved "https://registry.yarnpkg.com/express/-/express-4.17.1.tgz#4491fc38605cf51f8629d39c2b5d026f98a4c134"
+ integrity sha512-mHJ9O79RqluphRrcw2X/GTh3k9tVv8YcoyY4Kkh4WDMUYKRZUq0h1o0w2rrrxBqM7VoeUVqgb27xlEMXTnYt4g==
+ dependencies:
+ accepts "~1.3.7"
+ array-flatten "1.1.1"
+ body-parser "1.19.0"
+ content-disposition "0.5.3"
+ content-type "~1.0.4"
+ cookie "0.4.0"
+ cookie-signature "1.0.6"
+ debug "2.6.9"
+ depd "~1.1.2"
+ encodeurl "~1.0.2"
+ escape-html "~1.0.3"
+ etag "~1.8.1"
+ finalhandler "~1.1.2"
+ fresh "0.5.2"
+ merge-descriptors "1.0.1"
+ methods "~1.1.2"
+ on-finished "~2.3.0"
+ parseurl "~1.3.3"
+ path-to-regexp "0.1.7"
+ proxy-addr "~2.0.5"
+ qs "6.7.0"
+ range-parser "~1.2.1"
+ safe-buffer "5.1.2"
+ send "0.17.1"
+ serve-static "1.14.1"
+ setprototypeof "1.1.1"
+ statuses "~1.5.0"
+ type-is "~1.6.18"
+ utils-merge "1.0.1"
+ vary "~1.1.2"
+
+external-editor@^2.0.1:
+ version "2.2.0"
+ resolved "https://registry.yarnpkg.com/external-editor/-/external-editor-2.2.0.tgz#045511cfd8d133f3846673d1047c154e214ad3d5"
+ integrity sha512-bSn6gvGxKt+b7+6TKEv1ZycHleA7aHhRHyAqJyp5pbUFuYYNIzpZnQDk7AsYckyWdEnTeAnay0aCy2aV6iTk9A==
+ dependencies:
+ chardet "^0.4.0"
+ iconv-lite "^0.4.17"
+ tmp "^0.0.33"
+
+fast-deep-equal@^3.1.1:
+ version "3.1.3"
+ resolved "https://registry.yarnpkg.com/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz#3a7d56b559d6cbc3eb512325244e619a65c6c525"
+ integrity sha512-f3qQ9oQy9j2AhBe/H9VC91wLmKBCCU/gDOnKNAYG5hswO7BLKj09Hc5HYNz9cGI++xlpDCIgDaitVs03ATR84Q==
+
+fast-glob@^3.1.1, fast-glob@^3.2.5:
+ version "3.2.7"
+ resolved "https://registry.yarnpkg.com/fast-glob/-/fast-glob-3.2.7.tgz#fd6cb7a2d7e9aa7a7846111e85a196d6b2f766a1"
+ integrity sha512-rYGMRwip6lUMvYD3BTScMwT1HtAs2d71SMv66Vrxs0IekGZEjhM0pcMfjQPnknBt2zeCwQMEupiN02ZP4DiT1Q==
+ dependencies:
+ "@nodelib/fs.stat" "^2.0.2"
+ "@nodelib/fs.walk" "^1.2.3"
+ glob-parent "^5.1.2"
+ merge2 "^1.3.0"
+ micromatch "^4.0.4"
+
+fast-json-stable-stringify@^2.0.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/fast-json-stable-stringify/-/fast-json-stable-stringify-2.1.0.tgz#874bf69c6f404c2b5d99c481341399fd55892633"
+ integrity sha512-lhd/wF+Lk98HZoTCtlVraHtfh5XYijIjalXck7saUtuanSDyLMxnHhSXEDJqHxD7msR8D0uCmqlkwjCV8xvwHw==
+
+fastest-levenshtein@^1.0.12:
+ version "1.0.12"
+ resolved "https://registry.yarnpkg.com/fastest-levenshtein/-/fastest-levenshtein-1.0.12.tgz#9990f7d3a88cc5a9ffd1f1745745251700d497e2"
+ integrity sha512-On2N+BpYJ15xIC974QNVuYGMOlEVt4s0EOI3wwMqOmK1fdDY+FN/zltPV8vosq4ad4c/gJ1KHScUn/6AWIgiow==
+
+fastq@^1.6.0:
+ version "1.12.0"
+ resolved "https://registry.yarnpkg.com/fastq/-/fastq-1.12.0.tgz#ed7b6ab5d62393fb2cc591c853652a5c318bf794"
+ integrity sha512-VNX0QkHK3RsXVKr9KrlUv/FoTa0NdbYoHHl7uXHv2rzyHSlxjdNAKug2twd9luJxpcyNeAgf5iPPMutJO67Dfg==
+ dependencies:
+ reusify "^1.0.4"
+
+figures@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/figures/-/figures-2.0.0.tgz#3ab1a2d2a62c8bfb431a0c94cb797a2fce27c962"
+ integrity sha1-OrGi0qYsi/tDGgyUy3l6L84nyWI=
+ dependencies:
+ escape-string-regexp "^1.0.5"
+
+fill-range@^7.0.1:
+ version "7.0.1"
+ resolved "https://registry.yarnpkg.com/fill-range/-/fill-range-7.0.1.tgz#1919a6a7c75fe38b2c7c77e5198535da9acdda40"
+ integrity sha512-qOo9F+dMUmC2Lcb4BbVvnKJxTPjCm+RRpe4gDuGrzkL7mEVl/djYSu2OdQ2Pa302N4oqkSg9ir6jaLWJ2USVpQ==
+ dependencies:
+ to-regex-range "^5.0.1"
+
+finalhandler@~1.1.2:
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/finalhandler/-/finalhandler-1.1.2.tgz#b7e7d000ffd11938d0fdb053506f6ebabe9f587d"
+ integrity sha512-aAWcW57uxVNrQZqFXjITpW3sIUQmHGG3qSb9mUah9MgMC4NeWhNOlNjXEYq3HjRAvL6arUviZGGJsBg6z0zsWA==
+ dependencies:
+ debug "2.6.9"
+ encodeurl "~1.0.2"
+ escape-html "~1.0.3"
+ on-finished "~2.3.0"
+ parseurl "~1.3.3"
+ statuses "~1.5.0"
+ unpipe "~1.0.0"
+
+find-up@5.0.0:
+ version "5.0.0"
+ resolved "https://registry.yarnpkg.com/find-up/-/find-up-5.0.0.tgz#4c92819ecb7083561e4f4a240a86be5198f536fc"
+ integrity sha512-78/PXT1wlLLDgTzDs7sjq9hzz0vXD+zn+7wypEe4fXQxCmdmqfGsEPQxmiCSQI3ajFV91bVSsvNtrJRiW6nGng==
+ dependencies:
+ locate-path "^6.0.0"
+ path-exists "^4.0.0"
+
+find-up@^4.0.0:
+ version "4.1.0"
+ resolved "https://registry.yarnpkg.com/find-up/-/find-up-4.1.0.tgz#97afe7d6cdc0bc5928584b7c8d7b16e8a9aa5d19"
+ integrity sha512-PpOwAdQ/YlXQ2vj8a3h8IipDuYRi3wceVQQGYWxNINccq40Anw7BlsEXCMbt1Zt+OLA6Fq9suIpIWD0OsnISlw==
+ dependencies:
+ locate-path "^5.0.0"
+ path-exists "^4.0.0"
+
+flat@^5.0.2:
+ version "5.0.2"
+ resolved "https://registry.yarnpkg.com/flat/-/flat-5.0.2.tgz#8ca6fe332069ffa9d324c327198c598259ceb241"
+ integrity sha512-b6suED+5/3rTpUBdG1gupIl8MPFCAMA0QXwmljLhvCUKcUvdE4gWky9zpuGCcXHOsz4J9wPGNWq6OKpmIzz3hQ==
+
+forwarded@0.2.0:
+ version "0.2.0"
+ resolved "https://registry.yarnpkg.com/forwarded/-/forwarded-0.2.0.tgz#2269936428aad4c15c7ebe9779a84bf0b2a81811"
+ integrity sha512-buRG0fpBtRHSTCOASe6hD258tEubFoRLb4ZNA6NxMVHNw2gOcwHo9wyablzMzOA5z9xA9L1KNjk/Nt6MT9aYow==
+
+fresh@0.5.2:
+ version "0.5.2"
+ resolved "https://registry.yarnpkg.com/fresh/-/fresh-0.5.2.tgz#3d8cadd90d976569fa835ab1f8e4b23a105605a7"
+ integrity sha1-PYyt2Q2XZWn6g1qx+OSyOhBWBac=
+
+fs-routes@^8.0.0:
+ version "8.0.0"
+ resolved "https://registry.yarnpkg.com/fs-routes/-/fs-routes-8.0.0.tgz#98100abe1810aa0374ca7c9f439b4c1dec8232e7"
+ integrity sha512-EezW71GPu+VK2ZOnX0Aljaref63+mvhkkz55DqUp5xryV/mJraA2t/XFmBxNMwgRq6tFUOYuQOlr+RQh4nq5kQ==
+
+fs.realpath@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/fs.realpath/-/fs.realpath-1.0.0.tgz#1504ad2523158caa40db4a2787cb01411994ea4f"
+ integrity sha1-FQStJSMVjKpA20onh8sBQRmU6k8=
+
+fsevents@~2.3.1:
+ version "2.3.2"
+ resolved "https://registry.yarnpkg.com/fsevents/-/fsevents-2.3.2.tgz#8a526f78b8fdf4623b709e0b975c52c24c02fd1a"
+ integrity sha512-xiqMQR4xAeHTuB9uWm+fFRcIOgKBMiOBP+eXiyT7jsgVCq1bkVygt00oASowB7EdtpOHaaPgKt812P9ab+DDKA==
+
+function-bind@^1.1.1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/function-bind/-/function-bind-1.1.1.tgz#a56899d3ea3c9bab874bb9773b7c5ede92f4895d"
+ integrity sha512-yIovAzMX49sF8Yl58fSCWJ5svSLuaibPxXQJFLmBObTuCr0Mf1KiPopGM9NiFjiYBCbfaa2Fh6breQ6ANVTI0A==
+
+get-caller-file@^2.0.5:
+ version "2.0.5"
+ resolved "https://registry.yarnpkg.com/get-caller-file/-/get-caller-file-2.0.5.tgz#4f94412a82db32f36e3b0b9741f8a97feb031f7e"
+ integrity sha512-DyFP3BM/3YHTQOCUL/w0OZHR0lpKeGrxotcHWcqNEdnltqFwXVfhEBQ94eIo34AfQpo0rGki4cyIiftY06h2Fg==
+
+get-stream@^4.1.0:
+ version "4.1.0"
+ resolved "https://registry.yarnpkg.com/get-stream/-/get-stream-4.1.0.tgz#c1b255575f3dc21d59bfc79cd3d2b46b1c3a54b5"
+ integrity sha512-GMat4EJ5161kIy2HevLlr4luNjBgvmj413KaQA7jt4V8B4RDsfpHk7WQ9GVqfYyyx8OS/L66Kox+rJRNklLK7w==
+ dependencies:
+ pump "^3.0.0"
+
+get-stream@^5.1.0:
+ version "5.2.0"
+ resolved "https://registry.yarnpkg.com/get-stream/-/get-stream-5.2.0.tgz#4966a1795ee5ace65e706c4b7beb71257d6e22d3"
+ integrity sha512-nBF+F1rAZVCu/p7rjzgA+Yb4lfYXrpl7a6VmJrU8wF9I1CKvP/QwPNZHnOlwbTkY6dvtFIzFMSyQXbLoTQPRpA==
+ dependencies:
+ pump "^3.0.0"
+
+get-stream@^6.0.0:
+ version "6.0.1"
+ resolved "https://registry.yarnpkg.com/get-stream/-/get-stream-6.0.1.tgz#a262d8eef67aced57c2852ad6167526a43cbf7b7"
+ integrity sha512-ts6Wi+2j3jQjqi70w5AlN8DFnkSwC+MqmxEzdEALB2qXZYV3X/b1CTfgPLGJNMeAWxdPfU8FO1ms3NUfaHCPYg==
+
+glob-parent@^5.1.2, glob-parent@~5.1.0:
+ version "5.1.2"
+ resolved "https://registry.yarnpkg.com/glob-parent/-/glob-parent-5.1.2.tgz#869832c58034fe68a4093c17dc15e8340d8401c4"
+ integrity sha512-AOIgSQCepiJYwP3ARnGx+5VnTu2HBYdzbGP45eLw1vr3zB3vZLeyed1sC9hnbcOc9/SrMyM5RPQrkGz4aS9Zow==
+ dependencies:
+ is-glob "^4.0.1"
+
+glob-parent@^6.0.0:
+ version "6.0.1"
+ resolved "https://registry.yarnpkg.com/glob-parent/-/glob-parent-6.0.1.tgz#42054f685eb6a44e7a7d189a96efa40a54971aa7"
+ integrity sha512-kEVjS71mQazDBHKcsq4E9u/vUzaLcw1A8EtUeydawvIWQCJM0qQ08G1H7/XTjFUulla6XQiDOG6MXSaG0HDKog==
+ dependencies:
+ is-glob "^4.0.1"
+
+glob-to-regexp@^0.4.1:
+ version "0.4.1"
+ resolved "https://registry.yarnpkg.com/glob-to-regexp/-/glob-to-regexp-0.4.1.tgz#c75297087c851b9a578bd217dd59a92f59fe546e"
+ integrity sha512-lkX1HJXwyMcprw/5YUZc2s7DrpAiHB21/V+E1rHUrVNokkvB6bqMzT0VfV6/86ZNabt1k14YOIaT7nDvOX3Iiw==
+
+glob@*, glob@^7.1.1, glob@^7.1.3, glob@^7.1.7:
+ version "7.1.7"
+ resolved "https://registry.yarnpkg.com/glob/-/glob-7.1.7.tgz#3b193e9233f01d42d0b3f78294bbeeb418f94a90"
+ integrity sha512-OvD9ENzPLbegENnYP5UUfJIirTg4+XwMWGaQfQTY0JenxNvvIKP3U3/tAQSPIu/lHxXYSZmpXlUHeqAIdKzBLQ==
+ dependencies:
+ fs.realpath "^1.0.0"
+ inflight "^1.0.4"
+ inherits "2"
+ minimatch "^3.0.4"
+ once "^1.3.0"
+ path-is-absolute "^1.0.0"
+
+glob@7.1.6:
+ version "7.1.6"
+ resolved "https://registry.yarnpkg.com/glob/-/glob-7.1.6.tgz#141f33b81a7c2492e125594307480c46679278a6"
+ integrity sha512-LwaxwyZ72Lk7vZINtNNrywX0ZuLyStrdDtabefZKAY5ZGJhVtgdznluResxNmPitE0SAO+O26sWTHeKSI2wMBA==
+ dependencies:
+ fs.realpath "^1.0.0"
+ inflight "^1.0.4"
+ inherits "2"
+ minimatch "^3.0.4"
+ once "^1.3.0"
+ path-is-absolute "^1.0.0"
+
+globby@^11.0.3:
+ version "11.0.4"
+ resolved "https://registry.yarnpkg.com/globby/-/globby-11.0.4.tgz#2cbaff77c2f2a62e71e9b2813a67b97a3a3001a5"
+ integrity sha512-9O4MVG9ioZJ08ffbcyVYyLOJLk5JQ688pJ4eMGLpdWLHq/Wr1D9BlriLQyL0E+jbkuePVZXYFj47QM/v093wHg==
+ dependencies:
+ array-union "^2.1.0"
+ dir-glob "^3.0.1"
+ fast-glob "^3.1.1"
+ ignore "^5.1.4"
+ merge2 "^1.3.0"
+ slash "^3.0.0"
+
+got@^9.6.0:
+ version "9.6.0"
+ resolved "https://registry.yarnpkg.com/got/-/got-9.6.0.tgz#edf45e7d67f99545705de1f7bbeeeb121765ed85"
+ integrity sha512-R7eWptXuGYxwijs0eV+v3o6+XH1IqVK8dJOEecQfTmkncw9AV4dcw/Dhxi8MdlqPthxxpZyizMzyg8RTmEsG+Q==
+ dependencies:
+ "@sindresorhus/is" "^0.14.0"
+ "@szmarczak/http-timer" "^1.1.2"
+ cacheable-request "^6.0.0"
+ decompress-response "^3.3.0"
+ duplexer3 "^0.1.4"
+ get-stream "^4.1.0"
+ lowercase-keys "^1.0.1"
+ mimic-response "^1.0.1"
+ p-cancelable "^1.0.0"
+ to-readable-stream "^1.0.0"
+ url-parse-lax "^3.0.0"
+
+graceful-fs@^4.1.2, graceful-fs@^4.2.4:
+ version "4.2.8"
+ resolved "https://registry.yarnpkg.com/graceful-fs/-/graceful-fs-4.2.8.tgz#e412b8d33f5e006593cbd3cee6df9f2cebbe802a"
+ integrity sha512-qkIilPUYcNhJpd33n0GBXTB1MMPp14TxEsEs0pTrsSVucApsYzW5V+Q8Qxhik6KU3evy+qkAAowTByymK0avdg==
+
+growl@1.10.5:
+ version "1.10.5"
+ resolved "https://registry.yarnpkg.com/growl/-/growl-1.10.5.tgz#f2735dc2283674fa67478b10181059355c369e5e"
+ integrity sha512-qBr4OuELkhPenW6goKVXiv47US3clb3/IbuWF9KNKEijAy9oeHxU9IgzjvJhHkUzhaj7rOUD7+YGWqUjLp5oSA==
+
+has-ansi@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/has-ansi/-/has-ansi-2.0.0.tgz#34f5049ce1ecdf2b0649af3ef24e45ed35416d91"
+ integrity sha1-NPUEnOHs3ysGSa8+8k5F7TVBbZE=
+ dependencies:
+ ansi-regex "^2.0.0"
+
+has-flag@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/has-flag/-/has-flag-3.0.0.tgz#b5d454dc2199ae225699f3467e5a07f3b955bafd"
+ integrity sha1-tdRU3CGZriJWmfNGfloH87lVuv0=
+
+has-flag@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/has-flag/-/has-flag-4.0.0.tgz#944771fd9c81c81265c4d6941860da06bb59479b"
+ integrity sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==
+
+has@^1.0.3:
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/has/-/has-1.0.3.tgz#722d7cbfc1f6aa8241f16dd814e011e1f41e8796"
+ integrity sha512-f2dvO0VU6Oej7RkWJGrehjbzMAjFp5/VKPp5tTpWIV4JHHZK1/BxbFRtf/siA2SWTe09caDmVtYYzWEIbBS4zw==
+ dependencies:
+ function-bind "^1.1.1"
+
+he@1.2.0:
+ version "1.2.0"
+ resolved "https://registry.yarnpkg.com/he/-/he-1.2.0.tgz#84ae65fa7eafb165fddb61566ae14baf05664f0f"
+ integrity sha512-F/1DnUGPopORZi0ni+CvrCgHQ5FyEAHRLSApuYWMmrbSwoN2Mn/7k+Gl38gJnR7yyDZk6WLXwiGod1JOWNDKGw==
+
+http-cache-semantics@^4.0.0:
+ version "4.1.0"
+ resolved "https://registry.yarnpkg.com/http-cache-semantics/-/http-cache-semantics-4.1.0.tgz#49e91c5cbf36c9b94bcfcd71c23d5249ec74e390"
+ integrity sha512-carPklcUh7ROWRK7Cv27RPtdhYhUsela/ue5/jKzjegVvXDqM2ILE9Q2BGn9JZJh1g87cp56su/FgQSzcWS8cQ==
+
+http-errors@1.7.2:
+ version "1.7.2"
+ resolved "https://registry.yarnpkg.com/http-errors/-/http-errors-1.7.2.tgz#4f5029cf13239f31036e5b2e55292bcfbcc85c8f"
+ integrity sha512-uUQBt3H/cSIVfch6i1EuPNy/YsRSOUBXTVfZ+yR7Zjez3qjBz6i9+i4zjNaoqcoFVI4lQJ5plg63TvGfRSDCRg==
+ dependencies:
+ depd "~1.1.2"
+ inherits "2.0.3"
+ setprototypeof "1.1.1"
+ statuses ">= 1.5.0 < 2"
+ toidentifier "1.0.0"
+
+http-errors@~1.7.2:
+ version "1.7.3"
+ resolved "https://registry.yarnpkg.com/http-errors/-/http-errors-1.7.3.tgz#6c619e4f9c60308c38519498c14fbb10aacebb06"
+ integrity sha512-ZTTX0MWrsQ2ZAhA1cejAwDLycFsd7I7nVtnkT3Ol0aqodaKW+0CTZDQ1uBv5whptCnc8e8HeRRJxRs0kmm/Qfw==
+ dependencies:
+ depd "~1.1.2"
+ inherits "2.0.4"
+ setprototypeof "1.1.1"
+ statuses ">= 1.5.0 < 2"
+ toidentifier "1.0.0"
+
+human-signals@^2.1.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/human-signals/-/human-signals-2.1.0.tgz#dc91fcba42e4d06e4abaed33b3e7a3c02f514ea0"
+ integrity sha512-B4FFZ6q/T2jhhksgkbEW3HBvWIfDW85snkQgawt07S7J5QXTk6BkNV+0yAeZrM5QpMAdYlocGoljn0sJ/WQkFw==
+
+iconv-lite@0.4.24, iconv-lite@^0.4.13, iconv-lite@^0.4.17:
+ version "0.4.24"
+ resolved "https://registry.yarnpkg.com/iconv-lite/-/iconv-lite-0.4.24.tgz#2022b4b25fbddc21d2f524974a474aafe733908b"
+ integrity sha512-v3MXnZAcvnywkTUEZomIActle7RXXeedOR31wwl7VlyoXO4Qi9arvSenNQWne1TcRwhCL1HwLI21bEqdpj8/rA==
+ dependencies:
+ safer-buffer ">= 2.1.2 < 3"
+
+iconv-lite@^0.6.2:
+ version "0.6.3"
+ resolved "https://registry.yarnpkg.com/iconv-lite/-/iconv-lite-0.6.3.tgz#a52f80bf38da1952eb5c681790719871a1a72501"
+ integrity sha512-4fCk79wshMdzMp2rH06qWrJE4iolqLhCUH+OiuIgU++RB0+94NlDL81atO7GX55uUKueo0txHNtvEyI6D7WdMw==
+ dependencies:
+ safer-buffer ">= 2.1.2 < 3.0.0"
+
+icss-utils@^5.0.0, icss-utils@^5.1.0:
+ version "5.1.0"
+ resolved "https://registry.yarnpkg.com/icss-utils/-/icss-utils-5.1.0.tgz#c6be6858abd013d768e98366ae47e25d5887b1ae"
+ integrity sha512-soFhflCVWLfRNOPU3iv5Z9VUdT44xFRbzjLsEzSr5AQmgqPMTHdU3PMT1Cf1ssx8fLNJDA1juftYl+PUcv3MqA==
+
+ieee754@^1.1.13, ieee754@^1.2.1:
+ version "1.2.1"
+ resolved "https://registry.yarnpkg.com/ieee754/-/ieee754-1.2.1.tgz#8eb7a10a63fff25d15a57b001586d177d1b0d352"
+ integrity sha512-dcyqhDvX1C46lXZcVqCpK+FtMRQVdIMN6/Df5js2zouUsqG7I6sFxitIC+7KYK29KdXOLHdu9zL4sFnoVQnqaA==
+
+ignore@^5.1.4:
+ version "5.1.8"
+ resolved "https://registry.yarnpkg.com/ignore/-/ignore-5.1.8.tgz#f150a8b50a34289b33e22f5889abd4d8016f0e57"
+ integrity sha512-BMpfD7PpiETpBl/A6S498BaIJ6Y/ABT93ETbby2fP00v4EbvPBXWEoaR1UBPKs3iR53pJY7EtZk5KACI57i1Uw==
+
+import-local@^3.0.2:
+ version "3.0.2"
+ resolved "https://registry.yarnpkg.com/import-local/-/import-local-3.0.2.tgz#a8cfd0431d1de4a2199703d003e3e62364fa6db6"
+ integrity sha512-vjL3+w0oulAVZ0hBHnxa/Nm5TAurf9YLQJDhqRZyqb+VKGOB6LU8t9H1Nr5CIo16vh9XfJTOoHwU0B71S557gA==
+ dependencies:
+ pkg-dir "^4.2.0"
+ resolve-cwd "^3.0.0"
+
+inflight@^1.0.4:
+ version "1.0.6"
+ resolved "https://registry.yarnpkg.com/inflight/-/inflight-1.0.6.tgz#49bd6331d7d02d0c09bc910a1075ba8165b56df9"
+ integrity sha1-Sb1jMdfQLQwJvJEKEHW6gWW1bfk=
+ dependencies:
+ once "^1.3.0"
+ wrappy "1"
+
+inherits@2, inherits@2.0.4, inherits@^2.0.3, inherits@^2.0.4:
+ version "2.0.4"
+ resolved "https://registry.yarnpkg.com/inherits/-/inherits-2.0.4.tgz#0fa2c64f932917c3433a0ded55363aae37416b7c"
+ integrity sha512-k/vGaX4/Yla3WzyMCvTQOXYeIHvqOKtnqBduzTHpzpQZzAskKMhZ2K+EnBiSM9zGSoIFeMpXKxa4dYeZIQqewQ==
+
+inherits@2.0.3:
+ version "2.0.3"
+ resolved "https://registry.yarnpkg.com/inherits/-/inherits-2.0.3.tgz#633c2c83e3da42a502f52466022480f4208261de"
+ integrity sha1-Yzwsg+PaQqUC9SRmAiSA9CCCYd4=
+
+ini@~1.3.0:
+ version "1.3.8"
+ resolved "https://registry.yarnpkg.com/ini/-/ini-1.3.8.tgz#a29da425b48806f34767a4efce397269af28432c"
+ integrity sha512-JV/yugV2uzW5iMRSiZAyDtQd+nxtUnjeLt0acNdw98kKLrvuRVyB80tsREOE7yvGVgalhZ6RNXCmEHkUKBKxew==
+
+inquirer@3.0.6:
+ version "3.0.6"
+ resolved "https://registry.yarnpkg.com/inquirer/-/inquirer-3.0.6.tgz#e04aaa9d05b7a3cb9b0f407d04375f0447190347"
+ integrity sha1-4EqqnQW3o8ubD0B9BDdfBEcZA0c=
+ dependencies:
+ ansi-escapes "^1.1.0"
+ chalk "^1.0.0"
+ cli-cursor "^2.1.0"
+ cli-width "^2.0.0"
+ external-editor "^2.0.1"
+ figures "^2.0.0"
+ lodash "^4.3.0"
+ mute-stream "0.0.7"
+ run-async "^2.2.0"
+ rx "^4.1.0"
+ string-width "^2.0.0"
+ strip-ansi "^3.0.0"
+ through "^2.3.6"
+
+interpret@^2.2.0:
+ version "2.2.0"
+ resolved "https://registry.yarnpkg.com/interpret/-/interpret-2.2.0.tgz#1a78a0b5965c40a5416d007ad6f50ad27c417df9"
+ integrity sha512-Ju0Bz/cEia55xDwUWEa8+olFpCiQoypjnQySseKtmjNrnps3P+xfpUmGr90T7yjlVJmOtybRvPXhKMbHr+fWnw==
+
+ip@^1.1.4:
+ version "1.1.5"
+ resolved "https://registry.yarnpkg.com/ip/-/ip-1.1.5.tgz#bdded70114290828c0a039e72ef25f5aaec4354a"
+ integrity sha1-vd7XARQpCCjAoDnnLvJfWq7ENUo=
+
+ipaddr.js@1.9.1:
+ version "1.9.1"
+ resolved "https://registry.yarnpkg.com/ipaddr.js/-/ipaddr.js-1.9.1.tgz#bff38543eeb8984825079ff3a2a8e6cbd46781b3"
+ integrity sha512-0KI/607xoxSToH7GjN1FfSbLoU0+btTicjsQSWQlh/hZykN8KpmMf7uYwPW3R+akZ6R/w18ZlXSHBYXiYUPO3g==
+
+is-binary-path@~2.1.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/is-binary-path/-/is-binary-path-2.1.0.tgz#ea1f7f3b80f064236e83470f86c09c254fb45b09"
+ integrity sha512-ZMERYes6pDydyuGidse7OsHxtbI7WVeUEozgR/g7rd0xUimYNlvZRE/K2MgZTjWy725IfelLeVcEM97mmtRGXw==
+ dependencies:
+ binary-extensions "^2.0.0"
+
+is-core-module@^2.2.0:
+ version "2.6.0"
+ resolved "https://registry.yarnpkg.com/is-core-module/-/is-core-module-2.6.0.tgz#d7553b2526fe59b92ba3e40c8df757ec8a709e19"
+ integrity sha512-wShG8vs60jKfPWpF2KZRaAtvt3a20OAn7+IJ6hLPECpSABLcKtFKTTI4ZtH5QcBruBHlq+WsdHWyz0BCZW7svQ==
+ dependencies:
+ has "^1.0.3"
+
+is-dir@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/is-dir/-/is-dir-1.0.0.tgz#41d37f495fccacc05a4778d66e83024c292ba3ff"
+ integrity sha1-QdN/SV/MrMBaR3jWboMCTCkro/8=
+
+is-extglob@^2.1.1:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/is-extglob/-/is-extglob-2.1.1.tgz#a88c02535791f02ed37c76a1b9ea9773c833f8c2"
+ integrity sha1-qIwCU1eR8C7TfHahueqXc8gz+MI=
+
+is-fullwidth-code-point@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-2.0.0.tgz#a3b30a5c4f199183167aaab93beefae3ddfb654f"
+ integrity sha1-o7MKXE8ZkYMWeqq5O+764937ZU8=
+
+is-fullwidth-code-point@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz#f116f8064fe90b3f7844a38997c0b75051269f1d"
+ integrity sha512-zymm5+u+sCsSWyD9qNaejV3DFvhCKclKdizYaJUuHA83RLjb7nSuGnddCHGv0hk+KY7BMAlsWeK4Ueg6EV6XQg==
+
+is-glob@^4.0.1, is-glob@~4.0.1:
+ version "4.0.1"
+ resolved "https://registry.yarnpkg.com/is-glob/-/is-glob-4.0.1.tgz#7567dbe9f2f5e2467bc77ab83c4a29482407a5dc"
+ integrity sha512-5G0tKtBTFImOqDnLB2hG6Bp2qcKEFduo4tZu9MT/H6NQv/ghhy30o55ufafxJ/LdH79LLs2Kfrn85TLKyA7BUg==
+ dependencies:
+ is-extglob "^2.1.1"
+
+is-number@^7.0.0:
+ version "7.0.0"
+ resolved "https://registry.yarnpkg.com/is-number/-/is-number-7.0.0.tgz#7535345b896734d5f80c4d06c50955527a14f12b"
+ integrity sha512-41Cifkg6e8TylSpdtTpeLVMqvSBEVzTttHvERD741+pnZ8ANv0004MRL43QKPDlK9cGvNp6NZWZUBlbGXYxxng==
+
+is-plain-obj@^2.1.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/is-plain-obj/-/is-plain-obj-2.1.0.tgz#45e42e37fccf1f40da8e5f76ee21515840c09287"
+ integrity sha512-YWnfyRwxL/+SsrWYfOpUtz5b3YD+nyfkHvjbcanzk8zgyO4ASD67uVMRt8k5bM4lLMDnXfriRhOpemw+NfT1eA==
+
+is-plain-object@^2.0.4:
+ version "2.0.4"
+ resolved "https://registry.yarnpkg.com/is-plain-object/-/is-plain-object-2.0.4.tgz#2c163b3fafb1b606d9d17928f05c2a1c38e07677"
+ integrity sha512-h5PpgXkWitc38BBMYawTYMWJHFZJVnBquFE57xFpjB8pJFiF6gZ+bU+WyI/yqXiFR5mdLsgYNaPe8uao6Uv9Og==
+ dependencies:
+ isobject "^3.0.1"
+
+is-stream@^1.0.1:
+ version "1.1.0"
+ resolved "https://registry.yarnpkg.com/is-stream/-/is-stream-1.1.0.tgz#12d4a3dd4e68e0b79ceb8dbc84173ae80d91ca44"
+ integrity sha1-EtSj3U5o4Lec6428hBc66A2RykQ=
+
+is-stream@^2.0.0:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/is-stream/-/is-stream-2.0.1.tgz#fac1e3d53b97ad5a9d0ae9cef2389f5810a5c077"
+ integrity sha512-hFoiJiTl63nn+kstHGBtewWSKnQLpyb155KHheA1l39uvtO9nWIop1p3udqPcUd/xbF1VLMO4n7OI6p7RbngDg==
+
+isexe@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/isexe/-/isexe-2.0.0.tgz#e8fbf374dc556ff8947a10dcb0572d633f2cfa10"
+ integrity sha1-6PvzdNxVb/iUehDcsFctYz8s+hA=
+
+isobject@^3.0.1:
+ version "3.0.1"
+ resolved "https://registry.yarnpkg.com/isobject/-/isobject-3.0.1.tgz#4e431e92b11a9731636aa1f9c8d1ccbcfdab78df"
+ integrity sha1-TkMekrEalzFjaqH5yNHMvP2reN8=
+
+jest-worker@^27.0.6:
+ version "27.1.0"
+ resolved "https://registry.yarnpkg.com/jest-worker/-/jest-worker-27.1.0.tgz#65f4a88e37148ed984ba8ca8492d6b376938c0aa"
+ integrity sha512-mO4PHb2QWLn9yRXGp7rkvXLAYuxwhq1ZYUo0LoDhg8wqvv4QizP1ZWEJOeolgbEgAWZLIEU0wsku8J+lGWfBhg==
+ dependencies:
+ "@types/node" "*"
+ merge-stream "^2.0.0"
+ supports-color "^8.0.0"
+
+"js-tokens@^3.0.0 || ^4.0.0", js-tokens@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/js-tokens/-/js-tokens-4.0.0.tgz#19203fb59991df98e3a287050d4647cdeaf32499"
+ integrity sha512-RdJUflcE3cUzKiMqQgsCu06FPu9UdIJO0beYbPhHN4k6apgJtifcoCtT9bcxOpYBtpD2kCM6Sbzg4CausW/PKQ==
+
+js-yaml@4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/js-yaml/-/js-yaml-4.0.0.tgz#f426bc0ff4b4051926cd588c71113183409a121f"
+ integrity sha512-pqon0s+4ScYUvX30wxQi3PogGFAlUyH0awepWvwkj4jD4v+ova3RiYw8bmA6x2rDrEaj8i/oWKoRxpVNW+Re8Q==
+ dependencies:
+ argparse "^2.0.1"
+
+js-yaml@^3.10.0, js-yaml@^3.13.1:
+ version "3.14.1"
+ resolved "https://registry.yarnpkg.com/js-yaml/-/js-yaml-3.14.1.tgz#dae812fdb3825fa306609a8717383c50c36a0537"
+ integrity sha512-okMH7OXXJ7YrN9Ok3/SXrnu4iX9yOk+25nqX4imS2npuvTYDmo/QEZoqwZkYaIDk3jVvBOTOIEgEhaLOynBS9g==
+ dependencies:
+ argparse "^1.0.7"
+ esprima "^4.0.0"
+
+js-yaml@^4.1.0:
+ version "4.1.0"
+ resolved "https://registry.yarnpkg.com/js-yaml/-/js-yaml-4.1.0.tgz#c1fb65f8f5017901cdd2c951864ba18458a10602"
+ integrity sha512-wpxZs9NoxZaJESJGIZTyDEaYpl0FKSA+FB9aJiyemKhMwkxQg63h4T1KJgUGHpTqPDNRcmmYLugrRjJlBtWvRA==
+ dependencies:
+ argparse "^2.0.1"
+
+json-buffer@3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/json-buffer/-/json-buffer-3.0.0.tgz#5b1f397afc75d677bde8bcfc0e47e1f9a3d9a898"
+ integrity sha1-Wx85evx11ne96Lz8Dkfh+aPZqJg=
+
+json-parse-better-errors@^1.0.2:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/json-parse-better-errors/-/json-parse-better-errors-1.0.2.tgz#bb867cfb3450e69107c131d1c514bab3dc8bcaa9"
+ integrity sha512-mrqyZKfX5EhL7hvqcV6WG1yYjnjeuYDzDhhcAAUrq8Po85NBQBJP+ZDUT75qZQ98IkUoBqdkExkukOU7Ts2wrw==
+
+json-schema-traverse@^0.4.1:
+ version "0.4.1"
+ resolved "https://registry.yarnpkg.com/json-schema-traverse/-/json-schema-traverse-0.4.1.tgz#69f6a87d9513ab8bb8fe63bdb0979c448e684660"
+ integrity sha512-xbbCH5dCYU5T8LcEhhuh7HJ88HXuW3qsI3Y0zOZFKfZEHcpWiHU/Jxzk629Brsab/mMiHQti9wMP+845RPe3Vg==
+
+json5@^2.1.2:
+ version "2.2.0"
+ resolved "https://registry.yarnpkg.com/json5/-/json5-2.2.0.tgz#2dfefe720c6ba525d9ebd909950f0515316c89a3"
+ integrity sha512-f+8cldu7X/y7RAJurMEJmdoKXGB/X550w2Nr3tTbezL6RwEE/iMcm+tZnXeoZtKuOq6ft8+CqzEkrIgx1fPoQA==
+ dependencies:
+ minimist "^1.2.5"
+
+keyv@^3.0.0:
+ version "3.1.0"
+ resolved "https://registry.yarnpkg.com/keyv/-/keyv-3.1.0.tgz#ecc228486f69991e49e9476485a5be1e8fc5c4d9"
+ integrity sha512-9ykJ/46SN/9KPM/sichzQ7OvXyGDYKGTaDlKMGCAlg2UK8KRy4jb0d8sFc+0Tt0YYnThq8X2RZgCg74RPxgcVA==
+ dependencies:
+ json-buffer "3.0.0"
+
+kind-of@^6.0.2:
+ version "6.0.3"
+ resolved "https://registry.yarnpkg.com/kind-of/-/kind-of-6.0.3.tgz#07c05034a6c349fa06e24fa35aa76db4580ce4dd"
+ integrity sha512-dcS1ul+9tmeD95T+x28/ehLgd9mENa3LsvDTtzm3vyBEO7RPptvAD+t44WVXaUjTBRcrpFeFlC8WCruUR456hw==
+
+latest-version@^5.1.0:
+ version "5.1.0"
+ resolved "https://registry.yarnpkg.com/latest-version/-/latest-version-5.1.0.tgz#119dfe908fe38d15dfa43ecd13fa12ec8832face"
+ integrity sha512-weT+r0kTkRQdCdYCNtkMwWXQTMEswKrFBkm4ckQOMVhhqhIMI1UT2hMj+1iigIhgSZm5gTmrRXBNoGUgaTY1xA==
+ dependencies:
+ package-json "^6.3.0"
+
+loader-runner@^4.2.0:
+ version "4.2.0"
+ resolved "https://registry.yarnpkg.com/loader-runner/-/loader-runner-4.2.0.tgz#d7022380d66d14c5fb1d496b89864ebcfd478384"
+ integrity sha512-92+huvxMvYlMzMt0iIOukcwYBFpkYJdpl2xsZ7LrlayO7E8SOv+JJUEK17B/dJIHAOLMfh2dZZ/Y18WgmGtYNw==
+
+loader-utils@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/loader-utils/-/loader-utils-2.0.0.tgz#e4cace5b816d425a166b5f097e10cd12b36064b0"
+ integrity sha512-rP4F0h2RaWSvPEkD7BLDFQnvSf+nK+wr3ESUjNTyAGobqrijmW92zc+SO6d4p4B1wh7+B/Jg1mkQe5NYUEHtHQ==
+ dependencies:
+ big.js "^5.2.2"
+ emojis-list "^3.0.0"
+ json5 "^2.1.2"
+
+locate-path@^5.0.0:
+ version "5.0.0"
+ resolved "https://registry.yarnpkg.com/locate-path/-/locate-path-5.0.0.tgz#1afba396afd676a6d42504d0a67a3a7eb9f62aa0"
+ integrity sha512-t7hw9pI+WvuwNJXwk5zVHpyhIqzg2qTlklJOf0mVxGSbe3Fp2VieZcduNYjaLDoy6p9uGpQEGWG87WpMKlNq8g==
+ dependencies:
+ p-locate "^4.1.0"
+
+locate-path@^6.0.0:
+ version "6.0.0"
+ resolved "https://registry.yarnpkg.com/locate-path/-/locate-path-6.0.0.tgz#55321eb309febbc59c4801d931a72452a681d286"
+ integrity sha512-iPZK6eYjbxRu3uB4/WZ3EsEIMJFMqAoopl3R+zuq0UjcAm/MO6KCweDgPfP3elTztoKP3KtnVHxTn2NHBSDVUw==
+ dependencies:
+ p-locate "^5.0.0"
+
+lodash.merge@^4.6.1:
+ version "4.6.2"
+ resolved "https://registry.yarnpkg.com/lodash.merge/-/lodash.merge-4.6.2.tgz#558aa53b43b661e1925a0afdfa36a9a1085fe57a"
+ integrity sha512-0KpjqXRVvrYyCsX1swR/XTK0va6VQkQM6MNo7PqW77ByjAhoARA8EfrP1N4+KlKj8YS0ZUCtRT/YUuhyYDujIQ==
+
+lodash@^4.3.0:
+ version "4.17.21"
+ resolved "https://registry.yarnpkg.com/lodash/-/lodash-4.17.21.tgz#679591c564c3bffaae8454cf0b3df370c3d6911c"
+ integrity sha512-v2kDEe57lecTulaDIuNTPy3Ry4gLGJ6Z1O3vE1krgXZNrsQ+LFTGHVxVjcXPs17LhbZVGedAJv8XZ1tvj5FvSg==
+
+log-symbols@4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/log-symbols/-/log-symbols-4.0.0.tgz#69b3cc46d20f448eccdb75ea1fa733d9e821c920"
+ integrity sha512-FN8JBzLx6CzeMrB0tg6pqlGU1wCrXW+ZXGH481kfsBqer0hToTIiHdjH4Mq8xJUbvATujKCvaREGWpGUionraA==
+ dependencies:
+ chalk "^4.0.0"
+
+loose-envify@^1.1.0:
+ version "1.4.0"
+ resolved "https://registry.yarnpkg.com/loose-envify/-/loose-envify-1.4.0.tgz#71ee51fa7be4caec1a63839f7e682d8132d30caf"
+ integrity sha512-lyuxPGr/Wfhrlem2CL/UcnUc1zcqKAImBDzukY7Y5F/yQiNdko6+fRLevlw1HgMySw7f611UIY408EtxRSoK3Q==
+ dependencies:
+ js-tokens "^3.0.0 || ^4.0.0"
+
+lowercase-keys@^1.0.0, lowercase-keys@^1.0.1:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/lowercase-keys/-/lowercase-keys-1.0.1.tgz#6f9e30b47084d971a7c820ff15a6c5167b74c26f"
+ integrity sha512-G2Lj61tXDnVFFOi8VZds+SoQjtQC3dgokKdDG2mTm1tx4m50NUHBOZSBwQQHyy0V12A0JTG4icfZQH+xPyh8VA==
+
+lowercase-keys@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/lowercase-keys/-/lowercase-keys-2.0.0.tgz#2603e78b7b4b0006cbca2fbcc8a3202558ac9479"
+ integrity sha512-tqNXrS78oMOE73NMxK4EMLQsQowWf8jKooH9g7xPavRT706R6bkQJ6DY2Te7QukaZsulxa30wQ7bk0pm4XiHmA==
+
+lru-cache@^6.0.0:
+ version "6.0.0"
+ resolved "https://registry.yarnpkg.com/lru-cache/-/lru-cache-6.0.0.tgz#6d6fe6570ebd96aaf90fcad1dafa3b2566db3a94"
+ integrity sha512-Jo6dJ04CmSjuznwJSS3pUeWmd/H0ffTlkXXgwZi+eq1UCmqQwCh+eLsYOYCwY991i2Fah4h1BEMCx4qThGbsiA==
+ dependencies:
+ yallist "^4.0.0"
+
+media-typer@0.3.0:
+ version "0.3.0"
+ resolved "https://registry.yarnpkg.com/media-typer/-/media-typer-0.3.0.tgz#8710d7af0aa626f8fffa1ce00168545263255748"
+ integrity sha1-hxDXrwqmJvj/+hzgAWhUUmMlV0g=
+
+merge-descriptors@1.0.1:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/merge-descriptors/-/merge-descriptors-1.0.1.tgz#b00aaa556dd8b44568150ec9d1b953f3f90cbb61"
+ integrity sha1-sAqqVW3YtEVoFQ7J0blT8/kMu2E=
+
+merge-stream@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/merge-stream/-/merge-stream-2.0.0.tgz#52823629a14dd00c9770fb6ad47dc6310f2c1f60"
+ integrity sha512-abv/qOcuPfk3URPfDzmZU1LKmuw8kT+0nIHvKrKgFrwifol/doWcdA4ZqsWQ8ENrFKkd67Mfpo/LovbIUsbt3w==
+
+merge2@^1.3.0:
+ version "1.4.1"
+ resolved "https://registry.yarnpkg.com/merge2/-/merge2-1.4.1.tgz#4368892f885e907455a6fd7dc55c0c9d404990ae"
+ integrity sha512-8q7VEgMJW4J8tcfVPy8g09NcQwZdbwFEqhe/WZkoIzjn/3TGDwtOCYtXGxA3O8tPzpczCCDgv+P2P5y00ZJOOg==
+
+methods@~1.1.2:
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/methods/-/methods-1.1.2.tgz#5529a4d67654134edcc5266656835b0f851afcee"
+ integrity sha1-VSmk1nZUE07cxSZmVoNbD4Ua/O4=
+
+micromatch@^4.0.0, micromatch@^4.0.4:
+ version "4.0.4"
+ resolved "https://registry.yarnpkg.com/micromatch/-/micromatch-4.0.4.tgz#896d519dfe9db25fce94ceb7a500919bf881ebf9"
+ integrity sha512-pRmzw/XUcwXGpD9aI9q/0XOwLNygjETJ8y0ao0wdqprrzDa4YnxLcz7fQRZr8voh8V10kGhABbNcHVk5wHgWwg==
+ dependencies:
+ braces "^3.0.1"
+ picomatch "^2.2.3"
+
+mime-db@1.49.0:
+ version "1.49.0"
+ resolved "https://registry.yarnpkg.com/mime-db/-/mime-db-1.49.0.tgz#f3dfde60c99e9cf3bc9701d687778f537001cbed"
+ integrity sha512-CIc8j9URtOVApSFCQIF+VBkX1RwXp/oMMOrqdyXSBXq5RWNEsRfyj1kiRnQgmNXmHxPoFIxOroKA3zcU9P+nAA==
+
+mime-types@^2.1.27, mime-types@~2.1.24:
+ version "2.1.32"
+ resolved "https://registry.yarnpkg.com/mime-types/-/mime-types-2.1.32.tgz#1d00e89e7de7fe02008db61001d9e02852670fd5"
+ integrity sha512-hJGaVS4G4c9TSMYh2n6SQAGrC4RnfU+daP8G7cSCmaqNjiOoUY0VHCMS42pxnQmVF1GWwFhbHWn3RIxCqTmZ9A==
+ dependencies:
+ mime-db "1.49.0"
+
+mime@1.6.0:
+ version "1.6.0"
+ resolved "https://registry.yarnpkg.com/mime/-/mime-1.6.0.tgz#32cd9e5c64553bd58d19a568af452acff04981b1"
+ integrity sha512-x0Vn8spI+wuJ1O6S7gnbaQg8Pxh4NNHb7KSINmEWKiPE4RKOplvijn+NkmYmmRgP68mc70j2EbeTFRsrswaQeg==
+
+mimic-fn@^1.0.0:
+ version "1.2.0"
+ resolved "https://registry.yarnpkg.com/mimic-fn/-/mimic-fn-1.2.0.tgz#820c86a39334640e99516928bd03fca88057d022"
+ integrity sha512-jf84uxzwiuiIVKiOLpfYk7N46TSy8ubTonmneY9vrpHNAnp0QBt2BxWV9dO3/j+BoVAb+a5G6YDPW3M5HOdMWQ==
+
+mimic-fn@^2.1.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/mimic-fn/-/mimic-fn-2.1.0.tgz#7ed2c2ccccaf84d3ffcb7a69b57711fc2083401b"
+ integrity sha512-OqbOk5oEQeAZ8WXWydlu9HJjz9WVdEIvamMCcXmuqUYjTknH/sqsWvhQ3vgwKFRR1HpjvNBKQ37nbJgYzGqGcg==
+
+mimic-response@^1.0.0, mimic-response@^1.0.1:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/mimic-response/-/mimic-response-1.0.1.tgz#4923538878eef42063cb8a3e3b0798781487ab1b"
+ integrity sha512-j5EctnkH7amfV/q5Hgmoal1g2QHFJRraOtmx0JpIqkxhBhI/lJSl1nMpQ45hVarwNETOoWEimndZ4QK0RHxuxQ==
+
+minimatch@3.0.4, minimatch@^3.0.4:
+ version "3.0.4"
+ resolved "https://registry.yarnpkg.com/minimatch/-/minimatch-3.0.4.tgz#5166e286457f03306064be5497e8dbb0c3d32083"
+ integrity sha512-yJHVQEhyqPLUTgt9B83PXu6W3rx4MvvHvSUvToogpwoGDOUQ+yDrR0HRot+yOCdCO7u4hX3pWft6kWBBcqh0UA==
+ dependencies:
+ brace-expansion "^1.1.7"
+
+minimist@1.2.0:
+ version "1.2.0"
+ resolved "https://registry.yarnpkg.com/minimist/-/minimist-1.2.0.tgz#a35008b20f41383eec1fb914f4cd5df79a264284"
+ integrity sha1-o1AIsg9BOD7sH7kU9M1d95omQoQ=
+
+minimist@^1.2.0, minimist@^1.2.5:
+ version "1.2.5"
+ resolved "https://registry.yarnpkg.com/minimist/-/minimist-1.2.5.tgz#67d66014b66a6a8aaa0c083c5fd58df4e4e97602"
+ integrity sha512-FM9nNUYrRBAELZQT3xeZQ7fmMOBg6nWNmJKTcgsJeaLstP/UODVpGsr5OhXhhXg6f+qtJ8uiZ+PUxkDWcgIXLw==
+
+mkdirp@^0.5.3:
+ version "0.5.5"
+ resolved "https://registry.yarnpkg.com/mkdirp/-/mkdirp-0.5.5.tgz#d91cefd62d1436ca0f41620e251288d420099def"
+ integrity sha512-NKmAlESf6jMGym1++R0Ra7wvhV+wFW63FaSOFPwRahvea0gMUcGUhVeAg/0BC0wiv9ih5NYPB1Wn1UEI1/L+xQ==
+ dependencies:
+ minimist "^1.2.5"
+
+mocha@^8.4.0:
+ version "8.4.0"
+ resolved "https://registry.yarnpkg.com/mocha/-/mocha-8.4.0.tgz#677be88bf15980a3cae03a73e10a0fc3997f0cff"
+ integrity sha512-hJaO0mwDXmZS4ghXsvPVriOhsxQ7ofcpQdm8dE+jISUOKopitvnXFQmpRR7jd2K6VBG6E26gU3IAbXXGIbu4sQ==
+ dependencies:
+ "@ungap/promise-all-settled" "1.1.2"
+ ansi-colors "4.1.1"
+ browser-stdout "1.3.1"
+ chokidar "3.5.1"
+ debug "4.3.1"
+ diff "5.0.0"
+ escape-string-regexp "4.0.0"
+ find-up "5.0.0"
+ glob "7.1.6"
+ growl "1.10.5"
+ he "1.2.0"
+ js-yaml "4.0.0"
+ log-symbols "4.0.0"
+ minimatch "3.0.4"
+ ms "2.1.3"
+ nanoid "3.1.20"
+ serialize-javascript "5.0.1"
+ strip-json-comments "3.1.1"
+ supports-color "8.1.1"
+ which "2.0.2"
+ wide-align "1.1.3"
+ workerpool "6.1.0"
+ yargs "16.2.0"
+ yargs-parser "20.2.4"
+ yargs-unparser "2.0.0"
+
+morgan@^1.10.0:
+ version "1.10.0"
+ resolved "https://registry.yarnpkg.com/morgan/-/morgan-1.10.0.tgz#091778abc1fc47cd3509824653dae1faab6b17d7"
+ integrity sha512-AbegBVI4sh6El+1gNwvD5YIck7nSA36weD7xvIxG4in80j/UoK8AEGaWnnz8v1GxonMCltmlNs5ZKbGvl9b1XQ==
+ dependencies:
+ basic-auth "~2.0.1"
+ debug "2.6.9"
+ depd "~2.0.0"
+ on-finished "~2.3.0"
+ on-headers "~1.0.2"
+
+ms@2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/ms/-/ms-2.0.0.tgz#5608aeadfc00be6c2901df5f9861788de0d597c8"
+ integrity sha1-VgiurfwAvmwpAd9fmGF4jeDVl8g=
+
+ms@2.1.1:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/ms/-/ms-2.1.1.tgz#30a5864eb3ebb0a66f2ebe6d727af06a09d86e0a"
+ integrity sha512-tgp+dl5cGk28utYktBsrFqA7HKgrhgPsg6Z/EfhWI4gl1Hwq8B/GmY/0oXZ6nF8hDVesS/FpnYaD/kOWhYQvyg==
+
+ms@2.1.2:
+ version "2.1.2"
+ resolved "https://registry.yarnpkg.com/ms/-/ms-2.1.2.tgz#d09d1f357b443f493382a8eb3ccd183872ae6009"
+ integrity sha512-sGkPx+VjMtmA6MX27oA4FBFELFCZZ4S4XqeGOXCv68tT+jb3vk/RyaKWP0PTKyWtmLSM0b+adUTEvbs1PEaH2w==
+
+ms@2.1.3:
+ version "2.1.3"
+ resolved "https://registry.yarnpkg.com/ms/-/ms-2.1.3.tgz#574c8138ce1d2b5861f0b44579dbadd60c6615b2"
+ integrity sha512-6FlzubTLZG3J2a/NVCAleEhjzq5oxgHyaCU9yYXvcLsvoVaHJq/s5xXI6/XXP6tz7R9xAOtHnSO/tXtF3WRTlA==
+
+mute-stream@0.0.7:
+ version "0.0.7"
+ resolved "https://registry.yarnpkg.com/mute-stream/-/mute-stream-0.0.7.tgz#3075ce93bc21b8fab43e1bc4da7e8115ed1e7bab"
+ integrity sha1-MHXOk7whuPq0PhvE2n6BFe0ee6s=
+
+nanoid@3.1.20:
+ version "3.1.20"
+ resolved "https://registry.yarnpkg.com/nanoid/-/nanoid-3.1.20.tgz#badc263c6b1dcf14b71efaa85f6ab4c1d6cfc788"
+ integrity sha512-a1cQNyczgKbLX9jwbS/+d7W8fX/RfgYR7lVWwWOGIPNgK2m0MWvrGF6/m4kk6U3QcFMnZf3RIhL0v2Jgh/0Uxw==
+
+nanoid@^3.1.23:
+ version "3.1.25"
+ resolved "https://registry.yarnpkg.com/nanoid/-/nanoid-3.1.25.tgz#09ca32747c0e543f0e1814b7d3793477f9c8e152"
+ integrity sha512-rdwtIXaXCLFAQbnfqDRnI6jaRHp9fTcYBjtFKE8eezcZ7LuLjhUaQGNeMXf1HmRoCH32CLz6XwX0TtxEOS/A3Q==
+
+negotiator@0.6.2:
+ version "0.6.2"
+ resolved "https://registry.yarnpkg.com/negotiator/-/negotiator-0.6.2.tgz#feacf7ccf525a77ae9634436a64883ffeca346fb"
+ integrity sha512-hZXc7K2e+PgeI1eDBe/10Ard4ekbfrrqG8Ep+8Jmf4JID2bNg7NvCPOZN+kfF574pFQI7mum2AUqDidoKqcTOw==
+
+neo-async@^2.6.2:
+ version "2.6.2"
+ resolved "https://registry.yarnpkg.com/neo-async/-/neo-async-2.6.2.tgz#b4aafb93e3aeb2d8174ca53cf163ab7d7308305f"
+ integrity sha512-Yd3UES5mWCSqR+qNT93S3UoYUkqAZ9lLg8a7g9rimsWmYGK8cVToA4/sF3RrshdyV3sAGMXVUmpMYOw+dLpOuw==
+
+node-fetch@1.6.3:
+ version "1.6.3"
+ resolved "https://registry.yarnpkg.com/node-fetch/-/node-fetch-1.6.3.tgz#dc234edd6489982d58e8f0db4f695029abcd8c04"
+ integrity sha1-3CNO3WSJmC1Y6PDbT2lQKavNjAQ=
+ dependencies:
+ encoding "^0.1.11"
+ is-stream "^1.0.1"
+
+node-releases@^1.1.75:
+ version "1.1.75"
+ resolved "https://registry.yarnpkg.com/node-releases/-/node-releases-1.1.75.tgz#6dd8c876b9897a1b8e5a02de26afa79bb54ebbfe"
+ integrity sha512-Qe5OUajvqrqDSy6wrWFmMwfJ0jVgwiw4T3KqmbTcZ62qW0gQkheXYhcFM1+lOVcGUoRxcEcfyvFMAnDgaF1VWw==
+
+normalize-path@^3.0.0, normalize-path@~3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/normalize-path/-/normalize-path-3.0.0.tgz#0dcd69ff23a1c9b11fd0978316644a0388216a65"
+ integrity sha512-6eZs5Ls3WtCisHWp9S2GUy8dqkpGi4BVSz3GaqiE6ezub0512ESztXUwUB6C6IKbQkY2Pnb/mD4WYojCRwcwLA==
+
+normalize-url@^4.1.0:
+ version "4.5.1"
+ resolved "https://registry.yarnpkg.com/normalize-url/-/normalize-url-4.5.1.tgz#0dd90cf1288ee1d1313b87081c9a5932ee48518a"
+ integrity sha512-9UZCFRHQdNrfTpGg8+1INIg93B6zE0aXMVFkw1WFwvO4SlZywU6aLg5Of0Ap/PgcbSw4LNxvMWXMeugwMCX0AA==
+
+npm-run-path@^4.0.1:
+ version "4.0.1"
+ resolved "https://registry.yarnpkg.com/npm-run-path/-/npm-run-path-4.0.1.tgz#b7ecd1e5ed53da8e37a55e1c2269e0b97ed748ea"
+ integrity sha512-S48WzZW777zhNIrn7gxOlISNAqi9ZC/uQFnRdbeIHhZhCA6UqpkOT8T1G7BvfdgP4Er8gF4sUbaS0i7QvIfCWw==
+ dependencies:
+ path-key "^3.0.0"
+
+object-assign@^4, object-assign@^4.0.1, object-assign@^4.1.1:
+ version "4.1.1"
+ resolved "https://registry.yarnpkg.com/object-assign/-/object-assign-4.1.1.tgz#2109adc7965887cfc05cbbd442cac8bfbb360863"
+ integrity sha1-IQmtx5ZYh8/AXLvUQsrIv7s2CGM=
+
+on-finished@~2.3.0:
+ version "2.3.0"
+ resolved "https://registry.yarnpkg.com/on-finished/-/on-finished-2.3.0.tgz#20f1336481b083cd75337992a16971aa2d906947"
+ integrity sha1-IPEzZIGwg811M3mSoWlxqi2QaUc=
+ dependencies:
+ ee-first "1.1.1"
+
+on-headers@~1.0.2:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/on-headers/-/on-headers-1.0.2.tgz#772b0ae6aaa525c399e489adfad90c403eb3c28f"
+ integrity sha512-pZAE+FJLoyITytdqK0U5s+FIpjN0JP3OzFi/u8Rx+EV5/W+JTWGXG8xFzevE7AjBfDqHv/8vL8qQsIhHnqRkrA==
+
+once@^1.3.0, once@^1.3.1, once@^1.4.0:
+ version "1.4.0"
+ resolved "https://registry.yarnpkg.com/once/-/once-1.4.0.tgz#583b1aa775961d4b113ac17d9c50baef9dd76bd1"
+ integrity sha1-WDsap3WWHUsROsF9nFC6753Xa9E=
+ dependencies:
+ wrappy "1"
+
+onetime@^2.0.0:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/onetime/-/onetime-2.0.1.tgz#067428230fd67443b2794b22bba528b6867962d4"
+ integrity sha1-BnQoIw/WdEOyeUsiu6UotoZ5YtQ=
+ dependencies:
+ mimic-fn "^1.0.0"
+
+onetime@^5.1.2:
+ version "5.1.2"
+ resolved "https://registry.yarnpkg.com/onetime/-/onetime-5.1.2.tgz#d0e96ebb56b07476df1dd9c4806e5237985ca45e"
+ integrity sha512-kbpaSSGJTWdAY5KPVeMOKXSrPtr8C8C7wodJbcsd51jRnmD+GZu8Y0VoU6Dm5Z4vWr0Ig/1NKuWRKf7j5aaYSg==
+ dependencies:
+ mimic-fn "^2.1.0"
+
+openapi-default-setter@^8.0.0:
+ version "8.0.0"
+ resolved "https://registry.yarnpkg.com/openapi-default-setter/-/openapi-default-setter-8.0.0.tgz#17caf5c58f2c8d11609d270847952a3fc295f95b"
+ integrity sha512-Ro0hg8w+lTPe18r5noVUjHgYMXZ3mPe5evW6fA0hdahqLns444wR/Cuvcykb/FHteqaq0WooQrsoKObO4lIHWA==
+ dependencies:
+ openapi-types "^8.0.0"
+
+openapi-framework@^8.0.0:
+ version "8.0.0"
+ resolved "https://registry.yarnpkg.com/openapi-framework/-/openapi-framework-8.0.0.tgz#5bdaaca75cd1344ff71f622948a0f89d55b6a716"
+ integrity sha512-T9rP8onTa5xU+7+FCiiBO/p0DLjbHlcfhu+8yUEWFlmlCyihqjbsH0YiH7cCQYNOLgKZUCQZOaxJDiYBlVIaQQ==
+ dependencies:
+ difunc "0.0.4"
+ fs-routes "^8.0.0"
+ glob "*"
+ is-dir "^1.0.0"
+ js-yaml "^3.10.0"
+ openapi-default-setter "^8.0.0"
+ openapi-request-coercer "^8.0.0"
+ openapi-request-validator "^8.0.0"
+ openapi-response-validator "^8.0.0"
+ openapi-schema-validator "^8.0.0"
+ openapi-security-handler "^8.0.0"
+ openapi-types "^8.0.0"
+ ts-log "^2.1.4"
+
+openapi-jsonschema-parameters@^8.0.0:
+ version "8.0.0"
+ resolved "https://registry.yarnpkg.com/openapi-jsonschema-parameters/-/openapi-jsonschema-parameters-8.0.0.tgz#1aae51fe0c8312672ef3e20ef97f4456b3f33e59"
+ integrity sha512-yBBShgxPyo1M33q6RHNAvhTH6AydMDyDl7e89YUA/VkAf1wrU2HO/7Nok65R0vGbZFF43yml4i8sIak3GGnqVA==
+ dependencies:
+ openapi-types "^8.0.0"
+
+openapi-request-coercer@^8.0.0:
+ version "8.0.0"
+ resolved "https://registry.yarnpkg.com/openapi-request-coercer/-/openapi-request-coercer-8.0.0.tgz#5767c12da1a40f509fa55147210b09d66a854ee0"
+ integrity sha512-CTWZJT6rAPiLO7kvBpN9CJ7TXbCTlZzE7Z/Id/gegK/5FlxYIoB+ybx4tYC4IwJEjfm/lxY7Xv2CRp6RLJfKPw==
+ dependencies:
+ openapi-types "^8.0.0"
+ ts-log "^2.1.4"
+
+openapi-request-validator@^8.0.0:
+ version "8.0.0"
+ resolved "https://registry.yarnpkg.com/openapi-request-validator/-/openapi-request-validator-8.0.0.tgz#b22acecc73952ccc132fd3710e79e319eb8f20cc"
+ integrity sha512-7gqNp4MvYu+pbdbq8Pw0qMsKqlhWQeYdKCHiu1OeOgBG8YkjlNGGeTuX028TsBEB/jGw7PgMCggaHuMl/W3bmQ==
+ dependencies:
+ ajv "^6.5.4"
+ content-type "^1.0.4"
+ openapi-jsonschema-parameters "^8.0.0"
+ openapi-types "^8.0.0"
+ ts-log "^2.1.4"
+
+openapi-response-validator@^8.0.0:
+ version "8.0.0"
+ resolved "https://registry.yarnpkg.com/openapi-response-validator/-/openapi-response-validator-8.0.0.tgz#ea4f3a43bcf9e151c1e90046f8a2d10c98607368"
+ integrity sha512-h41hcEIgT7ldowLafcWlaE2m3+ss9IgRRrBfEzTtdBab2SyefYeXBV5keicL/muC1msmhT2p2rftjQnvfQN2jA==
+ dependencies:
+ ajv "^6.5.4"
+ openapi-types "^8.0.0"
+
+openapi-schema-validator@^8.0.0:
+ version "8.0.0"
+ resolved "https://registry.yarnpkg.com/openapi-schema-validator/-/openapi-schema-validator-8.0.0.tgz#6a0eb06bec103e057ea1f1051883bb8c465684a4"
+ integrity sha512-cxacCVE/pIhlfzDPjhMREEVgWsFFUxU/+bKU258LKDmgXcdbbajtWtRT63VarXPnQ0sS4Bhl3V4ZKWxdJMiOXA==
+ dependencies:
+ ajv "^6.5.2"
+ lodash.merge "^4.6.1"
+ openapi-types "^8.0.0"
+ swagger-schema-official "2.0.0-bab6bed"
+
+openapi-security-handler@^8.0.0:
+ version "8.0.0"
+ resolved "https://registry.yarnpkg.com/openapi-security-handler/-/openapi-security-handler-8.0.0.tgz#0b4c1a589f61c4cee7bec0b945d6d3f494fdf023"
+ integrity sha512-XWD15AQSZA3OQFS1gqupC9KoxOuUacyG8PUEna91sihPvZdO5lVcAfqHkJ1tqOKcn5k8Y8EsSoCwlr0d5njCaw==
+ dependencies:
+ openapi-types "^8.0.0"
+
+openapi-types@^7.2.3:
+ version "7.2.3"
+ resolved "https://registry.yarnpkg.com/openapi-types/-/openapi-types-7.2.3.tgz#83829911a3410a022f0e0cf2b0b2e67232ccf96e"
+ integrity sha512-olbaNxz12R27+mTyJ/ZAFEfUruauHH27AkeQHDHRq5AF0LdNkK1SSV7EourXQDK+4aX7dv2HtyirAGK06WMAsA==
+
+openapi-types@^8.0.0:
+ version "8.0.0"
+ resolved "https://registry.yarnpkg.com/openapi-types/-/openapi-types-8.0.0.tgz#7e1979538798d31a3c3bfed667e5e9295402f9bc"
+ integrity sha512-dcHYyCDOAy4QQTrur5Sn1L3lPVspB7rd04Rw/Q7AsMvfV797IiWgmKziFCbq8VhnBoREU/SPPSBDxtK9Biwa1g==
+
+opencollective-postinstall@^2.0.3:
+ version "2.0.3"
+ resolved "https://registry.yarnpkg.com/opencollective-postinstall/-/opencollective-postinstall-2.0.3.tgz#7a0fff978f6dbfa4d006238fbac98ed4198c3259"
+ integrity sha512-8AV/sCtuzUeTo8gQK5qDZzARrulB3egtLzFgteqB2tcT4Mw7B8Kt7JcDHmltjz6FOAHsvTevk70gZEbhM4ZS9Q==
+
+opencollective@^1.0.3:
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/opencollective/-/opencollective-1.0.3.tgz#aee6372bc28144583690c3ca8daecfc120dd0ef1"
+ integrity sha1-ruY3K8KBRFg2kMPKja7PwSDdDvE=
+ dependencies:
+ babel-polyfill "6.23.0"
+ chalk "1.1.3"
+ inquirer "3.0.6"
+ minimist "1.2.0"
+ node-fetch "1.6.3"
+ opn "4.0.2"
+
+opn@4.0.2:
+ version "4.0.2"
+ resolved "https://registry.yarnpkg.com/opn/-/opn-4.0.2.tgz#7abc22e644dff63b0a96d5ab7f2790c0f01abc95"
+ integrity sha1-erwi5kTf9jsKltWrfyeQwPAavJU=
+ dependencies:
+ object-assign "^4.0.1"
+ pinkie-promise "^2.0.0"
+
+os-tmpdir@~1.0.2:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/os-tmpdir/-/os-tmpdir-1.0.2.tgz#bbe67406c79aa85c5cfec766fe5734555dfa1274"
+ integrity sha1-u+Z0BseaqFxc/sdm/lc0VV36EnQ=
+
+p-cancelable@^1.0.0:
+ version "1.1.0"
+ resolved "https://registry.yarnpkg.com/p-cancelable/-/p-cancelable-1.1.0.tgz#d078d15a3af409220c886f1d9a0ca2e441ab26cc"
+ integrity sha512-s73XxOZ4zpt1edZYZzvhqFa6uvQc1vwUa0K0BdtIZgQMAJj9IbebH+JkgKZc9h+B05PKHLOTl4ajG1BmNrVZlw==
+
+p-limit@^2.2.0:
+ version "2.3.0"
+ resolved "https://registry.yarnpkg.com/p-limit/-/p-limit-2.3.0.tgz#3dd33c647a214fdfffd835933eb086da0dc21db1"
+ integrity sha512-//88mFWSJx8lxCzwdAABTJL2MyWB12+eIY7MDL2SqLmAkeKU9qxRvWuSyTjm3FUmpBEMuFfckAIqEaVGUDxb6w==
+ dependencies:
+ p-try "^2.0.0"
+
+p-limit@^3.0.2, p-limit@^3.1.0:
+ version "3.1.0"
+ resolved "https://registry.yarnpkg.com/p-limit/-/p-limit-3.1.0.tgz#e1daccbe78d0d1388ca18c64fea38e3e57e3706b"
+ integrity sha512-TYOanM3wGwNGsZN2cVTYPArw454xnXj5qmWF1bEoAc4+cU/ol7GVh7odevjp1FNHduHc3KZMcFduxU5Xc6uJRQ==
+ dependencies:
+ yocto-queue "^0.1.0"
+
+p-locate@^4.1.0:
+ version "4.1.0"
+ resolved "https://registry.yarnpkg.com/p-locate/-/p-locate-4.1.0.tgz#a3428bb7088b3a60292f66919278b7c297ad4f07"
+ integrity sha512-R79ZZ/0wAxKGu3oYMlz8jy/kbhsNrS7SKZ7PxEHBgJ5+F2mtFW2fK2cOtBh1cHYkQsbzFV7I+EoRKe6Yt0oK7A==
+ dependencies:
+ p-limit "^2.2.0"
+
+p-locate@^5.0.0:
+ version "5.0.0"
+ resolved "https://registry.yarnpkg.com/p-locate/-/p-locate-5.0.0.tgz#83c8315c6785005e3bd021839411c9e110e6d834"
+ integrity sha512-LaNjtRWUBY++zB5nE/NwcaoMylSPk+S+ZHNB1TzdbMJMny6dynpAGt7X/tl/QYq3TIeE6nxHppbo2LGymrG5Pw==
+ dependencies:
+ p-limit "^3.0.2"
+
+p-try@^2.0.0:
+ version "2.2.0"
+ resolved "https://registry.yarnpkg.com/p-try/-/p-try-2.2.0.tgz#cb2868540e313d61de58fafbe35ce9004d5540e6"
+ integrity sha512-R4nPAVTAU0B9D35/Gk3uJf/7XYbQcyohSKdvAxIRSNghFl4e71hVoGnBNQz9cWaXxO2I10KTC+3jMdvvoKw6dQ==
+
+package-json@^6.3.0:
+ version "6.5.0"
+ resolved "https://registry.yarnpkg.com/package-json/-/package-json-6.5.0.tgz#6feedaca35e75725876d0b0e64974697fed145b0"
+ integrity sha512-k3bdm2n25tkyxcjSKzB5x8kfVxlMdgsbPr0GkZcwHsLpba6cBjqCt1KlcChKEvxHIcTB1FVMuwoijZ26xex5MQ==
+ dependencies:
+ got "^9.6.0"
+ registry-auth-token "^4.0.0"
+ registry-url "^5.0.0"
+ semver "^6.2.0"
+
+parseurl@~1.3.3:
+ version "1.3.3"
+ resolved "https://registry.yarnpkg.com/parseurl/-/parseurl-1.3.3.tgz#9da19e7bee8d12dff0513ed5b76957793bc2e8d4"
+ integrity sha512-CiyeOxFT/JZyN5m0z9PfXw4SCBJ6Sygz1Dpl0wqjlhDEGGBP1GnsUVEL0p63hoG1fcj3fHynXi9NYO4nWOL+qQ==
+
+path-exists@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/path-exists/-/path-exists-4.0.0.tgz#513bdbe2d3b95d7762e8c1137efa195c6c61b5b3"
+ integrity sha512-ak9Qy5Q7jYb2Wwcey5Fpvg2KoAc/ZIhLSLOSBmRmygPsGwkVVt0fZa0qrtMz+m6tJTAHfZQ8FnmB4MG4LWy7/w==
+
+path-is-absolute@^1.0.0:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/path-is-absolute/-/path-is-absolute-1.0.1.tgz#174b9268735534ffbc7ace6bf53a5a9e1b5c5f5f"
+ integrity sha1-F0uSaHNVNP+8es5r9TpanhtcX18=
+
+path-key@^3.0.0, path-key@^3.1.0:
+ version "3.1.1"
+ resolved "https://registry.yarnpkg.com/path-key/-/path-key-3.1.1.tgz#581f6ade658cbba65a0d3380de7753295054f375"
+ integrity sha512-ojmeN0qd+y0jszEtoY48r0Peq5dwMEkIlCOu6Q5f41lfkswXuKtYrhgoTpLnyIcHm24Uhqx+5Tqm2InSwLhE6Q==
+
+path-parse@^1.0.6:
+ version "1.0.7"
+ resolved "https://registry.yarnpkg.com/path-parse/-/path-parse-1.0.7.tgz#fbc114b60ca42b30d9daf5858e4bd68bbedb6735"
+ integrity sha512-LDJzPVEEEPR+y48z93A0Ed0yXb8pAByGWo/k5YYdYgpY2/2EsOsksJrq7lOHxryrVOn1ejG6oAp8ahvOIQD8sw==
+
+path-to-regexp@0.1.7:
+ version "0.1.7"
+ resolved "https://registry.yarnpkg.com/path-to-regexp/-/path-to-regexp-0.1.7.tgz#df604178005f522f15eb4490e7247a1bfaa67f8c"
+ integrity sha1-32BBeABfUi8V60SQ5yR6G/qmf4w=
+
+path-type@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/path-type/-/path-type-4.0.0.tgz#84ed01c0a7ba380afe09d90a8c180dcd9d03043b"
+ integrity sha512-gDKb8aZMDeD/tZWs9P6+q0J9Mwkdl6xMV8TjnGP3qJVJ06bdMgkbBlLU8IdfOsIsFz2BW1rNVT3XuNEl8zPAvw==
+
+picomatch@^2.0.4, picomatch@^2.2.1, picomatch@^2.2.3:
+ version "2.3.0"
+ resolved "https://registry.yarnpkg.com/picomatch/-/picomatch-2.3.0.tgz#f1f061de8f6a4bf022892e2d128234fb98302972"
+ integrity sha512-lY1Q/PiJGC2zOv/z391WOTD+Z02bCgsFfvxoXXf6h7kv9o+WmsmzYqrAwY63sNgOxE4xEdq0WyUnXfKeBrSvYw==
+
+pinkie-promise@^2.0.0:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/pinkie-promise/-/pinkie-promise-2.0.1.tgz#2135d6dfa7a358c069ac9b178776288228450ffa"
+ integrity sha1-ITXW36ejWMBprJsXh3YogihFD/o=
+ dependencies:
+ pinkie "^2.0.0"
+
+pinkie@^2.0.0:
+ version "2.0.4"
+ resolved "https://registry.yarnpkg.com/pinkie/-/pinkie-2.0.4.tgz#72556b80cfa0d48a974e80e77248e80ed4f7f870"
+ integrity sha1-clVrgM+g1IqXToDnckjoDtT3+HA=
+
+pkg-dir@^4.2.0:
+ version "4.2.0"
+ resolved "https://registry.yarnpkg.com/pkg-dir/-/pkg-dir-4.2.0.tgz#f099133df7ede422e81d1d8448270eeb3e4261f3"
+ integrity sha512-HRDzbaKjC+AOWVXxAU/x54COGeIv9eb+6CkDSQoNTt4XyWoIJvuPsXizxu/Fr23EiekbtZwmh1IcIG/l/a10GQ==
+ dependencies:
+ find-up "^4.0.0"
+
+postcss-modules-extract-imports@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/postcss-modules-extract-imports/-/postcss-modules-extract-imports-3.0.0.tgz#cda1f047c0ae80c97dbe28c3e76a43b88025741d"
+ integrity sha512-bdHleFnP3kZ4NYDhuGlVK+CMrQ/pqUm8bx/oGL93K6gVwiclvX5x0n76fYMKuIGKzlABOy13zsvqjb0f92TEXw==
+
+postcss-modules-local-by-default@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/postcss-modules-local-by-default/-/postcss-modules-local-by-default-4.0.0.tgz#ebbb54fae1598eecfdf691a02b3ff3b390a5a51c"
+ integrity sha512-sT7ihtmGSF9yhm6ggikHdV0hlziDTX7oFoXtuVWeDd3hHObNkcHRo9V3yg7vCAY7cONyxJC/XXCmmiHHcvX7bQ==
+ dependencies:
+ icss-utils "^5.0.0"
+ postcss-selector-parser "^6.0.2"
+ postcss-value-parser "^4.1.0"
+
+postcss-modules-scope@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/postcss-modules-scope/-/postcss-modules-scope-3.0.0.tgz#9ef3151456d3bbfa120ca44898dfca6f2fa01f06"
+ integrity sha512-hncihwFA2yPath8oZ15PZqvWGkWf+XUfQgUGamS4LqoP1anQLOsOJw0vr7J7IwLpoY9fatA2qiGUGmuZL0Iqlg==
+ dependencies:
+ postcss-selector-parser "^6.0.4"
+
+postcss-modules-values@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/postcss-modules-values/-/postcss-modules-values-4.0.0.tgz#d7c5e7e68c3bb3c9b27cbf48ca0bb3ffb4602c9c"
+ integrity sha512-RDxHkAiEGI78gS2ofyvCsu7iycRv7oqw5xMWn9iMoR0N/7mf9D50ecQqUo5BZ9Zh2vH4bCUR/ktCqbB9m8vJjQ==
+ dependencies:
+ icss-utils "^5.0.0"
+
+postcss-selector-parser@^6.0.2, postcss-selector-parser@^6.0.4:
+ version "6.0.6"
+ resolved "https://registry.yarnpkg.com/postcss-selector-parser/-/postcss-selector-parser-6.0.6.tgz#2c5bba8174ac2f6981ab631a42ab0ee54af332ea"
+ integrity sha512-9LXrvaaX3+mcv5xkg5kFwqSzSH1JIObIx51PrndZwlmznwXRfxMddDvo9gve3gVR8ZTKgoFDdWkbRFmEhT4PMg==
+ dependencies:
+ cssesc "^3.0.0"
+ util-deprecate "^1.0.2"
+
+postcss-value-parser@^4.1.0:
+ version "4.1.0"
+ resolved "https://registry.yarnpkg.com/postcss-value-parser/-/postcss-value-parser-4.1.0.tgz#443f6a20ced6481a2bda4fa8532a6e55d789a2cb"
+ integrity sha512-97DXOFbQJhk71ne5/Mt6cOu6yxsSfM0QGQyl0L25Gca4yGWEGJaig7l7gbCX623VqTBNGLRLaVUCnNkcedlRSQ==
+
+postcss@^8.2.15:
+ version "8.3.6"
+ resolved "https://registry.yarnpkg.com/postcss/-/postcss-8.3.6.tgz#2730dd76a97969f37f53b9a6096197be311cc4ea"
+ integrity sha512-wG1cc/JhRgdqB6WHEuyLTedf3KIRuD0hG6ldkFEZNCjRxiC+3i6kkWUUbiJQayP28iwG35cEmAbe98585BYV0A==
+ dependencies:
+ colorette "^1.2.2"
+ nanoid "^3.1.23"
+ source-map-js "^0.6.2"
+
+prepend-http@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/prepend-http/-/prepend-http-2.0.0.tgz#e92434bfa5ea8c19f41cdfd401d741a3c819d897"
+ integrity sha1-6SQ0v6XqjBn0HN/UAddBo8gZ2Jc=
+
+process@^0.11.10:
+ version "0.11.10"
+ resolved "https://registry.yarnpkg.com/process/-/process-0.11.10.tgz#7332300e840161bda3e69a1d1d91a7d4bc16f182"
+ integrity sha1-czIwDoQBYb2j5podHZGn1LwW8YI=
+
+promise-queue@^2.2.3:
+ version "2.2.5"
+ resolved "https://registry.yarnpkg.com/promise-queue/-/promise-queue-2.2.5.tgz#2f6f5f7c0f6d08109e967659c79b88a9ed5e93b4"
+ integrity sha1-L29ffA9tCBCelnZZx5uIqe1ek7Q=
+
+proxy-addr@~2.0.5:
+ version "2.0.7"
+ resolved "https://registry.yarnpkg.com/proxy-addr/-/proxy-addr-2.0.7.tgz#f19fe69ceab311eeb94b42e70e8c2070f9ba1025"
+ integrity sha512-llQsMLSUDUPT44jdrU/O37qlnifitDP+ZwrmmZcoSKyLKvtZxpyV0n2/bD/N4tBAAZ/gJEdZU7KMraoK1+XYAg==
+ dependencies:
+ forwarded "0.2.0"
+ ipaddr.js "1.9.1"
+
+pump@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/pump/-/pump-3.0.0.tgz#b4a2116815bde2f4e1ea602354e8c75565107a64"
+ integrity sha512-LwZy+p3SFs1Pytd/jYct4wpv49HiYCqd9Rlc5ZVdk0V+8Yzv6jR5Blk3TRmPL1ft69TxP0IMZGJ+WPFU2BFhww==
+ dependencies:
+ end-of-stream "^1.1.0"
+ once "^1.3.1"
+
+punycode@1.3.2:
+ version "1.3.2"
+ resolved "https://registry.yarnpkg.com/punycode/-/punycode-1.3.2.tgz#9653a036fb7c1ee42342f2325cceefea3926c48d"
+ integrity sha1-llOgNvt8HuQjQvIyXM7v6jkmxI0=
+
+punycode@^2.1.0:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/punycode/-/punycode-2.1.1.tgz#b58b010ac40c22c5657616c8d2c2c02c7bf479ec"
+ integrity sha512-XRsRjdf+j5ml+y/6GKHPZbrF/8p2Yga0JPtdqTIY2Xe5ohJPD9saDJJLPvp9+NSBprVvevdXZybnj2cv8OEd0A==
+
+qs@6.7.0:
+ version "6.7.0"
+ resolved "https://registry.yarnpkg.com/qs/-/qs-6.7.0.tgz#41dc1a015e3d581f1621776be31afb2876a9b1bc"
+ integrity sha512-VCdBRNFTX1fyE7Nb6FYoURo/SPe62QCaAyzJvUjwRaIsc+NePBEniHlvxFmmX56+HZphIGtV0XeCirBtpDrTyQ==
+
+querystring@0.2.0:
+ version "0.2.0"
+ resolved "https://registry.yarnpkg.com/querystring/-/querystring-0.2.0.tgz#b209849203bb25df820da756e747005878521620"
+ integrity sha1-sgmEkgO7Jd+CDadW50cAWHhSFiA=
+
+queue-microtask@^1.2.2:
+ version "1.2.3"
+ resolved "https://registry.yarnpkg.com/queue-microtask/-/queue-microtask-1.2.3.tgz#4929228bbc724dfac43e0efb058caf7b6cfb6243"
+ integrity sha512-NuaNSa6flKT5JaSYQzJok04JzTL1CA6aGhv5rfLW3PgqA+M2ChpZQnAC8h8i4ZFkBS8X5RqkDBHA7r4hej3K9A==
+
+randombytes@^2.1.0:
+ version "2.1.0"
+ resolved "https://registry.yarnpkg.com/randombytes/-/randombytes-2.1.0.tgz#df6f84372f0270dc65cdf6291349ab7a473d4f2a"
+ integrity sha512-vYl3iOX+4CKUWuxGi9Ukhie6fsqXqS9FE2Zaic4tNFD2N2QQaXOMFbuKK4QmDHC0JO6B1Zp41J0LpT0oR68amQ==
+ dependencies:
+ safe-buffer "^5.1.0"
+
+range-parser@~1.2.1:
+ version "1.2.1"
+ resolved "https://registry.yarnpkg.com/range-parser/-/range-parser-1.2.1.tgz#3cf37023d199e1c24d1a55b84800c2f3e6468031"
+ integrity sha512-Hrgsx+orqoygnmhFbKaHE6c296J+HTAQXoxEF6gNupROmmGJRoyzfG3ccAveqCBrwr/2yxQ5BVd/GTl5agOwSg==
+
+raw-body@2.4.0:
+ version "2.4.0"
+ resolved "https://registry.yarnpkg.com/raw-body/-/raw-body-2.4.0.tgz#a1ce6fb9c9bc356ca52e89256ab59059e13d0332"
+ integrity sha512-4Oz8DUIwdvoa5qMJelxipzi/iJIi40O5cGV1wNYp5hvZP8ZN0T+jiNkL0QepXs+EsQ9XJ8ipEDoiH70ySUJP3Q==
+ dependencies:
+ bytes "3.1.0"
+ http-errors "1.7.2"
+ iconv-lite "0.4.24"
+ unpipe "1.0.0"
+
+rc@^1.2.8:
+ version "1.2.8"
+ resolved "https://registry.yarnpkg.com/rc/-/rc-1.2.8.tgz#cd924bf5200a075b83c188cd6b9e211b7fc0d3ed"
+ integrity sha512-y3bGgqKj3QBdxLbLkomlohkvsA8gdAiUQlSBJnBhfn+BPxg4bc62d8TcBW15wavDfgexCgccckhcZvywyQYPOw==
+ dependencies:
+ deep-extend "^0.6.0"
+ ini "~1.3.0"
+ minimist "^1.2.0"
+ strip-json-comments "~2.0.1"
+
+react-dom@^17.0.2:
+ version "17.0.2"
+ resolved "https://registry.yarnpkg.com/react-dom/-/react-dom-17.0.2.tgz#ecffb6845e3ad8dbfcdc498f0d0a939736502c23"
+ integrity sha512-s4h96KtLDUQlsENhMn1ar8t2bEa+q/YAtj8pPPdIjPDGBDIVNsrD9aXNWqspUe6AzKCIG0C1HZZLqLV7qpOBGA==
+ dependencies:
+ loose-envify "^1.1.0"
+ object-assign "^4.1.1"
+ scheduler "^0.20.2"
+
+react@^17.0.2:
+ version "17.0.2"
+ resolved "https://registry.yarnpkg.com/react/-/react-17.0.2.tgz#d0b5cc516d29eb3eee383f75b62864cfb6800037"
+ integrity sha512-gnhPt75i/dq/z3/6q/0asP78D0u592D5L1pd7M8P+dck6Fu/jJeL6iVVK23fptSUZj8Vjf++7wXA8UNclGQcbA==
+ dependencies:
+ loose-envify "^1.1.0"
+ object-assign "^4.1.1"
+
+readable-stream@^3.6.0:
+ version "3.6.0"
+ resolved "https://registry.yarnpkg.com/readable-stream/-/readable-stream-3.6.0.tgz#337bbda3adc0706bd3e024426a286d4b4b2c9198"
+ integrity sha512-BViHy7LKeTz4oNnkcLJ+lVSL6vpiFeX6/d3oSH8zCW7UxP2onchk+vTGB143xuFjHS3deTgkKoXXymXqymiIdA==
+ dependencies:
+ inherits "^2.0.3"
+ string_decoder "^1.1.1"
+ util-deprecate "^1.0.1"
+
+readdirp@~3.5.0:
+ version "3.5.0"
+ resolved "https://registry.yarnpkg.com/readdirp/-/readdirp-3.5.0.tgz#9ba74c019b15d365278d2e91bb8c48d7b4d42c9e"
+ integrity sha512-cMhu7c/8rdhkHXWsY+osBhfSy0JikwpHK/5+imo+LpeasTF8ouErHrlYkwT0++njiyuDvc7OFY5T3ukvZ8qmFQ==
+ dependencies:
+ picomatch "^2.2.1"
+
+rechoir@^0.7.0:
+ version "0.7.1"
+ resolved "https://registry.yarnpkg.com/rechoir/-/rechoir-0.7.1.tgz#9478a96a1ca135b5e88fc027f03ee92d6c645686"
+ integrity sha512-/njmZ8s1wVeR6pjTZ+0nCnv8SpZNRMT2D1RLOJQESlYFDBvwpTA4KWJpZ+sBJ4+vhjILRcK7JIFdGCdxEAAitg==
+ dependencies:
+ resolve "^1.9.0"
+
+regenerator-runtime@^0.10.0:
+ version "0.10.5"
+ resolved "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.10.5.tgz#336c3efc1220adcedda2c9fab67b5a7955a33658"
+ integrity sha1-M2w+/BIgrc7dosn6tntaeVWjNlg=
+
+regenerator-runtime@^0.11.0:
+ version "0.11.1"
+ resolved "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.11.1.tgz#be05ad7f9bf7d22e056f9726cee5017fbf19e2e9"
+ integrity sha512-MguG95oij0fC3QV3URf4V2SDYGJhJnJGqvIIgdECeODCT98wSWDAJ94SSuVpYQUoTcGUIL6L4yNB7j1DFFHSBg==
+
+registry-auth-token@^4.0.0:
+ version "4.2.1"
+ resolved "https://registry.yarnpkg.com/registry-auth-token/-/registry-auth-token-4.2.1.tgz#6d7b4006441918972ccd5fedcd41dc322c79b250"
+ integrity sha512-6gkSb4U6aWJB4SF2ZvLb76yCBjcvufXBqvvEx1HbmKPkutswjW1xNVRY0+daljIYRbogN7O0etYSlbiaEQyMyw==
+ dependencies:
+ rc "^1.2.8"
+
+registry-url@^5.0.0:
+ version "5.1.0"
+ resolved "https://registry.yarnpkg.com/registry-url/-/registry-url-5.1.0.tgz#e98334b50d5434b81136b44ec638d9c2009c5009"
+ integrity sha512-8acYXXTI0AkQv6RAOjE3vOaIXZkT9wo4LOFbBKYQEEnnMNBpKqdUrI6S4NT0KPIo/WVvJ5tE/X5LF/TQUf0ekw==
+ dependencies:
+ rc "^1.2.8"
+
+require-directory@^2.1.1:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/require-directory/-/require-directory-2.1.1.tgz#8c64ad5fd30dab1c976e2344ffe7f792a6a6df42"
+ integrity sha1-jGStX9MNqxyXbiNE/+f3kqam30I=
+
+resolve-cwd@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/resolve-cwd/-/resolve-cwd-3.0.0.tgz#0f0075f1bb2544766cf73ba6a6e2adfebcb13f2d"
+ integrity sha512-OrZaX2Mb+rJCpH/6CpSqt9xFVpN++x01XnN2ie9g6P5/3xelLAkXWVADpdz1IHD/KFfEXyE6V0U01OQ3UO2rEg==
+ dependencies:
+ resolve-from "^5.0.0"
+
+resolve-from@^5.0.0:
+ version "5.0.0"
+ resolved "https://registry.yarnpkg.com/resolve-from/-/resolve-from-5.0.0.tgz#c35225843df8f776df21c57557bc087e9dfdfc69"
+ integrity sha512-qYg9KP24dD5qka9J47d0aVky0N+b4fTU89LN9iDnjB5waksiC49rvMB0PrUJQGoTmH50XPiqOvAjDfaijGxYZw==
+
+resolve@^1.3.2, resolve@^1.9.0:
+ version "1.20.0"
+ resolved "https://registry.yarnpkg.com/resolve/-/resolve-1.20.0.tgz#629a013fb3f70755d6f0b7935cc1c2c5378b1975"
+ integrity sha512-wENBPt4ySzg4ybFQW2TT1zMQucPK95HSh/nq2CFTZVOGut2+pQvSsgtda4d26YrYcr067wjbmzOG8byDPBX63A==
+ dependencies:
+ is-core-module "^2.2.0"
+ path-parse "^1.0.6"
+
+responselike@^1.0.2:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/responselike/-/responselike-1.0.2.tgz#918720ef3b631c5642be068f15ade5a46f4ba1e7"
+ integrity sha1-kYcg7ztjHFZCvgaPFa3lpG9Loec=
+ dependencies:
+ lowercase-keys "^1.0.0"
+
+restore-cursor@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/restore-cursor/-/restore-cursor-2.0.0.tgz#9f7ee287f82fd326d4fd162923d62129eee0dfaf"
+ integrity sha1-n37ih/gv0ybU/RYpI9YhKe7g368=
+ dependencies:
+ onetime "^2.0.0"
+ signal-exit "^3.0.2"
+
+reusify@^1.0.4:
+ version "1.0.4"
+ resolved "https://registry.yarnpkg.com/reusify/-/reusify-1.0.4.tgz#90da382b1e126efc02146e90845a88db12925d76"
+ integrity sha512-U9nH88a3fc/ekCF1l0/UP1IosiuIjyTh7hBvXVMHYgVcfGvt897Xguj2UOLDeI5BG2m7/uwyaLVT6fbtCwTyzw==
+
+rimraf@^3.0.2:
+ version "3.0.2"
+ resolved "https://registry.yarnpkg.com/rimraf/-/rimraf-3.0.2.tgz#f1a5402ba6220ad52cc1282bac1ae3aa49fd061a"
+ integrity sha512-JZkJMZkAGFFPP2YqXZXPbMlMBgsxzE8ILs4lMIX/2o0L9UBw9O/Y3o6wFw/i9YLapcUJWwqbi3kdxIPdC62TIA==
+ dependencies:
+ glob "^7.1.3"
+
+run-async@^2.2.0:
+ version "2.4.1"
+ resolved "https://registry.yarnpkg.com/run-async/-/run-async-2.4.1.tgz#8440eccf99ea3e70bd409d49aab88e10c189a455"
+ integrity sha512-tvVnVv01b8c1RrA6Ep7JkStj85Guv/YrMcwqYQnwjsAS2cTmmPGBBjAjpCW7RrSodNSoE2/qg9O4bceNvUuDgQ==
+
+run-parallel@^1.1.9:
+ version "1.2.0"
+ resolved "https://registry.yarnpkg.com/run-parallel/-/run-parallel-1.2.0.tgz#66d1368da7bdf921eb9d95bd1a9229e7f21a43ee"
+ integrity sha512-5l4VyZR86LZ/lDxZTR6jqL8AFE2S0IFLMP26AbjsLVADxHdhB/c0GUsH+y39UfCi3dzz8OlQuPmnaJOMoDHQBA==
+ dependencies:
+ queue-microtask "^1.2.2"
+
+rx@^4.1.0:
+ version "4.1.0"
+ resolved "https://registry.yarnpkg.com/rx/-/rx-4.1.0.tgz#a5f13ff79ef3b740fe30aa803fb09f98805d4782"
+ integrity sha1-pfE/957zt0D+MKqAP7CfmIBdR4I=
+
+safe-buffer@5.1.2:
+ version "5.1.2"
+ resolved "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.1.2.tgz#991ec69d296e0313747d59bdfd2b745c35f8828d"
+ integrity sha512-Gd2UZBJDkXlY7GbJxfsE8/nvKkUEU1G38c1siN6QP6a9PT9MmHB8GnpscSmMJSoF8LOIrt8ud/wPtojys4G6+g==
+
+safe-buffer@^5.1.0, safe-buffer@~5.2.0:
+ version "5.2.1"
+ resolved "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.2.1.tgz#1eaf9fa9bdb1fdd4ec75f58f9cdb4e6b7827eec6"
+ integrity sha512-rp3So07KcdmmKbGvgaNxQSJr7bGVSVk5S9Eq1F+ppbRo70+YeaDxkw5Dd8NPN+GD6bjnYm2VuPuCXmpuYvmCXQ==
+
+"safer-buffer@>= 2.1.2 < 3", "safer-buffer@>= 2.1.2 < 3.0.0":
+ version "2.1.2"
+ resolved "https://registry.yarnpkg.com/safer-buffer/-/safer-buffer-2.1.2.tgz#44fa161b0187b9549dd84bb91802f9bd8385cd6a"
+ integrity sha512-YZo3K82SD7Riyi0E1EQPojLz7kpepnSQI9IyPbHHg1XXXevb5dJI7tpyN2ADxGcQbHG7vcyRHk0cbwqcQriUtg==
+
+scheduler@^0.20.2:
+ version "0.20.2"
+ resolved "https://registry.yarnpkg.com/scheduler/-/scheduler-0.20.2.tgz#4baee39436e34aa93b4874bddcbf0fe8b8b50e91"
+ integrity sha512-2eWfGgAqqWFGqtdMmcL5zCMK1U8KlXv8SQFGglL3CEtd0aDVDWgeF/YoCmvln55m5zSk3J/20hTaSBeSObsQDQ==
+ dependencies:
+ loose-envify "^1.1.0"
+ object-assign "^4.1.1"
+
+schema-utils@^3.0.0, schema-utils@^3.1.0, schema-utils@^3.1.1:
+ version "3.1.1"
+ resolved "https://registry.yarnpkg.com/schema-utils/-/schema-utils-3.1.1.tgz#bc74c4b6b6995c1d88f76a8b77bea7219e0c8281"
+ integrity sha512-Y5PQxS4ITlC+EahLuXaY86TXfR7Dc5lw294alXOq86JAHCihAIZfqv8nNCWvaEJvaC51uN9hbLGeV0cFBdH+Fw==
+ dependencies:
+ "@types/json-schema" "^7.0.8"
+ ajv "^6.12.5"
+ ajv-keywords "^3.5.2"
+
+semver@^5.3.0:
+ version "5.7.1"
+ resolved "https://registry.yarnpkg.com/semver/-/semver-5.7.1.tgz#a954f931aeba508d307bbf069eff0c01c96116f7"
+ integrity sha512-sauaDf/PZdVgrLTNYHRtpXa1iRiKcaebiKQ1BJdpQlWH2lCvexQdX55snPFyK7QzpudqbCI0qXFfOasHdyNDGQ==
+
+semver@^6.2.0:
+ version "6.3.0"
+ resolved "https://registry.yarnpkg.com/semver/-/semver-6.3.0.tgz#ee0a64c8af5e8ceea67687b133761e1becbd1d3d"
+ integrity sha512-b39TBaTSfV6yBrapU89p5fKekE2m/NwnDocOVruQFS1/veMgdzuPcnOM34M6CwxW8jH/lxEa5rBoDeUwu5HHTw==
+
+semver@^7.3.4, semver@^7.3.5:
+ version "7.3.5"
+ resolved "https://registry.yarnpkg.com/semver/-/semver-7.3.5.tgz#0b621c879348d8998e4b0e4be94b3f12e6018ef7"
+ integrity sha512-PoeGJYh8HK4BTO/a9Tf6ZG3veo/A7ZVsYrSA6J8ny9nb3B1VrpkuN+z9OE5wfE5p6H4LchYZsegiQgbJD94ZFQ==
+ dependencies:
+ lru-cache "^6.0.0"
+
+send@0.17.1:
+ version "0.17.1"
+ resolved "https://registry.yarnpkg.com/send/-/send-0.17.1.tgz#c1d8b059f7900f7466dd4938bdc44e11ddb376c8"
+ integrity sha512-BsVKsiGcQMFwT8UxypobUKyv7irCNRHk1T0G680vk88yf6LBByGcZJOTJCrTP2xVN6yI+XjPJcNuE3V4fT9sAg==
+ dependencies:
+ debug "2.6.9"
+ depd "~1.1.2"
+ destroy "~1.0.4"
+ encodeurl "~1.0.2"
+ escape-html "~1.0.3"
+ etag "~1.8.1"
+ fresh "0.5.2"
+ http-errors "~1.7.2"
+ mime "1.6.0"
+ ms "2.1.1"
+ on-finished "~2.3.0"
+ range-parser "~1.2.1"
+ statuses "~1.5.0"
+
+serialize-javascript@5.0.1:
+ version "5.0.1"
+ resolved "https://registry.yarnpkg.com/serialize-javascript/-/serialize-javascript-5.0.1.tgz#7886ec848049a462467a97d3d918ebb2aaf934f4"
+ integrity sha512-SaaNal9imEO737H2c05Og0/8LUXG7EnsZyMa8MzkmuHoELfT6txuj0cMqRj6zfPKnmQ1yasR4PCJc8x+M4JSPA==
+ dependencies:
+ randombytes "^2.1.0"
+
+serialize-javascript@^6.0.0:
+ version "6.0.0"
+ resolved "https://registry.yarnpkg.com/serialize-javascript/-/serialize-javascript-6.0.0.tgz#efae5d88f45d7924141da8b5c3a7a7e663fefeb8"
+ integrity sha512-Qr3TosvguFt8ePWqsvRfrKyQXIiW+nGbYpy8XK24NQHE83caxWt+mIymTT19DGFbNWNLfEwsrkSmN64lVWB9ag==
+ dependencies:
+ randombytes "^2.1.0"
+
+serve-static@1.14.1:
+ version "1.14.1"
+ resolved "https://registry.yarnpkg.com/serve-static/-/serve-static-1.14.1.tgz#666e636dc4f010f7ef29970a88a674320898b2f9"
+ integrity sha512-JMrvUwE54emCYWlTI+hGrGv5I8dEwmco/00EvkzIIsR7MqrHonbD9pO2MOfFnpFntl7ecpZs+3mW+XbQZu9QCg==
+ dependencies:
+ encodeurl "~1.0.2"
+ escape-html "~1.0.3"
+ parseurl "~1.3.3"
+ send "0.17.1"
+
+setprototypeof@1.1.1:
+ version "1.1.1"
+ resolved "https://registry.yarnpkg.com/setprototypeof/-/setprototypeof-1.1.1.tgz#7e95acb24aa92f5885e0abef5ba131330d4ae683"
+ integrity sha512-JvdAWfbXeIGaZ9cILp38HntZSFSo3mWg6xGcJJsd+d4aRMOqauag1C63dJfDw7OaMYwEbHMOxEZ1lqVRYP2OAw==
+
+shallow-clone@^3.0.0:
+ version "3.0.1"
+ resolved "https://registry.yarnpkg.com/shallow-clone/-/shallow-clone-3.0.1.tgz#8f2981ad92531f55035b01fb230769a40e02efa3"
+ integrity sha512-/6KqX+GVUdqPuPPd2LxDDxzX6CAbjJehAAOKlNpqqUpAqPM6HeL8f+o3a+JsyGjn2lv0WY8UsTgUJjU9Ok55NA==
+ dependencies:
+ kind-of "^6.0.2"
+
+shebang-command@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/shebang-command/-/shebang-command-2.0.0.tgz#ccd0af4f8835fbdc265b82461aaf0c36663f34ea"
+ integrity sha512-kHxr2zZpYtdmrN1qDjrrX/Z1rR1kG8Dx+gkpK1G4eXmvXswmcE1hTWBWYUzlraYw1/yZp6YuDY77YtvbN0dmDA==
+ dependencies:
+ shebang-regex "^3.0.0"
+
+shebang-regex@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/shebang-regex/-/shebang-regex-3.0.0.tgz#ae16f1644d873ecad843b0307b143362d4c42172"
+ integrity sha512-7++dFhtcx3353uBaq8DDR4NuxBetBzC7ZQOhmTQInHEd6bSrXdiEyzCvG07Z44UYdLShWUyXt5M/yhz8ekcb1A==
+
+sift@^7.0.1:
+ version "7.0.1"
+ resolved "https://registry.yarnpkg.com/sift/-/sift-7.0.1.tgz#47d62c50b159d316f1372f8b53f9c10cd21a4b08"
+ integrity sha512-oqD7PMJ+uO6jV9EQCl0LrRw1OwsiPsiFQR5AR30heR+4Dl7jBBbDLnNvWiak20tzZlSE1H7RB30SX/1j/YYT7g==
+
+signal-exit@^3.0.2, signal-exit@^3.0.3:
+ version "3.0.3"
+ resolved "https://registry.yarnpkg.com/signal-exit/-/signal-exit-3.0.3.tgz#a1410c2edd8f077b08b4e253c8eacfcaf057461c"
+ integrity sha512-VUJ49FC8U1OxwZLxIbTTrDvLnf/6TDgxZcK8wxR8zs13xpx7xbG60ndBlhNrFi2EMuFRoeDoJO7wthSLq42EjA==
+
+slash@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/slash/-/slash-3.0.0.tgz#6539be870c165adbd5240220dbe361f1bc4d4634"
+ integrity sha512-g9Q1haeby36OSStwb4ntCGGGaKsaVSjQ68fBxoQcutl5fS1vuY18H3wSt3jFyFtrkx+Kz0V1G85A4MyAdDMi2Q==
+
+source-map-js@^0.6.2:
+ version "0.6.2"
+ resolved "https://registry.yarnpkg.com/source-map-js/-/source-map-js-0.6.2.tgz#0bb5de631b41cfbda6cfba8bd05a80efdfd2385e"
+ integrity sha512-/3GptzWzu0+0MBQFrDKzw/DvvMTUORvgY6k6jd/VS6iCR4RDTKWH6v6WPwQoUO8667uQEf9Oe38DxAYWY5F/Ug==
+
+source-map-support@^0.5.19, source-map-support@~0.5.19:
+ version "0.5.19"
+ resolved "https://registry.yarnpkg.com/source-map-support/-/source-map-support-0.5.19.tgz#a98b62f86dcaf4f67399648c085291ab9e8fed61"
+ integrity sha512-Wonm7zOCIJzBGQdB+thsPar0kYuCIzYvxZwlBa87yi/Mdjv7Tip2cyVbLj5o0cFPN4EVkuTwb3GDDyUx2DGnGw==
+ dependencies:
+ buffer-from "^1.0.0"
+ source-map "^0.6.0"
+
+source-map@^0.6.0, source-map@^0.6.1:
+ version "0.6.1"
+ resolved "https://registry.yarnpkg.com/source-map/-/source-map-0.6.1.tgz#74722af32e9614e9c287a8d0bbde48b5e2f1a263"
+ integrity sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==
+
+source-map@~0.7.2:
+ version "0.7.3"
+ resolved "https://registry.yarnpkg.com/source-map/-/source-map-0.7.3.tgz#5302f8169031735226544092e64981f751750383"
+ integrity sha512-CkCj6giN3S+n9qrYiBTX5gystlENnRW5jZeNLHpe6aue+SrHcG5VYwujhW9s4dY31mEGsxBDrHR6oI69fTXsaQ==
+
+sprintf-js@~1.0.2:
+ version "1.0.3"
+ resolved "https://registry.yarnpkg.com/sprintf-js/-/sprintf-js-1.0.3.tgz#04e6926f662895354f3dd015203633b857297e2c"
+ integrity sha1-BOaSb2YolTVPPdAVIDYzuFcpfiw=
+
+"statuses@>= 1.5.0 < 2", statuses@~1.5.0:
+ version "1.5.0"
+ resolved "https://registry.yarnpkg.com/statuses/-/statuses-1.5.0.tgz#161c7dac177659fd9811f43771fa99381478628c"
+ integrity sha1-Fhx9rBd2Wf2YEfQ3cfqZOBR4Yow=
+
+stream-http@^3.2.0:
+ version "3.2.0"
+ resolved "https://registry.yarnpkg.com/stream-http/-/stream-http-3.2.0.tgz#1872dfcf24cb15752677e40e5c3f9cc1926028b5"
+ integrity sha512-Oq1bLqisTyK3TSCXpPbT4sdeYNdmyZJv1LxpEm2vu1ZhK89kSE5YXwZc3cWk0MagGaKriBh9mCFbVGtO+vY29A==
+ dependencies:
+ builtin-status-codes "^3.0.0"
+ inherits "^2.0.4"
+ readable-stream "^3.6.0"
+ xtend "^4.0.2"
+
+"string-width@^1.0.2 || 2", string-width@^2.0.0:
+ version "2.1.1"
+ resolved "https://registry.yarnpkg.com/string-width/-/string-width-2.1.1.tgz#ab93f27a8dc13d28cac815c462143a6d9012ae9e"
+ integrity sha512-nOqH59deCq9SRHlxq1Aw85Jnt4w6KvLKqWVik6oA9ZklXLNIOlqg4F2yrT1MVaTjAqvVwdfeZ7w7aCvJD7ugkw==
+ dependencies:
+ is-fullwidth-code-point "^2.0.0"
+ strip-ansi "^4.0.0"
+
+string-width@^4.1.0, string-width@^4.2.0:
+ version "4.2.2"
+ resolved "https://registry.yarnpkg.com/string-width/-/string-width-4.2.2.tgz#dafd4f9559a7585cfba529c6a0a4f73488ebd4c5"
+ integrity sha512-XBJbT3N4JhVumXE0eoLU9DCjcaF92KLNqTmFCnG1pf8duUxFGwtP6AD6nkjw9a3IdiRtL3E2w3JDiE/xi3vOeA==
+ dependencies:
+ emoji-regex "^8.0.0"
+ is-fullwidth-code-point "^3.0.0"
+ strip-ansi "^6.0.0"
+
+string_decoder@^1.1.1:
+ version "1.3.0"
+ resolved "https://registry.yarnpkg.com/string_decoder/-/string_decoder-1.3.0.tgz#42f114594a46cf1a8e30b0a84f56c78c3edac21e"
+ integrity sha512-hkRX8U1WjJFd8LsDJ2yQ/wWWxaopEsABU1XfkM8A+j0+85JAGppt16cr1Whg6KIbb4okU6Mql6BOj+uup/wKeA==
+ dependencies:
+ safe-buffer "~5.2.0"
+
+strip-ansi@^3.0.0:
+ version "3.0.1"
+ resolved "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-3.0.1.tgz#6a385fb8853d952d5ff05d0e8aaf94278dc63dcf"
+ integrity sha1-ajhfuIU9lS1f8F0Oiq+UJ43GPc8=
+ dependencies:
+ ansi-regex "^2.0.0"
+
+strip-ansi@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-4.0.0.tgz#a8479022eb1ac368a871389b635262c505ee368f"
+ integrity sha1-qEeQIusaw2iocTibY1JixQXuNo8=
+ dependencies:
+ ansi-regex "^3.0.0"
+
+strip-ansi@^6.0.0:
+ version "6.0.0"
+ resolved "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-6.0.0.tgz#0b1571dd7669ccd4f3e06e14ef1eed26225ae532"
+ integrity sha512-AuvKTrTfQNYNIctbR1K/YGTR1756GycPsg7b9bdV9Duqur4gv6aKqHXah67Z8ImS7WEz5QVcOtlfW2rZEugt6w==
+ dependencies:
+ ansi-regex "^5.0.0"
+
+strip-final-newline@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/strip-final-newline/-/strip-final-newline-2.0.0.tgz#89b852fb2fcbe936f6f4b3187afb0a12c1ab58ad"
+ integrity sha512-BrpvfNAE3dcvq7ll3xVumzjKjZQ5tI1sEUIKr3Uoks0XUl45St3FlatVqef9prk4jRDzhW6WZg+3bk93y6pLjA==
+
+strip-json-comments@3.1.1:
+ version "3.1.1"
+ resolved "https://registry.yarnpkg.com/strip-json-comments/-/strip-json-comments-3.1.1.tgz#31f1281b3832630434831c310c01cccda8cbe006"
+ integrity sha512-6fPc+R4ihwqP6N/aIv2f1gMH8lOVtWQHoqC4yK6oSDVVocumAsfCqjkXnqiYMhmMwS/mEHLp7Vehlt3ql6lEig==
+
+strip-json-comments@~2.0.1:
+ version "2.0.1"
+ resolved "https://registry.yarnpkg.com/strip-json-comments/-/strip-json-comments-2.0.1.tgz#3c531942e908c2697c0ec344858c286c7ca0a60a"
+ integrity sha1-PFMZQukIwml8DsNEhYwobHygpgo=
+
+style-loader@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/style-loader/-/style-loader-2.0.0.tgz#9669602fd4690740eaaec137799a03addbbc393c"
+ integrity sha512-Z0gYUJmzZ6ZdRUqpg1r8GsaFKypE+3xAzuFeMuoHgjc9KZv3wMyCRjQIWEbhoFSq7+7yoHXySDJyyWQaPajeiQ==
+ dependencies:
+ loader-utils "^2.0.0"
+ schema-utils "^3.0.0"
+
+supports-color@8.1.1, supports-color@^8.0.0:
+ version "8.1.1"
+ resolved "https://registry.yarnpkg.com/supports-color/-/supports-color-8.1.1.tgz#cd6fc17e28500cff56c1b86c0a7fd4a54a73005c"
+ integrity sha512-MpUEN2OodtUzxvKQl72cUF7RQ5EiHsGvSsVG0ia9c5RbWGL2CI4C7EpPS8UTBIplnlzZiNuV56w+FuNxy3ty2Q==
+ dependencies:
+ has-flag "^4.0.0"
+
+supports-color@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/supports-color/-/supports-color-2.0.0.tgz#535d045ce6b6363fa40117084629995e9df324c7"
+ integrity sha1-U10EXOa2Nj+kARcIRimZXp3zJMc=
+
+supports-color@^5.3.0:
+ version "5.5.0"
+ resolved "https://registry.yarnpkg.com/supports-color/-/supports-color-5.5.0.tgz#e2e69a44ac8772f78a1ec0b35b689df6530efc8f"
+ integrity sha512-QjVjwdXIt408MIiAqCX4oUKsgU2EqAGzs2Ppkm4aQYbjm+ZEWEcW4SfFNTr4uMNZma0ey4f5lgLrkB0aX0QMow==
+ dependencies:
+ has-flag "^3.0.0"
+
+supports-color@^7.1.0:
+ version "7.2.0"
+ resolved "https://registry.yarnpkg.com/supports-color/-/supports-color-7.2.0.tgz#1b7dcdcb32b8138801b3e478ba6a51caa89648da"
+ integrity sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==
+ dependencies:
+ has-flag "^4.0.0"
+
+swagger-schema-official@2.0.0-bab6bed:
+ version "2.0.0-bab6bed"
+ resolved "https://registry.yarnpkg.com/swagger-schema-official/-/swagger-schema-official-2.0.0-bab6bed.tgz#70070468d6d2977ca5237b2e519ca7d06a2ea3fd"
+ integrity sha1-cAcEaNbSl3ylI3suUZyn0Gouo/0=
+
+swagger-ui-dist@3.51.2:
+ version "3.51.2"
+ resolved "https://registry.yarnpkg.com/swagger-ui-dist/-/swagger-ui-dist-3.51.2.tgz#b0f377edf91a7fd1f4026f4ccc75c072ea610b7b"
+ integrity sha512-7aDfpvGrya61WQN4Eb6x5TELvYb5+7SRJQNYySkKUDGiRIwj1A8B2PNsXs4xMD0/5t8uNi4zW58KSofutcBdhw==
+
+tail@^2.2.3:
+ version "2.2.3"
+ resolved "https://registry.yarnpkg.com/tail/-/tail-2.2.3.tgz#3e6bf65963bb868913e4e3b770cc1584c9d8091c"
+ integrity sha512-XbBmVsJZ636kncPew2Y+pOxOsb9GsNFZ1bcAGCDn23ME/JPJ+TImZYjnqBnMLdw+K11Hql5ZgiUQmRvDHaFc6w==
+
+tapable@^2.1.1, tapable@^2.2.0:
+ version "2.2.0"
+ resolved "https://registry.yarnpkg.com/tapable/-/tapable-2.2.0.tgz#5c373d281d9c672848213d0e037d1c4165ab426b"
+ integrity sha512-FBk4IesMV1rBxX2tfiK8RAmogtWn53puLOQlvO8XuwlgxcYbP4mVPS9Ph4aeamSyyVjOl24aYWAuc8U5kCVwMw==
+
+terser-webpack-plugin@^5.1.3:
+ version "5.2.3"
+ resolved "https://registry.yarnpkg.com/terser-webpack-plugin/-/terser-webpack-plugin-5.2.3.tgz#4852c91f709a4ea2bcf324cf48e7e88124cda0cc"
+ integrity sha512-eDbuaDlXhVaaoKuLD3DTNTozKqln6xOG6Us0SzlKG5tNlazG+/cdl8pm9qiF1Di89iWScTI0HcO+CDcf2dkXiw==
+ dependencies:
+ jest-worker "^27.0.6"
+ p-limit "^3.1.0"
+ schema-utils "^3.1.1"
+ serialize-javascript "^6.0.0"
+ source-map "^0.6.1"
+ terser "^5.7.2"
+
+terser@^5.7.2:
+ version "5.7.2"
+ resolved "https://registry.yarnpkg.com/terser/-/terser-5.7.2.tgz#d4d95ed4f8bf735cb933e802f2a1829abf545e3f"
+ integrity sha512-0Omye+RD4X7X69O0eql3lC4Heh/5iLj3ggxR/B5ketZLOtLiOqukUgjw3q4PDnNQbsrkKr3UMypqStQG3XKRvw==
+ dependencies:
+ commander "^2.20.0"
+ source-map "~0.7.2"
+ source-map-support "~0.5.19"
+
+through@^2.3.6:
+ version "2.3.8"
+ resolved "https://registry.yarnpkg.com/through/-/through-2.3.8.tgz#0dd4c9ffaabc357960b1b724115d7e0e86a2e1f5"
+ integrity sha1-DdTJ/6q8NXlgsbckEV1+Doai4fU=
+
+tmp@^0.0.33:
+ version "0.0.33"
+ resolved "https://registry.yarnpkg.com/tmp/-/tmp-0.0.33.tgz#6d34335889768d21b2bcda0aa277ced3b1bfadf9"
+ integrity sha512-jRCJlojKnZ3addtTOjdIqoRuPEKBvNXcGYqzO6zWZX8KfKEpnGY5jfggJQ3EjKuu8D4bJRr0y+cYJFmYbImXGw==
+ dependencies:
+ os-tmpdir "~1.0.2"
+
+to-readable-stream@^1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/to-readable-stream/-/to-readable-stream-1.0.0.tgz#ce0aa0c2f3df6adf852efb404a783e77c0475771"
+ integrity sha512-Iq25XBt6zD5npPhlLVXGFN3/gyR2/qODcKNNyTMd4vbm39HUaOiAM4PMq0eMVC/Tkxz+Zjdsc55g9yyz+Yq00Q==
+
+to-regex-range@^5.0.1:
+ version "5.0.1"
+ resolved "https://registry.yarnpkg.com/to-regex-range/-/to-regex-range-5.0.1.tgz#1648c44aae7c8d988a326018ed72f5b4dd0392e4"
+ integrity sha512-65P7iz6X5yEr1cwcgvQxbbIw7Uk3gOy5dIdtZ4rDveLqhrdJP+Li/Hx6tyK0NEb+2GCyneCMJiGqrADCSNk8sQ==
+ dependencies:
+ is-number "^7.0.0"
+
+toidentifier@1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/toidentifier/-/toidentifier-1.0.0.tgz#7e1be3470f1e77948bc43d94a3c8f4d7752ba553"
+ integrity sha512-yaOH/Pk/VEhBWWTlhI+qXxDFXlejDGcQipMlyxda9nthulaxLZUNcUqFxokp0vcYnvteJln5FNQDRrxj3YcbVw==
+
+ts-loader@^9.2.3:
+ version "9.2.5"
+ resolved "https://registry.yarnpkg.com/ts-loader/-/ts-loader-9.2.5.tgz#127733a5e9243bf6dafcb8aa3b8a266d8041dca9"
+ integrity sha512-al/ATFEffybdRMUIr5zMEWQdVnCGMUA9d3fXJ8dBVvBlzytPvIszoG9kZoR+94k6/i293RnVOXwMaWbXhNy9pQ==
+ dependencies:
+ chalk "^4.1.0"
+ enhanced-resolve "^5.0.0"
+ micromatch "^4.0.0"
+ semver "^7.3.4"
+
+ts-log@^2.1.4:
+ version "2.2.3"
+ resolved "https://registry.yarnpkg.com/ts-log/-/ts-log-2.2.3.tgz#4da5640fe25a9fb52642cd32391c886721318efb"
+ integrity sha512-XvB+OdKSJ708Dmf9ore4Uf/q62AYDTzFcAdxc8KNML1mmAWywRFVt/dn1KYJH8Agt5UJNujfM3znU5PxgAzA2w==
+
+tslib@^1.13.0, tslib@^1.8.1:
+ version "1.14.1"
+ resolved "https://registry.yarnpkg.com/tslib/-/tslib-1.14.1.tgz#cf2d38bdc34a134bcaf1091c41f6619e2f672d00"
+ integrity sha512-Xni35NKzjgMrwevysHTCArtLDpPvye8zV/0E4EyYn43P7/7qvQwPh9BGkHewbMulVntbigmcT7rdX3BNo9wRJg==
+
+tslib@^2.1.0:
+ version "2.3.1"
+ resolved "https://registry.yarnpkg.com/tslib/-/tslib-2.3.1.tgz#e8a335add5ceae51aa261d32a490158ef042ef01"
+ integrity sha512-77EbyPPpMz+FRFRuAFlWMtmgUWGe9UOG2Z25NqCwiIjRhOf5iKGuzSe5P2w1laq+FkRy4p+PCuVkJSGkzTEKVw==
+
+tslint-config-prettier@^1.18.0:
+ version "1.18.0"
+ resolved "https://registry.yarnpkg.com/tslint-config-prettier/-/tslint-config-prettier-1.18.0.tgz#75f140bde947d35d8f0d238e0ebf809d64592c37"
+ integrity sha512-xPw9PgNPLG3iKRxmK7DWr+Ea/SzrvfHtjFt5LBl61gk2UBG/DB9kCXRjv+xyIU1rUtnayLeMUVJBcMX8Z17nDg==
+
+tslint@^6.1.3:
+ version "6.1.3"
+ resolved "https://registry.yarnpkg.com/tslint/-/tslint-6.1.3.tgz#5c23b2eccc32487d5523bd3a470e9aa31789d904"
+ integrity sha512-IbR4nkT96EQOvKE2PW/djGz8iGNeJ4rF2mBfiYaR/nvUWYKJhLwimoJKgjIFEIDibBtOevj7BqCRL4oHeWWUCg==
+ dependencies:
+ "@babel/code-frame" "^7.0.0"
+ builtin-modules "^1.1.1"
+ chalk "^2.3.0"
+ commander "^2.12.1"
+ diff "^4.0.1"
+ glob "^7.1.1"
+ js-yaml "^3.13.1"
+ minimatch "^3.0.4"
+ mkdirp "^0.5.3"
+ resolve "^1.3.2"
+ semver "^5.3.0"
+ tslib "^1.13.0"
+ tsutils "^2.29.0"
+
+tsutils@^2.29.0:
+ version "2.29.0"
+ resolved "https://registry.yarnpkg.com/tsutils/-/tsutils-2.29.0.tgz#32b488501467acbedd4b85498673a0812aca0b99"
+ integrity sha512-g5JVHCIJwzfISaXpXE1qvNalca5Jwob6FjI4AoPlqMusJ6ftFE7IkkFoMhVLRgK+4Kx3gkzb8UZK5t5yTTvEmA==
+ dependencies:
+ tslib "^1.8.1"
+
+type-is@~1.6.17, type-is@~1.6.18:
+ version "1.6.18"
+ resolved "https://registry.yarnpkg.com/type-is/-/type-is-1.6.18.tgz#4e552cd05df09467dcbc4ef739de89f2cf37c131"
+ integrity sha512-TkRKr9sUTxEH8MdfuCSP7VizJyzRNMjj2J2do2Jr3Kym598JVdEksuzPQCnlFPW4ky9Q+iA+ma9BGm06XQBy8g==
+ dependencies:
+ media-typer "0.3.0"
+ mime-types "~2.1.24"
+
+typescript@^4.3.5:
+ version "4.4.2"
+ resolved "https://registry.yarnpkg.com/typescript/-/typescript-4.4.2.tgz#6d618640d430e3569a1dfb44f7d7e600ced3ee86"
+ integrity sha512-gzP+t5W4hdy4c+68bfcv0t400HVJMMd2+H9B7gae1nQlBzCqvrXX+6GL/b3GAgyTH966pzrZ70/fRjwAtZksSQ==
+
+unpipe@1.0.0, unpipe@~1.0.0:
+ version "1.0.0"
+ resolved "https://registry.yarnpkg.com/unpipe/-/unpipe-1.0.0.tgz#b2bf4ee8514aae6165b4817829d21b2ef49904ec"
+ integrity sha1-sr9O6FFKrmFltIF4KdIbLvSZBOw=
+
+uri-js@^4.2.2:
+ version "4.4.1"
+ resolved "https://registry.yarnpkg.com/uri-js/-/uri-js-4.4.1.tgz#9b1a52595225859e55f669d928f88c6c57f2a77e"
+ integrity sha512-7rKUyy33Q1yc98pQ1DAmLtwX109F7TIfWlW1Ydo8Wl1ii1SeHieeh0HHfPeL2fMXK6z0s8ecKs9frCuLJvndBg==
+ dependencies:
+ punycode "^2.1.0"
+
+url-parse-lax@^3.0.0:
+ version "3.0.0"
+ resolved "https://registry.yarnpkg.com/url-parse-lax/-/url-parse-lax-3.0.0.tgz#16b5cafc07dbe3676c1b1999177823d6503acb0c"
+ integrity sha1-FrXK/Afb42dsGxmZF3gj1lA6yww=
+ dependencies:
+ prepend-http "^2.0.0"
+
+url@^0.11.0:
+ version "0.11.0"
+ resolved "https://registry.yarnpkg.com/url/-/url-0.11.0.tgz#3838e97cfc60521eb73c525a8e55bfdd9e2e28f1"
+ integrity sha1-ODjpfPxgUh63PFJajlW/3Z4uKPE=
+ dependencies:
+ punycode "1.3.2"
+ querystring "0.2.0"
+
+util-deprecate@^1.0.1, util-deprecate@^1.0.2:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/util-deprecate/-/util-deprecate-1.0.2.tgz#450d4dc9fa70de732762fbd2d4a28981419a0ccf"
+ integrity sha1-RQ1Nyfpw3nMnYvvS1KKJgUGaDM8=
+
+utils-merge@1.0.1:
+ version "1.0.1"
+ resolved "https://registry.yarnpkg.com/utils-merge/-/utils-merge-1.0.1.tgz#9f95710f50a267947b2ccc124741c1028427e713"
+ integrity sha1-n5VxD1CiZ5R7LMwSR0HBAoQn5xM=
+
+v8-compile-cache@^2.2.0:
+ version "2.3.0"
+ resolved "https://registry.yarnpkg.com/v8-compile-cache/-/v8-compile-cache-2.3.0.tgz#2de19618c66dc247dcfb6f99338035d8245a2cee"
+ integrity sha512-l8lCEmLcLYZh4nbunNZvQCJc5pv7+RCwa8q/LdUx8u7lsWvPDKmpodJAJNwkAhJC//dFY48KuIEmjtd4RViDrA==
+
+vary@^1, vary@~1.1.2:
+ version "1.1.2"
+ resolved "https://registry.yarnpkg.com/vary/-/vary-1.1.2.tgz#2299f02c6ded30d4a5961b0b9f74524a18f634fc"
+ integrity sha1-IpnwLG3tMNSllhsLn3RSShj2NPw=
+
+watchpack@^2.2.0:
+ version "2.2.0"
+ resolved "https://registry.yarnpkg.com/watchpack/-/watchpack-2.2.0.tgz#47d78f5415fe550ecd740f99fe2882323a58b1ce"
+ integrity sha512-up4YAn/XHgZHIxFBVCdlMiWDj6WaLKpwVeGQk2I5thdYxF/KmF0aaz6TfJZ/hfl1h/XlcDr7k1KH7ThDagpFaA==
+ dependencies:
+ glob-to-regexp "^0.4.1"
+ graceful-fs "^4.1.2"
+
+webpack-cli@^4.7.2:
+ version "4.8.0"
+ resolved "https://registry.yarnpkg.com/webpack-cli/-/webpack-cli-4.8.0.tgz#5fc3c8b9401d3c8a43e2afceacfa8261962338d1"
+ integrity sha512-+iBSWsX16uVna5aAYN6/wjhJy1q/GKk4KjKvfg90/6hykCTSgozbfz5iRgDTSJt/LgSbYxdBX3KBHeobIs+ZEw==
+ dependencies:
+ "@discoveryjs/json-ext" "^0.5.0"
+ "@webpack-cli/configtest" "^1.0.4"
+ "@webpack-cli/info" "^1.3.0"
+ "@webpack-cli/serve" "^1.5.2"
+ colorette "^1.2.1"
+ commander "^7.0.0"
+ execa "^5.0.0"
+ fastest-levenshtein "^1.0.12"
+ import-local "^3.0.2"
+ interpret "^2.2.0"
+ rechoir "^0.7.0"
+ v8-compile-cache "^2.2.0"
+ webpack-merge "^5.7.3"
+
+webpack-merge@^5.7.3:
+ version "5.8.0"
+ resolved "https://registry.yarnpkg.com/webpack-merge/-/webpack-merge-5.8.0.tgz#2b39dbf22af87776ad744c390223731d30a68f61"
+ integrity sha512-/SaI7xY0831XwP6kzuwhKWVKDP9t1QY1h65lAFLbZqMPIuYcD9QAW4u9STIbU9kaJbPBB/geU/gLr1wDjOhQ+Q==
+ dependencies:
+ clone-deep "^4.0.1"
+ wildcard "^2.0.0"
+
+webpack-sources@^3.2.0:
+ version "3.2.0"
+ resolved "https://registry.yarnpkg.com/webpack-sources/-/webpack-sources-3.2.0.tgz#b16973bcf844ebcdb3afde32eda1c04d0b90f89d"
+ integrity sha512-fahN08Et7P9trej8xz/Z7eRu8ltyiygEo/hnRi9KqBUs80KeDcnf96ZJo++ewWd84fEf3xSX9bp4ZS9hbw0OBw==
+
+webpack@5.48.0:
+ version "5.48.0"
+ resolved "https://registry.yarnpkg.com/webpack/-/webpack-5.48.0.tgz#06180fef9767a6fd066889559a4c4d49bee19b83"
+ integrity sha512-CGe+nfbHrYzbk7SKoYITCgN3LRAG0yVddjNUecz9uugo1QtYdiyrVD8nP1PhkNqPfdxC2hknmmKpP355Epyn6A==
+ dependencies:
+ "@types/eslint-scope" "^3.7.0"
+ "@types/estree" "^0.0.50"
+ "@webassemblyjs/ast" "1.11.1"
+ "@webassemblyjs/wasm-edit" "1.11.1"
+ "@webassemblyjs/wasm-parser" "1.11.1"
+ acorn "^8.4.1"
+ acorn-import-assertions "^1.7.6"
+ browserslist "^4.14.5"
+ chrome-trace-event "^1.0.2"
+ enhanced-resolve "^5.8.0"
+ es-module-lexer "^0.7.1"
+ eslint-scope "5.1.1"
+ events "^3.2.0"
+ glob-to-regexp "^0.4.1"
+ graceful-fs "^4.2.4"
+ json-parse-better-errors "^1.0.2"
+ loader-runner "^4.2.0"
+ mime-types "^2.1.27"
+ neo-async "^2.6.2"
+ schema-utils "^3.1.0"
+ tapable "^2.1.1"
+ terser-webpack-plugin "^5.1.3"
+ watchpack "^2.2.0"
+ webpack-sources "^3.2.0"
+
+which@2.0.2, which@^2.0.1:
+ version "2.0.2"
+ resolved "https://registry.yarnpkg.com/which/-/which-2.0.2.tgz#7c6a8dd0a636a0327e10b59c9286eee93f3f51b1"
+ integrity sha512-BLI3Tl1TW3Pvl70l3yq3Y64i+awpwXqsGBYWkkqMtnbXgrMD+yj7rhW0kuEDxzJaYXGjEW5ogapKNMEKNMjibA==
+ dependencies:
+ isexe "^2.0.0"
+
+wide-align@1.1.3:
+ version "1.1.3"
+ resolved "https://registry.yarnpkg.com/wide-align/-/wide-align-1.1.3.tgz#ae074e6bdc0c14a431e804e624549c633b000457"
+ integrity sha512-QGkOQc8XL6Bt5PwnsExKBPuMKBxnGxWWW3fU55Xt4feHozMUhdUMaBCk290qpm/wG5u/RSKzwdAC4i51YigihA==
+ dependencies:
+ string-width "^1.0.2 || 2"
+
+wildcard@^2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/wildcard/-/wildcard-2.0.0.tgz#a77d20e5200c6faaac979e4b3aadc7b3dd7f8fec"
+ integrity sha512-JcKqAHLPxcdb9KM49dufGXn2x3ssnfjbcaQdLlfZsL9rH9wgDQjUtDxbo8NE0F6SFvydeu1VhZe7hZuHsB2/pw==
+
+workerpool@6.1.0:
+ version "6.1.0"
+ resolved "https://registry.yarnpkg.com/workerpool/-/workerpool-6.1.0.tgz#a8e038b4c94569596852de7a8ea4228eefdeb37b"
+ integrity sha512-toV7q9rWNYha963Pl/qyeZ6wG+3nnsyvolaNUS8+R5Wtw6qJPTxIlOP1ZSvcGhEJw+l3HMMmtiNo9Gl61G4GVg==
+
+wrap-ansi@^7.0.0:
+ version "7.0.0"
+ resolved "https://registry.yarnpkg.com/wrap-ansi/-/wrap-ansi-7.0.0.tgz#67e145cff510a6a6984bdf1152911d69d2eb9e43"
+ integrity sha512-YVGIj2kamLSTxw6NsZjoBxfSwsn0ycdesmc4p+Q21c5zPuZ1pl+NfxVdxPtdHvmNVOQ6XSYG4AUtyt/Fi7D16Q==
+ dependencies:
+ ansi-styles "^4.0.0"
+ string-width "^4.1.0"
+ strip-ansi "^6.0.0"
+
+wrappy@1:
+ version "1.0.2"
+ resolved "https://registry.yarnpkg.com/wrappy/-/wrappy-1.0.2.tgz#b5243d8f3ec1aa35f1364605bc0d1036e30ab69f"
+ integrity sha1-tSQ9jz7BqjXxNkYFvA0QNuMKtp8=
+
+xtend@^4.0.2:
+ version "4.0.2"
+ resolved "https://registry.yarnpkg.com/xtend/-/xtend-4.0.2.tgz#bb72779f5fa465186b1f438f674fa347fdb5db54"
+ integrity sha512-LKYU1iAXJXUgAXn9URjiu+MWhyUXHsvfp7mcuYm9dSUKK0/CjtrUwFAxD82/mCWbtLsGjFIad0wIsod4zrTAEQ==
+
+y18n@^5.0.5:
+ version "5.0.8"
+ resolved "https://registry.yarnpkg.com/y18n/-/y18n-5.0.8.tgz#7f4934d0f7ca8c56f95314939ddcd2dd91ce1d55"
+ integrity sha512-0pfFzegeDWJHJIAmTLRP2DwHjdF5s7jo9tuztdQxAhINCdvS+3nGINqPd00AphqJR/0LhANUS6/+7SCb98YOfA==
+
+yallist@^4.0.0:
+ version "4.0.0"
+ resolved "https://registry.yarnpkg.com/yallist/-/yallist-4.0.0.tgz#9bb92790d9c0effec63be73519e11a35019a3a72"
+ integrity sha512-3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A==
+
+yargs-parser@20.2.4:
+ version "20.2.4"
+ resolved "https://registry.yarnpkg.com/yargs-parser/-/yargs-parser-20.2.4.tgz#b42890f14566796f85ae8e3a25290d205f154a54"
+ integrity sha512-WOkpgNhPTlE73h4VFAFsOnomJVaovO8VqLDzy5saChRBFQFBoMYirowyW+Q9HB4HFF4Z7VZTiG3iSzJJA29yRA==
+
+yargs-parser@^20.2.2:
+ version "20.2.9"
+ resolved "https://registry.yarnpkg.com/yargs-parser/-/yargs-parser-20.2.9.tgz#2eb7dc3b0289718fc295f362753845c41a0c94ee"
+ integrity sha512-y11nGElTIV+CT3Zv9t7VKl+Q3hTQoT9a1Qzezhhl6Rp21gJ/IVTW7Z3y9EWXhuUBC2Shnf+DX0antecpAwSP8w==
+
+yargs-unparser@2.0.0:
+ version "2.0.0"
+ resolved "https://registry.yarnpkg.com/yargs-unparser/-/yargs-unparser-2.0.0.tgz#f131f9226911ae5d9ad38c432fe809366c2325eb"
+ integrity sha512-7pRTIA9Qc1caZ0bZ6RYRGbHJthJWuakf+WmHK0rVeLkNrrGhfoabBNdue6kdINI6r4if7ocq9aD/n7xwKOdzOA==
+ dependencies:
+ camelcase "^6.0.0"
+ decamelize "^4.0.0"
+ flat "^5.0.2"
+ is-plain-obj "^2.1.0"
+
+yargs@16.2.0:
+ version "16.2.0"
+ resolved "https://registry.yarnpkg.com/yargs/-/yargs-16.2.0.tgz#1c82bf0f6b6a66eafce7ef30e376f49a12477f66"
+ integrity sha512-D1mvvtDG0L5ft/jGWkLpG1+m0eQxOfaBvTNELraWj22wSVUMWxZUvYgJYcKh6jGGIkJFhH4IZPQhR4TKpc8mBw==
+ dependencies:
+ cliui "^7.0.2"
+ escalade "^3.1.1"
+ get-caller-file "^2.0.5"
+ require-directory "^2.1.1"
+ string-width "^4.2.0"
+ y18n "^5.0.5"
+ yargs-parser "^20.2.2"
+
+yocto-queue@^0.1.0:
+ version "0.1.0"
+ resolved "https://registry.yarnpkg.com/yocto-queue/-/yocto-queue-0.1.0.tgz#0294eb3dee05028d31ee1a5fa2c556a6aaf10a1b"
+ integrity sha512-rVksvsnNCdJ/ohGc6xgPwyN8eheCxsiLM8mxuE/t/mOVqJewPuO1miLpTHQiRgTKCLexL4MeAFVagts7HmNZ2Q==
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/yarn.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/yarn.nix
new file mode 100644
index 00000000000..5fcafbe637a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mirakurun/yarn.nix
@@ -0,0 +1,3765 @@
+{ fetchurl, fetchgit, linkFarm, runCommand, gnutar }: rec {
+ offline_cache = linkFarm "offline" packages;
+ packages = [
+ {
+ name = "_babel_code_frame___code_frame_7.14.5.tgz";
+ path = fetchurl {
+ name = "_babel_code_frame___code_frame_7.14.5.tgz";
+ url = "https://registry.yarnpkg.com/@babel/code-frame/-/code-frame-7.14.5.tgz";
+ sha1 = "23b08d740e83f49c5e59945fbf1b43e80bbf4edb";
+ };
+ }
+ {
+ name = "_babel_helper_validator_identifier___helper_validator_identifier_7.14.9.tgz";
+ path = fetchurl {
+ name = "_babel_helper_validator_identifier___helper_validator_identifier_7.14.9.tgz";
+ url = "https://registry.yarnpkg.com/@babel/helper-validator-identifier/-/helper-validator-identifier-7.14.9.tgz";
+ sha1 = "6654d171b2024f6d8ee151bf2509699919131d48";
+ };
+ }
+ {
+ name = "_babel_highlight___highlight_7.14.5.tgz";
+ path = fetchurl {
+ name = "_babel_highlight___highlight_7.14.5.tgz";
+ url = "https://registry.yarnpkg.com/@babel/highlight/-/highlight-7.14.5.tgz";
+ sha1 = "6861a52f03966405001f6aa534a01a24d99e8cd9";
+ };
+ }
+ {
+ name = "_discoveryjs_json_ext___json_ext_0.5.3.tgz";
+ path = fetchurl {
+ name = "_discoveryjs_json_ext___json_ext_0.5.3.tgz";
+ url = "https://registry.yarnpkg.com/@discoveryjs/json-ext/-/json-ext-0.5.3.tgz";
+ sha1 = "90420f9f9c6d3987f176a19a7d8e764271a2f55d";
+ };
+ }
+ {
+ name = "_fluentui_date_time_utilities___date_time_utilities_8.2.2.tgz";
+ path = fetchurl {
+ name = "_fluentui_date_time_utilities___date_time_utilities_8.2.2.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/date-time-utilities/-/date-time-utilities-8.2.2.tgz";
+ sha1 = "535d5bb6ee7ccfa8cc774c790e31d3d5d4edbad6";
+ };
+ }
+ {
+ name = "_fluentui_dom_utilities___dom_utilities_2.1.4.tgz";
+ path = fetchurl {
+ name = "_fluentui_dom_utilities___dom_utilities_2.1.4.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/dom-utilities/-/dom-utilities-2.1.4.tgz";
+ sha1 = "a8eeaf906cc19f547ae40c662d2776cb2540ea11";
+ };
+ }
+ {
+ name = "_fluentui_font_icons_mdl2___font_icons_mdl2_8.1.11.tgz";
+ path = fetchurl {
+ name = "_fluentui_font_icons_mdl2___font_icons_mdl2_8.1.11.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/font-icons-mdl2/-/font-icons-mdl2-8.1.11.tgz";
+ sha1 = "ba974aa5e1fd219a96f3b664d3b9a18956d39bab";
+ };
+ }
+ {
+ name = "_fluentui_foundation_legacy___foundation_legacy_8.1.11.tgz";
+ path = fetchurl {
+ name = "_fluentui_foundation_legacy___foundation_legacy_8.1.11.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/foundation-legacy/-/foundation-legacy-8.1.11.tgz";
+ sha1 = "a7c51037af8bb58894bc769db16663b991084f8b";
+ };
+ }
+ {
+ name = "_fluentui_keyboard_key___keyboard_key_0.3.4.tgz";
+ path = fetchurl {
+ name = "_fluentui_keyboard_key___keyboard_key_0.3.4.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/keyboard-key/-/keyboard-key-0.3.4.tgz";
+ sha1 = "27c95ea9d43d91cc9c64c318feb10986250584cd";
+ };
+ }
+ {
+ name = "_fluentui_merge_styles___merge_styles_8.1.5.tgz";
+ path = fetchurl {
+ name = "_fluentui_merge_styles___merge_styles_8.1.5.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/merge-styles/-/merge-styles-8.1.5.tgz";
+ sha1 = "f5d5c4bd547aa41311f970e652a512a1c5a5bfb3";
+ };
+ }
+ {
+ name = "_fluentui_react_focus___react_focus_8.2.2.tgz";
+ path = fetchurl {
+ name = "_fluentui_react_focus___react_focus_8.2.2.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/react-focus/-/react-focus-8.2.2.tgz";
+ sha1 = "74231170eeb02ce1798ed8ceb72a0fcdcf89a337";
+ };
+ }
+ {
+ name = "_fluentui_react_hooks___react_hooks_8.3.2.tgz";
+ path = fetchurl {
+ name = "_fluentui_react_hooks___react_hooks_8.3.2.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/react-hooks/-/react-hooks-8.3.2.tgz";
+ sha1 = "fb6e900a0ecbada116f52cc2df8628e7c54a9fa9";
+ };
+ }
+ {
+ name = "_fluentui_react_window_provider___react_window_provider_2.1.4.tgz";
+ path = fetchurl {
+ name = "_fluentui_react_window_provider___react_window_provider_2.1.4.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/react-window-provider/-/react-window-provider-2.1.4.tgz";
+ sha1 = "2e8368fd85f9e10062c726b060b146ffc1f916b3";
+ };
+ }
+ {
+ name = "_fluentui_react___react_8.27.0.tgz";
+ path = fetchurl {
+ name = "_fluentui_react___react_8.27.0.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/react/-/react-8.27.0.tgz";
+ sha1 = "dc41d11eed9b217ff0d3ad5ace85e92281f855e3";
+ };
+ }
+ {
+ name = "_fluentui_set_version___set_version_8.1.4.tgz";
+ path = fetchurl {
+ name = "_fluentui_set_version___set_version_8.1.4.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/set-version/-/set-version-8.1.4.tgz";
+ sha1 = "89fa88223f421981427dfd5372d46210045354e8";
+ };
+ }
+ {
+ name = "_fluentui_style_utilities___style_utilities_8.3.2.tgz";
+ path = fetchurl {
+ name = "_fluentui_style_utilities___style_utilities_8.3.2.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/style-utilities/-/style-utilities-8.3.2.tgz";
+ sha1 = "721a975e41996db24256064b02def921e201e323";
+ };
+ }
+ {
+ name = "_fluentui_theme___theme_2.3.2.tgz";
+ path = fetchurl {
+ name = "_fluentui_theme___theme_2.3.2.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/theme/-/theme-2.3.2.tgz";
+ sha1 = "9094fc8e52758c34a5f396be91a0219bb85111a7";
+ };
+ }
+ {
+ name = "_fluentui_utilities___utilities_8.3.2.tgz";
+ path = fetchurl {
+ name = "_fluentui_utilities___utilities_8.3.2.tgz";
+ url = "https://registry.yarnpkg.com/@fluentui/utilities/-/utilities-8.3.2.tgz";
+ sha1 = "3378c17523d1833d6ba829bcd5d091aab03f59e5";
+ };
+ }
+ {
+ name = "_microsoft_load_themed_styles___load_themed_styles_1.10.206.tgz";
+ path = fetchurl {
+ name = "_microsoft_load_themed_styles___load_themed_styles_1.10.206.tgz";
+ url = "https://registry.yarnpkg.com/@microsoft/load-themed-styles/-/load-themed-styles-1.10.206.tgz";
+ sha1 = "9b18bb4cb5bcfd92e07d4323889731574ba6eb06";
+ };
+ }
+ {
+ name = "_nodelib_fs.scandir___fs.scandir_2.1.5.tgz";
+ path = fetchurl {
+ name = "_nodelib_fs.scandir___fs.scandir_2.1.5.tgz";
+ url = "https://registry.yarnpkg.com/@nodelib/fs.scandir/-/fs.scandir-2.1.5.tgz";
+ sha1 = "7619c2eb21b25483f6d167548b4cfd5a7488c3d5";
+ };
+ }
+ {
+ name = "_nodelib_fs.stat___fs.stat_2.0.5.tgz";
+ path = fetchurl {
+ name = "_nodelib_fs.stat___fs.stat_2.0.5.tgz";
+ url = "https://registry.yarnpkg.com/@nodelib/fs.stat/-/fs.stat-2.0.5.tgz";
+ sha1 = "5bd262af94e9d25bd1e71b05deed44876a222e8b";
+ };
+ }
+ {
+ name = "_nodelib_fs.walk___fs.walk_1.2.8.tgz";
+ path = fetchurl {
+ name = "_nodelib_fs.walk___fs.walk_1.2.8.tgz";
+ url = "https://registry.yarnpkg.com/@nodelib/fs.walk/-/fs.walk-1.2.8.tgz";
+ sha1 = "e95737e8bb6746ddedf69c556953494f196fe69a";
+ };
+ }
+ {
+ name = "_sindresorhus_is___is_0.14.0.tgz";
+ path = fetchurl {
+ name = "_sindresorhus_is___is_0.14.0.tgz";
+ url = "https://registry.yarnpkg.com/@sindresorhus/is/-/is-0.14.0.tgz";
+ sha1 = "9fb3a3cf3132328151f353de4632e01e52102bea";
+ };
+ }
+ {
+ name = "_szmarczak_http_timer___http_timer_1.1.2.tgz";
+ path = fetchurl {
+ name = "_szmarczak_http_timer___http_timer_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/@szmarczak/http-timer/-/http-timer-1.1.2.tgz";
+ sha1 = "b1665e2c461a2cd92f4c1bbf50d5454de0d4b421";
+ };
+ }
+ {
+ name = "_types_body_parser___body_parser_1.19.1.tgz";
+ path = fetchurl {
+ name = "_types_body_parser___body_parser_1.19.1.tgz";
+ url = "https://registry.yarnpkg.com/@types/body-parser/-/body-parser-1.19.1.tgz";
+ sha1 = "0c0174c42a7d017b818303d4b5d969cb0b75929c";
+ };
+ }
+ {
+ name = "_types_connect___connect_3.4.35.tgz";
+ path = fetchurl {
+ name = "_types_connect___connect_3.4.35.tgz";
+ url = "https://registry.yarnpkg.com/@types/connect/-/connect-3.4.35.tgz";
+ sha1 = "5fcf6ae445e4021d1fc2219a4873cc73a3bb2ad1";
+ };
+ }
+ {
+ name = "_types_cors___cors_2.8.12.tgz";
+ path = fetchurl {
+ name = "_types_cors___cors_2.8.12.tgz";
+ url = "https://registry.yarnpkg.com/@types/cors/-/cors-2.8.12.tgz";
+ sha1 = "6b2c510a7ad7039e98e7b8d3d6598f4359e5c080";
+ };
+ }
+ {
+ name = "_types_eslint_scope___eslint_scope_3.7.1.tgz";
+ path = fetchurl {
+ name = "_types_eslint_scope___eslint_scope_3.7.1.tgz";
+ url = "https://registry.yarnpkg.com/@types/eslint-scope/-/eslint-scope-3.7.1.tgz";
+ sha1 = "8dc390a7b4f9dd9f1284629efce982e41612116e";
+ };
+ }
+ {
+ name = "_types_eslint___eslint_7.28.0.tgz";
+ path = fetchurl {
+ name = "_types_eslint___eslint_7.28.0.tgz";
+ url = "https://registry.yarnpkg.com/@types/eslint/-/eslint-7.28.0.tgz";
+ sha1 = "7e41f2481d301c68e14f483fe10b017753ce8d5a";
+ };
+ }
+ {
+ name = "_types_estree___estree_0.0.50.tgz";
+ path = fetchurl {
+ name = "_types_estree___estree_0.0.50.tgz";
+ url = "https://registry.yarnpkg.com/@types/estree/-/estree-0.0.50.tgz";
+ sha1 = "1e0caa9364d3fccd2931c3ed96fdbeaa5d4cca83";
+ };
+ }
+ {
+ name = "_types_express_serve_static_core___express_serve_static_core_4.17.24.tgz";
+ path = fetchurl {
+ name = "_types_express_serve_static_core___express_serve_static_core_4.17.24.tgz";
+ url = "https://registry.yarnpkg.com/@types/express-serve-static-core/-/express-serve-static-core-4.17.24.tgz";
+ sha1 = "ea41f93bf7e0d59cd5a76665068ed6aab6815c07";
+ };
+ }
+ {
+ name = "_types_express___express_4.17.13.tgz";
+ path = fetchurl {
+ name = "_types_express___express_4.17.13.tgz";
+ url = "https://registry.yarnpkg.com/@types/express/-/express-4.17.13.tgz";
+ sha1 = "a76e2995728999bab51a33fabce1d705a3709034";
+ };
+ }
+ {
+ name = "_types_ip___ip_1.1.0.tgz";
+ path = fetchurl {
+ name = "_types_ip___ip_1.1.0.tgz";
+ url = "https://registry.yarnpkg.com/@types/ip/-/ip-1.1.0.tgz";
+ sha1 = "aec4f5bfd49e4a4c53b590d88c36eb078827a7c0";
+ };
+ }
+ {
+ name = "_types_js_yaml___js_yaml_4.0.3.tgz";
+ path = fetchurl {
+ name = "_types_js_yaml___js_yaml_4.0.3.tgz";
+ url = "https://registry.yarnpkg.com/@types/js-yaml/-/js-yaml-4.0.3.tgz";
+ sha1 = "9f33cd6fbf0d5ec575dc8c8fc69c7fec1b4eb200";
+ };
+ }
+ {
+ name = "_types_json_schema___json_schema_7.0.9.tgz";
+ path = fetchurl {
+ name = "_types_json_schema___json_schema_7.0.9.tgz";
+ url = "https://registry.yarnpkg.com/@types/json-schema/-/json-schema-7.0.9.tgz";
+ sha1 = "97edc9037ea0c38585320b28964dde3b39e4660d";
+ };
+ }
+ {
+ name = "_types_mime___mime_1.3.2.tgz";
+ path = fetchurl {
+ name = "_types_mime___mime_1.3.2.tgz";
+ url = "https://registry.yarnpkg.com/@types/mime/-/mime-1.3.2.tgz";
+ sha1 = "93e25bf9ee75fe0fd80b594bc4feb0e862111b5a";
+ };
+ }
+ {
+ name = "_types_morgan___morgan_1.9.3.tgz";
+ path = fetchurl {
+ name = "_types_morgan___morgan_1.9.3.tgz";
+ url = "https://registry.yarnpkg.com/@types/morgan/-/morgan-1.9.3.tgz";
+ sha1 = "ae04180dff02c437312bc0cfb1e2960086b2f540";
+ };
+ }
+ {
+ name = "_types_node___node_16.7.10.tgz";
+ path = fetchurl {
+ name = "_types_node___node_16.7.10.tgz";
+ url = "https://registry.yarnpkg.com/@types/node/-/node-16.7.10.tgz";
+ sha1 = "7aa732cc47341c12a16b7d562f519c2383b6d4fc";
+ };
+ }
+ {
+ name = "_types_node___node_12.20.23.tgz";
+ path = fetchurl {
+ name = "_types_node___node_12.20.23.tgz";
+ url = "https://registry.yarnpkg.com/@types/node/-/node-12.20.23.tgz";
+ sha1 = "d0d5885bb885ee9b1ed114a04ea586540a1b2e2a";
+ };
+ }
+ {
+ name = "_types_promise_queue___promise_queue_2.2.0.tgz";
+ path = fetchurl {
+ name = "_types_promise_queue___promise_queue_2.2.0.tgz";
+ url = "https://registry.yarnpkg.com/@types/promise-queue/-/promise-queue-2.2.0.tgz";
+ sha1 = "cdba35f1b2c0bd8aa2bf925c2b1ed02958067a0a";
+ };
+ }
+ {
+ name = "_types_prop_types___prop_types_15.7.4.tgz";
+ path = fetchurl {
+ name = "_types_prop_types___prop_types_15.7.4.tgz";
+ url = "https://registry.yarnpkg.com/@types/prop-types/-/prop-types-15.7.4.tgz";
+ sha1 = "fcf7205c25dff795ee79af1e30da2c9790808f11";
+ };
+ }
+ {
+ name = "_types_qs___qs_6.9.7.tgz";
+ path = fetchurl {
+ name = "_types_qs___qs_6.9.7.tgz";
+ url = "https://registry.yarnpkg.com/@types/qs/-/qs-6.9.7.tgz";
+ sha1 = "63bb7d067db107cc1e457c303bc25d511febf6cb";
+ };
+ }
+ {
+ name = "_types_range_parser___range_parser_1.2.4.tgz";
+ path = fetchurl {
+ name = "_types_range_parser___range_parser_1.2.4.tgz";
+ url = "https://registry.yarnpkg.com/@types/range-parser/-/range-parser-1.2.4.tgz";
+ sha1 = "cd667bcfdd025213aafb7ca5915a932590acdcdc";
+ };
+ }
+ {
+ name = "_types_react_dom___react_dom_17.0.9.tgz";
+ path = fetchurl {
+ name = "_types_react_dom___react_dom_17.0.9.tgz";
+ url = "https://registry.yarnpkg.com/@types/react-dom/-/react-dom-17.0.9.tgz";
+ sha1 = "441a981da9d7be117042e1a6fd3dac4b30f55add";
+ };
+ }
+ {
+ name = "_types_react___react_17.0.20.tgz";
+ path = fetchurl {
+ name = "_types_react___react_17.0.20.tgz";
+ url = "https://registry.yarnpkg.com/@types/react/-/react-17.0.20.tgz";
+ sha1 = "a4284b184d47975c71658cd69e759b6bd37c3b8c";
+ };
+ }
+ {
+ name = "_types_scheduler___scheduler_0.16.2.tgz";
+ path = fetchurl {
+ name = "_types_scheduler___scheduler_0.16.2.tgz";
+ url = "https://registry.yarnpkg.com/@types/scheduler/-/scheduler-0.16.2.tgz";
+ sha1 = "1a62f89525723dde24ba1b01b092bf5df8ad4d39";
+ };
+ }
+ {
+ name = "_types_serve_static___serve_static_1.13.10.tgz";
+ path = fetchurl {
+ name = "_types_serve_static___serve_static_1.13.10.tgz";
+ url = "https://registry.yarnpkg.com/@types/serve-static/-/serve-static-1.13.10.tgz";
+ sha1 = "f5e0ce8797d2d7cc5ebeda48a52c96c4fa47a8d9";
+ };
+ }
+ {
+ name = "_ungap_promise_all_settled___promise_all_settled_1.1.2.tgz";
+ path = fetchurl {
+ name = "_ungap_promise_all_settled___promise_all_settled_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/@ungap/promise-all-settled/-/promise-all-settled-1.1.2.tgz";
+ sha1 = "aa58042711d6e3275dd37dc597e5d31e8c290a44";
+ };
+ }
+ {
+ name = "_webassemblyjs_ast___ast_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_ast___ast_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/ast/-/ast-1.11.1.tgz";
+ sha1 = "2bfd767eae1a6996f432ff7e8d7fc75679c0b6a7";
+ };
+ }
+ {
+ name = "_webassemblyjs_floating_point_hex_parser___floating_point_hex_parser_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_floating_point_hex_parser___floating_point_hex_parser_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/floating-point-hex-parser/-/floating-point-hex-parser-1.11.1.tgz";
+ sha1 = "f6c61a705f0fd7a6aecaa4e8198f23d9dc179e4f";
+ };
+ }
+ {
+ name = "_webassemblyjs_helper_api_error___helper_api_error_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_helper_api_error___helper_api_error_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/helper-api-error/-/helper-api-error-1.11.1.tgz";
+ sha1 = "1a63192d8788e5c012800ba6a7a46c705288fd16";
+ };
+ }
+ {
+ name = "_webassemblyjs_helper_buffer___helper_buffer_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_helper_buffer___helper_buffer_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/helper-buffer/-/helper-buffer-1.11.1.tgz";
+ sha1 = "832a900eb444884cde9a7cad467f81500f5e5ab5";
+ };
+ }
+ {
+ name = "_webassemblyjs_helper_numbers___helper_numbers_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_helper_numbers___helper_numbers_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/helper-numbers/-/helper-numbers-1.11.1.tgz";
+ sha1 = "64d81da219fbbba1e3bd1bfc74f6e8c4e10a62ae";
+ };
+ }
+ {
+ name = "_webassemblyjs_helper_wasm_bytecode___helper_wasm_bytecode_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_helper_wasm_bytecode___helper_wasm_bytecode_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/helper-wasm-bytecode/-/helper-wasm-bytecode-1.11.1.tgz";
+ sha1 = "f328241e41e7b199d0b20c18e88429c4433295e1";
+ };
+ }
+ {
+ name = "_webassemblyjs_helper_wasm_section___helper_wasm_section_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_helper_wasm_section___helper_wasm_section_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/helper-wasm-section/-/helper-wasm-section-1.11.1.tgz";
+ sha1 = "21ee065a7b635f319e738f0dd73bfbda281c097a";
+ };
+ }
+ {
+ name = "_webassemblyjs_ieee754___ieee754_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_ieee754___ieee754_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/ieee754/-/ieee754-1.11.1.tgz";
+ sha1 = "963929e9bbd05709e7e12243a099180812992614";
+ };
+ }
+ {
+ name = "_webassemblyjs_leb128___leb128_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_leb128___leb128_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/leb128/-/leb128-1.11.1.tgz";
+ sha1 = "ce814b45574e93d76bae1fb2644ab9cdd9527aa5";
+ };
+ }
+ {
+ name = "_webassemblyjs_utf8___utf8_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_utf8___utf8_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/utf8/-/utf8-1.11.1.tgz";
+ sha1 = "d1f8b764369e7c6e6bae350e854dec9a59f0a3ff";
+ };
+ }
+ {
+ name = "_webassemblyjs_wasm_edit___wasm_edit_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_wasm_edit___wasm_edit_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/wasm-edit/-/wasm-edit-1.11.1.tgz";
+ sha1 = "ad206ebf4bf95a058ce9880a8c092c5dec8193d6";
+ };
+ }
+ {
+ name = "_webassemblyjs_wasm_gen___wasm_gen_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_wasm_gen___wasm_gen_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/wasm-gen/-/wasm-gen-1.11.1.tgz";
+ sha1 = "86c5ea304849759b7d88c47a32f4f039ae3c8f76";
+ };
+ }
+ {
+ name = "_webassemblyjs_wasm_opt___wasm_opt_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_wasm_opt___wasm_opt_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/wasm-opt/-/wasm-opt-1.11.1.tgz";
+ sha1 = "657b4c2202f4cf3b345f8a4c6461c8c2418985f2";
+ };
+ }
+ {
+ name = "_webassemblyjs_wasm_parser___wasm_parser_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_wasm_parser___wasm_parser_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/wasm-parser/-/wasm-parser-1.11.1.tgz";
+ sha1 = "86ca734534f417e9bd3c67c7a1c75d8be41fb199";
+ };
+ }
+ {
+ name = "_webassemblyjs_wast_printer___wast_printer_1.11.1.tgz";
+ path = fetchurl {
+ name = "_webassemblyjs_wast_printer___wast_printer_1.11.1.tgz";
+ url = "https://registry.yarnpkg.com/@webassemblyjs/wast-printer/-/wast-printer-1.11.1.tgz";
+ sha1 = "d0c73beda8eec5426f10ae8ef55cee5e7084c2f0";
+ };
+ }
+ {
+ name = "_webpack_cli_configtest___configtest_1.0.4.tgz";
+ path = fetchurl {
+ name = "_webpack_cli_configtest___configtest_1.0.4.tgz";
+ url = "https://registry.yarnpkg.com/@webpack-cli/configtest/-/configtest-1.0.4.tgz";
+ sha1 = "f03ce6311c0883a83d04569e2c03c6238316d2aa";
+ };
+ }
+ {
+ name = "_webpack_cli_info___info_1.3.0.tgz";
+ path = fetchurl {
+ name = "_webpack_cli_info___info_1.3.0.tgz";
+ url = "https://registry.yarnpkg.com/@webpack-cli/info/-/info-1.3.0.tgz";
+ sha1 = "9d78a31101a960997a4acd41ffd9b9300627fe2b";
+ };
+ }
+ {
+ name = "_webpack_cli_serve___serve_1.5.2.tgz";
+ path = fetchurl {
+ name = "_webpack_cli_serve___serve_1.5.2.tgz";
+ url = "https://registry.yarnpkg.com/@webpack-cli/serve/-/serve-1.5.2.tgz";
+ sha1 = "ea584b637ff63c5a477f6f21604b5a205b72c9ec";
+ };
+ }
+ {
+ name = "_xtuc_ieee754___ieee754_1.2.0.tgz";
+ path = fetchurl {
+ name = "_xtuc_ieee754___ieee754_1.2.0.tgz";
+ url = "https://registry.yarnpkg.com/@xtuc/ieee754/-/ieee754-1.2.0.tgz";
+ sha1 = "eef014a3145ae477a1cbc00cd1e552336dceb790";
+ };
+ }
+ {
+ name = "_xtuc_long___long_4.2.2.tgz";
+ path = fetchurl {
+ name = "_xtuc_long___long_4.2.2.tgz";
+ url = "https://registry.yarnpkg.com/@xtuc/long/-/long-4.2.2.tgz";
+ sha1 = "d291c6a4e97989b5c61d9acf396ae4fe133a718d";
+ };
+ }
+ {
+ name = "accepts___accepts_1.3.7.tgz";
+ path = fetchurl {
+ name = "accepts___accepts_1.3.7.tgz";
+ url = "https://registry.yarnpkg.com/accepts/-/accepts-1.3.7.tgz";
+ sha1 = "531bc726517a3b2b41f850021c6cc15eaab507cd";
+ };
+ }
+ {
+ name = "acorn_import_assertions___acorn_import_assertions_1.7.6.tgz";
+ path = fetchurl {
+ name = "acorn_import_assertions___acorn_import_assertions_1.7.6.tgz";
+ url = "https://registry.yarnpkg.com/acorn-import-assertions/-/acorn-import-assertions-1.7.6.tgz";
+ sha1 = "580e3ffcae6770eebeec76c3b9723201e9d01f78";
+ };
+ }
+ {
+ name = "acorn___acorn_8.4.1.tgz";
+ path = fetchurl {
+ name = "acorn___acorn_8.4.1.tgz";
+ url = "https://registry.yarnpkg.com/acorn/-/acorn-8.4.1.tgz";
+ sha1 = "56c36251fc7cabc7096adc18f05afe814321a28c";
+ };
+ }
+ {
+ name = "ajv_keywords___ajv_keywords_3.5.2.tgz";
+ path = fetchurl {
+ name = "ajv_keywords___ajv_keywords_3.5.2.tgz";
+ url = "https://registry.yarnpkg.com/ajv-keywords/-/ajv-keywords-3.5.2.tgz";
+ sha1 = "31f29da5ab6e00d1c2d329acf7b5929614d5014d";
+ };
+ }
+ {
+ name = "ajv___ajv_6.12.6.tgz";
+ path = fetchurl {
+ name = "ajv___ajv_6.12.6.tgz";
+ url = "https://registry.yarnpkg.com/ajv/-/ajv-6.12.6.tgz";
+ sha1 = "baf5a62e802b07d977034586f8c3baf5adf26df4";
+ };
+ }
+ {
+ name = "ansi_colors___ansi_colors_4.1.1.tgz";
+ path = fetchurl {
+ name = "ansi_colors___ansi_colors_4.1.1.tgz";
+ url = "https://registry.yarnpkg.com/ansi-colors/-/ansi-colors-4.1.1.tgz";
+ sha1 = "cbb9ae256bf750af1eab344f229aa27fe94ba348";
+ };
+ }
+ {
+ name = "ansi_escapes___ansi_escapes_1.4.0.tgz";
+ path = fetchurl {
+ name = "ansi_escapes___ansi_escapes_1.4.0.tgz";
+ url = "https://registry.yarnpkg.com/ansi-escapes/-/ansi-escapes-1.4.0.tgz";
+ sha1 = "d3a8a83b319aa67793662b13e761c7911422306e";
+ };
+ }
+ {
+ name = "ansi_regex___ansi_regex_2.1.1.tgz";
+ path = fetchurl {
+ name = "ansi_regex___ansi_regex_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-2.1.1.tgz";
+ sha1 = "c3b33ab5ee360d86e0e628f0468ae7ef27d654df";
+ };
+ }
+ {
+ name = "ansi_regex___ansi_regex_3.0.0.tgz";
+ path = fetchurl {
+ name = "ansi_regex___ansi_regex_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-3.0.0.tgz";
+ sha1 = "ed0317c322064f79466c02966bddb605ab37d998";
+ };
+ }
+ {
+ name = "ansi_regex___ansi_regex_5.0.0.tgz";
+ path = fetchurl {
+ name = "ansi_regex___ansi_regex_5.0.0.tgz";
+ url = "https://registry.yarnpkg.com/ansi-regex/-/ansi-regex-5.0.0.tgz";
+ sha1 = "388539f55179bf39339c81af30a654d69f87cb75";
+ };
+ }
+ {
+ name = "ansi_styles___ansi_styles_2.2.1.tgz";
+ path = fetchurl {
+ name = "ansi_styles___ansi_styles_2.2.1.tgz";
+ url = "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-2.2.1.tgz";
+ sha1 = "b432dd3358b634cf75e1e4664368240533c1ddbe";
+ };
+ }
+ {
+ name = "ansi_styles___ansi_styles_3.2.1.tgz";
+ path = fetchurl {
+ name = "ansi_styles___ansi_styles_3.2.1.tgz";
+ url = "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-3.2.1.tgz";
+ sha1 = "41fbb20243e50b12be0f04b8dedbf07520ce841d";
+ };
+ }
+ {
+ name = "ansi_styles___ansi_styles_4.3.0.tgz";
+ path = fetchurl {
+ name = "ansi_styles___ansi_styles_4.3.0.tgz";
+ url = "https://registry.yarnpkg.com/ansi-styles/-/ansi-styles-4.3.0.tgz";
+ sha1 = "edd803628ae71c04c85ae7a0906edad34b648937";
+ };
+ }
+ {
+ name = "anymatch___anymatch_3.1.2.tgz";
+ path = fetchurl {
+ name = "anymatch___anymatch_3.1.2.tgz";
+ url = "https://registry.yarnpkg.com/anymatch/-/anymatch-3.1.2.tgz";
+ sha1 = "c0557c096af32f106198f4f4e2a383537e378716";
+ };
+ }
+ {
+ name = "argparse___argparse_1.0.10.tgz";
+ path = fetchurl {
+ name = "argparse___argparse_1.0.10.tgz";
+ url = "https://registry.yarnpkg.com/argparse/-/argparse-1.0.10.tgz";
+ sha1 = "bcd6791ea5ae09725e17e5ad988134cd40b3d911";
+ };
+ }
+ {
+ name = "argparse___argparse_2.0.1.tgz";
+ path = fetchurl {
+ name = "argparse___argparse_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/argparse/-/argparse-2.0.1.tgz";
+ sha1 = "246f50f3ca78a3240f6c997e8a9bd1eac49e4b38";
+ };
+ }
+ {
+ name = "aribts___aribts_1.3.5.tgz";
+ path = fetchurl {
+ name = "aribts___aribts_1.3.5.tgz";
+ url = "https://registry.yarnpkg.com/aribts/-/aribts-1.3.5.tgz";
+ sha1 = "f986ba5afb1a8ff202435101544299fc9397baf5";
+ };
+ }
+ {
+ name = "array_flatten___array_flatten_1.1.1.tgz";
+ path = fetchurl {
+ name = "array_flatten___array_flatten_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/array-flatten/-/array-flatten-1.1.1.tgz";
+ sha1 = "9a5f699051b1e7073328f2a008968b64ea2955d2";
+ };
+ }
+ {
+ name = "array_union___array_union_2.1.0.tgz";
+ path = fetchurl {
+ name = "array_union___array_union_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/array-union/-/array-union-2.1.0.tgz";
+ sha1 = "b798420adbeb1de828d84acd8a2e23d3efe85e8d";
+ };
+ }
+ {
+ name = "babel_polyfill___babel_polyfill_6.23.0.tgz";
+ path = fetchurl {
+ name = "babel_polyfill___babel_polyfill_6.23.0.tgz";
+ url = "https://registry.yarnpkg.com/babel-polyfill/-/babel-polyfill-6.23.0.tgz";
+ sha1 = "8364ca62df8eafb830499f699177466c3b03499d";
+ };
+ }
+ {
+ name = "babel_runtime___babel_runtime_6.26.0.tgz";
+ path = fetchurl {
+ name = "babel_runtime___babel_runtime_6.26.0.tgz";
+ url = "https://registry.yarnpkg.com/babel-runtime/-/babel-runtime-6.26.0.tgz";
+ sha1 = "965c7058668e82b55d7bfe04ff2337bc8b5647fe";
+ };
+ }
+ {
+ name = "balanced_match___balanced_match_1.0.2.tgz";
+ path = fetchurl {
+ name = "balanced_match___balanced_match_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/balanced-match/-/balanced-match-1.0.2.tgz";
+ sha1 = "e83e3a7e3f300b34cb9d87f615fa0cbf357690ee";
+ };
+ }
+ {
+ name = "base64_js___base64_js_1.5.1.tgz";
+ path = fetchurl {
+ name = "base64_js___base64_js_1.5.1.tgz";
+ url = "https://registry.yarnpkg.com/base64-js/-/base64-js-1.5.1.tgz";
+ sha1 = "1b1b440160a5bf7ad40b650f095963481903930a";
+ };
+ }
+ {
+ name = "basic_auth___basic_auth_2.0.1.tgz";
+ path = fetchurl {
+ name = "basic_auth___basic_auth_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/basic-auth/-/basic-auth-2.0.1.tgz";
+ sha1 = "b998279bf47ce38344b4f3cf916d4679bbf51e3a";
+ };
+ }
+ {
+ name = "big.js___big.js_5.2.2.tgz";
+ path = fetchurl {
+ name = "big.js___big.js_5.2.2.tgz";
+ url = "https://registry.yarnpkg.com/big.js/-/big.js-5.2.2.tgz";
+ sha1 = "65f0af382f578bcdc742bd9c281e9cb2d7768328";
+ };
+ }
+ {
+ name = "binary_extensions___binary_extensions_2.2.0.tgz";
+ path = fetchurl {
+ name = "binary_extensions___binary_extensions_2.2.0.tgz";
+ url = "https://registry.yarnpkg.com/binary-extensions/-/binary-extensions-2.2.0.tgz";
+ sha1 = "75f502eeaf9ffde42fc98829645be4ea76bd9e2d";
+ };
+ }
+ {
+ name = "body_parser___body_parser_1.19.0.tgz";
+ path = fetchurl {
+ name = "body_parser___body_parser_1.19.0.tgz";
+ url = "https://registry.yarnpkg.com/body-parser/-/body-parser-1.19.0.tgz";
+ sha1 = "96b2709e57c9c4e09a6fd66a8fd979844f69f08a";
+ };
+ }
+ {
+ name = "brace_expansion___brace_expansion_1.1.11.tgz";
+ path = fetchurl {
+ name = "brace_expansion___brace_expansion_1.1.11.tgz";
+ url = "https://registry.yarnpkg.com/brace-expansion/-/brace-expansion-1.1.11.tgz";
+ sha1 = "3c7fcbf529d87226f3d2f52b966ff5271eb441dd";
+ };
+ }
+ {
+ name = "braces___braces_3.0.2.tgz";
+ path = fetchurl {
+ name = "braces___braces_3.0.2.tgz";
+ url = "https://registry.yarnpkg.com/braces/-/braces-3.0.2.tgz";
+ sha1 = "3454e1a462ee8d599e236df336cd9ea4f8afe107";
+ };
+ }
+ {
+ name = "browser_stdout___browser_stdout_1.3.1.tgz";
+ path = fetchurl {
+ name = "browser_stdout___browser_stdout_1.3.1.tgz";
+ url = "https://registry.yarnpkg.com/browser-stdout/-/browser-stdout-1.3.1.tgz";
+ sha1 = "baa559ee14ced73452229bad7326467c61fabd60";
+ };
+ }
+ {
+ name = "browserslist___browserslist_4.17.0.tgz";
+ path = fetchurl {
+ name = "browserslist___browserslist_4.17.0.tgz";
+ url = "https://registry.yarnpkg.com/browserslist/-/browserslist-4.17.0.tgz";
+ sha1 = "1fcd81ec75b41d6d4994fb0831b92ac18c01649c";
+ };
+ }
+ {
+ name = "buffer_from___buffer_from_1.1.2.tgz";
+ path = fetchurl {
+ name = "buffer_from___buffer_from_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/buffer-from/-/buffer-from-1.1.2.tgz";
+ sha1 = "2b146a6fd72e80b4f55d255f35ed59a3a9a41bd5";
+ };
+ }
+ {
+ name = "buffer___buffer_5.7.1.tgz";
+ path = fetchurl {
+ name = "buffer___buffer_5.7.1.tgz";
+ url = "https://registry.yarnpkg.com/buffer/-/buffer-5.7.1.tgz";
+ sha1 = "ba62e7c13133053582197160851a8f648e99eed0";
+ };
+ }
+ {
+ name = "buffer___buffer_6.0.3.tgz";
+ path = fetchurl {
+ name = "buffer___buffer_6.0.3.tgz";
+ url = "https://registry.yarnpkg.com/buffer/-/buffer-6.0.3.tgz";
+ sha1 = "2ace578459cc8fbe2a70aaa8f52ee63b6a74c6c6";
+ };
+ }
+ {
+ name = "builtin_modules___builtin_modules_1.1.1.tgz";
+ path = fetchurl {
+ name = "builtin_modules___builtin_modules_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/builtin-modules/-/builtin-modules-1.1.1.tgz";
+ sha1 = "270f076c5a72c02f5b65a47df94c5fe3a278892f";
+ };
+ }
+ {
+ name = "builtin_status_codes___builtin_status_codes_3.0.0.tgz";
+ path = fetchurl {
+ name = "builtin_status_codes___builtin_status_codes_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/builtin-status-codes/-/builtin-status-codes-3.0.0.tgz";
+ sha1 = "85982878e21b98e1c66425e03d0174788f569ee8";
+ };
+ }
+ {
+ name = "bytes___bytes_3.1.0.tgz";
+ path = fetchurl {
+ name = "bytes___bytes_3.1.0.tgz";
+ url = "https://registry.yarnpkg.com/bytes/-/bytes-3.1.0.tgz";
+ sha1 = "f6cf7933a360e0588fa9fde85651cdc7f805d1f6";
+ };
+ }
+ {
+ name = "cacheable_request___cacheable_request_6.1.0.tgz";
+ path = fetchurl {
+ name = "cacheable_request___cacheable_request_6.1.0.tgz";
+ url = "https://registry.yarnpkg.com/cacheable-request/-/cacheable-request-6.1.0.tgz";
+ sha1 = "20ffb8bd162ba4be11e9567d823db651052ca912";
+ };
+ }
+ {
+ name = "camelcase___camelcase_6.2.0.tgz";
+ path = fetchurl {
+ name = "camelcase___camelcase_6.2.0.tgz";
+ url = "https://registry.yarnpkg.com/camelcase/-/camelcase-6.2.0.tgz";
+ sha1 = "924af881c9d525ac9d87f40d964e5cea982a1809";
+ };
+ }
+ {
+ name = "caniuse_lite___caniuse_lite_1.0.30001254.tgz";
+ path = fetchurl {
+ name = "caniuse_lite___caniuse_lite_1.0.30001254.tgz";
+ url = "https://registry.yarnpkg.com/caniuse-lite/-/caniuse-lite-1.0.30001254.tgz";
+ sha1 = "974d45e8b7f6e3b63d4b1435e97752717612d4b9";
+ };
+ }
+ {
+ name = "chalk___chalk_1.1.3.tgz";
+ path = fetchurl {
+ name = "chalk___chalk_1.1.3.tgz";
+ url = "https://registry.yarnpkg.com/chalk/-/chalk-1.1.3.tgz";
+ sha1 = "a8115c55e4a702fe4d150abd3872822a7e09fc98";
+ };
+ }
+ {
+ name = "chalk___chalk_2.4.2.tgz";
+ path = fetchurl {
+ name = "chalk___chalk_2.4.2.tgz";
+ url = "https://registry.yarnpkg.com/chalk/-/chalk-2.4.2.tgz";
+ sha1 = "cd42541677a54333cf541a49108c1432b44c9424";
+ };
+ }
+ {
+ name = "chalk___chalk_4.1.2.tgz";
+ path = fetchurl {
+ name = "chalk___chalk_4.1.2.tgz";
+ url = "https://registry.yarnpkg.com/chalk/-/chalk-4.1.2.tgz";
+ sha1 = "aac4e2b7734a740867aeb16bf02aad556a1e7a01";
+ };
+ }
+ {
+ name = "chardet___chardet_0.4.2.tgz";
+ path = fetchurl {
+ name = "chardet___chardet_0.4.2.tgz";
+ url = "https://registry.yarnpkg.com/chardet/-/chardet-0.4.2.tgz";
+ sha1 = "b5473b33dc97c424e5d98dc87d55d4d8a29c8bf2";
+ };
+ }
+ {
+ name = "chokidar___chokidar_3.5.1.tgz";
+ path = fetchurl {
+ name = "chokidar___chokidar_3.5.1.tgz";
+ url = "https://registry.yarnpkg.com/chokidar/-/chokidar-3.5.1.tgz";
+ sha1 = "ee9ce7bbebd2b79f49f304799d5468e31e14e68a";
+ };
+ }
+ {
+ name = "chrome_trace_event___chrome_trace_event_1.0.3.tgz";
+ path = fetchurl {
+ name = "chrome_trace_event___chrome_trace_event_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/chrome-trace-event/-/chrome-trace-event-1.0.3.tgz";
+ sha1 = "1015eced4741e15d06664a957dbbf50d041e26ac";
+ };
+ }
+ {
+ name = "cli_cursor___cli_cursor_2.1.0.tgz";
+ path = fetchurl {
+ name = "cli_cursor___cli_cursor_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/cli-cursor/-/cli-cursor-2.1.0.tgz";
+ sha1 = "b35dac376479facc3e94747d41d0d0f5238ffcb5";
+ };
+ }
+ {
+ name = "cli_width___cli_width_2.2.1.tgz";
+ path = fetchurl {
+ name = "cli_width___cli_width_2.2.1.tgz";
+ url = "https://registry.yarnpkg.com/cli-width/-/cli-width-2.2.1.tgz";
+ sha1 = "b0433d0b4e9c847ef18868a4ef16fd5fc8271c48";
+ };
+ }
+ {
+ name = "cliui___cliui_7.0.4.tgz";
+ path = fetchurl {
+ name = "cliui___cliui_7.0.4.tgz";
+ url = "https://registry.yarnpkg.com/cliui/-/cliui-7.0.4.tgz";
+ sha1 = "a0265ee655476fc807aea9df3df8df7783808b4f";
+ };
+ }
+ {
+ name = "clone_deep___clone_deep_4.0.1.tgz";
+ path = fetchurl {
+ name = "clone_deep___clone_deep_4.0.1.tgz";
+ url = "https://registry.yarnpkg.com/clone-deep/-/clone-deep-4.0.1.tgz";
+ sha1 = "c19fd9bdbbf85942b4fd979c84dcf7d5f07c2387";
+ };
+ }
+ {
+ name = "clone_response___clone_response_1.0.2.tgz";
+ path = fetchurl {
+ name = "clone_response___clone_response_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/clone-response/-/clone-response-1.0.2.tgz";
+ sha1 = "d1dc973920314df67fbeb94223b4ee350239e96b";
+ };
+ }
+ {
+ name = "color_convert___color_convert_1.9.3.tgz";
+ path = fetchurl {
+ name = "color_convert___color_convert_1.9.3.tgz";
+ url = "https://registry.yarnpkg.com/color-convert/-/color-convert-1.9.3.tgz";
+ sha1 = "bb71850690e1f136567de629d2d5471deda4c1e8";
+ };
+ }
+ {
+ name = "color_convert___color_convert_2.0.1.tgz";
+ path = fetchurl {
+ name = "color_convert___color_convert_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/color-convert/-/color-convert-2.0.1.tgz";
+ sha1 = "72d3a68d598c9bdb3af2ad1e84f21d896abd4de3";
+ };
+ }
+ {
+ name = "color_name___color_name_1.1.3.tgz";
+ path = fetchurl {
+ name = "color_name___color_name_1.1.3.tgz";
+ url = "https://registry.yarnpkg.com/color-name/-/color-name-1.1.3.tgz";
+ sha1 = "a7d0558bd89c42f795dd42328f740831ca53bc25";
+ };
+ }
+ {
+ name = "color_name___color_name_1.1.4.tgz";
+ path = fetchurl {
+ name = "color_name___color_name_1.1.4.tgz";
+ url = "https://registry.yarnpkg.com/color-name/-/color-name-1.1.4.tgz";
+ sha1 = "c2a09a87acbde69543de6f63fa3995c826c536a2";
+ };
+ }
+ {
+ name = "colorette___colorette_1.3.0.tgz";
+ path = fetchurl {
+ name = "colorette___colorette_1.3.0.tgz";
+ url = "https://registry.yarnpkg.com/colorette/-/colorette-1.3.0.tgz";
+ sha1 = "ff45d2f0edb244069d3b772adeb04fed38d0a0af";
+ };
+ }
+ {
+ name = "colors___colors_1.4.0.tgz";
+ path = fetchurl {
+ name = "colors___colors_1.4.0.tgz";
+ url = "https://registry.yarnpkg.com/colors/-/colors-1.4.0.tgz";
+ sha1 = "c50491479d4c1bdaed2c9ced32cf7c7dc2360f78";
+ };
+ }
+ {
+ name = "commander___commander_2.20.3.tgz";
+ path = fetchurl {
+ name = "commander___commander_2.20.3.tgz";
+ url = "https://registry.yarnpkg.com/commander/-/commander-2.20.3.tgz";
+ sha1 = "fd485e84c03eb4881c20722ba48035e8531aeb33";
+ };
+ }
+ {
+ name = "commander___commander_7.2.0.tgz";
+ path = fetchurl {
+ name = "commander___commander_7.2.0.tgz";
+ url = "https://registry.yarnpkg.com/commander/-/commander-7.2.0.tgz";
+ sha1 = "a36cb57d0b501ce108e4d20559a150a391d97ab7";
+ };
+ }
+ {
+ name = "concat_map___concat_map_0.0.1.tgz";
+ path = fetchurl {
+ name = "concat_map___concat_map_0.0.1.tgz";
+ url = "https://registry.yarnpkg.com/concat-map/-/concat-map-0.0.1.tgz";
+ sha1 = "d8a96bd77fd68df7793a73036a3ba0d5405d477b";
+ };
+ }
+ {
+ name = "content_disposition___content_disposition_0.5.3.tgz";
+ path = fetchurl {
+ name = "content_disposition___content_disposition_0.5.3.tgz";
+ url = "https://registry.yarnpkg.com/content-disposition/-/content-disposition-0.5.3.tgz";
+ sha1 = "e130caf7e7279087c5616c2007d0485698984fbd";
+ };
+ }
+ {
+ name = "content_type___content_type_1.0.4.tgz";
+ path = fetchurl {
+ name = "content_type___content_type_1.0.4.tgz";
+ url = "https://registry.yarnpkg.com/content-type/-/content-type-1.0.4.tgz";
+ sha1 = "e138cc75e040c727b1966fe5e5f8c9aee256fe3b";
+ };
+ }
+ {
+ name = "cookie_signature___cookie_signature_1.0.6.tgz";
+ path = fetchurl {
+ name = "cookie_signature___cookie_signature_1.0.6.tgz";
+ url = "https://registry.yarnpkg.com/cookie-signature/-/cookie-signature-1.0.6.tgz";
+ sha1 = "e303a882b342cc3ee8ca513a79999734dab3ae2c";
+ };
+ }
+ {
+ name = "cookie___cookie_0.4.0.tgz";
+ path = fetchurl {
+ name = "cookie___cookie_0.4.0.tgz";
+ url = "https://registry.yarnpkg.com/cookie/-/cookie-0.4.0.tgz";
+ sha1 = "beb437e7022b3b6d49019d088665303ebe9c14ba";
+ };
+ }
+ {
+ name = "copy_webpack_plugin___copy_webpack_plugin_9.0.1.tgz";
+ path = fetchurl {
+ name = "copy_webpack_plugin___copy_webpack_plugin_9.0.1.tgz";
+ url = "https://registry.yarnpkg.com/copy-webpack-plugin/-/copy-webpack-plugin-9.0.1.tgz";
+ sha1 = "b71d21991599f61a4ee00ba79087b8ba279bbb59";
+ };
+ }
+ {
+ name = "core_js___core_js_2.6.12.tgz";
+ path = fetchurl {
+ name = "core_js___core_js_2.6.12.tgz";
+ url = "https://registry.yarnpkg.com/core-js/-/core-js-2.6.12.tgz";
+ sha1 = "d9333dfa7b065e347cc5682219d6f690859cc2ec";
+ };
+ }
+ {
+ name = "cors___cors_2.8.5.tgz";
+ path = fetchurl {
+ name = "cors___cors_2.8.5.tgz";
+ url = "https://registry.yarnpkg.com/cors/-/cors-2.8.5.tgz";
+ sha1 = "eac11da51592dd86b9f06f6e7ac293b3df875d29";
+ };
+ }
+ {
+ name = "crc___crc_3.8.0.tgz";
+ path = fetchurl {
+ name = "crc___crc_3.8.0.tgz";
+ url = "https://registry.yarnpkg.com/crc/-/crc-3.8.0.tgz";
+ sha1 = "ad60269c2c856f8c299e2c4cc0de4556914056c6";
+ };
+ }
+ {
+ name = "cross_spawn___cross_spawn_7.0.3.tgz";
+ path = fetchurl {
+ name = "cross_spawn___cross_spawn_7.0.3.tgz";
+ url = "https://registry.yarnpkg.com/cross-spawn/-/cross-spawn-7.0.3.tgz";
+ sha1 = "f73a85b9d5d41d045551c177e2882d4ac85728a6";
+ };
+ }
+ {
+ name = "css_loader___css_loader_5.2.7.tgz";
+ path = fetchurl {
+ name = "css_loader___css_loader_5.2.7.tgz";
+ url = "https://registry.yarnpkg.com/css-loader/-/css-loader-5.2.7.tgz";
+ sha1 = "9b9f111edf6fb2be5dc62525644cbc9c232064ae";
+ };
+ }
+ {
+ name = "cssesc___cssesc_3.0.0.tgz";
+ path = fetchurl {
+ name = "cssesc___cssesc_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/cssesc/-/cssesc-3.0.0.tgz";
+ sha1 = "37741919903b868565e1c09ea747445cd18983ee";
+ };
+ }
+ {
+ name = "csstype___csstype_3.0.8.tgz";
+ path = fetchurl {
+ name = "csstype___csstype_3.0.8.tgz";
+ url = "https://registry.yarnpkg.com/csstype/-/csstype-3.0.8.tgz";
+ sha1 = "d2266a792729fb227cd216fb572f43728e1ad340";
+ };
+ }
+ {
+ name = "debug___debug_2.6.9.tgz";
+ path = fetchurl {
+ name = "debug___debug_2.6.9.tgz";
+ url = "https://registry.yarnpkg.com/debug/-/debug-2.6.9.tgz";
+ sha1 = "5d128515df134ff327e90a4c93f4e077a536341f";
+ };
+ }
+ {
+ name = "debug___debug_4.3.1.tgz";
+ path = fetchurl {
+ name = "debug___debug_4.3.1.tgz";
+ url = "https://registry.yarnpkg.com/debug/-/debug-4.3.1.tgz";
+ sha1 = "f0d229c505e0c6d8c49ac553d1b13dc183f6b2ee";
+ };
+ }
+ {
+ name = "decamelize___decamelize_4.0.0.tgz";
+ path = fetchurl {
+ name = "decamelize___decamelize_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/decamelize/-/decamelize-4.0.0.tgz";
+ sha1 = "aa472d7bf660eb15f3494efd531cab7f2a709837";
+ };
+ }
+ {
+ name = "decompress_response___decompress_response_3.3.0.tgz";
+ path = fetchurl {
+ name = "decompress_response___decompress_response_3.3.0.tgz";
+ url = "https://registry.yarnpkg.com/decompress-response/-/decompress-response-3.3.0.tgz";
+ sha1 = "80a4dd323748384bfa248083622aedec982adff3";
+ };
+ }
+ {
+ name = "deep_extend___deep_extend_0.6.0.tgz";
+ path = fetchurl {
+ name = "deep_extend___deep_extend_0.6.0.tgz";
+ url = "https://registry.yarnpkg.com/deep-extend/-/deep-extend-0.6.0.tgz";
+ sha1 = "c4fa7c95404a17a9c3e8ca7e1537312b736330ac";
+ };
+ }
+ {
+ name = "defer_to_connect___defer_to_connect_1.1.3.tgz";
+ path = fetchurl {
+ name = "defer_to_connect___defer_to_connect_1.1.3.tgz";
+ url = "https://registry.yarnpkg.com/defer-to-connect/-/defer-to-connect-1.1.3.tgz";
+ sha1 = "331ae050c08dcf789f8c83a7b81f0ed94f4ac591";
+ };
+ }
+ {
+ name = "depd___depd_1.1.2.tgz";
+ path = fetchurl {
+ name = "depd___depd_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/depd/-/depd-1.1.2.tgz";
+ sha1 = "9bcd52e14c097763e749b274c4346ed2e560b5a9";
+ };
+ }
+ {
+ name = "depd___depd_2.0.0.tgz";
+ path = fetchurl {
+ name = "depd___depd_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/depd/-/depd-2.0.0.tgz";
+ sha1 = "b696163cc757560d09cf22cc8fad1571b79e76df";
+ };
+ }
+ {
+ name = "destroy___destroy_1.0.4.tgz";
+ path = fetchurl {
+ name = "destroy___destroy_1.0.4.tgz";
+ url = "https://registry.yarnpkg.com/destroy/-/destroy-1.0.4.tgz";
+ sha1 = "978857442c44749e4206613e37946205826abd80";
+ };
+ }
+ {
+ name = "diff___diff_5.0.0.tgz";
+ path = fetchurl {
+ name = "diff___diff_5.0.0.tgz";
+ url = "https://registry.yarnpkg.com/diff/-/diff-5.0.0.tgz";
+ sha1 = "7ed6ad76d859d030787ec35855f5b1daf31d852b";
+ };
+ }
+ {
+ name = "diff___diff_4.0.2.tgz";
+ path = fetchurl {
+ name = "diff___diff_4.0.2.tgz";
+ url = "https://registry.yarnpkg.com/diff/-/diff-4.0.2.tgz";
+ sha1 = "60f3aecb89d5fae520c11aa19efc2bb982aade7d";
+ };
+ }
+ {
+ name = "difunc___difunc_0.0.4.tgz";
+ path = fetchurl {
+ name = "difunc___difunc_0.0.4.tgz";
+ url = "https://registry.yarnpkg.com/difunc/-/difunc-0.0.4.tgz";
+ sha1 = "09322073e67f82effd2f22881985e7d3e441b3ac";
+ };
+ }
+ {
+ name = "dir_glob___dir_glob_3.0.1.tgz";
+ path = fetchurl {
+ name = "dir_glob___dir_glob_3.0.1.tgz";
+ url = "https://registry.yarnpkg.com/dir-glob/-/dir-glob-3.0.1.tgz";
+ sha1 = "56dbf73d992a4a93ba1584f4534063fd2e41717f";
+ };
+ }
+ {
+ name = "dotenv___dotenv_8.6.0.tgz";
+ path = fetchurl {
+ name = "dotenv___dotenv_8.6.0.tgz";
+ url = "https://registry.yarnpkg.com/dotenv/-/dotenv-8.6.0.tgz";
+ sha1 = "061af664d19f7f4d8fc6e4ff9b584ce237adcb8b";
+ };
+ }
+ {
+ name = "duplexer3___duplexer3_0.1.4.tgz";
+ path = fetchurl {
+ name = "duplexer3___duplexer3_0.1.4.tgz";
+ url = "https://registry.yarnpkg.com/duplexer3/-/duplexer3-0.1.4.tgz";
+ sha1 = "ee01dd1cac0ed3cbc7fdbea37dc0a8f1ce002ce2";
+ };
+ }
+ {
+ name = "ee_first___ee_first_1.1.1.tgz";
+ path = fetchurl {
+ name = "ee_first___ee_first_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/ee-first/-/ee-first-1.1.1.tgz";
+ sha1 = "590c61156b0ae2f4f0255732a158b266bc56b21d";
+ };
+ }
+ {
+ name = "electron_to_chromium___electron_to_chromium_1.3.830.tgz";
+ path = fetchurl {
+ name = "electron_to_chromium___electron_to_chromium_1.3.830.tgz";
+ url = "https://registry.yarnpkg.com/electron-to-chromium/-/electron-to-chromium-1.3.830.tgz";
+ sha1 = "40e3144204f8ca11b2cebec83cf14c20d3499236";
+ };
+ }
+ {
+ name = "emoji_regex___emoji_regex_8.0.0.tgz";
+ path = fetchurl {
+ name = "emoji_regex___emoji_regex_8.0.0.tgz";
+ url = "https://registry.yarnpkg.com/emoji-regex/-/emoji-regex-8.0.0.tgz";
+ sha1 = "e818fd69ce5ccfcb404594f842963bf53164cc37";
+ };
+ }
+ {
+ name = "emojis_list___emojis_list_3.0.0.tgz";
+ path = fetchurl {
+ name = "emojis_list___emojis_list_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/emojis-list/-/emojis-list-3.0.0.tgz";
+ sha1 = "5570662046ad29e2e916e71aae260abdff4f6a78";
+ };
+ }
+ {
+ name = "encodeurl___encodeurl_1.0.2.tgz";
+ path = fetchurl {
+ name = "encodeurl___encodeurl_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/encodeurl/-/encodeurl-1.0.2.tgz";
+ sha1 = "ad3ff4c86ec2d029322f5a02c3a9a606c95b3f59";
+ };
+ }
+ {
+ name = "encoding___encoding_0.1.13.tgz";
+ path = fetchurl {
+ name = "encoding___encoding_0.1.13.tgz";
+ url = "https://registry.yarnpkg.com/encoding/-/encoding-0.1.13.tgz";
+ sha1 = "56574afdd791f54a8e9b2785c0582a2d26210fa9";
+ };
+ }
+ {
+ name = "end_of_stream___end_of_stream_1.4.4.tgz";
+ path = fetchurl {
+ name = "end_of_stream___end_of_stream_1.4.4.tgz";
+ url = "https://registry.yarnpkg.com/end-of-stream/-/end-of-stream-1.4.4.tgz";
+ sha1 = "5ae64a5f45057baf3626ec14da0ca5e4b2431eb0";
+ };
+ }
+ {
+ name = "enhanced_resolve___enhanced_resolve_5.8.2.tgz";
+ path = fetchurl {
+ name = "enhanced_resolve___enhanced_resolve_5.8.2.tgz";
+ url = "https://registry.yarnpkg.com/enhanced-resolve/-/enhanced-resolve-5.8.2.tgz";
+ sha1 = "15ddc779345cbb73e97c611cd00c01c1e7bf4d8b";
+ };
+ }
+ {
+ name = "envinfo___envinfo_7.8.1.tgz";
+ path = fetchurl {
+ name = "envinfo___envinfo_7.8.1.tgz";
+ url = "https://registry.yarnpkg.com/envinfo/-/envinfo-7.8.1.tgz";
+ sha1 = "06377e3e5f4d379fea7ac592d5ad8927e0c4d475";
+ };
+ }
+ {
+ name = "es_module_lexer___es_module_lexer_0.7.1.tgz";
+ path = fetchurl {
+ name = "es_module_lexer___es_module_lexer_0.7.1.tgz";
+ url = "https://registry.yarnpkg.com/es-module-lexer/-/es-module-lexer-0.7.1.tgz";
+ sha1 = "c2c8e0f46f2df06274cdaf0dd3f3b33e0a0b267d";
+ };
+ }
+ {
+ name = "escalade___escalade_3.1.1.tgz";
+ path = fetchurl {
+ name = "escalade___escalade_3.1.1.tgz";
+ url = "https://registry.yarnpkg.com/escalade/-/escalade-3.1.1.tgz";
+ sha1 = "d8cfdc7000965c5a0174b4a82eaa5c0552742e40";
+ };
+ }
+ {
+ name = "escape_html___escape_html_1.0.3.tgz";
+ path = fetchurl {
+ name = "escape_html___escape_html_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/escape-html/-/escape-html-1.0.3.tgz";
+ sha1 = "0258eae4d3d0c0974de1c169188ef0051d1d1988";
+ };
+ }
+ {
+ name = "escape_string_regexp___escape_string_regexp_4.0.0.tgz";
+ path = fetchurl {
+ name = "escape_string_regexp___escape_string_regexp_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-4.0.0.tgz";
+ sha1 = "14ba83a5d373e3d311e5afca29cf5bfad965bf34";
+ };
+ }
+ {
+ name = "escape_string_regexp___escape_string_regexp_1.0.5.tgz";
+ path = fetchurl {
+ name = "escape_string_regexp___escape_string_regexp_1.0.5.tgz";
+ url = "https://registry.yarnpkg.com/escape-string-regexp/-/escape-string-regexp-1.0.5.tgz";
+ sha1 = "1b61c0562190a8dff6ae3bb2cf0200ca130b86d4";
+ };
+ }
+ {
+ name = "eslint_scope___eslint_scope_5.1.1.tgz";
+ path = fetchurl {
+ name = "eslint_scope___eslint_scope_5.1.1.tgz";
+ url = "https://registry.yarnpkg.com/eslint-scope/-/eslint-scope-5.1.1.tgz";
+ sha1 = "e786e59a66cb92b3f6c1fb0d508aab174848f48c";
+ };
+ }
+ {
+ name = "esprima___esprima_4.0.1.tgz";
+ path = fetchurl {
+ name = "esprima___esprima_4.0.1.tgz";
+ url = "https://registry.yarnpkg.com/esprima/-/esprima-4.0.1.tgz";
+ sha1 = "13b04cdb3e6c5d19df91ab6987a8695619b0aa71";
+ };
+ }
+ {
+ name = "esrecurse___esrecurse_4.3.0.tgz";
+ path = fetchurl {
+ name = "esrecurse___esrecurse_4.3.0.tgz";
+ url = "https://registry.yarnpkg.com/esrecurse/-/esrecurse-4.3.0.tgz";
+ sha1 = "7ad7964d679abb28bee72cec63758b1c5d2c9921";
+ };
+ }
+ {
+ name = "estraverse___estraverse_4.3.0.tgz";
+ path = fetchurl {
+ name = "estraverse___estraverse_4.3.0.tgz";
+ url = "https://registry.yarnpkg.com/estraverse/-/estraverse-4.3.0.tgz";
+ sha1 = "398ad3f3c5a24948be7725e83d11a7de28cdbd1d";
+ };
+ }
+ {
+ name = "estraverse___estraverse_5.2.0.tgz";
+ path = fetchurl {
+ name = "estraverse___estraverse_5.2.0.tgz";
+ url = "https://registry.yarnpkg.com/estraverse/-/estraverse-5.2.0.tgz";
+ sha1 = "307df42547e6cc7324d3cf03c155d5cdb8c53880";
+ };
+ }
+ {
+ name = "etag___etag_1.8.1.tgz";
+ path = fetchurl {
+ name = "etag___etag_1.8.1.tgz";
+ url = "https://registry.yarnpkg.com/etag/-/etag-1.8.1.tgz";
+ sha1 = "41ae2eeb65efa62268aebfea83ac7d79299b0887";
+ };
+ }
+ {
+ name = "eventemitter3___eventemitter3_4.0.7.tgz";
+ path = fetchurl {
+ name = "eventemitter3___eventemitter3_4.0.7.tgz";
+ url = "https://registry.yarnpkg.com/eventemitter3/-/eventemitter3-4.0.7.tgz";
+ sha1 = "2de9b68f6528d5644ef5c59526a1b4a07306169f";
+ };
+ }
+ {
+ name = "events___events_3.3.0.tgz";
+ path = fetchurl {
+ name = "events___events_3.3.0.tgz";
+ url = "https://registry.yarnpkg.com/events/-/events-3.3.0.tgz";
+ sha1 = "31a95ad0a924e2d2c419a813aeb2c4e878ea7400";
+ };
+ }
+ {
+ name = "execa___execa_5.1.1.tgz";
+ path = fetchurl {
+ name = "execa___execa_5.1.1.tgz";
+ url = "https://registry.yarnpkg.com/execa/-/execa-5.1.1.tgz";
+ sha1 = "f80ad9cbf4298f7bd1d4c9555c21e93741c411dd";
+ };
+ }
+ {
+ name = "express_normalize_query_params_middleware___express_normalize_query_params_middleware_0.5.1.tgz";
+ path = fetchurl {
+ name = "express_normalize_query_params_middleware___express_normalize_query_params_middleware_0.5.1.tgz";
+ url = "https://registry.yarnpkg.com/express-normalize-query-params-middleware/-/express-normalize-query-params-middleware-0.5.1.tgz";
+ sha1 = "dbe1e8139aecb234fb6adb5c0059c75db9733d2a";
+ };
+ }
+ {
+ name = "express_openapi___express_openapi_8.0.0.tgz";
+ path = fetchurl {
+ name = "express_openapi___express_openapi_8.0.0.tgz";
+ url = "https://registry.yarnpkg.com/express-openapi/-/express-openapi-8.0.0.tgz";
+ sha1 = "ea35ca9afd3619d423f2336d4df2bdf70abb1d46";
+ };
+ }
+ {
+ name = "express___express_4.17.1.tgz";
+ path = fetchurl {
+ name = "express___express_4.17.1.tgz";
+ url = "https://registry.yarnpkg.com/express/-/express-4.17.1.tgz";
+ sha1 = "4491fc38605cf51f8629d39c2b5d026f98a4c134";
+ };
+ }
+ {
+ name = "external_editor___external_editor_2.2.0.tgz";
+ path = fetchurl {
+ name = "external_editor___external_editor_2.2.0.tgz";
+ url = "https://registry.yarnpkg.com/external-editor/-/external-editor-2.2.0.tgz";
+ sha1 = "045511cfd8d133f3846673d1047c154e214ad3d5";
+ };
+ }
+ {
+ name = "fast_deep_equal___fast_deep_equal_3.1.3.tgz";
+ path = fetchurl {
+ name = "fast_deep_equal___fast_deep_equal_3.1.3.tgz";
+ url = "https://registry.yarnpkg.com/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz";
+ sha1 = "3a7d56b559d6cbc3eb512325244e619a65c6c525";
+ };
+ }
+ {
+ name = "fast_glob___fast_glob_3.2.7.tgz";
+ path = fetchurl {
+ name = "fast_glob___fast_glob_3.2.7.tgz";
+ url = "https://registry.yarnpkg.com/fast-glob/-/fast-glob-3.2.7.tgz";
+ sha1 = "fd6cb7a2d7e9aa7a7846111e85a196d6b2f766a1";
+ };
+ }
+ {
+ name = "fast_json_stable_stringify___fast_json_stable_stringify_2.1.0.tgz";
+ path = fetchurl {
+ name = "fast_json_stable_stringify___fast_json_stable_stringify_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/fast-json-stable-stringify/-/fast-json-stable-stringify-2.1.0.tgz";
+ sha1 = "874bf69c6f404c2b5d99c481341399fd55892633";
+ };
+ }
+ {
+ name = "fastest_levenshtein___fastest_levenshtein_1.0.12.tgz";
+ path = fetchurl {
+ name = "fastest_levenshtein___fastest_levenshtein_1.0.12.tgz";
+ url = "https://registry.yarnpkg.com/fastest-levenshtein/-/fastest-levenshtein-1.0.12.tgz";
+ sha1 = "9990f7d3a88cc5a9ffd1f1745745251700d497e2";
+ };
+ }
+ {
+ name = "fastq___fastq_1.12.0.tgz";
+ path = fetchurl {
+ name = "fastq___fastq_1.12.0.tgz";
+ url = "https://registry.yarnpkg.com/fastq/-/fastq-1.12.0.tgz";
+ sha1 = "ed7b6ab5d62393fb2cc591c853652a5c318bf794";
+ };
+ }
+ {
+ name = "figures___figures_2.0.0.tgz";
+ path = fetchurl {
+ name = "figures___figures_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/figures/-/figures-2.0.0.tgz";
+ sha1 = "3ab1a2d2a62c8bfb431a0c94cb797a2fce27c962";
+ };
+ }
+ {
+ name = "fill_range___fill_range_7.0.1.tgz";
+ path = fetchurl {
+ name = "fill_range___fill_range_7.0.1.tgz";
+ url = "https://registry.yarnpkg.com/fill-range/-/fill-range-7.0.1.tgz";
+ sha1 = "1919a6a7c75fe38b2c7c77e5198535da9acdda40";
+ };
+ }
+ {
+ name = "finalhandler___finalhandler_1.1.2.tgz";
+ path = fetchurl {
+ name = "finalhandler___finalhandler_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/finalhandler/-/finalhandler-1.1.2.tgz";
+ sha1 = "b7e7d000ffd11938d0fdb053506f6ebabe9f587d";
+ };
+ }
+ {
+ name = "find_up___find_up_5.0.0.tgz";
+ path = fetchurl {
+ name = "find_up___find_up_5.0.0.tgz";
+ url = "https://registry.yarnpkg.com/find-up/-/find-up-5.0.0.tgz";
+ sha1 = "4c92819ecb7083561e4f4a240a86be5198f536fc";
+ };
+ }
+ {
+ name = "find_up___find_up_4.1.0.tgz";
+ path = fetchurl {
+ name = "find_up___find_up_4.1.0.tgz";
+ url = "https://registry.yarnpkg.com/find-up/-/find-up-4.1.0.tgz";
+ sha1 = "97afe7d6cdc0bc5928584b7c8d7b16e8a9aa5d19";
+ };
+ }
+ {
+ name = "flat___flat_5.0.2.tgz";
+ path = fetchurl {
+ name = "flat___flat_5.0.2.tgz";
+ url = "https://registry.yarnpkg.com/flat/-/flat-5.0.2.tgz";
+ sha1 = "8ca6fe332069ffa9d324c327198c598259ceb241";
+ };
+ }
+ {
+ name = "forwarded___forwarded_0.2.0.tgz";
+ path = fetchurl {
+ name = "forwarded___forwarded_0.2.0.tgz";
+ url = "https://registry.yarnpkg.com/forwarded/-/forwarded-0.2.0.tgz";
+ sha1 = "2269936428aad4c15c7ebe9779a84bf0b2a81811";
+ };
+ }
+ {
+ name = "fresh___fresh_0.5.2.tgz";
+ path = fetchurl {
+ name = "fresh___fresh_0.5.2.tgz";
+ url = "https://registry.yarnpkg.com/fresh/-/fresh-0.5.2.tgz";
+ sha1 = "3d8cadd90d976569fa835ab1f8e4b23a105605a7";
+ };
+ }
+ {
+ name = "fs_routes___fs_routes_8.0.0.tgz";
+ path = fetchurl {
+ name = "fs_routes___fs_routes_8.0.0.tgz";
+ url = "https://registry.yarnpkg.com/fs-routes/-/fs-routes-8.0.0.tgz";
+ sha1 = "98100abe1810aa0374ca7c9f439b4c1dec8232e7";
+ };
+ }
+ {
+ name = "fs.realpath___fs.realpath_1.0.0.tgz";
+ path = fetchurl {
+ name = "fs.realpath___fs.realpath_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/fs.realpath/-/fs.realpath-1.0.0.tgz";
+ sha1 = "1504ad2523158caa40db4a2787cb01411994ea4f";
+ };
+ }
+ {
+ name = "fsevents___fsevents_2.3.2.tgz";
+ path = fetchurl {
+ name = "fsevents___fsevents_2.3.2.tgz";
+ url = "https://registry.yarnpkg.com/fsevents/-/fsevents-2.3.2.tgz";
+ sha1 = "8a526f78b8fdf4623b709e0b975c52c24c02fd1a";
+ };
+ }
+ {
+ name = "function_bind___function_bind_1.1.1.tgz";
+ path = fetchurl {
+ name = "function_bind___function_bind_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/function-bind/-/function-bind-1.1.1.tgz";
+ sha1 = "a56899d3ea3c9bab874bb9773b7c5ede92f4895d";
+ };
+ }
+ {
+ name = "get_caller_file___get_caller_file_2.0.5.tgz";
+ path = fetchurl {
+ name = "get_caller_file___get_caller_file_2.0.5.tgz";
+ url = "https://registry.yarnpkg.com/get-caller-file/-/get-caller-file-2.0.5.tgz";
+ sha1 = "4f94412a82db32f36e3b0b9741f8a97feb031f7e";
+ };
+ }
+ {
+ name = "get_stream___get_stream_4.1.0.tgz";
+ path = fetchurl {
+ name = "get_stream___get_stream_4.1.0.tgz";
+ url = "https://registry.yarnpkg.com/get-stream/-/get-stream-4.1.0.tgz";
+ sha1 = "c1b255575f3dc21d59bfc79cd3d2b46b1c3a54b5";
+ };
+ }
+ {
+ name = "get_stream___get_stream_5.2.0.tgz";
+ path = fetchurl {
+ name = "get_stream___get_stream_5.2.0.tgz";
+ url = "https://registry.yarnpkg.com/get-stream/-/get-stream-5.2.0.tgz";
+ sha1 = "4966a1795ee5ace65e706c4b7beb71257d6e22d3";
+ };
+ }
+ {
+ name = "get_stream___get_stream_6.0.1.tgz";
+ path = fetchurl {
+ name = "get_stream___get_stream_6.0.1.tgz";
+ url = "https://registry.yarnpkg.com/get-stream/-/get-stream-6.0.1.tgz";
+ sha1 = "a262d8eef67aced57c2852ad6167526a43cbf7b7";
+ };
+ }
+ {
+ name = "glob_parent___glob_parent_5.1.2.tgz";
+ path = fetchurl {
+ name = "glob_parent___glob_parent_5.1.2.tgz";
+ url = "https://registry.yarnpkg.com/glob-parent/-/glob-parent-5.1.2.tgz";
+ sha1 = "869832c58034fe68a4093c17dc15e8340d8401c4";
+ };
+ }
+ {
+ name = "glob_parent___glob_parent_6.0.1.tgz";
+ path = fetchurl {
+ name = "glob_parent___glob_parent_6.0.1.tgz";
+ url = "https://registry.yarnpkg.com/glob-parent/-/glob-parent-6.0.1.tgz";
+ sha1 = "42054f685eb6a44e7a7d189a96efa40a54971aa7";
+ };
+ }
+ {
+ name = "glob_to_regexp___glob_to_regexp_0.4.1.tgz";
+ path = fetchurl {
+ name = "glob_to_regexp___glob_to_regexp_0.4.1.tgz";
+ url = "https://registry.yarnpkg.com/glob-to-regexp/-/glob-to-regexp-0.4.1.tgz";
+ sha1 = "c75297087c851b9a578bd217dd59a92f59fe546e";
+ };
+ }
+ {
+ name = "glob___glob_7.1.7.tgz";
+ path = fetchurl {
+ name = "glob___glob_7.1.7.tgz";
+ url = "https://registry.yarnpkg.com/glob/-/glob-7.1.7.tgz";
+ sha1 = "3b193e9233f01d42d0b3f78294bbeeb418f94a90";
+ };
+ }
+ {
+ name = "glob___glob_7.1.6.tgz";
+ path = fetchurl {
+ name = "glob___glob_7.1.6.tgz";
+ url = "https://registry.yarnpkg.com/glob/-/glob-7.1.6.tgz";
+ sha1 = "141f33b81a7c2492e125594307480c46679278a6";
+ };
+ }
+ {
+ name = "globby___globby_11.0.4.tgz";
+ path = fetchurl {
+ name = "globby___globby_11.0.4.tgz";
+ url = "https://registry.yarnpkg.com/globby/-/globby-11.0.4.tgz";
+ sha1 = "2cbaff77c2f2a62e71e9b2813a67b97a3a3001a5";
+ };
+ }
+ {
+ name = "got___got_9.6.0.tgz";
+ path = fetchurl {
+ name = "got___got_9.6.0.tgz";
+ url = "https://registry.yarnpkg.com/got/-/got-9.6.0.tgz";
+ sha1 = "edf45e7d67f99545705de1f7bbeeeb121765ed85";
+ };
+ }
+ {
+ name = "graceful_fs___graceful_fs_4.2.8.tgz";
+ path = fetchurl {
+ name = "graceful_fs___graceful_fs_4.2.8.tgz";
+ url = "https://registry.yarnpkg.com/graceful-fs/-/graceful-fs-4.2.8.tgz";
+ sha1 = "e412b8d33f5e006593cbd3cee6df9f2cebbe802a";
+ };
+ }
+ {
+ name = "growl___growl_1.10.5.tgz";
+ path = fetchurl {
+ name = "growl___growl_1.10.5.tgz";
+ url = "https://registry.yarnpkg.com/growl/-/growl-1.10.5.tgz";
+ sha1 = "f2735dc2283674fa67478b10181059355c369e5e";
+ };
+ }
+ {
+ name = "has_ansi___has_ansi_2.0.0.tgz";
+ path = fetchurl {
+ name = "has_ansi___has_ansi_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/has-ansi/-/has-ansi-2.0.0.tgz";
+ sha1 = "34f5049ce1ecdf2b0649af3ef24e45ed35416d91";
+ };
+ }
+ {
+ name = "has_flag___has_flag_3.0.0.tgz";
+ path = fetchurl {
+ name = "has_flag___has_flag_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/has-flag/-/has-flag-3.0.0.tgz";
+ sha1 = "b5d454dc2199ae225699f3467e5a07f3b955bafd";
+ };
+ }
+ {
+ name = "has_flag___has_flag_4.0.0.tgz";
+ path = fetchurl {
+ name = "has_flag___has_flag_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/has-flag/-/has-flag-4.0.0.tgz";
+ sha1 = "944771fd9c81c81265c4d6941860da06bb59479b";
+ };
+ }
+ {
+ name = "has___has_1.0.3.tgz";
+ path = fetchurl {
+ name = "has___has_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/has/-/has-1.0.3.tgz";
+ sha1 = "722d7cbfc1f6aa8241f16dd814e011e1f41e8796";
+ };
+ }
+ {
+ name = "he___he_1.2.0.tgz";
+ path = fetchurl {
+ name = "he___he_1.2.0.tgz";
+ url = "https://registry.yarnpkg.com/he/-/he-1.2.0.tgz";
+ sha1 = "84ae65fa7eafb165fddb61566ae14baf05664f0f";
+ };
+ }
+ {
+ name = "http_cache_semantics___http_cache_semantics_4.1.0.tgz";
+ path = fetchurl {
+ name = "http_cache_semantics___http_cache_semantics_4.1.0.tgz";
+ url = "https://registry.yarnpkg.com/http-cache-semantics/-/http-cache-semantics-4.1.0.tgz";
+ sha1 = "49e91c5cbf36c9b94bcfcd71c23d5249ec74e390";
+ };
+ }
+ {
+ name = "http_errors___http_errors_1.7.2.tgz";
+ path = fetchurl {
+ name = "http_errors___http_errors_1.7.2.tgz";
+ url = "https://registry.yarnpkg.com/http-errors/-/http-errors-1.7.2.tgz";
+ sha1 = "4f5029cf13239f31036e5b2e55292bcfbcc85c8f";
+ };
+ }
+ {
+ name = "http_errors___http_errors_1.7.3.tgz";
+ path = fetchurl {
+ name = "http_errors___http_errors_1.7.3.tgz";
+ url = "https://registry.yarnpkg.com/http-errors/-/http-errors-1.7.3.tgz";
+ sha1 = "6c619e4f9c60308c38519498c14fbb10aacebb06";
+ };
+ }
+ {
+ name = "human_signals___human_signals_2.1.0.tgz";
+ path = fetchurl {
+ name = "human_signals___human_signals_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/human-signals/-/human-signals-2.1.0.tgz";
+ sha1 = "dc91fcba42e4d06e4abaed33b3e7a3c02f514ea0";
+ };
+ }
+ {
+ name = "iconv_lite___iconv_lite_0.4.24.tgz";
+ path = fetchurl {
+ name = "iconv_lite___iconv_lite_0.4.24.tgz";
+ url = "https://registry.yarnpkg.com/iconv-lite/-/iconv-lite-0.4.24.tgz";
+ sha1 = "2022b4b25fbddc21d2f524974a474aafe733908b";
+ };
+ }
+ {
+ name = "iconv_lite___iconv_lite_0.6.3.tgz";
+ path = fetchurl {
+ name = "iconv_lite___iconv_lite_0.6.3.tgz";
+ url = "https://registry.yarnpkg.com/iconv-lite/-/iconv-lite-0.6.3.tgz";
+ sha1 = "a52f80bf38da1952eb5c681790719871a1a72501";
+ };
+ }
+ {
+ name = "icss_utils___icss_utils_5.1.0.tgz";
+ path = fetchurl {
+ name = "icss_utils___icss_utils_5.1.0.tgz";
+ url = "https://registry.yarnpkg.com/icss-utils/-/icss-utils-5.1.0.tgz";
+ sha1 = "c6be6858abd013d768e98366ae47e25d5887b1ae";
+ };
+ }
+ {
+ name = "ieee754___ieee754_1.2.1.tgz";
+ path = fetchurl {
+ name = "ieee754___ieee754_1.2.1.tgz";
+ url = "https://registry.yarnpkg.com/ieee754/-/ieee754-1.2.1.tgz";
+ sha1 = "8eb7a10a63fff25d15a57b001586d177d1b0d352";
+ };
+ }
+ {
+ name = "ignore___ignore_5.1.8.tgz";
+ path = fetchurl {
+ name = "ignore___ignore_5.1.8.tgz";
+ url = "https://registry.yarnpkg.com/ignore/-/ignore-5.1.8.tgz";
+ sha1 = "f150a8b50a34289b33e22f5889abd4d8016f0e57";
+ };
+ }
+ {
+ name = "import_local___import_local_3.0.2.tgz";
+ path = fetchurl {
+ name = "import_local___import_local_3.0.2.tgz";
+ url = "https://registry.yarnpkg.com/import-local/-/import-local-3.0.2.tgz";
+ sha1 = "a8cfd0431d1de4a2199703d003e3e62364fa6db6";
+ };
+ }
+ {
+ name = "inflight___inflight_1.0.6.tgz";
+ path = fetchurl {
+ name = "inflight___inflight_1.0.6.tgz";
+ url = "https://registry.yarnpkg.com/inflight/-/inflight-1.0.6.tgz";
+ sha1 = "49bd6331d7d02d0c09bc910a1075ba8165b56df9";
+ };
+ }
+ {
+ name = "inherits___inherits_2.0.4.tgz";
+ path = fetchurl {
+ name = "inherits___inherits_2.0.4.tgz";
+ url = "https://registry.yarnpkg.com/inherits/-/inherits-2.0.4.tgz";
+ sha1 = "0fa2c64f932917c3433a0ded55363aae37416b7c";
+ };
+ }
+ {
+ name = "inherits___inherits_2.0.3.tgz";
+ path = fetchurl {
+ name = "inherits___inherits_2.0.3.tgz";
+ url = "https://registry.yarnpkg.com/inherits/-/inherits-2.0.3.tgz";
+ sha1 = "633c2c83e3da42a502f52466022480f4208261de";
+ };
+ }
+ {
+ name = "ini___ini_1.3.8.tgz";
+ path = fetchurl {
+ name = "ini___ini_1.3.8.tgz";
+ url = "https://registry.yarnpkg.com/ini/-/ini-1.3.8.tgz";
+ sha1 = "a29da425b48806f34767a4efce397269af28432c";
+ };
+ }
+ {
+ name = "inquirer___inquirer_3.0.6.tgz";
+ path = fetchurl {
+ name = "inquirer___inquirer_3.0.6.tgz";
+ url = "https://registry.yarnpkg.com/inquirer/-/inquirer-3.0.6.tgz";
+ sha1 = "e04aaa9d05b7a3cb9b0f407d04375f0447190347";
+ };
+ }
+ {
+ name = "interpret___interpret_2.2.0.tgz";
+ path = fetchurl {
+ name = "interpret___interpret_2.2.0.tgz";
+ url = "https://registry.yarnpkg.com/interpret/-/interpret-2.2.0.tgz";
+ sha1 = "1a78a0b5965c40a5416d007ad6f50ad27c417df9";
+ };
+ }
+ {
+ name = "ip___ip_1.1.5.tgz";
+ path = fetchurl {
+ name = "ip___ip_1.1.5.tgz";
+ url = "https://registry.yarnpkg.com/ip/-/ip-1.1.5.tgz";
+ sha1 = "bdded70114290828c0a039e72ef25f5aaec4354a";
+ };
+ }
+ {
+ name = "ipaddr.js___ipaddr.js_1.9.1.tgz";
+ path = fetchurl {
+ name = "ipaddr.js___ipaddr.js_1.9.1.tgz";
+ url = "https://registry.yarnpkg.com/ipaddr.js/-/ipaddr.js-1.9.1.tgz";
+ sha1 = "bff38543eeb8984825079ff3a2a8e6cbd46781b3";
+ };
+ }
+ {
+ name = "is_binary_path___is_binary_path_2.1.0.tgz";
+ path = fetchurl {
+ name = "is_binary_path___is_binary_path_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/is-binary-path/-/is-binary-path-2.1.0.tgz";
+ sha1 = "ea1f7f3b80f064236e83470f86c09c254fb45b09";
+ };
+ }
+ {
+ name = "is_core_module___is_core_module_2.6.0.tgz";
+ path = fetchurl {
+ name = "is_core_module___is_core_module_2.6.0.tgz";
+ url = "https://registry.yarnpkg.com/is-core-module/-/is-core-module-2.6.0.tgz";
+ sha1 = "d7553b2526fe59b92ba3e40c8df757ec8a709e19";
+ };
+ }
+ {
+ name = "is_dir___is_dir_1.0.0.tgz";
+ path = fetchurl {
+ name = "is_dir___is_dir_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/is-dir/-/is-dir-1.0.0.tgz";
+ sha1 = "41d37f495fccacc05a4778d66e83024c292ba3ff";
+ };
+ }
+ {
+ name = "is_extglob___is_extglob_2.1.1.tgz";
+ path = fetchurl {
+ name = "is_extglob___is_extglob_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/is-extglob/-/is-extglob-2.1.1.tgz";
+ sha1 = "a88c02535791f02ed37c76a1b9ea9773c833f8c2";
+ };
+ }
+ {
+ name = "is_fullwidth_code_point___is_fullwidth_code_point_2.0.0.tgz";
+ path = fetchurl {
+ name = "is_fullwidth_code_point___is_fullwidth_code_point_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-2.0.0.tgz";
+ sha1 = "a3b30a5c4f199183167aaab93beefae3ddfb654f";
+ };
+ }
+ {
+ name = "is_fullwidth_code_point___is_fullwidth_code_point_3.0.0.tgz";
+ path = fetchurl {
+ name = "is_fullwidth_code_point___is_fullwidth_code_point_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz";
+ sha1 = "f116f8064fe90b3f7844a38997c0b75051269f1d";
+ };
+ }
+ {
+ name = "is_glob___is_glob_4.0.1.tgz";
+ path = fetchurl {
+ name = "is_glob___is_glob_4.0.1.tgz";
+ url = "https://registry.yarnpkg.com/is-glob/-/is-glob-4.0.1.tgz";
+ sha1 = "7567dbe9f2f5e2467bc77ab83c4a29482407a5dc";
+ };
+ }
+ {
+ name = "is_number___is_number_7.0.0.tgz";
+ path = fetchurl {
+ name = "is_number___is_number_7.0.0.tgz";
+ url = "https://registry.yarnpkg.com/is-number/-/is-number-7.0.0.tgz";
+ sha1 = "7535345b896734d5f80c4d06c50955527a14f12b";
+ };
+ }
+ {
+ name = "is_plain_obj___is_plain_obj_2.1.0.tgz";
+ path = fetchurl {
+ name = "is_plain_obj___is_plain_obj_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/is-plain-obj/-/is-plain-obj-2.1.0.tgz";
+ sha1 = "45e42e37fccf1f40da8e5f76ee21515840c09287";
+ };
+ }
+ {
+ name = "is_plain_object___is_plain_object_2.0.4.tgz";
+ path = fetchurl {
+ name = "is_plain_object___is_plain_object_2.0.4.tgz";
+ url = "https://registry.yarnpkg.com/is-plain-object/-/is-plain-object-2.0.4.tgz";
+ sha1 = "2c163b3fafb1b606d9d17928f05c2a1c38e07677";
+ };
+ }
+ {
+ name = "is_stream___is_stream_1.1.0.tgz";
+ path = fetchurl {
+ name = "is_stream___is_stream_1.1.0.tgz";
+ url = "https://registry.yarnpkg.com/is-stream/-/is-stream-1.1.0.tgz";
+ sha1 = "12d4a3dd4e68e0b79ceb8dbc84173ae80d91ca44";
+ };
+ }
+ {
+ name = "is_stream___is_stream_2.0.1.tgz";
+ path = fetchurl {
+ name = "is_stream___is_stream_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/is-stream/-/is-stream-2.0.1.tgz";
+ sha1 = "fac1e3d53b97ad5a9d0ae9cef2389f5810a5c077";
+ };
+ }
+ {
+ name = "isexe___isexe_2.0.0.tgz";
+ path = fetchurl {
+ name = "isexe___isexe_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/isexe/-/isexe-2.0.0.tgz";
+ sha1 = "e8fbf374dc556ff8947a10dcb0572d633f2cfa10";
+ };
+ }
+ {
+ name = "isobject___isobject_3.0.1.tgz";
+ path = fetchurl {
+ name = "isobject___isobject_3.0.1.tgz";
+ url = "https://registry.yarnpkg.com/isobject/-/isobject-3.0.1.tgz";
+ sha1 = "4e431e92b11a9731636aa1f9c8d1ccbcfdab78df";
+ };
+ }
+ {
+ name = "jest_worker___jest_worker_27.1.0.tgz";
+ path = fetchurl {
+ name = "jest_worker___jest_worker_27.1.0.tgz";
+ url = "https://registry.yarnpkg.com/jest-worker/-/jest-worker-27.1.0.tgz";
+ sha1 = "65f4a88e37148ed984ba8ca8492d6b376938c0aa";
+ };
+ }
+ {
+ name = "js_tokens___js_tokens_4.0.0.tgz";
+ path = fetchurl {
+ name = "js_tokens___js_tokens_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/js-tokens/-/js-tokens-4.0.0.tgz";
+ sha1 = "19203fb59991df98e3a287050d4647cdeaf32499";
+ };
+ }
+ {
+ name = "js_yaml___js_yaml_4.0.0.tgz";
+ path = fetchurl {
+ name = "js_yaml___js_yaml_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/js-yaml/-/js-yaml-4.0.0.tgz";
+ sha1 = "f426bc0ff4b4051926cd588c71113183409a121f";
+ };
+ }
+ {
+ name = "js_yaml___js_yaml_3.14.1.tgz";
+ path = fetchurl {
+ name = "js_yaml___js_yaml_3.14.1.tgz";
+ url = "https://registry.yarnpkg.com/js-yaml/-/js-yaml-3.14.1.tgz";
+ sha1 = "dae812fdb3825fa306609a8717383c50c36a0537";
+ };
+ }
+ {
+ name = "js_yaml___js_yaml_4.1.0.tgz";
+ path = fetchurl {
+ name = "js_yaml___js_yaml_4.1.0.tgz";
+ url = "https://registry.yarnpkg.com/js-yaml/-/js-yaml-4.1.0.tgz";
+ sha1 = "c1fb65f8f5017901cdd2c951864ba18458a10602";
+ };
+ }
+ {
+ name = "json_buffer___json_buffer_3.0.0.tgz";
+ path = fetchurl {
+ name = "json_buffer___json_buffer_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/json-buffer/-/json-buffer-3.0.0.tgz";
+ sha1 = "5b1f397afc75d677bde8bcfc0e47e1f9a3d9a898";
+ };
+ }
+ {
+ name = "json_parse_better_errors___json_parse_better_errors_1.0.2.tgz";
+ path = fetchurl {
+ name = "json_parse_better_errors___json_parse_better_errors_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/json-parse-better-errors/-/json-parse-better-errors-1.0.2.tgz";
+ sha1 = "bb867cfb3450e69107c131d1c514bab3dc8bcaa9";
+ };
+ }
+ {
+ name = "json_schema_traverse___json_schema_traverse_0.4.1.tgz";
+ path = fetchurl {
+ name = "json_schema_traverse___json_schema_traverse_0.4.1.tgz";
+ url = "https://registry.yarnpkg.com/json-schema-traverse/-/json-schema-traverse-0.4.1.tgz";
+ sha1 = "69f6a87d9513ab8bb8fe63bdb0979c448e684660";
+ };
+ }
+ {
+ name = "json5___json5_2.2.0.tgz";
+ path = fetchurl {
+ name = "json5___json5_2.2.0.tgz";
+ url = "https://registry.yarnpkg.com/json5/-/json5-2.2.0.tgz";
+ sha1 = "2dfefe720c6ba525d9ebd909950f0515316c89a3";
+ };
+ }
+ {
+ name = "keyv___keyv_3.1.0.tgz";
+ path = fetchurl {
+ name = "keyv___keyv_3.1.0.tgz";
+ url = "https://registry.yarnpkg.com/keyv/-/keyv-3.1.0.tgz";
+ sha1 = "ecc228486f69991e49e9476485a5be1e8fc5c4d9";
+ };
+ }
+ {
+ name = "kind_of___kind_of_6.0.3.tgz";
+ path = fetchurl {
+ name = "kind_of___kind_of_6.0.3.tgz";
+ url = "https://registry.yarnpkg.com/kind-of/-/kind-of-6.0.3.tgz";
+ sha1 = "07c05034a6c349fa06e24fa35aa76db4580ce4dd";
+ };
+ }
+ {
+ name = "latest_version___latest_version_5.1.0.tgz";
+ path = fetchurl {
+ name = "latest_version___latest_version_5.1.0.tgz";
+ url = "https://registry.yarnpkg.com/latest-version/-/latest-version-5.1.0.tgz";
+ sha1 = "119dfe908fe38d15dfa43ecd13fa12ec8832face";
+ };
+ }
+ {
+ name = "loader_runner___loader_runner_4.2.0.tgz";
+ path = fetchurl {
+ name = "loader_runner___loader_runner_4.2.0.tgz";
+ url = "https://registry.yarnpkg.com/loader-runner/-/loader-runner-4.2.0.tgz";
+ sha1 = "d7022380d66d14c5fb1d496b89864ebcfd478384";
+ };
+ }
+ {
+ name = "loader_utils___loader_utils_2.0.0.tgz";
+ path = fetchurl {
+ name = "loader_utils___loader_utils_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/loader-utils/-/loader-utils-2.0.0.tgz";
+ sha1 = "e4cace5b816d425a166b5f097e10cd12b36064b0";
+ };
+ }
+ {
+ name = "locate_path___locate_path_5.0.0.tgz";
+ path = fetchurl {
+ name = "locate_path___locate_path_5.0.0.tgz";
+ url = "https://registry.yarnpkg.com/locate-path/-/locate-path-5.0.0.tgz";
+ sha1 = "1afba396afd676a6d42504d0a67a3a7eb9f62aa0";
+ };
+ }
+ {
+ name = "locate_path___locate_path_6.0.0.tgz";
+ path = fetchurl {
+ name = "locate_path___locate_path_6.0.0.tgz";
+ url = "https://registry.yarnpkg.com/locate-path/-/locate-path-6.0.0.tgz";
+ sha1 = "55321eb309febbc59c4801d931a72452a681d286";
+ };
+ }
+ {
+ name = "lodash.merge___lodash.merge_4.6.2.tgz";
+ path = fetchurl {
+ name = "lodash.merge___lodash.merge_4.6.2.tgz";
+ url = "https://registry.yarnpkg.com/lodash.merge/-/lodash.merge-4.6.2.tgz";
+ sha1 = "558aa53b43b661e1925a0afdfa36a9a1085fe57a";
+ };
+ }
+ {
+ name = "lodash___lodash_4.17.21.tgz";
+ path = fetchurl {
+ name = "lodash___lodash_4.17.21.tgz";
+ url = "https://registry.yarnpkg.com/lodash/-/lodash-4.17.21.tgz";
+ sha1 = "679591c564c3bffaae8454cf0b3df370c3d6911c";
+ };
+ }
+ {
+ name = "log_symbols___log_symbols_4.0.0.tgz";
+ path = fetchurl {
+ name = "log_symbols___log_symbols_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/log-symbols/-/log-symbols-4.0.0.tgz";
+ sha1 = "69b3cc46d20f448eccdb75ea1fa733d9e821c920";
+ };
+ }
+ {
+ name = "loose_envify___loose_envify_1.4.0.tgz";
+ path = fetchurl {
+ name = "loose_envify___loose_envify_1.4.0.tgz";
+ url = "https://registry.yarnpkg.com/loose-envify/-/loose-envify-1.4.0.tgz";
+ sha1 = "71ee51fa7be4caec1a63839f7e682d8132d30caf";
+ };
+ }
+ {
+ name = "lowercase_keys___lowercase_keys_1.0.1.tgz";
+ path = fetchurl {
+ name = "lowercase_keys___lowercase_keys_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/lowercase-keys/-/lowercase-keys-1.0.1.tgz";
+ sha1 = "6f9e30b47084d971a7c820ff15a6c5167b74c26f";
+ };
+ }
+ {
+ name = "lowercase_keys___lowercase_keys_2.0.0.tgz";
+ path = fetchurl {
+ name = "lowercase_keys___lowercase_keys_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/lowercase-keys/-/lowercase-keys-2.0.0.tgz";
+ sha1 = "2603e78b7b4b0006cbca2fbcc8a3202558ac9479";
+ };
+ }
+ {
+ name = "lru_cache___lru_cache_6.0.0.tgz";
+ path = fetchurl {
+ name = "lru_cache___lru_cache_6.0.0.tgz";
+ url = "https://registry.yarnpkg.com/lru-cache/-/lru-cache-6.0.0.tgz";
+ sha1 = "6d6fe6570ebd96aaf90fcad1dafa3b2566db3a94";
+ };
+ }
+ {
+ name = "media_typer___media_typer_0.3.0.tgz";
+ path = fetchurl {
+ name = "media_typer___media_typer_0.3.0.tgz";
+ url = "https://registry.yarnpkg.com/media-typer/-/media-typer-0.3.0.tgz";
+ sha1 = "8710d7af0aa626f8fffa1ce00168545263255748";
+ };
+ }
+ {
+ name = "merge_descriptors___merge_descriptors_1.0.1.tgz";
+ path = fetchurl {
+ name = "merge_descriptors___merge_descriptors_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/merge-descriptors/-/merge-descriptors-1.0.1.tgz";
+ sha1 = "b00aaa556dd8b44568150ec9d1b953f3f90cbb61";
+ };
+ }
+ {
+ name = "merge_stream___merge_stream_2.0.0.tgz";
+ path = fetchurl {
+ name = "merge_stream___merge_stream_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/merge-stream/-/merge-stream-2.0.0.tgz";
+ sha1 = "52823629a14dd00c9770fb6ad47dc6310f2c1f60";
+ };
+ }
+ {
+ name = "merge2___merge2_1.4.1.tgz";
+ path = fetchurl {
+ name = "merge2___merge2_1.4.1.tgz";
+ url = "https://registry.yarnpkg.com/merge2/-/merge2-1.4.1.tgz";
+ sha1 = "4368892f885e907455a6fd7dc55c0c9d404990ae";
+ };
+ }
+ {
+ name = "methods___methods_1.1.2.tgz";
+ path = fetchurl {
+ name = "methods___methods_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/methods/-/methods-1.1.2.tgz";
+ sha1 = "5529a4d67654134edcc5266656835b0f851afcee";
+ };
+ }
+ {
+ name = "micromatch___micromatch_4.0.4.tgz";
+ path = fetchurl {
+ name = "micromatch___micromatch_4.0.4.tgz";
+ url = "https://registry.yarnpkg.com/micromatch/-/micromatch-4.0.4.tgz";
+ sha1 = "896d519dfe9db25fce94ceb7a500919bf881ebf9";
+ };
+ }
+ {
+ name = "mime_db___mime_db_1.49.0.tgz";
+ path = fetchurl {
+ name = "mime_db___mime_db_1.49.0.tgz";
+ url = "https://registry.yarnpkg.com/mime-db/-/mime-db-1.49.0.tgz";
+ sha1 = "f3dfde60c99e9cf3bc9701d687778f537001cbed";
+ };
+ }
+ {
+ name = "mime_types___mime_types_2.1.32.tgz";
+ path = fetchurl {
+ name = "mime_types___mime_types_2.1.32.tgz";
+ url = "https://registry.yarnpkg.com/mime-types/-/mime-types-2.1.32.tgz";
+ sha1 = "1d00e89e7de7fe02008db61001d9e02852670fd5";
+ };
+ }
+ {
+ name = "mime___mime_1.6.0.tgz";
+ path = fetchurl {
+ name = "mime___mime_1.6.0.tgz";
+ url = "https://registry.yarnpkg.com/mime/-/mime-1.6.0.tgz";
+ sha1 = "32cd9e5c64553bd58d19a568af452acff04981b1";
+ };
+ }
+ {
+ name = "mimic_fn___mimic_fn_1.2.0.tgz";
+ path = fetchurl {
+ name = "mimic_fn___mimic_fn_1.2.0.tgz";
+ url = "https://registry.yarnpkg.com/mimic-fn/-/mimic-fn-1.2.0.tgz";
+ sha1 = "820c86a39334640e99516928bd03fca88057d022";
+ };
+ }
+ {
+ name = "mimic_fn___mimic_fn_2.1.0.tgz";
+ path = fetchurl {
+ name = "mimic_fn___mimic_fn_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/mimic-fn/-/mimic-fn-2.1.0.tgz";
+ sha1 = "7ed2c2ccccaf84d3ffcb7a69b57711fc2083401b";
+ };
+ }
+ {
+ name = "mimic_response___mimic_response_1.0.1.tgz";
+ path = fetchurl {
+ name = "mimic_response___mimic_response_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/mimic-response/-/mimic-response-1.0.1.tgz";
+ sha1 = "4923538878eef42063cb8a3e3b0798781487ab1b";
+ };
+ }
+ {
+ name = "minimatch___minimatch_3.0.4.tgz";
+ path = fetchurl {
+ name = "minimatch___minimatch_3.0.4.tgz";
+ url = "https://registry.yarnpkg.com/minimatch/-/minimatch-3.0.4.tgz";
+ sha1 = "5166e286457f03306064be5497e8dbb0c3d32083";
+ };
+ }
+ {
+ name = "minimist___minimist_1.2.0.tgz";
+ path = fetchurl {
+ name = "minimist___minimist_1.2.0.tgz";
+ url = "https://registry.yarnpkg.com/minimist/-/minimist-1.2.0.tgz";
+ sha1 = "a35008b20f41383eec1fb914f4cd5df79a264284";
+ };
+ }
+ {
+ name = "minimist___minimist_1.2.5.tgz";
+ path = fetchurl {
+ name = "minimist___minimist_1.2.5.tgz";
+ url = "https://registry.yarnpkg.com/minimist/-/minimist-1.2.5.tgz";
+ sha1 = "67d66014b66a6a8aaa0c083c5fd58df4e4e97602";
+ };
+ }
+ {
+ name = "mkdirp___mkdirp_0.5.5.tgz";
+ path = fetchurl {
+ name = "mkdirp___mkdirp_0.5.5.tgz";
+ url = "https://registry.yarnpkg.com/mkdirp/-/mkdirp-0.5.5.tgz";
+ sha1 = "d91cefd62d1436ca0f41620e251288d420099def";
+ };
+ }
+ {
+ name = "mocha___mocha_8.4.0.tgz";
+ path = fetchurl {
+ name = "mocha___mocha_8.4.0.tgz";
+ url = "https://registry.yarnpkg.com/mocha/-/mocha-8.4.0.tgz";
+ sha1 = "677be88bf15980a3cae03a73e10a0fc3997f0cff";
+ };
+ }
+ {
+ name = "morgan___morgan_1.10.0.tgz";
+ path = fetchurl {
+ name = "morgan___morgan_1.10.0.tgz";
+ url = "https://registry.yarnpkg.com/morgan/-/morgan-1.10.0.tgz";
+ sha1 = "091778abc1fc47cd3509824653dae1faab6b17d7";
+ };
+ }
+ {
+ name = "ms___ms_2.0.0.tgz";
+ path = fetchurl {
+ name = "ms___ms_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/ms/-/ms-2.0.0.tgz";
+ sha1 = "5608aeadfc00be6c2901df5f9861788de0d597c8";
+ };
+ }
+ {
+ name = "ms___ms_2.1.1.tgz";
+ path = fetchurl {
+ name = "ms___ms_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/ms/-/ms-2.1.1.tgz";
+ sha1 = "30a5864eb3ebb0a66f2ebe6d727af06a09d86e0a";
+ };
+ }
+ {
+ name = "ms___ms_2.1.2.tgz";
+ path = fetchurl {
+ name = "ms___ms_2.1.2.tgz";
+ url = "https://registry.yarnpkg.com/ms/-/ms-2.1.2.tgz";
+ sha1 = "d09d1f357b443f493382a8eb3ccd183872ae6009";
+ };
+ }
+ {
+ name = "ms___ms_2.1.3.tgz";
+ path = fetchurl {
+ name = "ms___ms_2.1.3.tgz";
+ url = "https://registry.yarnpkg.com/ms/-/ms-2.1.3.tgz";
+ sha1 = "574c8138ce1d2b5861f0b44579dbadd60c6615b2";
+ };
+ }
+ {
+ name = "mute_stream___mute_stream_0.0.7.tgz";
+ path = fetchurl {
+ name = "mute_stream___mute_stream_0.0.7.tgz";
+ url = "https://registry.yarnpkg.com/mute-stream/-/mute-stream-0.0.7.tgz";
+ sha1 = "3075ce93bc21b8fab43e1bc4da7e8115ed1e7bab";
+ };
+ }
+ {
+ name = "nanoid___nanoid_3.1.20.tgz";
+ path = fetchurl {
+ name = "nanoid___nanoid_3.1.20.tgz";
+ url = "https://registry.yarnpkg.com/nanoid/-/nanoid-3.1.20.tgz";
+ sha1 = "badc263c6b1dcf14b71efaa85f6ab4c1d6cfc788";
+ };
+ }
+ {
+ name = "nanoid___nanoid_3.1.25.tgz";
+ path = fetchurl {
+ name = "nanoid___nanoid_3.1.25.tgz";
+ url = "https://registry.yarnpkg.com/nanoid/-/nanoid-3.1.25.tgz";
+ sha1 = "09ca32747c0e543f0e1814b7d3793477f9c8e152";
+ };
+ }
+ {
+ name = "negotiator___negotiator_0.6.2.tgz";
+ path = fetchurl {
+ name = "negotiator___negotiator_0.6.2.tgz";
+ url = "https://registry.yarnpkg.com/negotiator/-/negotiator-0.6.2.tgz";
+ sha1 = "feacf7ccf525a77ae9634436a64883ffeca346fb";
+ };
+ }
+ {
+ name = "neo_async___neo_async_2.6.2.tgz";
+ path = fetchurl {
+ name = "neo_async___neo_async_2.6.2.tgz";
+ url = "https://registry.yarnpkg.com/neo-async/-/neo-async-2.6.2.tgz";
+ sha1 = "b4aafb93e3aeb2d8174ca53cf163ab7d7308305f";
+ };
+ }
+ {
+ name = "node_fetch___node_fetch_1.6.3.tgz";
+ path = fetchurl {
+ name = "node_fetch___node_fetch_1.6.3.tgz";
+ url = "https://registry.yarnpkg.com/node-fetch/-/node-fetch-1.6.3.tgz";
+ sha1 = "dc234edd6489982d58e8f0db4f695029abcd8c04";
+ };
+ }
+ {
+ name = "node_releases___node_releases_1.1.75.tgz";
+ path = fetchurl {
+ name = "node_releases___node_releases_1.1.75.tgz";
+ url = "https://registry.yarnpkg.com/node-releases/-/node-releases-1.1.75.tgz";
+ sha1 = "6dd8c876b9897a1b8e5a02de26afa79bb54ebbfe";
+ };
+ }
+ {
+ name = "normalize_path___normalize_path_3.0.0.tgz";
+ path = fetchurl {
+ name = "normalize_path___normalize_path_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/normalize-path/-/normalize-path-3.0.0.tgz";
+ sha1 = "0dcd69ff23a1c9b11fd0978316644a0388216a65";
+ };
+ }
+ {
+ name = "normalize_url___normalize_url_4.5.1.tgz";
+ path = fetchurl {
+ name = "normalize_url___normalize_url_4.5.1.tgz";
+ url = "https://registry.yarnpkg.com/normalize-url/-/normalize-url-4.5.1.tgz";
+ sha1 = "0dd90cf1288ee1d1313b87081c9a5932ee48518a";
+ };
+ }
+ {
+ name = "npm_run_path___npm_run_path_4.0.1.tgz";
+ path = fetchurl {
+ name = "npm_run_path___npm_run_path_4.0.1.tgz";
+ url = "https://registry.yarnpkg.com/npm-run-path/-/npm-run-path-4.0.1.tgz";
+ sha1 = "b7ecd1e5ed53da8e37a55e1c2269e0b97ed748ea";
+ };
+ }
+ {
+ name = "object_assign___object_assign_4.1.1.tgz";
+ path = fetchurl {
+ name = "object_assign___object_assign_4.1.1.tgz";
+ url = "https://registry.yarnpkg.com/object-assign/-/object-assign-4.1.1.tgz";
+ sha1 = "2109adc7965887cfc05cbbd442cac8bfbb360863";
+ };
+ }
+ {
+ name = "on_finished___on_finished_2.3.0.tgz";
+ path = fetchurl {
+ name = "on_finished___on_finished_2.3.0.tgz";
+ url = "https://registry.yarnpkg.com/on-finished/-/on-finished-2.3.0.tgz";
+ sha1 = "20f1336481b083cd75337992a16971aa2d906947";
+ };
+ }
+ {
+ name = "on_headers___on_headers_1.0.2.tgz";
+ path = fetchurl {
+ name = "on_headers___on_headers_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/on-headers/-/on-headers-1.0.2.tgz";
+ sha1 = "772b0ae6aaa525c399e489adfad90c403eb3c28f";
+ };
+ }
+ {
+ name = "once___once_1.4.0.tgz";
+ path = fetchurl {
+ name = "once___once_1.4.0.tgz";
+ url = "https://registry.yarnpkg.com/once/-/once-1.4.0.tgz";
+ sha1 = "583b1aa775961d4b113ac17d9c50baef9dd76bd1";
+ };
+ }
+ {
+ name = "onetime___onetime_2.0.1.tgz";
+ path = fetchurl {
+ name = "onetime___onetime_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/onetime/-/onetime-2.0.1.tgz";
+ sha1 = "067428230fd67443b2794b22bba528b6867962d4";
+ };
+ }
+ {
+ name = "onetime___onetime_5.1.2.tgz";
+ path = fetchurl {
+ name = "onetime___onetime_5.1.2.tgz";
+ url = "https://registry.yarnpkg.com/onetime/-/onetime-5.1.2.tgz";
+ sha1 = "d0e96ebb56b07476df1dd9c4806e5237985ca45e";
+ };
+ }
+ {
+ name = "openapi_default_setter___openapi_default_setter_8.0.0.tgz";
+ path = fetchurl {
+ name = "openapi_default_setter___openapi_default_setter_8.0.0.tgz";
+ url = "https://registry.yarnpkg.com/openapi-default-setter/-/openapi-default-setter-8.0.0.tgz";
+ sha1 = "17caf5c58f2c8d11609d270847952a3fc295f95b";
+ };
+ }
+ {
+ name = "openapi_framework___openapi_framework_8.0.0.tgz";
+ path = fetchurl {
+ name = "openapi_framework___openapi_framework_8.0.0.tgz";
+ url = "https://registry.yarnpkg.com/openapi-framework/-/openapi-framework-8.0.0.tgz";
+ sha1 = "5bdaaca75cd1344ff71f622948a0f89d55b6a716";
+ };
+ }
+ {
+ name = "openapi_jsonschema_parameters___openapi_jsonschema_parameters_8.0.0.tgz";
+ path = fetchurl {
+ name = "openapi_jsonschema_parameters___openapi_jsonschema_parameters_8.0.0.tgz";
+ url = "https://registry.yarnpkg.com/openapi-jsonschema-parameters/-/openapi-jsonschema-parameters-8.0.0.tgz";
+ sha1 = "1aae51fe0c8312672ef3e20ef97f4456b3f33e59";
+ };
+ }
+ {
+ name = "openapi_request_coercer___openapi_request_coercer_8.0.0.tgz";
+ path = fetchurl {
+ name = "openapi_request_coercer___openapi_request_coercer_8.0.0.tgz";
+ url = "https://registry.yarnpkg.com/openapi-request-coercer/-/openapi-request-coercer-8.0.0.tgz";
+ sha1 = "5767c12da1a40f509fa55147210b09d66a854ee0";
+ };
+ }
+ {
+ name = "openapi_request_validator___openapi_request_validator_8.0.0.tgz";
+ path = fetchurl {
+ name = "openapi_request_validator___openapi_request_validator_8.0.0.tgz";
+ url = "https://registry.yarnpkg.com/openapi-request-validator/-/openapi-request-validator-8.0.0.tgz";
+ sha1 = "b22acecc73952ccc132fd3710e79e319eb8f20cc";
+ };
+ }
+ {
+ name = "openapi_response_validator___openapi_response_validator_8.0.0.tgz";
+ path = fetchurl {
+ name = "openapi_response_validator___openapi_response_validator_8.0.0.tgz";
+ url = "https://registry.yarnpkg.com/openapi-response-validator/-/openapi-response-validator-8.0.0.tgz";
+ sha1 = "ea4f3a43bcf9e151c1e90046f8a2d10c98607368";
+ };
+ }
+ {
+ name = "openapi_schema_validator___openapi_schema_validator_8.0.0.tgz";
+ path = fetchurl {
+ name = "openapi_schema_validator___openapi_schema_validator_8.0.0.tgz";
+ url = "https://registry.yarnpkg.com/openapi-schema-validator/-/openapi-schema-validator-8.0.0.tgz";
+ sha1 = "6a0eb06bec103e057ea1f1051883bb8c465684a4";
+ };
+ }
+ {
+ name = "openapi_security_handler___openapi_security_handler_8.0.0.tgz";
+ path = fetchurl {
+ name = "openapi_security_handler___openapi_security_handler_8.0.0.tgz";
+ url = "https://registry.yarnpkg.com/openapi-security-handler/-/openapi-security-handler-8.0.0.tgz";
+ sha1 = "0b4c1a589f61c4cee7bec0b945d6d3f494fdf023";
+ };
+ }
+ {
+ name = "openapi_types___openapi_types_7.2.3.tgz";
+ path = fetchurl {
+ name = "openapi_types___openapi_types_7.2.3.tgz";
+ url = "https://registry.yarnpkg.com/openapi-types/-/openapi-types-7.2.3.tgz";
+ sha1 = "83829911a3410a022f0e0cf2b0b2e67232ccf96e";
+ };
+ }
+ {
+ name = "openapi_types___openapi_types_8.0.0.tgz";
+ path = fetchurl {
+ name = "openapi_types___openapi_types_8.0.0.tgz";
+ url = "https://registry.yarnpkg.com/openapi-types/-/openapi-types-8.0.0.tgz";
+ sha1 = "7e1979538798d31a3c3bfed667e5e9295402f9bc";
+ };
+ }
+ {
+ name = "opencollective_postinstall___opencollective_postinstall_2.0.3.tgz";
+ path = fetchurl {
+ name = "opencollective_postinstall___opencollective_postinstall_2.0.3.tgz";
+ url = "https://registry.yarnpkg.com/opencollective-postinstall/-/opencollective-postinstall-2.0.3.tgz";
+ sha1 = "7a0fff978f6dbfa4d006238fbac98ed4198c3259";
+ };
+ }
+ {
+ name = "opencollective___opencollective_1.0.3.tgz";
+ path = fetchurl {
+ name = "opencollective___opencollective_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/opencollective/-/opencollective-1.0.3.tgz";
+ sha1 = "aee6372bc28144583690c3ca8daecfc120dd0ef1";
+ };
+ }
+ {
+ name = "opn___opn_4.0.2.tgz";
+ path = fetchurl {
+ name = "opn___opn_4.0.2.tgz";
+ url = "https://registry.yarnpkg.com/opn/-/opn-4.0.2.tgz";
+ sha1 = "7abc22e644dff63b0a96d5ab7f2790c0f01abc95";
+ };
+ }
+ {
+ name = "os_tmpdir___os_tmpdir_1.0.2.tgz";
+ path = fetchurl {
+ name = "os_tmpdir___os_tmpdir_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/os-tmpdir/-/os-tmpdir-1.0.2.tgz";
+ sha1 = "bbe67406c79aa85c5cfec766fe5734555dfa1274";
+ };
+ }
+ {
+ name = "p_cancelable___p_cancelable_1.1.0.tgz";
+ path = fetchurl {
+ name = "p_cancelable___p_cancelable_1.1.0.tgz";
+ url = "https://registry.yarnpkg.com/p-cancelable/-/p-cancelable-1.1.0.tgz";
+ sha1 = "d078d15a3af409220c886f1d9a0ca2e441ab26cc";
+ };
+ }
+ {
+ name = "p_limit___p_limit_2.3.0.tgz";
+ path = fetchurl {
+ name = "p_limit___p_limit_2.3.0.tgz";
+ url = "https://registry.yarnpkg.com/p-limit/-/p-limit-2.3.0.tgz";
+ sha1 = "3dd33c647a214fdfffd835933eb086da0dc21db1";
+ };
+ }
+ {
+ name = "p_limit___p_limit_3.1.0.tgz";
+ path = fetchurl {
+ name = "p_limit___p_limit_3.1.0.tgz";
+ url = "https://registry.yarnpkg.com/p-limit/-/p-limit-3.1.0.tgz";
+ sha1 = "e1daccbe78d0d1388ca18c64fea38e3e57e3706b";
+ };
+ }
+ {
+ name = "p_locate___p_locate_4.1.0.tgz";
+ path = fetchurl {
+ name = "p_locate___p_locate_4.1.0.tgz";
+ url = "https://registry.yarnpkg.com/p-locate/-/p-locate-4.1.0.tgz";
+ sha1 = "a3428bb7088b3a60292f66919278b7c297ad4f07";
+ };
+ }
+ {
+ name = "p_locate___p_locate_5.0.0.tgz";
+ path = fetchurl {
+ name = "p_locate___p_locate_5.0.0.tgz";
+ url = "https://registry.yarnpkg.com/p-locate/-/p-locate-5.0.0.tgz";
+ sha1 = "83c8315c6785005e3bd021839411c9e110e6d834";
+ };
+ }
+ {
+ name = "p_try___p_try_2.2.0.tgz";
+ path = fetchurl {
+ name = "p_try___p_try_2.2.0.tgz";
+ url = "https://registry.yarnpkg.com/p-try/-/p-try-2.2.0.tgz";
+ sha1 = "cb2868540e313d61de58fafbe35ce9004d5540e6";
+ };
+ }
+ {
+ name = "package_json___package_json_6.5.0.tgz";
+ path = fetchurl {
+ name = "package_json___package_json_6.5.0.tgz";
+ url = "https://registry.yarnpkg.com/package-json/-/package-json-6.5.0.tgz";
+ sha1 = "6feedaca35e75725876d0b0e64974697fed145b0";
+ };
+ }
+ {
+ name = "parseurl___parseurl_1.3.3.tgz";
+ path = fetchurl {
+ name = "parseurl___parseurl_1.3.3.tgz";
+ url = "https://registry.yarnpkg.com/parseurl/-/parseurl-1.3.3.tgz";
+ sha1 = "9da19e7bee8d12dff0513ed5b76957793bc2e8d4";
+ };
+ }
+ {
+ name = "path_exists___path_exists_4.0.0.tgz";
+ path = fetchurl {
+ name = "path_exists___path_exists_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/path-exists/-/path-exists-4.0.0.tgz";
+ sha1 = "513bdbe2d3b95d7762e8c1137efa195c6c61b5b3";
+ };
+ }
+ {
+ name = "path_is_absolute___path_is_absolute_1.0.1.tgz";
+ path = fetchurl {
+ name = "path_is_absolute___path_is_absolute_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/path-is-absolute/-/path-is-absolute-1.0.1.tgz";
+ sha1 = "174b9268735534ffbc7ace6bf53a5a9e1b5c5f5f";
+ };
+ }
+ {
+ name = "path_key___path_key_3.1.1.tgz";
+ path = fetchurl {
+ name = "path_key___path_key_3.1.1.tgz";
+ url = "https://registry.yarnpkg.com/path-key/-/path-key-3.1.1.tgz";
+ sha1 = "581f6ade658cbba65a0d3380de7753295054f375";
+ };
+ }
+ {
+ name = "path_parse___path_parse_1.0.7.tgz";
+ path = fetchurl {
+ name = "path_parse___path_parse_1.0.7.tgz";
+ url = "https://registry.yarnpkg.com/path-parse/-/path-parse-1.0.7.tgz";
+ sha1 = "fbc114b60ca42b30d9daf5858e4bd68bbedb6735";
+ };
+ }
+ {
+ name = "path_to_regexp___path_to_regexp_0.1.7.tgz";
+ path = fetchurl {
+ name = "path_to_regexp___path_to_regexp_0.1.7.tgz";
+ url = "https://registry.yarnpkg.com/path-to-regexp/-/path-to-regexp-0.1.7.tgz";
+ sha1 = "df604178005f522f15eb4490e7247a1bfaa67f8c";
+ };
+ }
+ {
+ name = "path_type___path_type_4.0.0.tgz";
+ path = fetchurl {
+ name = "path_type___path_type_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/path-type/-/path-type-4.0.0.tgz";
+ sha1 = "84ed01c0a7ba380afe09d90a8c180dcd9d03043b";
+ };
+ }
+ {
+ name = "picomatch___picomatch_2.3.0.tgz";
+ path = fetchurl {
+ name = "picomatch___picomatch_2.3.0.tgz";
+ url = "https://registry.yarnpkg.com/picomatch/-/picomatch-2.3.0.tgz";
+ sha1 = "f1f061de8f6a4bf022892e2d128234fb98302972";
+ };
+ }
+ {
+ name = "pinkie_promise___pinkie_promise_2.0.1.tgz";
+ path = fetchurl {
+ name = "pinkie_promise___pinkie_promise_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/pinkie-promise/-/pinkie-promise-2.0.1.tgz";
+ sha1 = "2135d6dfa7a358c069ac9b178776288228450ffa";
+ };
+ }
+ {
+ name = "pinkie___pinkie_2.0.4.tgz";
+ path = fetchurl {
+ name = "pinkie___pinkie_2.0.4.tgz";
+ url = "https://registry.yarnpkg.com/pinkie/-/pinkie-2.0.4.tgz";
+ sha1 = "72556b80cfa0d48a974e80e77248e80ed4f7f870";
+ };
+ }
+ {
+ name = "pkg_dir___pkg_dir_4.2.0.tgz";
+ path = fetchurl {
+ name = "pkg_dir___pkg_dir_4.2.0.tgz";
+ url = "https://registry.yarnpkg.com/pkg-dir/-/pkg-dir-4.2.0.tgz";
+ sha1 = "f099133df7ede422e81d1d8448270eeb3e4261f3";
+ };
+ }
+ {
+ name = "postcss_modules_extract_imports___postcss_modules_extract_imports_3.0.0.tgz";
+ path = fetchurl {
+ name = "postcss_modules_extract_imports___postcss_modules_extract_imports_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/postcss-modules-extract-imports/-/postcss-modules-extract-imports-3.0.0.tgz";
+ sha1 = "cda1f047c0ae80c97dbe28c3e76a43b88025741d";
+ };
+ }
+ {
+ name = "postcss_modules_local_by_default___postcss_modules_local_by_default_4.0.0.tgz";
+ path = fetchurl {
+ name = "postcss_modules_local_by_default___postcss_modules_local_by_default_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/postcss-modules-local-by-default/-/postcss-modules-local-by-default-4.0.0.tgz";
+ sha1 = "ebbb54fae1598eecfdf691a02b3ff3b390a5a51c";
+ };
+ }
+ {
+ name = "postcss_modules_scope___postcss_modules_scope_3.0.0.tgz";
+ path = fetchurl {
+ name = "postcss_modules_scope___postcss_modules_scope_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/postcss-modules-scope/-/postcss-modules-scope-3.0.0.tgz";
+ sha1 = "9ef3151456d3bbfa120ca44898dfca6f2fa01f06";
+ };
+ }
+ {
+ name = "postcss_modules_values___postcss_modules_values_4.0.0.tgz";
+ path = fetchurl {
+ name = "postcss_modules_values___postcss_modules_values_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/postcss-modules-values/-/postcss-modules-values-4.0.0.tgz";
+ sha1 = "d7c5e7e68c3bb3c9b27cbf48ca0bb3ffb4602c9c";
+ };
+ }
+ {
+ name = "postcss_selector_parser___postcss_selector_parser_6.0.6.tgz";
+ path = fetchurl {
+ name = "postcss_selector_parser___postcss_selector_parser_6.0.6.tgz";
+ url = "https://registry.yarnpkg.com/postcss-selector-parser/-/postcss-selector-parser-6.0.6.tgz";
+ sha1 = "2c5bba8174ac2f6981ab631a42ab0ee54af332ea";
+ };
+ }
+ {
+ name = "postcss_value_parser___postcss_value_parser_4.1.0.tgz";
+ path = fetchurl {
+ name = "postcss_value_parser___postcss_value_parser_4.1.0.tgz";
+ url = "https://registry.yarnpkg.com/postcss-value-parser/-/postcss-value-parser-4.1.0.tgz";
+ sha1 = "443f6a20ced6481a2bda4fa8532a6e55d789a2cb";
+ };
+ }
+ {
+ name = "postcss___postcss_8.3.6.tgz";
+ path = fetchurl {
+ name = "postcss___postcss_8.3.6.tgz";
+ url = "https://registry.yarnpkg.com/postcss/-/postcss-8.3.6.tgz";
+ sha1 = "2730dd76a97969f37f53b9a6096197be311cc4ea";
+ };
+ }
+ {
+ name = "prepend_http___prepend_http_2.0.0.tgz";
+ path = fetchurl {
+ name = "prepend_http___prepend_http_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/prepend-http/-/prepend-http-2.0.0.tgz";
+ sha1 = "e92434bfa5ea8c19f41cdfd401d741a3c819d897";
+ };
+ }
+ {
+ name = "process___process_0.11.10.tgz";
+ path = fetchurl {
+ name = "process___process_0.11.10.tgz";
+ url = "https://registry.yarnpkg.com/process/-/process-0.11.10.tgz";
+ sha1 = "7332300e840161bda3e69a1d1d91a7d4bc16f182";
+ };
+ }
+ {
+ name = "promise_queue___promise_queue_2.2.5.tgz";
+ path = fetchurl {
+ name = "promise_queue___promise_queue_2.2.5.tgz";
+ url = "https://registry.yarnpkg.com/promise-queue/-/promise-queue-2.2.5.tgz";
+ sha1 = "2f6f5f7c0f6d08109e967659c79b88a9ed5e93b4";
+ };
+ }
+ {
+ name = "proxy_addr___proxy_addr_2.0.7.tgz";
+ path = fetchurl {
+ name = "proxy_addr___proxy_addr_2.0.7.tgz";
+ url = "https://registry.yarnpkg.com/proxy-addr/-/proxy-addr-2.0.7.tgz";
+ sha1 = "f19fe69ceab311eeb94b42e70e8c2070f9ba1025";
+ };
+ }
+ {
+ name = "pump___pump_3.0.0.tgz";
+ path = fetchurl {
+ name = "pump___pump_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/pump/-/pump-3.0.0.tgz";
+ sha1 = "b4a2116815bde2f4e1ea602354e8c75565107a64";
+ };
+ }
+ {
+ name = "punycode___punycode_1.3.2.tgz";
+ path = fetchurl {
+ name = "punycode___punycode_1.3.2.tgz";
+ url = "https://registry.yarnpkg.com/punycode/-/punycode-1.3.2.tgz";
+ sha1 = "9653a036fb7c1ee42342f2325cceefea3926c48d";
+ };
+ }
+ {
+ name = "punycode___punycode_2.1.1.tgz";
+ path = fetchurl {
+ name = "punycode___punycode_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/punycode/-/punycode-2.1.1.tgz";
+ sha1 = "b58b010ac40c22c5657616c8d2c2c02c7bf479ec";
+ };
+ }
+ {
+ name = "qs___qs_6.7.0.tgz";
+ path = fetchurl {
+ name = "qs___qs_6.7.0.tgz";
+ url = "https://registry.yarnpkg.com/qs/-/qs-6.7.0.tgz";
+ sha1 = "41dc1a015e3d581f1621776be31afb2876a9b1bc";
+ };
+ }
+ {
+ name = "querystring___querystring_0.2.0.tgz";
+ path = fetchurl {
+ name = "querystring___querystring_0.2.0.tgz";
+ url = "https://registry.yarnpkg.com/querystring/-/querystring-0.2.0.tgz";
+ sha1 = "b209849203bb25df820da756e747005878521620";
+ };
+ }
+ {
+ name = "queue_microtask___queue_microtask_1.2.3.tgz";
+ path = fetchurl {
+ name = "queue_microtask___queue_microtask_1.2.3.tgz";
+ url = "https://registry.yarnpkg.com/queue-microtask/-/queue-microtask-1.2.3.tgz";
+ sha1 = "4929228bbc724dfac43e0efb058caf7b6cfb6243";
+ };
+ }
+ {
+ name = "randombytes___randombytes_2.1.0.tgz";
+ path = fetchurl {
+ name = "randombytes___randombytes_2.1.0.tgz";
+ url = "https://registry.yarnpkg.com/randombytes/-/randombytes-2.1.0.tgz";
+ sha1 = "df6f84372f0270dc65cdf6291349ab7a473d4f2a";
+ };
+ }
+ {
+ name = "range_parser___range_parser_1.2.1.tgz";
+ path = fetchurl {
+ name = "range_parser___range_parser_1.2.1.tgz";
+ url = "https://registry.yarnpkg.com/range-parser/-/range-parser-1.2.1.tgz";
+ sha1 = "3cf37023d199e1c24d1a55b84800c2f3e6468031";
+ };
+ }
+ {
+ name = "raw_body___raw_body_2.4.0.tgz";
+ path = fetchurl {
+ name = "raw_body___raw_body_2.4.0.tgz";
+ url = "https://registry.yarnpkg.com/raw-body/-/raw-body-2.4.0.tgz";
+ sha1 = "a1ce6fb9c9bc356ca52e89256ab59059e13d0332";
+ };
+ }
+ {
+ name = "rc___rc_1.2.8.tgz";
+ path = fetchurl {
+ name = "rc___rc_1.2.8.tgz";
+ url = "https://registry.yarnpkg.com/rc/-/rc-1.2.8.tgz";
+ sha1 = "cd924bf5200a075b83c188cd6b9e211b7fc0d3ed";
+ };
+ }
+ {
+ name = "react_dom___react_dom_17.0.2.tgz";
+ path = fetchurl {
+ name = "react_dom___react_dom_17.0.2.tgz";
+ url = "https://registry.yarnpkg.com/react-dom/-/react-dom-17.0.2.tgz";
+ sha1 = "ecffb6845e3ad8dbfcdc498f0d0a939736502c23";
+ };
+ }
+ {
+ name = "react___react_17.0.2.tgz";
+ path = fetchurl {
+ name = "react___react_17.0.2.tgz";
+ url = "https://registry.yarnpkg.com/react/-/react-17.0.2.tgz";
+ sha1 = "d0b5cc516d29eb3eee383f75b62864cfb6800037";
+ };
+ }
+ {
+ name = "readable_stream___readable_stream_3.6.0.tgz";
+ path = fetchurl {
+ name = "readable_stream___readable_stream_3.6.0.tgz";
+ url = "https://registry.yarnpkg.com/readable-stream/-/readable-stream-3.6.0.tgz";
+ sha1 = "337bbda3adc0706bd3e024426a286d4b4b2c9198";
+ };
+ }
+ {
+ name = "readdirp___readdirp_3.5.0.tgz";
+ path = fetchurl {
+ name = "readdirp___readdirp_3.5.0.tgz";
+ url = "https://registry.yarnpkg.com/readdirp/-/readdirp-3.5.0.tgz";
+ sha1 = "9ba74c019b15d365278d2e91bb8c48d7b4d42c9e";
+ };
+ }
+ {
+ name = "rechoir___rechoir_0.7.1.tgz";
+ path = fetchurl {
+ name = "rechoir___rechoir_0.7.1.tgz";
+ url = "https://registry.yarnpkg.com/rechoir/-/rechoir-0.7.1.tgz";
+ sha1 = "9478a96a1ca135b5e88fc027f03ee92d6c645686";
+ };
+ }
+ {
+ name = "regenerator_runtime___regenerator_runtime_0.10.5.tgz";
+ path = fetchurl {
+ name = "regenerator_runtime___regenerator_runtime_0.10.5.tgz";
+ url = "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.10.5.tgz";
+ sha1 = "336c3efc1220adcedda2c9fab67b5a7955a33658";
+ };
+ }
+ {
+ name = "regenerator_runtime___regenerator_runtime_0.11.1.tgz";
+ path = fetchurl {
+ name = "regenerator_runtime___regenerator_runtime_0.11.1.tgz";
+ url = "https://registry.yarnpkg.com/regenerator-runtime/-/regenerator-runtime-0.11.1.tgz";
+ sha1 = "be05ad7f9bf7d22e056f9726cee5017fbf19e2e9";
+ };
+ }
+ {
+ name = "registry_auth_token___registry_auth_token_4.2.1.tgz";
+ path = fetchurl {
+ name = "registry_auth_token___registry_auth_token_4.2.1.tgz";
+ url = "https://registry.yarnpkg.com/registry-auth-token/-/registry-auth-token-4.2.1.tgz";
+ sha1 = "6d7b4006441918972ccd5fedcd41dc322c79b250";
+ };
+ }
+ {
+ name = "registry_url___registry_url_5.1.0.tgz";
+ path = fetchurl {
+ name = "registry_url___registry_url_5.1.0.tgz";
+ url = "https://registry.yarnpkg.com/registry-url/-/registry-url-5.1.0.tgz";
+ sha1 = "e98334b50d5434b81136b44ec638d9c2009c5009";
+ };
+ }
+ {
+ name = "require_directory___require_directory_2.1.1.tgz";
+ path = fetchurl {
+ name = "require_directory___require_directory_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/require-directory/-/require-directory-2.1.1.tgz";
+ sha1 = "8c64ad5fd30dab1c976e2344ffe7f792a6a6df42";
+ };
+ }
+ {
+ name = "resolve_cwd___resolve_cwd_3.0.0.tgz";
+ path = fetchurl {
+ name = "resolve_cwd___resolve_cwd_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/resolve-cwd/-/resolve-cwd-3.0.0.tgz";
+ sha1 = "0f0075f1bb2544766cf73ba6a6e2adfebcb13f2d";
+ };
+ }
+ {
+ name = "resolve_from___resolve_from_5.0.0.tgz";
+ path = fetchurl {
+ name = "resolve_from___resolve_from_5.0.0.tgz";
+ url = "https://registry.yarnpkg.com/resolve-from/-/resolve-from-5.0.0.tgz";
+ sha1 = "c35225843df8f776df21c57557bc087e9dfdfc69";
+ };
+ }
+ {
+ name = "resolve___resolve_1.20.0.tgz";
+ path = fetchurl {
+ name = "resolve___resolve_1.20.0.tgz";
+ url = "https://registry.yarnpkg.com/resolve/-/resolve-1.20.0.tgz";
+ sha1 = "629a013fb3f70755d6f0b7935cc1c2c5378b1975";
+ };
+ }
+ {
+ name = "responselike___responselike_1.0.2.tgz";
+ path = fetchurl {
+ name = "responselike___responselike_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/responselike/-/responselike-1.0.2.tgz";
+ sha1 = "918720ef3b631c5642be068f15ade5a46f4ba1e7";
+ };
+ }
+ {
+ name = "restore_cursor___restore_cursor_2.0.0.tgz";
+ path = fetchurl {
+ name = "restore_cursor___restore_cursor_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/restore-cursor/-/restore-cursor-2.0.0.tgz";
+ sha1 = "9f7ee287f82fd326d4fd162923d62129eee0dfaf";
+ };
+ }
+ {
+ name = "reusify___reusify_1.0.4.tgz";
+ path = fetchurl {
+ name = "reusify___reusify_1.0.4.tgz";
+ url = "https://registry.yarnpkg.com/reusify/-/reusify-1.0.4.tgz";
+ sha1 = "90da382b1e126efc02146e90845a88db12925d76";
+ };
+ }
+ {
+ name = "rimraf___rimraf_3.0.2.tgz";
+ path = fetchurl {
+ name = "rimraf___rimraf_3.0.2.tgz";
+ url = "https://registry.yarnpkg.com/rimraf/-/rimraf-3.0.2.tgz";
+ sha1 = "f1a5402ba6220ad52cc1282bac1ae3aa49fd061a";
+ };
+ }
+ {
+ name = "run_async___run_async_2.4.1.tgz";
+ path = fetchurl {
+ name = "run_async___run_async_2.4.1.tgz";
+ url = "https://registry.yarnpkg.com/run-async/-/run-async-2.4.1.tgz";
+ sha1 = "8440eccf99ea3e70bd409d49aab88e10c189a455";
+ };
+ }
+ {
+ name = "run_parallel___run_parallel_1.2.0.tgz";
+ path = fetchurl {
+ name = "run_parallel___run_parallel_1.2.0.tgz";
+ url = "https://registry.yarnpkg.com/run-parallel/-/run-parallel-1.2.0.tgz";
+ sha1 = "66d1368da7bdf921eb9d95bd1a9229e7f21a43ee";
+ };
+ }
+ {
+ name = "rx___rx_4.1.0.tgz";
+ path = fetchurl {
+ name = "rx___rx_4.1.0.tgz";
+ url = "https://registry.yarnpkg.com/rx/-/rx-4.1.0.tgz";
+ sha1 = "a5f13ff79ef3b740fe30aa803fb09f98805d4782";
+ };
+ }
+ {
+ name = "safe_buffer___safe_buffer_5.1.2.tgz";
+ path = fetchurl {
+ name = "safe_buffer___safe_buffer_5.1.2.tgz";
+ url = "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.1.2.tgz";
+ sha1 = "991ec69d296e0313747d59bdfd2b745c35f8828d";
+ };
+ }
+ {
+ name = "safe_buffer___safe_buffer_5.2.1.tgz";
+ path = fetchurl {
+ name = "safe_buffer___safe_buffer_5.2.1.tgz";
+ url = "https://registry.yarnpkg.com/safe-buffer/-/safe-buffer-5.2.1.tgz";
+ sha1 = "1eaf9fa9bdb1fdd4ec75f58f9cdb4e6b7827eec6";
+ };
+ }
+ {
+ name = "safer_buffer___safer_buffer_2.1.2.tgz";
+ path = fetchurl {
+ name = "safer_buffer___safer_buffer_2.1.2.tgz";
+ url = "https://registry.yarnpkg.com/safer-buffer/-/safer-buffer-2.1.2.tgz";
+ sha1 = "44fa161b0187b9549dd84bb91802f9bd8385cd6a";
+ };
+ }
+ {
+ name = "scheduler___scheduler_0.20.2.tgz";
+ path = fetchurl {
+ name = "scheduler___scheduler_0.20.2.tgz";
+ url = "https://registry.yarnpkg.com/scheduler/-/scheduler-0.20.2.tgz";
+ sha1 = "4baee39436e34aa93b4874bddcbf0fe8b8b50e91";
+ };
+ }
+ {
+ name = "schema_utils___schema_utils_3.1.1.tgz";
+ path = fetchurl {
+ name = "schema_utils___schema_utils_3.1.1.tgz";
+ url = "https://registry.yarnpkg.com/schema-utils/-/schema-utils-3.1.1.tgz";
+ sha1 = "bc74c4b6b6995c1d88f76a8b77bea7219e0c8281";
+ };
+ }
+ {
+ name = "semver___semver_5.7.1.tgz";
+ path = fetchurl {
+ name = "semver___semver_5.7.1.tgz";
+ url = "https://registry.yarnpkg.com/semver/-/semver-5.7.1.tgz";
+ sha1 = "a954f931aeba508d307bbf069eff0c01c96116f7";
+ };
+ }
+ {
+ name = "semver___semver_6.3.0.tgz";
+ path = fetchurl {
+ name = "semver___semver_6.3.0.tgz";
+ url = "https://registry.yarnpkg.com/semver/-/semver-6.3.0.tgz";
+ sha1 = "ee0a64c8af5e8ceea67687b133761e1becbd1d3d";
+ };
+ }
+ {
+ name = "semver___semver_7.3.5.tgz";
+ path = fetchurl {
+ name = "semver___semver_7.3.5.tgz";
+ url = "https://registry.yarnpkg.com/semver/-/semver-7.3.5.tgz";
+ sha1 = "0b621c879348d8998e4b0e4be94b3f12e6018ef7";
+ };
+ }
+ {
+ name = "send___send_0.17.1.tgz";
+ path = fetchurl {
+ name = "send___send_0.17.1.tgz";
+ url = "https://registry.yarnpkg.com/send/-/send-0.17.1.tgz";
+ sha1 = "c1d8b059f7900f7466dd4938bdc44e11ddb376c8";
+ };
+ }
+ {
+ name = "serialize_javascript___serialize_javascript_5.0.1.tgz";
+ path = fetchurl {
+ name = "serialize_javascript___serialize_javascript_5.0.1.tgz";
+ url = "https://registry.yarnpkg.com/serialize-javascript/-/serialize-javascript-5.0.1.tgz";
+ sha1 = "7886ec848049a462467a97d3d918ebb2aaf934f4";
+ };
+ }
+ {
+ name = "serialize_javascript___serialize_javascript_6.0.0.tgz";
+ path = fetchurl {
+ name = "serialize_javascript___serialize_javascript_6.0.0.tgz";
+ url = "https://registry.yarnpkg.com/serialize-javascript/-/serialize-javascript-6.0.0.tgz";
+ sha1 = "efae5d88f45d7924141da8b5c3a7a7e663fefeb8";
+ };
+ }
+ {
+ name = "serve_static___serve_static_1.14.1.tgz";
+ path = fetchurl {
+ name = "serve_static___serve_static_1.14.1.tgz";
+ url = "https://registry.yarnpkg.com/serve-static/-/serve-static-1.14.1.tgz";
+ sha1 = "666e636dc4f010f7ef29970a88a674320898b2f9";
+ };
+ }
+ {
+ name = "setprototypeof___setprototypeof_1.1.1.tgz";
+ path = fetchurl {
+ name = "setprototypeof___setprototypeof_1.1.1.tgz";
+ url = "https://registry.yarnpkg.com/setprototypeof/-/setprototypeof-1.1.1.tgz";
+ sha1 = "7e95acb24aa92f5885e0abef5ba131330d4ae683";
+ };
+ }
+ {
+ name = "shallow_clone___shallow_clone_3.0.1.tgz";
+ path = fetchurl {
+ name = "shallow_clone___shallow_clone_3.0.1.tgz";
+ url = "https://registry.yarnpkg.com/shallow-clone/-/shallow-clone-3.0.1.tgz";
+ sha1 = "8f2981ad92531f55035b01fb230769a40e02efa3";
+ };
+ }
+ {
+ name = "shebang_command___shebang_command_2.0.0.tgz";
+ path = fetchurl {
+ name = "shebang_command___shebang_command_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/shebang-command/-/shebang-command-2.0.0.tgz";
+ sha1 = "ccd0af4f8835fbdc265b82461aaf0c36663f34ea";
+ };
+ }
+ {
+ name = "shebang_regex___shebang_regex_3.0.0.tgz";
+ path = fetchurl {
+ name = "shebang_regex___shebang_regex_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/shebang-regex/-/shebang-regex-3.0.0.tgz";
+ sha1 = "ae16f1644d873ecad843b0307b143362d4c42172";
+ };
+ }
+ {
+ name = "sift___sift_7.0.1.tgz";
+ path = fetchurl {
+ name = "sift___sift_7.0.1.tgz";
+ url = "https://registry.yarnpkg.com/sift/-/sift-7.0.1.tgz";
+ sha1 = "47d62c50b159d316f1372f8b53f9c10cd21a4b08";
+ };
+ }
+ {
+ name = "signal_exit___signal_exit_3.0.3.tgz";
+ path = fetchurl {
+ name = "signal_exit___signal_exit_3.0.3.tgz";
+ url = "https://registry.yarnpkg.com/signal-exit/-/signal-exit-3.0.3.tgz";
+ sha1 = "a1410c2edd8f077b08b4e253c8eacfcaf057461c";
+ };
+ }
+ {
+ name = "slash___slash_3.0.0.tgz";
+ path = fetchurl {
+ name = "slash___slash_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/slash/-/slash-3.0.0.tgz";
+ sha1 = "6539be870c165adbd5240220dbe361f1bc4d4634";
+ };
+ }
+ {
+ name = "source_map_js___source_map_js_0.6.2.tgz";
+ path = fetchurl {
+ name = "source_map_js___source_map_js_0.6.2.tgz";
+ url = "https://registry.yarnpkg.com/source-map-js/-/source-map-js-0.6.2.tgz";
+ sha1 = "0bb5de631b41cfbda6cfba8bd05a80efdfd2385e";
+ };
+ }
+ {
+ name = "source_map_support___source_map_support_0.5.19.tgz";
+ path = fetchurl {
+ name = "source_map_support___source_map_support_0.5.19.tgz";
+ url = "https://registry.yarnpkg.com/source-map-support/-/source-map-support-0.5.19.tgz";
+ sha1 = "a98b62f86dcaf4f67399648c085291ab9e8fed61";
+ };
+ }
+ {
+ name = "source_map___source_map_0.6.1.tgz";
+ path = fetchurl {
+ name = "source_map___source_map_0.6.1.tgz";
+ url = "https://registry.yarnpkg.com/source-map/-/source-map-0.6.1.tgz";
+ sha1 = "74722af32e9614e9c287a8d0bbde48b5e2f1a263";
+ };
+ }
+ {
+ name = "source_map___source_map_0.7.3.tgz";
+ path = fetchurl {
+ name = "source_map___source_map_0.7.3.tgz";
+ url = "https://registry.yarnpkg.com/source-map/-/source-map-0.7.3.tgz";
+ sha1 = "5302f8169031735226544092e64981f751750383";
+ };
+ }
+ {
+ name = "sprintf_js___sprintf_js_1.0.3.tgz";
+ path = fetchurl {
+ name = "sprintf_js___sprintf_js_1.0.3.tgz";
+ url = "https://registry.yarnpkg.com/sprintf-js/-/sprintf-js-1.0.3.tgz";
+ sha1 = "04e6926f662895354f3dd015203633b857297e2c";
+ };
+ }
+ {
+ name = "statuses___statuses_1.5.0.tgz";
+ path = fetchurl {
+ name = "statuses___statuses_1.5.0.tgz";
+ url = "https://registry.yarnpkg.com/statuses/-/statuses-1.5.0.tgz";
+ sha1 = "161c7dac177659fd9811f43771fa99381478628c";
+ };
+ }
+ {
+ name = "stream_http___stream_http_3.2.0.tgz";
+ path = fetchurl {
+ name = "stream_http___stream_http_3.2.0.tgz";
+ url = "https://registry.yarnpkg.com/stream-http/-/stream-http-3.2.0.tgz";
+ sha1 = "1872dfcf24cb15752677e40e5c3f9cc1926028b5";
+ };
+ }
+ {
+ name = "string_width___string_width_2.1.1.tgz";
+ path = fetchurl {
+ name = "string_width___string_width_2.1.1.tgz";
+ url = "https://registry.yarnpkg.com/string-width/-/string-width-2.1.1.tgz";
+ sha1 = "ab93f27a8dc13d28cac815c462143a6d9012ae9e";
+ };
+ }
+ {
+ name = "string_width___string_width_4.2.2.tgz";
+ path = fetchurl {
+ name = "string_width___string_width_4.2.2.tgz";
+ url = "https://registry.yarnpkg.com/string-width/-/string-width-4.2.2.tgz";
+ sha1 = "dafd4f9559a7585cfba529c6a0a4f73488ebd4c5";
+ };
+ }
+ {
+ name = "string_decoder___string_decoder_1.3.0.tgz";
+ path = fetchurl {
+ name = "string_decoder___string_decoder_1.3.0.tgz";
+ url = "https://registry.yarnpkg.com/string_decoder/-/string_decoder-1.3.0.tgz";
+ sha1 = "42f114594a46cf1a8e30b0a84f56c78c3edac21e";
+ };
+ }
+ {
+ name = "strip_ansi___strip_ansi_3.0.1.tgz";
+ path = fetchurl {
+ name = "strip_ansi___strip_ansi_3.0.1.tgz";
+ url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-3.0.1.tgz";
+ sha1 = "6a385fb8853d952d5ff05d0e8aaf94278dc63dcf";
+ };
+ }
+ {
+ name = "strip_ansi___strip_ansi_4.0.0.tgz";
+ path = fetchurl {
+ name = "strip_ansi___strip_ansi_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-4.0.0.tgz";
+ sha1 = "a8479022eb1ac368a871389b635262c505ee368f";
+ };
+ }
+ {
+ name = "strip_ansi___strip_ansi_6.0.0.tgz";
+ path = fetchurl {
+ name = "strip_ansi___strip_ansi_6.0.0.tgz";
+ url = "https://registry.yarnpkg.com/strip-ansi/-/strip-ansi-6.0.0.tgz";
+ sha1 = "0b1571dd7669ccd4f3e06e14ef1eed26225ae532";
+ };
+ }
+ {
+ name = "strip_final_newline___strip_final_newline_2.0.0.tgz";
+ path = fetchurl {
+ name = "strip_final_newline___strip_final_newline_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/strip-final-newline/-/strip-final-newline-2.0.0.tgz";
+ sha1 = "89b852fb2fcbe936f6f4b3187afb0a12c1ab58ad";
+ };
+ }
+ {
+ name = "strip_json_comments___strip_json_comments_3.1.1.tgz";
+ path = fetchurl {
+ name = "strip_json_comments___strip_json_comments_3.1.1.tgz";
+ url = "https://registry.yarnpkg.com/strip-json-comments/-/strip-json-comments-3.1.1.tgz";
+ sha1 = "31f1281b3832630434831c310c01cccda8cbe006";
+ };
+ }
+ {
+ name = "strip_json_comments___strip_json_comments_2.0.1.tgz";
+ path = fetchurl {
+ name = "strip_json_comments___strip_json_comments_2.0.1.tgz";
+ url = "https://registry.yarnpkg.com/strip-json-comments/-/strip-json-comments-2.0.1.tgz";
+ sha1 = "3c531942e908c2697c0ec344858c286c7ca0a60a";
+ };
+ }
+ {
+ name = "style_loader___style_loader_2.0.0.tgz";
+ path = fetchurl {
+ name = "style_loader___style_loader_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/style-loader/-/style-loader-2.0.0.tgz";
+ sha1 = "9669602fd4690740eaaec137799a03addbbc393c";
+ };
+ }
+ {
+ name = "supports_color___supports_color_8.1.1.tgz";
+ path = fetchurl {
+ name = "supports_color___supports_color_8.1.1.tgz";
+ url = "https://registry.yarnpkg.com/supports-color/-/supports-color-8.1.1.tgz";
+ sha1 = "cd6fc17e28500cff56c1b86c0a7fd4a54a73005c";
+ };
+ }
+ {
+ name = "supports_color___supports_color_2.0.0.tgz";
+ path = fetchurl {
+ name = "supports_color___supports_color_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/supports-color/-/supports-color-2.0.0.tgz";
+ sha1 = "535d045ce6b6363fa40117084629995e9df324c7";
+ };
+ }
+ {
+ name = "supports_color___supports_color_5.5.0.tgz";
+ path = fetchurl {
+ name = "supports_color___supports_color_5.5.0.tgz";
+ url = "https://registry.yarnpkg.com/supports-color/-/supports-color-5.5.0.tgz";
+ sha1 = "e2e69a44ac8772f78a1ec0b35b689df6530efc8f";
+ };
+ }
+ {
+ name = "supports_color___supports_color_7.2.0.tgz";
+ path = fetchurl {
+ name = "supports_color___supports_color_7.2.0.tgz";
+ url = "https://registry.yarnpkg.com/supports-color/-/supports-color-7.2.0.tgz";
+ sha1 = "1b7dcdcb32b8138801b3e478ba6a51caa89648da";
+ };
+ }
+ {
+ name = "swagger_schema_official___swagger_schema_official_2.0.0_bab6bed.tgz";
+ path = fetchurl {
+ name = "swagger_schema_official___swagger_schema_official_2.0.0_bab6bed.tgz";
+ url = "https://registry.yarnpkg.com/swagger-schema-official/-/swagger-schema-official-2.0.0-bab6bed.tgz";
+ sha1 = "70070468d6d2977ca5237b2e519ca7d06a2ea3fd";
+ };
+ }
+ {
+ name = "swagger_ui_dist___swagger_ui_dist_3.51.2.tgz";
+ path = fetchurl {
+ name = "swagger_ui_dist___swagger_ui_dist_3.51.2.tgz";
+ url = "https://registry.yarnpkg.com/swagger-ui-dist/-/swagger-ui-dist-3.51.2.tgz";
+ sha1 = "b0f377edf91a7fd1f4026f4ccc75c072ea610b7b";
+ };
+ }
+ {
+ name = "tail___tail_2.2.3.tgz";
+ path = fetchurl {
+ name = "tail___tail_2.2.3.tgz";
+ url = "https://registry.yarnpkg.com/tail/-/tail-2.2.3.tgz";
+ sha1 = "3e6bf65963bb868913e4e3b770cc1584c9d8091c";
+ };
+ }
+ {
+ name = "tapable___tapable_2.2.0.tgz";
+ path = fetchurl {
+ name = "tapable___tapable_2.2.0.tgz";
+ url = "https://registry.yarnpkg.com/tapable/-/tapable-2.2.0.tgz";
+ sha1 = "5c373d281d9c672848213d0e037d1c4165ab426b";
+ };
+ }
+ {
+ name = "terser_webpack_plugin___terser_webpack_plugin_5.2.3.tgz";
+ path = fetchurl {
+ name = "terser_webpack_plugin___terser_webpack_plugin_5.2.3.tgz";
+ url = "https://registry.yarnpkg.com/terser-webpack-plugin/-/terser-webpack-plugin-5.2.3.tgz";
+ sha1 = "4852c91f709a4ea2bcf324cf48e7e88124cda0cc";
+ };
+ }
+ {
+ name = "terser___terser_5.7.2.tgz";
+ path = fetchurl {
+ name = "terser___terser_5.7.2.tgz";
+ url = "https://registry.yarnpkg.com/terser/-/terser-5.7.2.tgz";
+ sha1 = "d4d95ed4f8bf735cb933e802f2a1829abf545e3f";
+ };
+ }
+ {
+ name = "through___through_2.3.8.tgz";
+ path = fetchurl {
+ name = "through___through_2.3.8.tgz";
+ url = "https://registry.yarnpkg.com/through/-/through-2.3.8.tgz";
+ sha1 = "0dd4c9ffaabc357960b1b724115d7e0e86a2e1f5";
+ };
+ }
+ {
+ name = "tmp___tmp_0.0.33.tgz";
+ path = fetchurl {
+ name = "tmp___tmp_0.0.33.tgz";
+ url = "https://registry.yarnpkg.com/tmp/-/tmp-0.0.33.tgz";
+ sha1 = "6d34335889768d21b2bcda0aa277ced3b1bfadf9";
+ };
+ }
+ {
+ name = "to_readable_stream___to_readable_stream_1.0.0.tgz";
+ path = fetchurl {
+ name = "to_readable_stream___to_readable_stream_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/to-readable-stream/-/to-readable-stream-1.0.0.tgz";
+ sha1 = "ce0aa0c2f3df6adf852efb404a783e77c0475771";
+ };
+ }
+ {
+ name = "to_regex_range___to_regex_range_5.0.1.tgz";
+ path = fetchurl {
+ name = "to_regex_range___to_regex_range_5.0.1.tgz";
+ url = "https://registry.yarnpkg.com/to-regex-range/-/to-regex-range-5.0.1.tgz";
+ sha1 = "1648c44aae7c8d988a326018ed72f5b4dd0392e4";
+ };
+ }
+ {
+ name = "toidentifier___toidentifier_1.0.0.tgz";
+ path = fetchurl {
+ name = "toidentifier___toidentifier_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/toidentifier/-/toidentifier-1.0.0.tgz";
+ sha1 = "7e1be3470f1e77948bc43d94a3c8f4d7752ba553";
+ };
+ }
+ {
+ name = "ts_loader___ts_loader_9.2.5.tgz";
+ path = fetchurl {
+ name = "ts_loader___ts_loader_9.2.5.tgz";
+ url = "https://registry.yarnpkg.com/ts-loader/-/ts-loader-9.2.5.tgz";
+ sha1 = "127733a5e9243bf6dafcb8aa3b8a266d8041dca9";
+ };
+ }
+ {
+ name = "ts_log___ts_log_2.2.3.tgz";
+ path = fetchurl {
+ name = "ts_log___ts_log_2.2.3.tgz";
+ url = "https://registry.yarnpkg.com/ts-log/-/ts-log-2.2.3.tgz";
+ sha1 = "4da5640fe25a9fb52642cd32391c886721318efb";
+ };
+ }
+ {
+ name = "tslib___tslib_1.14.1.tgz";
+ path = fetchurl {
+ name = "tslib___tslib_1.14.1.tgz";
+ url = "https://registry.yarnpkg.com/tslib/-/tslib-1.14.1.tgz";
+ sha1 = "cf2d38bdc34a134bcaf1091c41f6619e2f672d00";
+ };
+ }
+ {
+ name = "tslib___tslib_2.3.1.tgz";
+ path = fetchurl {
+ name = "tslib___tslib_2.3.1.tgz";
+ url = "https://registry.yarnpkg.com/tslib/-/tslib-2.3.1.tgz";
+ sha1 = "e8a335add5ceae51aa261d32a490158ef042ef01";
+ };
+ }
+ {
+ name = "tslint_config_prettier___tslint_config_prettier_1.18.0.tgz";
+ path = fetchurl {
+ name = "tslint_config_prettier___tslint_config_prettier_1.18.0.tgz";
+ url = "https://registry.yarnpkg.com/tslint-config-prettier/-/tslint-config-prettier-1.18.0.tgz";
+ sha1 = "75f140bde947d35d8f0d238e0ebf809d64592c37";
+ };
+ }
+ {
+ name = "tslint___tslint_6.1.3.tgz";
+ path = fetchurl {
+ name = "tslint___tslint_6.1.3.tgz";
+ url = "https://registry.yarnpkg.com/tslint/-/tslint-6.1.3.tgz";
+ sha1 = "5c23b2eccc32487d5523bd3a470e9aa31789d904";
+ };
+ }
+ {
+ name = "tsutils___tsutils_2.29.0.tgz";
+ path = fetchurl {
+ name = "tsutils___tsutils_2.29.0.tgz";
+ url = "https://registry.yarnpkg.com/tsutils/-/tsutils-2.29.0.tgz";
+ sha1 = "32b488501467acbedd4b85498673a0812aca0b99";
+ };
+ }
+ {
+ name = "type_is___type_is_1.6.18.tgz";
+ path = fetchurl {
+ name = "type_is___type_is_1.6.18.tgz";
+ url = "https://registry.yarnpkg.com/type-is/-/type-is-1.6.18.tgz";
+ sha1 = "4e552cd05df09467dcbc4ef739de89f2cf37c131";
+ };
+ }
+ {
+ name = "typescript___typescript_4.4.2.tgz";
+ path = fetchurl {
+ name = "typescript___typescript_4.4.2.tgz";
+ url = "https://registry.yarnpkg.com/typescript/-/typescript-4.4.2.tgz";
+ sha1 = "6d618640d430e3569a1dfb44f7d7e600ced3ee86";
+ };
+ }
+ {
+ name = "unpipe___unpipe_1.0.0.tgz";
+ path = fetchurl {
+ name = "unpipe___unpipe_1.0.0.tgz";
+ url = "https://registry.yarnpkg.com/unpipe/-/unpipe-1.0.0.tgz";
+ sha1 = "b2bf4ee8514aae6165b4817829d21b2ef49904ec";
+ };
+ }
+ {
+ name = "uri_js___uri_js_4.4.1.tgz";
+ path = fetchurl {
+ name = "uri_js___uri_js_4.4.1.tgz";
+ url = "https://registry.yarnpkg.com/uri-js/-/uri-js-4.4.1.tgz";
+ sha1 = "9b1a52595225859e55f669d928f88c6c57f2a77e";
+ };
+ }
+ {
+ name = "url_parse_lax___url_parse_lax_3.0.0.tgz";
+ path = fetchurl {
+ name = "url_parse_lax___url_parse_lax_3.0.0.tgz";
+ url = "https://registry.yarnpkg.com/url-parse-lax/-/url-parse-lax-3.0.0.tgz";
+ sha1 = "16b5cafc07dbe3676c1b1999177823d6503acb0c";
+ };
+ }
+ {
+ name = "url___url_0.11.0.tgz";
+ path = fetchurl {
+ name = "url___url_0.11.0.tgz";
+ url = "https://registry.yarnpkg.com/url/-/url-0.11.0.tgz";
+ sha1 = "3838e97cfc60521eb73c525a8e55bfdd9e2e28f1";
+ };
+ }
+ {
+ name = "util_deprecate___util_deprecate_1.0.2.tgz";
+ path = fetchurl {
+ name = "util_deprecate___util_deprecate_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/util-deprecate/-/util-deprecate-1.0.2.tgz";
+ sha1 = "450d4dc9fa70de732762fbd2d4a28981419a0ccf";
+ };
+ }
+ {
+ name = "utils_merge___utils_merge_1.0.1.tgz";
+ path = fetchurl {
+ name = "utils_merge___utils_merge_1.0.1.tgz";
+ url = "https://registry.yarnpkg.com/utils-merge/-/utils-merge-1.0.1.tgz";
+ sha1 = "9f95710f50a267947b2ccc124741c1028427e713";
+ };
+ }
+ {
+ name = "v8_compile_cache___v8_compile_cache_2.3.0.tgz";
+ path = fetchurl {
+ name = "v8_compile_cache___v8_compile_cache_2.3.0.tgz";
+ url = "https://registry.yarnpkg.com/v8-compile-cache/-/v8-compile-cache-2.3.0.tgz";
+ sha1 = "2de19618c66dc247dcfb6f99338035d8245a2cee";
+ };
+ }
+ {
+ name = "vary___vary_1.1.2.tgz";
+ path = fetchurl {
+ name = "vary___vary_1.1.2.tgz";
+ url = "https://registry.yarnpkg.com/vary/-/vary-1.1.2.tgz";
+ sha1 = "2299f02c6ded30d4a5961b0b9f74524a18f634fc";
+ };
+ }
+ {
+ name = "watchpack___watchpack_2.2.0.tgz";
+ path = fetchurl {
+ name = "watchpack___watchpack_2.2.0.tgz";
+ url = "https://registry.yarnpkg.com/watchpack/-/watchpack-2.2.0.tgz";
+ sha1 = "47d78f5415fe550ecd740f99fe2882323a58b1ce";
+ };
+ }
+ {
+ name = "webpack_cli___webpack_cli_4.8.0.tgz";
+ path = fetchurl {
+ name = "webpack_cli___webpack_cli_4.8.0.tgz";
+ url = "https://registry.yarnpkg.com/webpack-cli/-/webpack-cli-4.8.0.tgz";
+ sha1 = "5fc3c8b9401d3c8a43e2afceacfa8261962338d1";
+ };
+ }
+ {
+ name = "webpack_merge___webpack_merge_5.8.0.tgz";
+ path = fetchurl {
+ name = "webpack_merge___webpack_merge_5.8.0.tgz";
+ url = "https://registry.yarnpkg.com/webpack-merge/-/webpack-merge-5.8.0.tgz";
+ sha1 = "2b39dbf22af87776ad744c390223731d30a68f61";
+ };
+ }
+ {
+ name = "webpack_sources___webpack_sources_3.2.0.tgz";
+ path = fetchurl {
+ name = "webpack_sources___webpack_sources_3.2.0.tgz";
+ url = "https://registry.yarnpkg.com/webpack-sources/-/webpack-sources-3.2.0.tgz";
+ sha1 = "b16973bcf844ebcdb3afde32eda1c04d0b90f89d";
+ };
+ }
+ {
+ name = "webpack___webpack_5.48.0.tgz";
+ path = fetchurl {
+ name = "webpack___webpack_5.48.0.tgz";
+ url = "https://registry.yarnpkg.com/webpack/-/webpack-5.48.0.tgz";
+ sha1 = "06180fef9767a6fd066889559a4c4d49bee19b83";
+ };
+ }
+ {
+ name = "which___which_2.0.2.tgz";
+ path = fetchurl {
+ name = "which___which_2.0.2.tgz";
+ url = "https://registry.yarnpkg.com/which/-/which-2.0.2.tgz";
+ sha1 = "7c6a8dd0a636a0327e10b59c9286eee93f3f51b1";
+ };
+ }
+ {
+ name = "wide_align___wide_align_1.1.3.tgz";
+ path = fetchurl {
+ name = "wide_align___wide_align_1.1.3.tgz";
+ url = "https://registry.yarnpkg.com/wide-align/-/wide-align-1.1.3.tgz";
+ sha1 = "ae074e6bdc0c14a431e804e624549c633b000457";
+ };
+ }
+ {
+ name = "wildcard___wildcard_2.0.0.tgz";
+ path = fetchurl {
+ name = "wildcard___wildcard_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/wildcard/-/wildcard-2.0.0.tgz";
+ sha1 = "a77d20e5200c6faaac979e4b3aadc7b3dd7f8fec";
+ };
+ }
+ {
+ name = "workerpool___workerpool_6.1.0.tgz";
+ path = fetchurl {
+ name = "workerpool___workerpool_6.1.0.tgz";
+ url = "https://registry.yarnpkg.com/workerpool/-/workerpool-6.1.0.tgz";
+ sha1 = "a8e038b4c94569596852de7a8ea4228eefdeb37b";
+ };
+ }
+ {
+ name = "wrap_ansi___wrap_ansi_7.0.0.tgz";
+ path = fetchurl {
+ name = "wrap_ansi___wrap_ansi_7.0.0.tgz";
+ url = "https://registry.yarnpkg.com/wrap-ansi/-/wrap-ansi-7.0.0.tgz";
+ sha1 = "67e145cff510a6a6984bdf1152911d69d2eb9e43";
+ };
+ }
+ {
+ name = "wrappy___wrappy_1.0.2.tgz";
+ path = fetchurl {
+ name = "wrappy___wrappy_1.0.2.tgz";
+ url = "https://registry.yarnpkg.com/wrappy/-/wrappy-1.0.2.tgz";
+ sha1 = "b5243d8f3ec1aa35f1364605bc0d1036e30ab69f";
+ };
+ }
+ {
+ name = "xtend___xtend_4.0.2.tgz";
+ path = fetchurl {
+ name = "xtend___xtend_4.0.2.tgz";
+ url = "https://registry.yarnpkg.com/xtend/-/xtend-4.0.2.tgz";
+ sha1 = "bb72779f5fa465186b1f438f674fa347fdb5db54";
+ };
+ }
+ {
+ name = "y18n___y18n_5.0.8.tgz";
+ path = fetchurl {
+ name = "y18n___y18n_5.0.8.tgz";
+ url = "https://registry.yarnpkg.com/y18n/-/y18n-5.0.8.tgz";
+ sha1 = "7f4934d0f7ca8c56f95314939ddcd2dd91ce1d55";
+ };
+ }
+ {
+ name = "yallist___yallist_4.0.0.tgz";
+ path = fetchurl {
+ name = "yallist___yallist_4.0.0.tgz";
+ url = "https://registry.yarnpkg.com/yallist/-/yallist-4.0.0.tgz";
+ sha1 = "9bb92790d9c0effec63be73519e11a35019a3a72";
+ };
+ }
+ {
+ name = "yargs_parser___yargs_parser_20.2.4.tgz";
+ path = fetchurl {
+ name = "yargs_parser___yargs_parser_20.2.4.tgz";
+ url = "https://registry.yarnpkg.com/yargs-parser/-/yargs-parser-20.2.4.tgz";
+ sha1 = "b42890f14566796f85ae8e3a25290d205f154a54";
+ };
+ }
+ {
+ name = "yargs_parser___yargs_parser_20.2.9.tgz";
+ path = fetchurl {
+ name = "yargs_parser___yargs_parser_20.2.9.tgz";
+ url = "https://registry.yarnpkg.com/yargs-parser/-/yargs-parser-20.2.9.tgz";
+ sha1 = "2eb7dc3b0289718fc295f362753845c41a0c94ee";
+ };
+ }
+ {
+ name = "yargs_unparser___yargs_unparser_2.0.0.tgz";
+ path = fetchurl {
+ name = "yargs_unparser___yargs_unparser_2.0.0.tgz";
+ url = "https://registry.yarnpkg.com/yargs-unparser/-/yargs-unparser-2.0.0.tgz";
+ sha1 = "f131f9226911ae5d9ad38c432fe809366c2325eb";
+ };
+ }
+ {
+ name = "yargs___yargs_16.2.0.tgz";
+ path = fetchurl {
+ name = "yargs___yargs_16.2.0.tgz";
+ url = "https://registry.yarnpkg.com/yargs/-/yargs-16.2.0.tgz";
+ sha1 = "1c82bf0f6b6a66eafce7ef30e376f49a12477f66";
+ };
+ }
+ {
+ name = "yocto_queue___yocto_queue_0.1.0.tgz";
+ path = fetchurl {
+ name = "yocto_queue___yocto_queue_0.1.0.tgz";
+ url = "https://registry.yarnpkg.com/yocto-queue/-/yocto-queue-0.1.0.tgz";
+ sha1 = "0294eb3dee05028d31ee1a5fa2c556a6aaf10a1b";
+ };
+ }
+ ];
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mkvtoolnix/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mkvtoolnix/default.nix
index 0f271dd889e..4eac16d349b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mkvtoolnix/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mkvtoolnix/default.nix
@@ -47,13 +47,13 @@ let
in
stdenv.mkDerivation rec {
pname = "mkvtoolnix";
- version = "60.0.0";
+ version = "62.0.0";
src = fetchFromGitLab {
owner = "mbunkus";
repo = "mkvtoolnix";
rev = "release-${version}";
- sha256 = "sha256-WtEC/EH0G1Tm6OK6hmVRzloLkO8mxxOYYZY7k/Wi2zE=";
+ sha256 = "0pjf1lkpjirqanazm7a28b8bsyin4i1kd1s4y169zsilzb28kpiz";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mplayer/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mplayer/default.nix
index 1e439f57ef7..644e35468fb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mplayer/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mplayer/default.nix
@@ -223,11 +223,11 @@ stdenv.mkDerivation rec {
fi
'';
- meta = {
+ meta = with lib; {
description = "A movie player that supports many video formats";
homepage = "http://mplayerhq.hu";
- license = "GPL";
- maintainers = [ lib.maintainers.eelco ];
- platforms = [ "i686-linux" "x86_64-linux" "x86_64-darwin" ];
+ license = licenses.gpl2Only;
+ maintainers = with maintainers; [ eelco ];
+ platforms = [ "i686-linux" "x86_64-linux" "x86_64-darwin" "aarch64-darwin" ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mpv/scripts/mpv-playlistmanager.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mpv/scripts/mpv-playlistmanager.nix
index 0b750332ea7..a1179ef7aa3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mpv/scripts/mpv-playlistmanager.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/mpv/scripts/mpv-playlistmanager.nix
@@ -2,13 +2,13 @@
stdenvNoCC.mkDerivation rec {
pname = "mpv-playlistmanager";
- version = "unstable-2021-08-17";
+ version = "unstable-2021-09-27";
src = fetchFromGitHub {
owner = "jonniek";
repo = "mpv-playlistmanager";
- rev = "44d6911856a39e9a4057d19b70f21a9bc18bd6a9";
- sha256 = "IwH6XngfrZlKGDab/ut43hzHeino8DmWzWRX8Av21Sk=";
+ rev = "9a759b300c92b55e82be5824fe058e263975741a";
+ sha256 = "qMzDJlouBptwyNdw2ag4VKEtmkQNUlos0USPerBAV/s=";
};
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/obs-studio/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/obs-studio/default.nix
index 82040203c4f..85a07254771 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/obs-studio/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/obs-studio/default.nix
@@ -46,13 +46,13 @@ let
in
mkDerivation rec {
pname = "obs-studio";
- version = "27.0.0";
+ version = "27.0.1";
src = fetchFromGitHub {
owner = "obsproject";
repo = "obs-studio";
rev = version;
- sha256 = "1n71705b9lbdff3svkmgwmbhlhhxvi8ajxqb74lm07v56a5bvi6p";
+ sha256 = "04fzsr9yizmxy0r7z2706crvnsnybpnv5kgfn77znknxxjacfhkn";
fetchSubmodules = true;
};
@@ -121,10 +121,9 @@ mkDerivation rec {
"-DCEF_ROOT_DIR=../../cef"
];
- postInstall = ''
- wrapProgram $out/bin/obs \
- --prefix "LD_LIBRARY_PATH" : "${xorg.libX11.out}/lib:${libvlc}/lib"
- '';
+ qtWrapperArgs = [
+ "--prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath [ xorg.libX11 libvlc ]}"
+ ];
postFixup = lib.optionalString stdenv.isLinux ''
addOpenGLRunpath $out/lib/lib*.so
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/obs-studio/plugins/obs-multi-rtmp.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/obs-studio/plugins/obs-multi-rtmp.nix
index 9985b7fd0f1..c78a8236bf2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/obs-studio/plugins/obs-multi-rtmp.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/obs-studio/plugins/obs-multi-rtmp.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "obs-multi-rtmp";
- version = "0.2.6.1";
+ version = "0.2.7.1";
src = fetchFromGitHub {
owner = "sorayuki";
repo = "obs-multi-rtmp";
rev = version;
- sha256 = "sha256-ZcvmiE9gbDUHAO36QAIaUdjV14ZfPabD9CW7Ogeqdro=";
+ sha256 = "sha256-pnSIbAWGufcWIARXpX/zwA/Ff35lrinFaMIdFY00c4Y=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/obs-studio/plugins/obs-ndi.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/obs-studio/plugins/obs-ndi.nix
index 1e2abb45a5b..76d4ec85a01 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/obs-studio/plugins/obs-ndi.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/obs-studio/plugins/obs-ndi.nix
@@ -29,7 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Network A/V plugin for OBS Studio";
homepage = "https://github.com/Palakis/obs-ndi";
- maintainers = with maintainers; [ peti jshcmpbll ];
+ maintainers = with maintainers; [ jshcmpbll ];
license = licenses.gpl2;
platforms = with platforms; linux;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/openshot-qt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/openshot-qt/default.nix
index 11f102085bc..ac396f4be80 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/openshot-qt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/openshot-qt/default.nix
@@ -5,13 +5,13 @@
mkDerivationWith python3Packages.buildPythonApplication rec {
pname = "openshot-qt";
- version = "2.6.0";
+ version = "2.6.1";
src = fetchFromGitHub {
owner = "OpenShot";
repo = "openshot-qt";
rev = "v${version}";
- sha256 = "0b11h335krvflpksdlhsrq3rqkb8asipnyaf62di2z32ci3irrpq";
+ sha256 = "0pa8iwl217503bjlqg2zlrw5lxyq5hvxrf5apxrh3843hj1w1myv";
};
nativeBuildInputs = [ doxygen wrapGAppsHook ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/pipe-viewer/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/pipe-viewer/default.nix
new file mode 100644
index 00000000000..1ef08870c86
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/pipe-viewer/default.nix
@@ -0,0 +1,86 @@
+{ lib
+, fetchFromGitHub
+, perl
+, buildPerlModule
+, makeWrapper
+, wrapGAppsHook
+, withGtk3 ? false
+, ffmpeg
+, gtk3
+, wget
+, xdg-utils
+, youtube-dl
+, yt-dlp
+, TestPod
+, Gtk3
+}:
+let
+ perlEnv = perl.withPackages (ps: with ps; [
+ AnyURIEscape
+ DataDump
+ Encode
+ FilePath
+ GetoptLong
+ HTTPMessage
+ JSON
+ JSONXS
+ LWPProtocolHttps
+ LWPUserAgentCached
+ Memoize
+ PathTools
+ ScalarListUtils
+ TermReadLineGnu
+ TextParsewords
+ UnicodeLineBreak
+ ] ++ lib.optionals withGtk3 [
+ FileShareDir
+ ]);
+in
+buildPerlModule rec {
+ pname = "pipe-viewer";
+ version = "0.1.4";
+
+ src = fetchFromGitHub {
+ owner = "trizen";
+ repo = "pipe-viewer";
+ rev = version;
+ hash = "sha256-kDlZ3Cl8zvN/naGExh2yVW5yHwc1O04x4s22lNkbCzU=";
+ };
+
+ nativeBuildInputs = [ makeWrapper ]
+ ++ lib.optionals withGtk3 [ wrapGAppsHook ];
+
+ buildInputs = [ perlEnv ]
+ # Can't be in perlEnv for wrapGAppsHook to work correctly
+ ++ lib.optional withGtk3 Gtk3;
+
+ # Not supported by buildPerlModule
+ # and the Perl code fails anyway
+ # when Getopt::Long sets $gtk in Build.PL:
+ # Modification of a read-only value attempted at /nix/store/eeeeeeeeeeeeeeeeeeeeeeeeeeeeeeee-perl5.34.0-Getopt-Long-2.52/lib/perl5/site_perl/5.34.0/Getopt/Long.pm line 585.
+ #buildFlags = lib.optional withGtk3 "--gtk3";
+ postPatch = lib.optionalString withGtk3 ''
+ substituteInPlace Build.PL --replace 'my $gtk ' 'my $gtk = 1;#'
+ '';
+
+ checkInputs = [
+ TestPod
+ ];
+
+ dontWrapGApps = true;
+ postFixup = ''
+ wrapProgram "$out/bin/pipe-viewer" \
+ --prefix PATH : "${lib.makeBinPath [ ffmpeg wget youtube-dl yt-dlp ]}"
+ '' + lib.optionalString withGtk3 ''
+ wrapProgram "$out/bin/gtk-pipe-viewer" ''${gappsWrapperArgs[@]} \
+ --prefix PATH : "${lib.makeBinPath [ ffmpeg wget xdg-utils youtube-dl yt-dlp ]}"
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/trizen/pipe-viewer";
+ description = "CLI+GUI YouTube Client";
+ license = licenses.artistic2;
+ maintainers = with maintainers; [ julm ];
+ platforms = platforms.all;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/pitivi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/pitivi/default.nix
index b1be6035f9e..f22dd77b332 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/pitivi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/pitivi/default.nix
@@ -21,13 +21,13 @@
python3Packages.buildPythonApplication rec {
pname = "pitivi";
- version = "2021.01";
+ version = "2021.05";
format = "other";
src = fetchurl {
url = "mirror://gnome/sources/pitivi/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "0krzsrv19v3mwhbsm72ica6m3p8ijy0lbd0c3s87yd7pmbwld2c1";
+ sha256 = "z1aTxGxCqw2hSi5Zv89LyIBgS0HpzTqo0uvcYIJ7dcc=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/shotcut/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/shotcut/default.nix
index 6ea41b4d925..3b6116d5a06 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/shotcut/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/shotcut/default.nix
@@ -25,13 +25,13 @@ assert lib.versionAtLeast mlt.version "6.24.0";
mkDerivation rec {
pname = "shotcut";
- version = "21.03.21";
+ version = "21.09.20";
src = fetchFromGitHub {
owner = "mltframework";
repo = "shotcut";
rev = "v${version}";
- sha256 = "UdeHbNkJ0U9FeTmpbcU4JxiyIHkrlC8ErhtY6zdCZEk=";
+ sha256 = "1y46n5gmlayfl46l0vhg5g5dbbc0sg909mxb68sia0clkaas8xrh";
};
nativeBuildInputs = [ pkg-config qmake ];
@@ -57,7 +57,7 @@ mkDerivation rec {
];
prePatch = ''
- sed 's_shotcutPath, "melt"_"${mlt}/bin/melt"_' -i src/jobs/meltjob.cpp
+ sed 's_shotcutPath, "melt[^"]*"_"${mlt}/bin/melt"_' -i src/jobs/meltjob.cpp
sed 's_shotcutPath, "ffmpeg"_"${mlt.ffmpeg}/bin/ffmpeg"_' -i src/jobs/ffmpegjob.cpp
sed 's_qApp->applicationDirPath(), "ffmpeg"_"${mlt.ffmpeg}/bin/ffmpeg"_' -i src/docks/encodedock.cpp
NICE=$(type -P nice)
@@ -94,7 +94,7 @@ mkDerivation rec {
please use the official build from shotcut.org instead.
'';
homepage = "https://shotcut.org";
- license = licenses.gpl3;
+ license = licenses.gpl3Plus;
maintainers = with maintainers; [ goibhniu woffs peti ];
platforms = platforms.linux;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/smtube/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/smtube/default.nix
index 26b14ab739c..10ba865eb34 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/smtube/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/smtube/default.nix
@@ -1,12 +1,12 @@
{ lib, mkDerivation, fetchurl, qmake, qtscript, qtwebkit }:
mkDerivation rec {
- version = "20.6.0";
+ version = "21.7.0";
pname = "smtube";
src = fetchurl {
url = "mirror://sourceforge/smtube/SMTube/${version}/${pname}-${version}.tar.bz2";
- sha256 = "0hnza5gszwqnkc1py5g34hi4p976vpkc4h3ab0247ynqs83fpwc2";
+ sha256 = "sha256-d+R3eM2BPdrsY7XX+6IWpz6cKIy/L2x0iLZH0mTgfcc=";
};
makeFlags = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/streamlink/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/streamlink/default.nix
index 8097c5dbe92..4abc2b6546a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/streamlink/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/streamlink/default.nix
@@ -1,48 +1,50 @@
{ lib
-, python3
-, fetchFromGitHub
+, python3Packages
, rtmpdump
, ffmpeg
+, fetchpatch
}:
-python3.pkgs.buildPythonApplication rec {
+python3Packages.buildPythonApplication rec {
pname = "streamlink";
- version = "2.3.0";
+ version = "2.4.0";
- src = fetchFromGitHub {
- owner = "streamlink";
- repo = "streamlink";
- rev = version;
- sha256 = "sha256-lsurDFvVHn1rxR3bgG7BY512ISavpja36/UaKXauf+g=";
+ src = python3Packages.fetchPypi {
+ inherit pname version;
+ sha256 = "e95588e222d1a7bd51e3171cd4bce84fd6f646418537aff37993d40f597810af";
};
- checkInputs = with python3.pkgs; [
+ checkInputs = with python3Packages; [
pytestCheckHook
mock
requests-mock
freezegun
];
- propagatedBuildInputs = (with python3.pkgs; [
+ propagatedBuildInputs = (with python3Packages; [
pycryptodome
requests
iso-639
iso3166
websocket-client
isodate
+ lxml
]) ++ [
rtmpdump
ffmpeg
];
- # note that upstream currently uses requests 2.25.1 in Windows builds
- postPatch = ''
- substituteInPlace setup.py \
- --replace 'requests>=2.26.0,<3.0' 'requests>=2.25.1,<3.0'
- '';
+ patches = [
+ # Patch failing tests to expect correct Accept-Encoding as generated by Requests
+ (fetchpatch {
+ url = "https://github.com/streamlink/streamlink/commit/ae747a113199c119bced4613d33edcc67a222bb9.patch";
+ includes = [ "tests/test_stream_json.py" ];
+ sha256 = "sha256-KEgyWdh5DNgNktmLSvKQowUQO9p9Q7zP4NbCQJPNgKw=";
+ })
+ ];
meta = with lib; {
- homepage = "https://github.com/streamlink/streamlink";
+ homepage = "https://streamlink.github.io/";
description = "CLI for extracting streams from various websites to video player of your choosing";
longDescription = ''
Streamlink is a CLI utility that pipes videos from online
@@ -51,6 +53,7 @@ python3.pkgs.buildPythonApplication rec {
Streamlink is a fork of the livestreamer project.
'';
+ changelog = "https://github.com/streamlink/streamlink/raw/${version}/CHANGELOG.md";
license = licenses.bsd2;
platforms = platforms.linux ++ platforms.darwin;
maintainers = with maintainers; [ dezgeg zraexy DeeUnderscore ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/vlc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/vlc/default.nix
index 46669dff530..ce9a72aebf9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/vlc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/vlc/default.nix
@@ -2,7 +2,7 @@
, libarchive, perl, xorg, libdvdnav, libbluray
, zlib, a52dec, libmad, faad2, ffmpeg, alsa-lib
, pkg-config, dbus, fribidi, freefont_ttf, libebml, libmatroska
-, libvorbis, libtheora, speex, lua5, libgcrypt, libgpgerror, libupnp
+, libvorbis, libtheora, speex, lua5, libgcrypt, libgpg-error, libupnp
, libcaca, libpulseaudio, flac, schroedinger, libxml2, librsvg
, mpeg2dec, systemd, gnutls, avahi, libcddb, libjack2, SDL, SDL_image
, libmtp, unzip, taglib, libkate, libtiger, libv4l, samba, libssh2, liboggz
@@ -37,14 +37,14 @@ stdenv.mkDerivation rec {
# needing them
buildInputs = [
zlib a52dec libmad faad2 ffmpeg alsa-lib libdvdnav libdvdnav.libdvdread
- libbluray dbus fribidi libvorbis libtheora speex lua5 libgcrypt libgpgerror
+ libbluray dbus fribidi libvorbis libtheora speex lua5 libgcrypt libgpg-error
libupnp libcaca libpulseaudio flac schroedinger libxml2 librsvg mpeg2dec
systemd gnutls avahi libcddb SDL SDL_image libmtp taglib libarchive
libkate libtiger libv4l samba libssh2 liboggz libass libdvbpsi libva
xorg.xlibsWrapper xorg.libXv xorg.libXvMC xorg.libXpm xorg.xcbutilkeysyms
libdc1394 libraw1394 libopus libebml libmatroska libvdpau libsamplerate
fluidsynth wayland wayland-protocols ncurses srt
- ] ++ optional (!stdenv.hostPlatform.isAarch64) live555
+ ] ++ optional (!stdenv.hostPlatform.isAarch64 && !stdenv.hostPlatform.isAarch32) live555
++ optionals withQt5 [ qtbase qtsvg qtx11extras ]
++ optionals skins2Support (with xorg; [ libXpm freetype libXext libXinerama ])
++ optional jackSupport libjack2
@@ -55,7 +55,7 @@ stdenv.mkDerivation rec {
enableParallelBuilding = true;
- LIVE555_PREFIX = if (!stdenv.hostPlatform.isAarch64) then live555 else null;
+ LIVE555_PREFIX = if (!stdenv.hostPlatform.isAarch64 && !stdenv.hostPlatform.isAarch32) then live555 else null;
# vlc depends on a c11-gcc wrapper script which we don't have so we need to
# set the path to the compiler
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/xine-ui/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/xine-ui/default.nix
index ed60fad56c3..87b975e80fb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/xine-ui/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/video/xine-ui/default.nix
@@ -51,6 +51,11 @@ stdenv.mkDerivation rec {
LIRC_CFLAGS="-I${lirc}/include";
LIRC_LIBS="-L ${lirc}/lib -llirc_client";
+ postInstall = ''
+ substituteInPlace $out/share/applications/xine.desktop \
+ --replace "MimeType=;" "MimeType="
+ '';
+
meta = with lib; {
homepage = "http://xinehq.de/";
description = "Xlib-based frontend for Xine video player";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/OVMF/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/OVMF/default.nix
index d8b6dfc2efa..54378dbe13d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/OVMF/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/OVMF/default.nix
@@ -2,6 +2,7 @@
, csmSupport ? false, seabios ? null
, secureBoot ? false
, httpSupport ? false
+, tpmSupport ? false
}:
assert csmSupport -> seabios != null;
@@ -30,9 +31,10 @@ edk2.mkDerivation projectDscPath {
hardeningDisable = [ "format" "stackprotector" "pic" "fortify" ];
buildFlags =
- lib.optional secureBoot "-DSECURE_BOOT_ENABLE=TRUE"
+ lib.optional secureBoot "-D SECURE_BOOT_ENABLE=TRUE"
++ lib.optionals csmSupport [ "-D CSM_ENABLE" "-D FD_SIZE_2MB" ]
- ++ lib.optionals httpSupport [ "-DNETWORK_HTTP_ENABLE=TRUE" "-DNETWORK_HTTP_BOOT_ENABLE=TRUE" ];
+ ++ lib.optionals httpSupport [ "-D NETWORK_HTTP_ENABLE=TRUE" "-D NETWORK_HTTP_BOOT_ENABLE=TRUE" ]
+ ++ lib.optionals tpmSupport [ "-D TPM_ENABLE" "-D TPM2_ENABLE" "-D TPM2_CONFIG_ENABLE"];
postPatch = lib.optionalString csmSupport ''
cp ${seabios}/Csm16.bin OvmfPkg/Csm/Csm16/Csm16.bin
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/bochs/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/bochs/default.nix
index 5f3b31870dc..1f02219c831 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/bochs/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/bochs/default.nix
@@ -53,6 +53,7 @@ stdenv.mkDerivation rec {
"--with-rfb=no"
"--with-vncsrv=no"
+ "--with-nogui"
# These will always be "yes" on NixOS
"--enable-ltdl-install=yes"
@@ -100,6 +101,7 @@ stdenv.mkDerivation rec {
"--enable-sb16"
"--enable-show-ips"
"--enable-smp"
+ "--enable-vmx=2"
"--enable-svm"
"--enable-trace-linking"
"--enable-usb"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/catatonit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/catatonit/default.nix
index c44db31f50c..e311be68ab8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/catatonit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/catatonit/default.nix
@@ -1,24 +1,16 @@
-{ lib, stdenv, fetchFromGitHub, fetchpatch, autoreconfHook, glibc, nixosTests }:
+{ lib, stdenv, fetchFromGitHub, autoreconfHook, glibc, nixosTests }:
stdenv.mkDerivation rec {
pname = "catatonit";
- version = "0.1.5";
+ version = "0.1.6";
src = fetchFromGitHub {
owner = "openSUSE";
repo = pname;
rev = "v${version}";
- sha256 = "ciJ1MI7jr5P2PgxIykQ+BiwNUO8lQHGt0+U8CNbc5bI=";
+ sha256 = "sha256-hokG6o7toZxU984EvIlne33Aa2EZVZ3qu1jTZMm5nt4=";
};
- patches = [
- # Fix compilation with musl
- (fetchpatch {
- url = "https://github.com/openSUSE/catatonit/commit/75014b1c3099245b7d0f44f24d7f6dc4888a45fd.patch";
- sha256 = "sha256-9VMNUT1U90ocjvE7EXYfLxuodDwTXXHYg89qqa5Jq0g=";
- })
- ];
-
nativeBuildInputs = [ autoreconfHook ];
buildInputs = lib.optionals (!stdenv.hostPlatform.isMusl) [ glibc glibc.static ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/cloud-hypervisor/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/cloud-hypervisor/default.nix
index 3bcb27898cc..1afab167308 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/cloud-hypervisor/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/cloud-hypervisor/default.nix
@@ -2,19 +2,19 @@
rustPlatform.buildRustPackage rec {
pname = "cloud-hypervisor";
- version = "17.0";
+ version = "19.0";
src = fetchFromGitHub {
owner = "cloud-hypervisor";
repo = pname;
rev = "v${version}";
- sha256 = "1m4v12sjifd5mf1wzjwkndvxg53n7kwd35k6ql45hdpiz3f5ipig";
+ sha256 = "0h3varacv9696mih8zrz3fp6xa8hxxvwzkrslhpf9ilcjs1bjihd";
};
nativeBuildInputs = [ pkg-config ];
buildInputs = [ openssl ] ++ lib.optional stdenv.isAarch64 dtc;
- cargoSha256 = "11qspv061y75cyln60727x15gdn9rndi697zr9fmihnwn3dx4hvh";
+ cargoSha256 = "015r9m9fr634ppn4qy0b8w1khjlxsv3wbpf3s7crmklzy57wakxl";
meta = with lib; {
homepage = "https://github.com/cloud-hypervisor/cloud-hypervisor";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/conmon/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/conmon/default.nix
index b560b02d435..fbbc59359db 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/conmon/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/conmon/default.nix
@@ -4,23 +4,24 @@
, pkg-config
, glib
, glibc
+, libseccomp
, systemd
, nixosTests
}:
stdenv.mkDerivation rec {
pname = "conmon";
- version = "2.0.29";
+ version = "2.0.30";
src = fetchFromGitHub {
owner = "containers";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-Idt+bN9Lf6GEjdGC/sM9Ln1ohXhUy78CrmJxSDA2Y0o=";
+ sha256 = "sha256-NZMuHhQyo+95QTJcR79cyZr86ytkbo4nmaqTF0Bdt+s=";
};
nativeBuildInputs = [ pkg-config ];
- buildInputs = [ glib systemd ]
+ buildInputs = [ glib libseccomp systemd ]
++ lib.optionals (!stdenv.hostPlatform.isMusl) [ glibc glibc.static ];
# manpage requires building the vendored go-md2man
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/containerd/1.4.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/containerd/1.4.nix
index 9e62f86a347..92c7ab0b2fd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/containerd/1.4.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/containerd/1.4.nix
@@ -10,13 +10,13 @@
buildGoPackage rec {
pname = "containerd";
- version = "1.4.9";
+ version = "1.4.11";
src = fetchFromGitHub {
owner = "containerd";
repo = "containerd";
rev = "v${version}";
- sha256 = "1ykikks6ihgg899ibk9m9m0hqrbss0cx7l7z4yjb873b10bacj52";
+ sha256 = "sha256-mUagr1/LqTCFvshWuiSMxsqdRqjzogt2tZ0uwR7ZVAs=";
};
goPackagePath = "github.com/containerd/containerd";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/containerd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/containerd/default.nix
index cce72e2a745..844ab025f5c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/containerd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/containerd/default.nix
@@ -10,7 +10,7 @@
buildGoModule rec {
pname = "containerd";
- version = "1.5.5";
+ version = "1.5.7";
outputs = [ "out" "man" ];
@@ -18,7 +18,7 @@ buildGoModule rec {
owner = "containerd";
repo = "containerd";
rev = "v${version}";
- sha256 = "sha256-6mDTTXHpXBcKOcT+VrGgt6HJzvTeKgJ0ItJ+IjCTJxk=";
+ sha256 = "sha256-BHVlGXyTkaiRkG8WG1LdtxrQs8nKS8djZFnO/AfKBUw=";
};
vendorSha256 = null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/crun/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/crun/default.nix
index cc9d0268179..1788c970f29 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/crun/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/crun/default.nix
@@ -38,13 +38,13 @@ let
in
stdenv.mkDerivation rec {
pname = "crun";
- version = "1.0";
+ version = "1.2";
src = fetchFromGitHub {
owner = "containers";
repo = pname;
rev = version;
- sha256 = "sha256-xpNwhNAbcTKkXl5i4L8aayMAx8O8SWdFlgHguHNiqqw=";
+ sha256 = "sha256-7YDU7H4dVT6qI+Gt3bkm7vqHlU0Fr7ZhF4SWcA+RhYw=";
fetchSubmodules = true;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker-slim/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker-slim/default.nix
index a38b0675af2..277c06438f5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker-slim/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker-slim/default.nix
@@ -6,7 +6,7 @@
buildGoPackage rec {
pname = "docker-slim";
- version = "1.36.2";
+ version = "1.37.0";
goPackagePath = "github.com/docker-slim/docker-slim";
@@ -14,7 +14,7 @@ buildGoPackage rec {
owner = "docker-slim";
repo = "docker-slim";
rev = version;
- sha256 = "sha256-Q8M8+krPC6WRDCxe88gzX5bDG8x6sJ8TduTKjA85WFA=";
+ sha256 = "1gxbgn61qv4zhzxwdd917hywwicr3jand34ghjzha35r44lmyzgz";
};
subPackages = [ "cmd/docker-slim" "cmd/docker-slim-sensor" ];
@@ -38,7 +38,7 @@ buildGoPackage rec {
meta = with lib; {
description = "Minify and secure Docker containers";
homepage = "https://dockersl.im/";
- changelog = "https://github.com/docker-slim/docker-slim/blob/${version}/CHANGELOG.md";
+ changelog = "https://github.com/docker-slim/docker-slim/raw/${version}/CHANGELOG.md";
license = licenses.asl20;
maintainers = with maintainers; [ Br1ght0ne marsam mbrgm ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker/buildx.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker/buildx.nix
index 242d6b37d76..89afc45ba4a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker/buildx.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker/buildx.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "docker-buildx";
- version = "0.6.2";
+ version = "0.6.3";
src = fetchFromGitHub {
owner = "docker";
repo = "buildx";
rev = "v${version}";
- sha256 = "sha256-X/oTL/CfMunGcS3HDoH/g1gZcJWnVU93OkcGC36KuA4=";
+ sha256 = "sha256-UKIT3PfybuQjKxxFbRQSCA8G3R2xIySWEDUKg27u5Rk=";
};
vendorSha256 = null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker/compose.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker/compose.nix
new file mode 100644
index 00000000000..3ce3d9a880f
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker/compose.nix
@@ -0,0 +1,26 @@
+{ lib, buildGoModule, fetchFromGitHub }:
+
+buildGoModule rec {
+ pname = "docker-compose";
+ version = "2.0.1";
+
+ src = fetchFromGitHub {
+ owner = "docker";
+ repo = "compose";
+ rev = "v${version}";
+ sha256 = "sha256-6OjA3f6c9s/86UPxy9EqLIc/0ZuW6UhKyQdkM7YoTsU=";
+ };
+
+ vendorSha256 = "sha256-6h36TZmo0RvB3YzZRmsrs2Fbl+8zPTuL9LxWkuNgRqw=";
+
+ doCheck = false;
+ installPhase = ''
+ install -D $GOPATH/bin/cmd $out/libexec/docker/cli-plugins/docker-compose
+ '';
+
+ meta = with lib; {
+ description = "Docker CLI plugin to define and run multi-container applications with Docker";
+ license = licenses.asl20;
+ maintainers = [ maintainers.babariviere ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker/default.nix
index a1821aa2a31..8345b2b42d7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/docker/default.nix
@@ -8,16 +8,16 @@ rec {
, moby-src
, runcRev, runcSha256
, containerdRev, containerdSha256
- , tiniRev, tiniSha256, buildxSupport ? true
+ , tiniRev, tiniSha256, buildxSupport ? true, composeSupport ? true
# package dependencies
, stdenv, fetchFromGitHub, buildGoPackage
, makeWrapper, installShellFiles, pkg-config, glibc
, go-md2man, go, containerd_1_4, runc, docker-proxy, tini, libtool
- , sqlite, iproute2, lvm2, systemd, docker-buildx
+ , sqlite, iproute2, lvm2, systemd, docker-buildx, docker-compose_2
, btrfs-progs, iptables, e2fsprogs, xz, util-linux, xfsprogs, git
, procps, libseccomp
, nixosTests
- , clientOnly ? !stdenv.isLinux
+ , clientOnly ? !stdenv.isLinux, symlinkJoin
}:
let
docker-runc = runc.overrideAttrs (oldAttrs: {
@@ -117,6 +117,10 @@ rec {
++ optional (lvm2 == null) "exclude_graphdriver_devicemapper"
++ optional (libseccomp != null) "seccomp";
});
+
+ plugins = optionals buildxSupport [ docker-buildx ]
+ ++ optionals composeSupport [ docker-compose_2 ];
+ pluginsRef = symlinkJoin { name = "docker-plugins"; paths = plugins; };
in
buildGoPackage ((optionalAttrs (!clientOnly) {
@@ -141,14 +145,14 @@ rec {
];
buildInputs = optionals (!clientOnly) [
sqlite lvm2 btrfs-progs systemd libseccomp
- ] ++ optionals (buildxSupport) [ docker-buildx ];
+ ] ++ plugins;
postPatch = ''
patchShebangs man scripts/build/
substituteInPlace ./scripts/build/.variables --replace "set -eu" ""
- '' + optionalString buildxSupport ''
+ '' + optionalString (plugins != []) ''
substituteInPlace ./cli-plugins/manager/manager_unix.go --replace /usr/libexec/docker/cli-plugins \
- ${lib.strings.makeSearchPathOutput "bin" "libexec/docker/cli-plugins" [docker-buildx]}
+ "${pluginsRef}/libexec/docker/cli-plugins"
'';
# Keep eyes on BUILDTIME format - https://github.com/docker/cli/blob/${version}/scripts/build/.variables
@@ -221,19 +225,19 @@ rec {
# Get revisions from
# https://github.com/moby/moby/tree/${version}/hack/dockerfile/install/*
docker_20_10 = callPackage dockerGen rec {
- version = "20.10.8";
+ version = "20.10.9";
rev = "v${version}";
- sha256 = "sha256-betZIAH4mFpb/OywWyixCjVmy5EGTrg+WbxDXkVRrsI=";
+ sha256 = "1msqvzfccah6cggvf1pm7n35zy09zr4qg2aalgwpqigv0jmrbyd4";
moby-src = fetchFromGitHub {
owner = "moby";
repo = "moby";
rev = "v${version}";
- sha256 = "1pjjdwzad2z337zwby88w5zwl71ch4lcwbw0sy8slvyjv387jjlm";
+ sha256 = "04xx7m8s9vrkm67ba2k5i90053h5qqkjcvw5rc8w7m5a309xcp4n";
};
- runcRev = "v1.0.1"; # v1.0.1
- runcSha256 = "1zfa1zr8i9n1915nyv7hyaj7q27cy7fiihk9rr1377ayaqg3mpn5";
- containerdRev = "v1.4.9"; # v1.4.9
- containerdSha256 = "1ykikks6ihgg899ibk9m9m0hqrbss0cx7l7z4yjb873b10bacj52";
+ runcRev = "v1.0.2"; # v1.0.2
+ runcSha256 = "1bpckghjah0rczciw1a1ab8z718lb2d3k4mjm4zb45lpm3njmrcp";
+ containerdRev = "v1.4.11"; # v1.4.11
+ containerdSha256 = "02slv4gc2blxnmv0p8pkm139vjn6ihjblmn8ps2k1afbbyps0ilr";
tiniRev = "v0.19.0"; # v0.19.0
tiniSha256 = "1h20i3wwlbd8x4jr2gz68hgklh0lb0jj7y5xk1wvr8y58fip1rdn";
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/libnvidia-container/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/libnvidia-container/default.nix
index dd16fb4d25a..c7743bf44fc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/libnvidia-container/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/libnvidia-container/default.nix
@@ -20,13 +20,13 @@ let
in
stdenv.mkDerivation rec {
pname = "libnvidia-container";
- version = "1.3.3";
+ version = "1.5.0";
src = fetchFromGitHub {
owner = "NVIDIA";
repo = pname;
rev = "v${version}";
- sha256 = "0rr6ngkzqgw250nilv36fz7fhsqxcgl4nhld2hnr0sr4ngirqcjp";
+ sha256 = "sha256-b9yQ1mEo1EkjXMguV0t98OvFEQO4h76EVu154MsB2II=";
};
patches = [
@@ -52,7 +52,7 @@ stdenv.mkDerivation rec {
postPatch = ''
sed -i \
- -e 's/^REVISION :=.*/REVISION = ${src.rev}/' \
+ -e 's/^REVISION ?=.*/REVISION = ${src.rev}/' \
-e 's/^COMPILER :=.*/COMPILER = $(CC)/' \
mk/common.mk
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/lima/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/lima/default.nix
index bf4e14a6bab..78f47d5292c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/lima/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/lima/default.nix
@@ -8,16 +8,16 @@
buildGoModule rec {
pname = "lima";
- version = "0.6.0";
+ version = "0.7.1";
src = fetchFromGitHub {
owner = "lima-vm";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-UwsAeU7Me2UN9pUWvqGgQ7XSNcrClXYOA+9F6yO2aqA=";
+ sha256 = "sha256-CBRBcRvMFE9Pdxac3O15z0brF/5D279/Hat0CSYGRyE=";
};
- vendorSha256 = "sha256-vdqLdSXQ2ywZoG7ROQP9PLWUqhoOO7N5li+xjc2HtzM=";
+ vendorSha256 = "sha256-xlFIO33OOyLnIvbsbyHVNdyxh0vlwQOATbbZA7rsz9E=";
nativeBuildInputs = [ makeWrapper installShellFiles ];
@@ -34,7 +34,9 @@ buildGoModule rec {
wrapProgram $out/bin/limactl \
--prefix PATH : ${lib.makeBinPath [ qemu ]}
installShellCompletion --cmd limactl \
- --bash <($out/bin/limactl completion bash)
+ --bash <($out/bin/limactl completion bash) \
+ --fish <($out/bin/limactl completion fish) \
+ --zsh <($out/bin/limactl completion zsh)
runHook postInstall
'';
@@ -50,4 +52,3 @@ buildGoModule rec {
maintainers = with maintainers; [ anhduy ];
};
}
-
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/nvidia-container-runtime/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/nvidia-container-runtime/default.nix
index 43eb1639b43..71621d6cd94 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/nvidia-container-runtime/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/nvidia-container-runtime/default.nix
@@ -25,21 +25,20 @@ let
in
buildGoPackage rec {
pname = "nvidia-container-runtime";
- version = "3.4.0";
+ version = "3.5.0";
src = fetchFromGitHub {
owner = "NVIDIA";
repo = pname;
rev = "v${version}";
- sha256 = "095mks0r4079vawi50pk4zb5jk0g6s9idg2s1w55a0d27jkknldr";
+ sha256 = "sha256-+LZjsN/tKqsPJamoI8xo9LFv14c3e9vVlSP4NJhElcs=";
};
- goPackagePath = "github.com/${pname}/src";
+ goPackagePath = "github.com/nvidia/nvidia-container-runtime";
ldflags = [ "-s" "-w" ];
nativeBuildInputs = [ makeWrapper ];
postInstall = ''
- mv $out/bin/{src,nvidia-container-runtime}
mkdir -p $out/etc/nvidia-container-runtime
# nvidia-container-runtime invokes docker-runc or runc if that isn't
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/nvidia-container-toolkit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/nvidia-container-toolkit/default.nix
index 8cb470d2fa4..febd5e4cb1a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/nvidia-container-toolkit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/nvidia-container-toolkit/default.nix
@@ -6,13 +6,13 @@
}:
buildGoModule rec {
pname = "nvidia-container-toolkit";
- version = "1.3.0";
+ version = "1.5.0";
src = fetchFromGitHub {
owner = "NVIDIA";
repo = pname;
rev = "v${version}";
- sha256 = "04284bhgx4j55vg9ifvbji2bvmfjfy3h1lq7q356ffgw3yr9n0hn";
+ sha256 = "sha256-YvwqnwYOrlSE6PmNNZ5xjEaEcXdHKcakIwua+tOvIJ0=";
};
vendorSha256 = "17zpiyvf22skfcisflsp6pn56y6a793jcx89kw976fq2x5br1bz7";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/open-vm-tools/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/open-vm-tools/default.nix
index 74d87a1b740..c3721bbe36e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/open-vm-tools/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/open-vm-tools/default.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation rec {
pname = "open-vm-tools";
- version = "11.3.0";
+ version = "11.3.5";
src = fetchFromGitHub {
owner = "vmware";
repo = "open-vm-tools";
rev = "stable-${version}";
- sha256 = "1yfffxc7drr1hyg28jcvly7jn1bm4ca76lmma5ykbmba2qqszx49";
+ sha256 = "03fahljrijq4ij8a4v8d7806mpf22ppkgr61n5s974g3xfdvpl13";
};
sourceRoot = "${src.name}/open-vm-tools";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/podman/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/podman/default.nix
index a21485e984c..a945b2c79d7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/podman/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/podman/default.nix
@@ -17,13 +17,13 @@
buildGoModule rec {
pname = "podman";
- version = "3.3.0";
+ version = "3.4.0";
src = fetchFromGitHub {
owner = "containers";
repo = "podman";
rev = "v${version}";
- sha256 = "sha256-EDNpGDjsXULwtUYFLh4u6gntK//rsLLpYgpxRt4R1kc=";
+ sha256 = "sha256-AeddndkKyUs9i0bg0XO5QCmYgqgWREnHWsmce6VM7Oo=";
};
vendorSha256 = null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/podman/wrapper.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/podman/wrapper.nix
index 400232d2246..c9ec18593df 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/podman/wrapper.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/podman/wrapper.nix
@@ -2,7 +2,6 @@
, runCommand
, makeWrapper
, lib
-, stdenv
, extraPackages ? []
, podman # Docker compat
, runc # Default container runtime
@@ -14,15 +13,12 @@
, cni-plugins # not added to path
, iptables
, iproute2
-, gvproxy
-, qemu
-, xz
}:
let
podman = podman-unwrapped;
- binPath = lib.makeBinPath ([ ] ++ lib.optionals stdenv.isLinux [
+ binPath = lib.makeBinPath ([
runc
crun
conmon
@@ -31,10 +27,6 @@ let
util-linux
iptables
iproute2
- ] ++ lib.optionals stdenv.isDarwin [
- gvproxy
- qemu
- xz
] ++ extraPackages);
in runCommand podman.name {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/qemu/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/qemu/default.nix
index f3aecf30080..224969cc264 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/qemu/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/qemu/default.nix
@@ -1,6 +1,6 @@
{ lib, stdenv, fetchurl, fetchpatch, python, zlib, pkg-config, glib
, perl, pixman, vde2, alsa-lib, texinfo, flex
-, bison, lzo, snappy, libaio, gnutls, nettle, curl, ninja, meson
+, bison, lzo, snappy, libaio, libtasn1, gnutls, nettle, curl, ninja, meson, sigtool
, makeWrapper, autoPatchelfHook
, attr, libcap, libcap_ng
, CoreServices, Cocoa, Hypervisor, rez, setfile
@@ -43,19 +43,20 @@ stdenv.mkDerivation rec {
+ lib.optionalString xenSupport "-xen"
+ lib.optionalString hostCpuOnly "-host-cpu-only"
+ lib.optionalString nixosTestRunner "-for-vm-tests";
- version = "6.0.0";
+ version = "6.1.0";
src = fetchurl {
url= "https://download.qemu.org/qemu-${version}.tar.xz";
- sha256 = "1f9hz8rf12jm8baa7kda34yl4hyl0xh0c4ap03krfjx23i3img47";
+ sha256 = "15iw7982g6vc4jy1l9kk1z9sl5bm1bdbwr74y7nvwjs1nffhig7f";
};
- nativeBuildInputs = [ python python.pkgs.sphinx pkg-config flex bison meson ninja ]
+ nativeBuildInputs = [ makeWrapper python python.pkgs.sphinx python.pkgs.sphinx_rtd_theme pkg-config flex bison meson ninja ]
++ lib.optionals gtkSupport [ wrapGAppsHook ]
- ++ lib.optionals stdenv.isLinux [ autoPatchelfHook ];
+ ++ lib.optionals stdenv.isLinux [ autoPatchelfHook ]
+ ++ lib.optionals stdenv.isDarwin [ sigtool ];
buildInputs = [ zlib glib perl pixman
- vde2 texinfo makeWrapper lzo snappy
+ vde2 texinfo lzo snappy libtasn1
gnutls nettle curl
]
++ lib.optionals ncursesSupport [ ncurses ]
@@ -85,22 +86,14 @@ stdenv.mkDerivation rec {
patches = [
./fix-qemu-ga.patch
./9p-ignore-noatime.patch
+ # Cocoa clipboard support only works on macOS 10.14+
(fetchpatch {
- name = "CVE-2021-3545.patch";
- url = "https://gitlab.com/qemu-project/qemu/-/commit/121841b25d72d13f8cad554363138c360f1250ea.patch";
- sha256 = "13dgfd8dmxcalh2nvb68iv0kyv4xxrvpdqdxf1h3bjr4451glag1";
- })
- (fetchpatch {
- name = "CVE-2021-3546.patch";
- url = "https://gitlab.com/qemu-project/qemu/-/commit/9f22893adcb02580aee5968f32baa2cd109b3ec2.patch";
- sha256 = "1vkhm9vl671y4cra60b6704339qk1h5dyyb3dfvmvpsvfyh2pm7n";
+ url = "https://gitlab.com/qemu-project/qemu/-/commit/7e3e20d89129614f4a7b2451fe321cc6ccca3b76.diff";
+ sha256 = "09xz06g57wxbacic617pq9c0qb7nly42gif0raplldn5lw964xl2";
+ revert = true;
})
] ++ lib.optional nixosTestRunner ./force-uid0-on-9p.patch
++ lib.optionals stdenv.hostPlatform.isMusl [
- (fetchpatch {
- url = "https://raw.githubusercontent.com/alpinelinux/aports/2bb133986e8fa90e2e76d53369f03861a87a74ef/main/qemu/xattr_size_max.patch";
- sha256 = "1xfdjs1jlvs99hpf670yianb8c3qz2ars8syzyz8f2c2cp5y4bxb";
- })
(fetchpatch {
url = "https://raw.githubusercontent.com/alpinelinux/aports/2bb133986e8fa90e2e76d53369f03861a87a74ef/main/qemu/musl-F_SHLCK-and-F_EXLCK.patch";
sha256 = "1gm67v41gw6apzgz7jr3zv9z80wvkv0jaxd2w4d16hmipa8bhs0k";
@@ -110,6 +103,17 @@ stdenv.mkDerivation rec {
url = "https://raw.githubusercontent.com/alpinelinux/aports/2bb133986e8fa90e2e76d53369f03861a87a74ef/main/qemu/fix-sigevent-and-sigval_t.patch";
sha256 = "0wk0rrcqywhrw9hygy6ap0lfg314m9z1wr2hn8338r5gfcw75mav";
})
+ ] ++ lib.optionals stdenv.isDarwin [
+ # The Hypervisor.framework support patch converted something that can be applied:
+ # * https://patchwork.kernel.org/project/qemu-devel/list/?series=548227
+ # The base revision is whatever commit there is before the series starts:
+ # * https://github.com/patchew-project/qemu/commits/patchew/20210916155404.86958-1-agraf%40csgraf.de
+ # The target revision is what patchew has as the series tag from patchwork:
+ # * https://github.com/patchew-project/qemu/releases/tag/patchew%2F20210916155404.86958-1-agraf%40csgraf.de
+ (fetchpatch {
+ url = "https://github.com/patchew-project/qemu/compare/7adb961995a3744f51396502b33ad04a56a317c3..d2603c06d9c4a28e714b9b70fe5a9d0c7b0f934d.diff";
+ sha256 = "sha256-nSi5pFf9+EefUmyJzSEKeuxOt39ztgkXQyUB8fTHlcY=";
+ })
];
postPatch = ''
@@ -117,13 +121,6 @@ stdenv.mkDerivation rec {
sed -i "/install_subdir('run', install_dir: get_option('localstatedir'))/d" \
qga/meson.build
- # TODO: On aarch64-darwin, we automatically codesign everything, but qemu
- # needs specific entitlements and does its own signing. This codesign
- # command fails, but we have no fix at the moment, so this disables it.
- # This means `-accel hvf` is broken for now, on aarch64-darwin only.
- substituteInPlace meson.build \
- --replace 'if exe_sign' 'if false'
-
# glibc 2.33 compat fix: if `has_statx = true` is set, `tools/virtiofsd/passthrough_ll.c` will
# rely on `stx_mnt_id`[1] which is not part of glibc's `statx`-struct definition.
#
@@ -181,6 +178,12 @@ stdenv.mkDerivation rec {
doCheck = false; # tries to access /dev
dontWrapGApps = true;
+ # QEMU attaches entitlements with codesign and strip removes those,
+ # voiding the entitlements and making it non-operational.
+ # The alternative is to re-sign with entitlements after stripping:
+ # * https://github.com/qemu/qemu/blob/v6.1.0/scripts/entitlement.sh#L25
+ dontStrip = stdenv.isDarwin;
+
postFixup = ''
# the .desktop is both invalid and pointless
rm -f $out/share/applications/qemu.desktop
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/singularity/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/singularity/default.nix
index e1f1583d036..37fad321cad 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/singularity/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/singularity/default.nix
@@ -15,11 +15,11 @@ with lib;
buildGoPackage rec {
pname = "singularity";
- version = "3.8.1";
+ version = "3.8.3";
src = fetchurl {
url = "https://github.com/hpcng/singularity/releases/download/v${version}/singularity-${version}.tar.gz";
- sha256 = "sha256-Jkg2b7x+j8up0y+PGH6hSTVsX5CDpXgm1kE1n6hBXZo=";
+ sha256 = "sha256-LiLrnuG3P91RuHgxSfDk2DwNLYoMHt9gNBV9UO7vuDU=";
};
goPackagePath = "github.com/sylabs/singularity";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/virt-manager/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/virt-manager/default.nix
index 1d5044cd213..73972deb2c8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/virt-manager/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/virt-manager/default.nix
@@ -59,6 +59,7 @@ python3Packages.buildPythonApplication rec {
"tests/test_cli.py"
"tests/test_disk.py"
"tests/test_checkprops.py"
+ "tests/test_storage.py"
]; # Error logs: https://gist.github.com/superherointj/fee040872beaafaaa19b8bf8f3ff0be5
preCheck = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/virtualbox/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/virtualbox/default.nix
index c693fa8fdcf..0d6d707a60d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/virtualbox/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/virtualbox/default.nix
@@ -97,6 +97,8 @@ in stdenv.mkDerivation {
# Temporary workaround for broken build
# https://www.virtualbox.org/pipermail/vbox-dev/2021-July/015670.html
./fix-configure-pkgconfig-qt.patch
+ # https://github.com/NixOS/nixpkgs/issues/123851
+ ./fix-audio-driver-loading.patch
];
postPatch = ''
@@ -200,6 +202,11 @@ in stdenv.mkDerivation {
done
''}
+ # https://github.com/NixOS/nixpkgs/issues/137104
+ ${optionalString (enableHardening || headless) ''
+ rm $libexec/components/VBoxREM.so
+ ''}
+
cp -rv out/linux.*/${buildType}/bin/src "$modsrc"
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/virtualbox/fix-audio-driver-loading.patch b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/virtualbox/fix-audio-driver-loading.patch
new file mode 100644
index 00000000000..552f867f1ac
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/virtualization/virtualbox/fix-audio-driver-loading.patch
@@ -0,0 +1,26 @@
+diff --git a/src/VBox/Devices/Audio/DrvHostAudioAlsaStubs.cpp b/src/VBox/Devices/Audio/DrvHostAudioAlsaStubs.cpp
+index cfcb0abbf..2ce564f6f 100644
+--- a/src/VBox/Devices/Audio/DrvHostAudioAlsaStubs.cpp
++++ b/src/VBox/Devices/Audio/DrvHostAudioAlsaStubs.cpp
+@@ -258,7 +258,7 @@ static DECLCALLBACK(int32_t) drvHostAudioAlsaLibInitOnce(void *pvUser)
+ LogFlowFunc(("\n"));
+
+ RTLDRMOD hMod = NIL_RTLDRMOD;
+- int rc = RTLdrLoadSystemEx(VBOX_ALSA_LIB, RTLDRLOAD_FLAGS_NO_UNLOAD, &hMod);
++ int rc = RTLdrLoad(VBOX_ALSA_LIB, &hMod);
+ if (RT_SUCCESS(rc))
+ {
+ for (uintptr_t i = 0; i < RT_ELEMENTS(SharedFuncs); i++)
+diff --git a/src/VBox/Devices/Audio/DrvHostAudioPulseAudioStubs.cpp b/src/VBox/Devices/Audio/DrvHostAudioPulseAudioStubs.cpp
+index a17fc93f9..148f5c39a 100644
+--- a/src/VBox/Devices/Audio/DrvHostAudioPulseAudioStubs.cpp
++++ b/src/VBox/Devices/Audio/DrvHostAudioPulseAudioStubs.cpp
+@@ -332,7 +332,7 @@ static DECLCALLBACK(int32_t) drvHostAudioPulseLibInitOnce(void *pvUser)
+ LogFlowFunc(("\n"));
+
+ RTLDRMOD hMod = NIL_RTLDRMOD;
+- int rc = RTLdrLoadSystemEx(VBOX_PULSE_LIB, RTLDRLOAD_FLAGS_NO_UNLOAD, &hMod);
++ int rc = RTLdrLoad(VBOX_PULSE_LIB, &hMod);
+ if (RT_SUCCESS(rc))
+ {
+ for (unsigned i = 0; i < RT_ELEMENTS(g_aImportedFunctions); i++)
\ No newline at end of file
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/awesome/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/awesome/default.nix
index a526636063e..90b559e7d44 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/awesome/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/awesome/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, luaPackages, cairo, librsvg, cmake, imagemagick, pkg-config, gdk-pixbuf
+{ lib, stdenv, fetchFromGitHub, lua, cairo, librsvg, cmake, imagemagick, pkg-config, gdk-pixbuf
, xorg, libstartup_notification, libxdg_basedir, libpthreadstubs
, xcb-util-cursor, makeWrapper, pango, gobject-introspection
, which, dbus, nettools, git, doxygen
@@ -12,10 +12,11 @@
# needed for beautiful.gtk to work
assert gtk3Support -> gtk3 != null;
+let
+ luaEnv = lua.withPackages(ps: [ ps.lgi ps.ldoc ]);
+in
+
stdenv.mkDerivation rec {
- lgi = luaPackages.lgi;
- lua = luaPackages.lua;
- ldoc = luaPackages.ldoc;
pname = "awesome";
version = "4.3";
@@ -35,7 +36,6 @@ stdenv.mkDerivation rec {
xmlto docbook_xml_dtd_45
docbook_xsl findXMLCatalogs
asciidoctor
- ldoc
];
outputs = [ "out" "doc" ];
@@ -44,7 +44,7 @@ stdenv.mkDerivation rec {
propagatedUserEnvPkgs = [ hicolor-icon-theme ];
buildInputs = [ cairo librsvg dbus gdk-pixbuf gobject-introspection
- git lgi libpthreadstubs libstartup_notification
+ git luaEnv libpthreadstubs libstartup_notification
libxdg_basedir lua nettools pango xcb-util-cursor
xorg.libXau xorg.libXdmcp xorg.libxcb xorg.libxshmfence
xorg.xcbutil xorg.xcbutilimage xorg.xcbutilkeysyms
@@ -55,14 +55,14 @@ stdenv.mkDerivation rec {
cmakeFlags = [
#"-DGENERATE_MANPAGES=ON"
"-DOVERRIDE_VERSION=${version}"
- ] ++ lib.optional luaPackages.isLuaJIT "-DLUA_LIBRARY=${lua}/lib/libluajit-5.1.so"
+ ] ++ lib.optional lua.pkgs.isLuaJIT "-DLUA_LIBRARY=${lua}/lib/libluajit-5.1.so"
;
GI_TYPELIB_PATH = "${pango.out}/lib/girepository-1.0";
# LUA_CPATH and LUA_PATH are used only for *building*, see the --search flags
# below for how awesome finds the libraries it needs at runtime.
- LUA_CPATH = "${lgi}/lib/lua/${lua.luaversion}/?.so";
- LUA_PATH = "${lgi}/share/lua/${lua.luaversion}/?.lua;;";
+ LUA_CPATH = "${luaEnv}/lib/lua/${lua.luaversion}/?.so";
+ LUA_PATH = "${luaEnv}/share/lua/${lua.luaversion}/?.lua;;";
postInstall = ''
# Don't use wrapProgram or the wrapper will duplicate the --search
@@ -70,8 +70,8 @@ stdenv.mkDerivation rec {
mv "$out/bin/awesome" "$out/bin/.awesome-wrapped"
makeWrapper "$out/bin/.awesome-wrapped" "$out/bin/awesome" \
--set GDK_PIXBUF_MODULE_FILE "$GDK_PIXBUF_MODULE_FILE" \
- --add-flags '--search ${lgi}/lib/lua/${lua.luaversion}' \
- --add-flags '--search ${lgi}/share/lua/${lua.luaversion}' \
+ --add-flags '--search ${luaEnv}/lib/lua/${lua.luaversion}' \
+ --add-flags '--search ${luaEnv}/share/lua/${lua.luaversion}' \
--prefix GI_TYPELIB_PATH : "$GI_TYPELIB_PATH"
wrapProgram $out/bin/awesome-client \
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/cagebreak/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/cagebreak/default.nix
index d3da358b639..124c1abe6f9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/cagebreak/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/cagebreak/default.nix
@@ -1,9 +1,10 @@
{ lib
, stdenv
, fetchFromGitHub
-, fetchpatch
, cairo
, fontconfig
+, libevdev
+, libinput
, libxkbcommon
, makeWrapper
, mesa
@@ -23,24 +24,15 @@
stdenv.mkDerivation rec {
pname = "cagebreak";
- version = "1.7.1";
+ version = "1.8.0";
src = fetchFromGitHub {
owner = "project-repo";
repo = pname;
rev = version;
- hash = "sha256-1IztedN5/I/4TDKHLJ26fSrDsvJ5QAr+cbzS2PQITDE=";
+ hash = "sha256-tWfHJajAOYZJ73GckZWWTdVz75YmHA7t/qDhM7+tJgk=";
};
- patches = [
- # To fix the build with wlroots 0.14.0:
- (fetchpatch {
- # Add fixes for wlroots 0.14.0
- url = "https://github.com/project-repo/cagebreak/commit/d57869d43add58331386fc8e89c14bb2b74afe17.patch";
- sha256 = "0g6sl8y4kk0bm5x6pxqbxw2j0gyg3ybr2v9m70q2pxp70kms4lqg";
- })
- ];
-
nativeBuildInputs = [
makeWrapper
meson
@@ -53,6 +45,8 @@ stdenv.mkDerivation rec {
buildInputs = [
cairo
fontconfig
+ libevdev
+ libinput
libxkbcommon
mesa # for libEGL headers
pango
@@ -80,7 +74,8 @@ stdenv.mkDerivation rec {
'';
postFixup = lib.optionalString withXwayland ''
- wrapProgram $out/bin/cagebreak --prefix PATH : "${xwayland}/bin"
+ wrapProgram $out/bin/cagebreak \
+ --prefix PATH : "${lib.makeBinPath [ xwayland ]}"
'';
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/cardboard/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/cardboard/default.nix
index 17ef08af5e8..5347b0961a5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/cardboard/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/cardboard/default.nix
@@ -57,7 +57,7 @@ let
in
stdenv.mkDerivation rec {
pname = "cardboard";
- version = "0.0.0+unstable=2021-05-10";
+ version = "0.pre+unstable=2021-05-10";
src = fetchFromGitLab {
owner = "cardboardwm";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/fluxbox/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/fluxbox/default.nix
index 36a22cb46d7..934f8c9b3fc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/fluxbox/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/fluxbox/default.nix
@@ -15,6 +15,15 @@ stdenv.mkDerivation rec {
sha256 = "1h1f70y40qd225dqx937vzb4k2cz219agm1zvnjxakn5jkz7b37w";
};
+ patches = [
+ # Upstream fix to build against gcc-11.
+ (fetchurl {
+ name = "gcc-11.patch";
+ url = "http://git.fluxbox.org/fluxbox.git/patch/?id=22866c4d30f5b289c429c5ca88d800200db4fc4f";
+ sha256 = "1x7126rlmzky51lk370fczssgnjs7i6wgfaikfib9pvn4vv945ai";
+ })
+ ];
+
nativeBuildInputs = [ pkg-config ];
buildInputs = [ freetype fribidi libXext libXft libXpm libXrandr libXrender xorgproto libXinerama imlib2 ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/i3/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/i3/default.nix
index a51c80bf083..7aa62e69df9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/i3/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/i3/default.nix
@@ -1,7 +1,9 @@
{ fetchurl, lib, stdenv, pkg-config, makeWrapper, meson, ninja, installShellFiles, libxcb, xcbutilkeysyms
, xcbutil, xcbutilwm, xcbutilxrm, libstartup_notification, libX11, pcre, libev
, yajl, xcb-util-cursor, perl, pango, perlPackages, libxkbcommon
-, xorgserver, xvfb-run }:
+, xorgserver, xvfb-run
+, asciidoc, xmlto, docbook_xml_dtd_45, docbook_xsl, findXMLCatalogs
+}:
stdenv.mkDerivation rec {
pname = "i3";
@@ -12,7 +14,15 @@ stdenv.mkDerivation rec {
sha256 = "sha256-im7hd2idzyKWTSC2CTAU7k+gQZNF0/1RXVUS2ZgLsnk=";
};
- nativeBuildInputs = [ pkg-config makeWrapper meson ninja installShellFiles ];
+ nativeBuildInputs = [
+ pkg-config makeWrapper meson ninja installShellFiles
+ asciidoc xmlto docbook_xml_dtd_45 docbook_xsl findXMLCatalogs
+ ];
+
+ mesonFlags = [
+ "-Ddocs=true"
+ "-Dmans=true"
+ ];
buildInputs = [
libxcb xcbutilkeysyms xcbutil xcbutilwm xcbutilxrm libxkbcommon
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/i3/status-rust.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/i3/status-rust.nix
index 541a205361e..edb482ad15f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/i3/status-rust.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/i3/status-rust.nix
@@ -40,7 +40,7 @@ rustPlatform.buildRustPackage rec {
postInstall = ''
mkdir -p $out/share
- cp -R files/* $out/share
+ cp -R examples files/* $out/share
'';
postFixup = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/icewm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/icewm/default.nix
index 1584b7fed01..e4efc13262d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/icewm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/icewm/default.nix
@@ -1,6 +1,7 @@
{ lib
, stdenv
, fetchFromGitHub
+, fetchpatch
, asciidoc
, cmake
, expat
@@ -48,6 +49,15 @@ stdenv.mkDerivation rec {
hash = "sha256-R06tiWS9z6K5Nbi+vvk7DyozpcFdrHleMeh7Iq/FfHQ=";
};
+ patches = [
+ # https://github.com/ice-wm/icewm/pull/57
+ # Fix trailing -I that leads to "to generate dependencies you must specify either '-M' or '-MM'"
+ (fetchpatch {
+ url = "https://github.com/ice-wm/icewm/pull/57/commits/ebd2c45341cc31755758a423392a0f78a64d2d37.patch";
+ sha256 = "16m9znd3ijcfl7la3l27ac3clx8l9qng3fprkpxqcifd89ny1ml5";
+ })
+ ];
+
nativeBuildInputs = [
asciidoc
cmake
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/mlvwm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/mlvwm/default.nix
index 14170be53ac..49133ef5344 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/mlvwm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/mlvwm/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "mlvwm";
- version = "0.9.3";
+ version = "0.9.4";
src = fetchFromGitHub {
owner = "morgant";
repo = pname;
rev = version;
- sha256 = "sha256-Sps2+XyMTcNuhQTLrW/8vSZIcSzMejoi1m64SK129YI=";
+ sha256 = "sha256-ElKmi+ANuB3LPwZTMcr5HEMESjDwENbYnNIGdRP24d0=";
};
nativeBuildInputs = [ installShellFiles ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/phosh/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/phosh/default.nix
index 985814a0a13..0a16bfc8842 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/phosh/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/phosh/default.nix
@@ -8,6 +8,8 @@
, wrapGAppsHook
, libhandy
, libxkbcommon
+, libgudev
+, callaudiod
, pulseaudio
, glib
, gtk3
@@ -24,27 +26,20 @@
, networkmanager
, polkit
, libsecret
-, writeText
}:
-let
- gvc = fetchFromGitLab {
- domain = "gitlab.gnome.org";
- owner = "GNOME";
- repo = "libgnome-volume-control";
- rev = "ae1a34aafce7026b8c0f65a43c9192d756fe1057";
- sha256 = "0a4qh5pgyjki904qf7qmvqz2ksxb0p8xhgl2aixfbhixn0pw6saw";
- };
-in stdenv.mkDerivation rec {
+stdenv.mkDerivation rec {
pname = "phosh";
- version = "0.12.1";
+ version = "0.13.1";
src = fetchFromGitLab {
- domain = "source.puri.sm";
- owner = "Librem5";
+ domain = "gitlab.gnome.org";
+ group = "World";
+ owner = "Phosh";
repo = pname;
rev = "v${version}";
- sha256 = "048g5sp9jgfiwq6n8my4msm7wy3pdhbg0wxqxvps4m8qf8wa7ffq";
+ fetchSubmodules = true; # including gvc and libcall-ui which are designated as subprojects
+ sha256 = "sha256-dKQK4mGe/dvNlca/XMDeq1Q4dH/WBF/rtiUh8RssF5c=";
};
nativeBuildInputs = [
@@ -60,6 +55,8 @@ in stdenv.mkDerivation rec {
libhandy
libsecret
libxkbcommon
+ libgudev
+ callaudiod
pulseaudio
glib
gcr
@@ -86,11 +83,6 @@ in stdenv.mkDerivation rec {
mesonFlags = [ "-Dsystemd=true" "-Dcompositor=${phoc}/bin/phoc" ];
- postUnpack = ''
- rmdir $sourceRoot/subprojects/gvc
- ln -s ${gvc} $sourceRoot/subprojects/gvc
- '';
-
postPatch = ''
chmod +x build-aux/post_install.py
patchShebangs build-aux/post_install.py
@@ -128,9 +120,9 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "A pure Wayland shell prototype for GNOME on mobile devices";
- homepage = "https://source.puri.sm/Librem5/phosh";
+ homepage = "https://gitlab.gnome.org/World/Phosh/phosh";
license = licenses.gpl3Plus;
- maintainers = with maintainers; [ archseer jtojnar masipcat zhaofengli ];
+ maintainers = with maintainers; [ jtojnar masipcat zhaofengli ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/qtile/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/qtile/default.nix
index ae62cdbbba1..786a47d49c3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/qtile/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/qtile/default.nix
@@ -11,13 +11,13 @@ let
unwrapped = pythonPackages.buildPythonPackage rec {
pname = "qtile";
- version = "0.18.0";
+ version = "0.18.1";
src = fetchFromGitHub {
owner = "qtile";
repo = "qtile";
rev = "v${version}";
- sha256 = "sha256-S9G/EI18p9EAyWgI1ajDrLimeE+ETBC9feUDb/QthqI=";
+ sha256 = "0ln0fxarin9liy9n76zywmbr31xrjw8f7d3nr1mphci7wkc9bqmm";
};
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/river/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/river/default.nix
index 5c809097ee1..8cf72adbf85 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/river/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/river/default.nix
@@ -19,13 +19,13 @@
stdenv.mkDerivation rec {
pname = "river";
- version = "unstable-2021-08-03";
+ version = "unstable-2021-09-30";
src = fetchFromGitHub {
owner = "ifreund";
repo = pname;
- rev = "2fc0875a3e17a0328d14d0c6323bd8022d5b15de";
- sha256 = "sha256-Cs9RRubxy0DY6ILRZY36HtcoqBvzbN7NEfpREq1KBBQ=";
+ rev = "e6bb373240bc08668c8e6e14996a3f8765941158";
+ sha256 = "sha256-dYruRpsud2XYrVY2f4f2dkRRSh1oU9rn2GRwAkJqW3A=";
fetchSubmodules = true;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/stalonetray/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/stalonetray/default.nix
index 47903bb2276..218b2a4fefd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/stalonetray/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/stalonetray/default.nix
@@ -1,29 +1,51 @@
-{ lib, stdenv, fetchurl, libX11, xorgproto }:
+{ autoreconfHook
+, docbook_xml_dtd_44
+, docbook-xsl-ns
+, fetchFromGitHub
+, lib
+, libX11
+, libXpm
+, libxslt
+, stdenv
+}:
stdenv.mkDerivation rec {
pname = "stalonetray";
- version = "0.8.3";
+ version = "0.8.4";
- src = fetchurl {
- url = "mirror://sourceforge/stalonetray/${pname}-${version}.tar.bz2";
- sha256 = "0k7xnpdb6dvx25d67v0crlr32cdnzykdsi9j889njiididc8lm1n";
+ src = fetchFromGitHub {
+ owner = "kolbusa";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "sha256-grxPqSYPLUstLIOKqzMActaSQ2ftYrjbalfR4HcPDRY=";
};
- buildInputs = [ libX11 xorgproto ];
+ preConfigure =
+ let
+ db_root = "${docbook-xsl-ns}/share/xml/docbook-xsl-ns";
+ ac_str = "AC_SUBST(DOCBOOK_ROOT)";
+ ac_str_sub = "DOCBOOK_ROOT=${db_root}; ${ac_str}";
+ in
+ ''
+ substituteInPlace configure.ac --replace '${ac_str}' '${ac_str_sub}'
+ '';
+
+ nativeBuildInputs = [
+ autoreconfHook
+ docbook-xsl-ns
+ docbook_xml_dtd_44
+ libX11
+ libXpm
+ libxslt
+ ];
hardeningDisable = [ "format" ];
meta = with lib; {
description = "Stand alone tray";
- homepage = "http://stalonetray.sourceforge.net";
- license = licenses.gpl2;
+ homepage = "https://github.com/kolbusa/stalonetray";
+ license = licenses.gpl2Only;
platforms = platforms.linux;
maintainers = with maintainers; [ raskin ];
};
-
- passthru = {
- updateInfo = {
- downloadPage = "https://sourceforge.net/projects/stalonetray/files/";
- };
- };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/sway/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/sway/default.nix
index 92de633f0fa..fb0cb31fad5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/sway/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/sway/default.nix
@@ -6,6 +6,8 @@
, nixosTests
# Used by the NixOS module:
, isNixOS ? false
+
+, enableXWayland ? true
}:
stdenv.mkDerivation rec {
@@ -40,13 +42,16 @@ stdenv.mkDerivation rec {
buildInputs = [
wayland libxkbcommon pcre json_c dbus libevdev
pango cairo libinput libcap pam gdk-pixbuf librsvg
- wlroots wayland-protocols libdrm
+ wayland-protocols libdrm
+ (wlroots.override { inherit enableXWayland; })
];
mesonFlags = [
"-Ddefault-wallpaper=false"
"-Dsd-bus-provider=libsystemd"
- ];
+ ]
+ ++ lib.optional (!enableXWayland) "-Dxwayland=disabled"
+ ;
passthru.tests.basic = nixosTests.sway;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/sway/lock-fancy.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/sway/lock-fancy.nix
index bee1f925f60..8c2a7e91649 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/sway/lock-fancy.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/sway/lock-fancy.nix
@@ -1,5 +1,5 @@
-{ lib, stdenv, fetchFromGitHub, coreutils, grim, gawk, swaylock
-, imagemagick, getopt, fontconfig, makeWrapper
+{ lib, stdenv, fetchFromGitHub, coreutils, grim, gawk, jq, swaylock
+, imagemagick, getopt, fontconfig, wmctrl, makeWrapper
}:
let
@@ -7,20 +7,22 @@ let
coreutils
grim
gawk
+ jq
swaylock
imagemagick
getopt
fontconfig
+ wmctrl
];
in stdenv.mkDerivation rec {
pname = "swaylock-fancy-unstable";
- version = "2020-02-22";
+ version = "2021-10-11";
src = fetchFromGitHub {
owner = "Big-B";
repo = "swaylock-fancy";
- rev = "5cf977b12f372740aa7b7e5a607d583f93f1e028";
- sha256 = "0laqwzi6069sgz91i69438ns0g2nq4zkqickavrf80h4g3gcs8vm";
+ rev = "265fbfb438392339bf676b0a9dbe294abe2a699e";
+ sha256 = "NjxeJyWYXBb1P8sXKgb2EWjF+cNodTE83r1YwRYoBjM=";
};
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/sway/wrapper.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/sway/wrapper.nix
index 07459295d75..7bcb26e0c97 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/sway/wrapper.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/sway/wrapper.nix
@@ -6,6 +6,8 @@
, extraOptions ? [] # E.g.: [ "--verbose" ]
# Used by the NixOS module:
, isNixOS ? false
+
+, enableXWayland ? true
}:
assert extraSessionCommands != "" -> withBaseWrapper;
@@ -13,7 +15,7 @@ assert extraSessionCommands != "" -> withBaseWrapper;
with lib;
let
- sway = sway-unwrapped.override { inherit isNixOS; };
+ sway = sway-unwrapped.override { inherit isNixOS enableXWayland; };
baseWrapper = writeShellScriptBin "sway" ''
set -o errexit
if [ ! "$_SWAY_WRAPPER_ALREADY_EXECUTED" ]; then
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/wio/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/wio/default.nix
index 03ec26f6fb8..86bbfd3dc72 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/wio/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/wio/default.nix
@@ -1,39 +1,40 @@
{ lib
, stdenv
-, fetchFromBitbucket
-, meson
-, ninja
-, pkg-config
+, fetchFromGitHub
, alacritty
, cage
, cairo
, libxkbcommon
+, makeWrapper
+, mesa
+, meson
+, ninja
+, pkg-config
, udev
, wayland
, wayland-protocols
, wlroots
-, mesa
, xwayland
-, makeWrapper
}:
stdenv.mkDerivation rec {
pname = "wio";
- version = "0.0.0+unstable=2021-06-27";
+ version = "0.pre+unstable=2021-06-27";
- src = fetchFromBitbucket {
- owner = "anderson_torres";
+ src = fetchFromGitHub {
+ owner = "museoa";
repo = pname;
rev = "e0b258777995055d69e61a0246a6a64985743f42";
sha256 = "sha256-8H9fOnZsNjjq9XvOv68F4RRglGNluxs5/jp/h4ROLiI=";
};
nativeBuildInputs = [
+ makeWrapper
meson
ninja
pkg-config
- makeWrapper
];
+
buildInputs = [
cairo
libxkbcommon
@@ -59,7 +60,7 @@ stdenv.mkDerivation rec {
'';
license = licenses.mit;
maintainers = with maintainers; [ AndersonTorres ];
- platforms = with platforms; linux;
+ inherit (wayland.meta) platforms;
};
passthru.providedSessions = [ "wio" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/yabar/build.nix b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/yabar/build.nix
index f9b5d227b0e..207e3a83ab2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/yabar/build.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/applications/window-managers/yabar/build.nix
@@ -19,16 +19,33 @@ stdenv.mkDerivation {
hardeningDisable = [ "format" ];
- nativeBuildInputs = [ pkg-config ];
+ strictDeps = true;
+ depsBuildBuild = [
+ pkg-config
+ ];
+ nativeBuildInputs = [
+ pkg-config
+ asciidoc
+ docbook_xsl
+ libxslt
+ makeWrapper
+ libconfig
+ pango
+ ];
buildInputs = [
- cairo gdk-pixbuf libconfig pango xcbutilwm docbook_xsl
- alsa-lib wirelesstools asciidoc libxslt makeWrapper
+ cairo
+ gdk-pixbuf
+ libconfig
+ pango
+ xcbutilwm
+ alsa-lib
+ wirelesstools
];
postPatch = ''
substituteInPlace ./Makefile \
--replace "\$(shell git describe)" "${version}" \
- --replace "a2x" "${asciidoc}/bin/a2x --no-xmllint"
+ --replace "a2x" "a2x --no-xmllint"
'';
makeFlags = [ "DESTDIR=$(out)" "PREFIX=/" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/agda/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/agda/default.nix
index 99cc1259023..9fe9aa45798 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/agda/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/agda/default.nix
@@ -49,6 +49,7 @@ let
, meta
, buildInputs ? []
, everythingFile ? "./Everything.agda"
+ , includePaths ? []
, libraryName ? pname
, libraryFile ? "${libraryName}.agda-lib"
, buildPhase ? null
@@ -57,6 +58,7 @@ let
, ...
}: let
agdaWithArgs = withPackages (builtins.filter (p: p ? isAgdaDerivation) buildInputs);
+ includePathArgs = concatMapStrings (path: "-i" + path + " ") (includePaths ++ [(dirOf everythingFile)]);
in
{
inherit libraryName libraryFile;
@@ -67,7 +69,7 @@ let
buildPhase = if buildPhase != null then buildPhase else ''
runHook preBuild
- agda -i ${dirOf everythingFile} ${everythingFile}
+ agda ${includePathArgs} ${everythingFile}
runHook postBuild
'';
@@ -77,7 +79,12 @@ let
find -not \( -path ${everythingFile} -or -path ${lib.interfaceFile everythingFile} \) -and \( ${concatMapStringsSep " -or " (p: "-name '*.${p}'") (extensions ++ extraExtensions)} \) -exec cp -p --parents -t "$out" {} +
runHook postInstall
'';
+
meta = if meta.broken or false then meta // { hydraPlatforms = lib.platforms.none; } else meta;
+
+ # Retrieve all packages from the finished package set that have the current package as a dependency and build them
+ passthru.tests = with builtins;
+ lib.filterAttrs (name: pkg: self.lib.isUnbrokenAgdaPackage pkg && elem pname (map (pkg: pkg.pname) pkg.buildInputs)) self;
};
in
{
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/agda/lib.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/agda/lib.nix
index 976151a8283..80a0974192b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/agda/lib.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/agda/lib.nix
@@ -7,4 +7,9 @@
* interfaceFile "src/Everything.lagda.tex" == "src/Everything.agdai"
*/
interfaceFile = agdaFile: lib.head (builtins.match ''(.*\.)l?agda(\.(md|org|rst|tex))?'' agdaFile) + "agdai";
+
+ /* Takes an arbitrary derivation and says whether it is an agda library package
+ * that is not marked as broken.
+ */
+ isUnbrokenAgdaPackage = pkg: pkg.isAgdaDerivation or false && !pkg.meta.broken;
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/alternatives/blas/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/alternatives/blas/default.nix
index cf880677fdd..8917784bc6c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/alternatives/blas/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/alternatives/blas/default.nix
@@ -31,7 +31,6 @@ let
else stdenv.hostPlatform.extensions.sharedLibrary;
- isILP64 = blasProvider.blas64 or false;
blasImplementation = lib.getName blasProvider;
in
@@ -83,7 +82,7 @@ stdenv.mkDerivation {
patchelf --set-rpath "$(patchelf --print-rpath $out/lib/libblas${canonicalExtension}):${lib.getLib blasProvider}/lib" $out/lib/libblas${canonicalExtension}
'' else if stdenv.hostPlatform.isDarwin then ''
install_name_tool \
- -id libblas${canonicalExtension} \
+ -id $out/lib/libblas${canonicalExtension} \
-add_rpath ${lib.getLib blasProvider}/lib \
$out/lib/libblas${canonicalExtension}
'' else "") + ''
@@ -115,7 +114,7 @@ EOF
patchelf --set-rpath "$(patchelf --print-rpath $out/lib/libcblas${canonicalExtension}):${lib.getLib blasProvider}/lib" $out/lib/libcblas${canonicalExtension}
'' else if stdenv.hostPlatform.isDarwin then ''
install_name_tool \
- -id libcblas${canonicalExtension} \
+ -id $out/lib/libcblas${canonicalExtension} \
-add_rpath ${lib.getLib blasProvider}/lib \
$out/lib/libcblas${canonicalExtension}
'' else "") + ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/appimage/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/appimage/default.nix
index a759726eb10..9df241a48ca 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/appimage/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/appimage/default.nix
@@ -175,7 +175,7 @@ rec {
harfbuzz
e2fsprogs
- libgpgerror
+ libgpg-error
keyutils.lib
libjack2
fribidi
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/bintools-wrapper/add-hardening.sh b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/bintools-wrapper/add-hardening.sh
index 4d289a334b7..0a2b2509a82 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/bintools-wrapper/add-hardening.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/bintools-wrapper/add-hardening.sh
@@ -37,7 +37,11 @@ fi
for flag in "${!hardeningEnableMap[@]}"; do
case $flag in
pie)
- if [[ ! ("$*" =~ " -shared " || "$*" =~ " -static " || "$*" =~ " -r " || "$*" =~ " -Ur " || "$*" =~ " -i ") ]]; then
+ if [[ ! (" $* " =~ " -shared " \
+ || " $* " =~ " -static " \
+ || " $* " =~ " -r " \
+ || " $* " =~ " -Ur " \
+ || " $* " =~ " -i ") ]]; then
if (( "${NIX_DEBUG:-0}" >= 1 )); then echo HARDENING: enabling LDFlags -pie >&2; fi
hardeningLDFlags+=('-pie')
fi
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/bintools-wrapper/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/bintools-wrapper/default.nix
index 82d17369743..3d64639d33f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/bintools-wrapper/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/bintools-wrapper/default.nix
@@ -327,7 +327,7 @@ stdenv.mkDerivation {
###
### Remove LC_UUID
###
- + optionalString (stdenv.targetPlatform.isDarwin && !(stdenv.cc.bintools.bintools.isGNU or false)) ''
+ + optionalString (stdenv.targetPlatform.isDarwin && !(bintools.isGNU or false)) ''
echo "-no_uuid" >> $out/nix-support/libc-ldflags-before
''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/build-dotnet-module/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/build-dotnet-module/default.nix
new file mode 100644
index 00000000000..0161c101e5b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/build-dotnet-module/default.nix
@@ -0,0 +1,144 @@
+{ lib, stdenv, makeWrapper, dotnetCorePackages, dotnetPackages, cacert, linkFarmFromDrvs, fetchurl }:
+
+{ name ? "${args.pname}-${args.version}"
+, enableParallelBuilding ? true
+# Flags to pass to `makeWrapper`. This is done to avoid double wrapping.
+, makeWrapperArgs ? []
+
+# Flags to pass to `dotnet restore`.
+, dotnetRestoreFlags ? []
+# Flags to pass to `dotnet build`.
+, dotnetBuildFlags ? []
+# Flags to pass to `dotnet install`.
+, dotnetInstallFlags ? []
+# Flags to pass to dotnet in all phases.
+, dotnetFlags ? []
+
+# The binaries that should get installed to `$out/bin`, relative to `$out/lib/$pname/`. These get wrapped accordingly.
+# Unfortunately, dotnet has no method for doing this automatically.
+# If unset, all executables in the projects root will get installed. This may cause bloat!
+, executables ? null
+# The packages project file, which contains instructions on how to compile it.
+, projectFile ? null
+# The NuGet dependency file. This locks all NuGet dependency versions, as otherwise they cannot be deterministically fetched.
+# This can be generated using the `nuget-to-nix` tool.
+, nugetDeps ? null
+# Libraries that need to be available at runtime should be passed through this.
+# These get wrapped into `LD_LIBRARY_PATH`.
+, runtimeDeps ? []
+
+# The type of build to perform. This is passed to `dotnet` with the `--configuration` flag. Possible values are `Release`, `Debug`, etc.
+, buildType ? "Release"
+# The dotnet SDK to use.
+, dotnet-sdk ? dotnetCorePackages.sdk_5_0
+# The dotnet runtime to use.
+, dotnet-runtime ? dotnetCorePackages.runtime_5_0
+, ... } @ args:
+
+assert projectFile == null -> throw "Defining the `projectFile` attribute is required. This is usually an `.csproj`, or `.sln` file.";
+
+# TODO: Automatically generate a dependency file when a lockfile is present.
+# This file is unfortunately almost never present, as Microsoft recommands not to push this in upstream repositories.
+assert nugetDeps == null -> throw "Defining the `nugetDeps` attribute is required, as to lock the NuGet dependencies. This file can be generated using the `nuget-to-nix` tool.";
+
+let
+ _nugetDeps = linkFarmFromDrvs "${name}-nuget-deps" (import nugetDeps {
+ fetchNuGet = { name, version, sha256 }: fetchurl {
+ name = "nuget-${name}-${version}.nupkg";
+ url = "https://www.nuget.org/api/v2/package/${name}/${version}";
+ inherit sha256;
+ };
+ });
+
+ package = stdenv.mkDerivation (args // {
+ nativeBuildInputs = args.nativeBuildInputs or [] ++ [ dotnet-sdk dotnetPackages.Nuget cacert makeWrapper ];
+
+ # Stripping breaks the executable
+ dontStrip = true;
+
+ DOTNET_NOLOGO = true; # This disables the welcome message.
+ DOTNET_CLI_TELEMETRY_OPTOUT = true;
+
+ configurePhase = args.configurePhase or ''
+ runHook preConfigure
+
+ export HOME=$(mktemp -d)
+
+ nuget sources Add -Name nixos -Source "$PWD/nixos"
+ nuget init "${_nugetDeps}" "$PWD/nixos"
+
+ # This is required due to https://github.com/NuGet/Home/issues/4413.
+ mkdir -p $HOME/.nuget/NuGet
+ cp $HOME/.config/NuGet/NuGet.Config $HOME/.nuget/NuGet
+
+ dotnet restore ${lib.escapeShellArg projectFile} \
+ ${lib.optionalString (!enableParallelBuilding) "--disable-parallel"} \
+ -p:ContinuousIntegrationBuild=true \
+ -p:Deterministic=true \
+ --source "$PWD/nixos" \
+ "''${dotnetRestoreFlags[@]}" \
+ "''${dotnetFlags[@]}"
+
+ runHook postConfigure
+ '';
+
+ buildPhase = args.buildPhase or ''
+ runHook preBuild
+
+ dotnet build ${lib.escapeShellArg projectFile} \
+ -maxcpucount:${if enableParallelBuilding then "$NIX_BUILD_CORES" else "1"} \
+ -p:BuildInParallel=${if enableParallelBuilding then "true" else "false"} \
+ -p:ContinuousIntegrationBuild=true \
+ -p:Deterministic=true \
+ -p:Version=${args.version} \
+ --configuration ${buildType} \
+ --no-restore \
+ "''${dotnetBuildFlags[@]}" \
+ "''${dotnetFlags[@]}"
+
+ runHook postBuild
+ '';
+
+ installPhase = args.installPhase or ''
+ runHook preInstall
+
+ dotnet publish ${lib.escapeShellArg projectFile} \
+ -p:ContinuousIntegrationBuild=true \
+ -p:Deterministic=true \
+ --output $out/lib/${args.pname} \
+ --configuration ${buildType} \
+ --no-build \
+ --no-self-contained \
+ "''${dotnetInstallFlags[@]}" \
+ "''${dotnetFlags[@]}"
+ '' + (if executables != null then ''
+ for executable in ''${executables}; do
+ execPath="$out/lib/${args.pname}/$executable"
+
+ if [[ -f "$execPath" && -x "$execPath" ]]; then
+ makeWrapper "$execPath" "$out/bin/$(basename "$executable")" \
+ --set DOTNET_ROOT "${dotnet-runtime}" \
+ --suffix LD_LIBRARY_PATH : "${lib.makeLibraryPath runtimeDeps}" \
+ "''${gappsWrapperArgs[@]}" \
+ ''${makeWrapperArgs}
+ else
+ echo "Specified binary \"$executable\" is either not an executable, or does not exist!"
+ exit 1
+ fi
+ done
+ '' else ''
+ for executable in $out/lib/${args.pname}/*; do
+ if [[ -f "$executable" && -x "$executable" && "$executable" != *"dll"* ]]; then
+ makeWrapper "$executable" "$out/bin/$(basename "$executable")" \
+ --set DOTNET_ROOT "${dotnet-runtime}" \
+ --suffix LD_LIBRARY_PATH : "${lib.makeLibraryPath runtimeDeps}" \
+ "''${gappsWrapperArgs[@]}" \
+ ''${makeWrapperArgs}
+ fi
+ done
+ '') + ''
+ runHook postInstall
+ '';
+ });
+in
+ package
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/build-fhs-userenv/chrootenv/chrootenv.c b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/build-fhs-userenv/chrootenv/chrootenv.c
index 27e70e3fe5c..324c9d24ba0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/build-fhs-userenv/chrootenv/chrootenv.c
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/build-fhs-userenv/chrootenv/chrootenv.c
@@ -122,7 +122,7 @@ int main(gint argc, gchar **argv) {
}
// hide all mounts we do from the parent
- fail_if(mount(0, "/", 0, MS_PRIVATE | MS_REC, 0));
+ fail_if(mount(0, "/", 0, MS_SLAVE | MS_REC, 0));
if (uid != 0) {
spit("/proc/self/setgroups", "deny");
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/buildenv/builder.pl b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/buildenv/builder.pl
index 411b147cc58..ebd6026b259 100755
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/buildenv/builder.pl
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/buildenv/builder.pl
@@ -10,7 +10,11 @@ use JSON::PP;
STDOUT->autoflush(1);
+$SIG{__WARN__} = sub { warn "warning: ", @_ };
+$SIG{__DIE__} = sub { die "error: ", @_ };
+
my $out = $ENV{"out"};
+my $extraPrefix = $ENV{"extraPrefix"};
my @pathsToLink = split ' ', $ENV{"pathsToLink"};
@@ -88,6 +92,10 @@ sub findFilesInDir {
sub checkCollision {
my ($path1, $path2) = @_;
+ if (! -e $path1 || ! -e $path2) {
+ return 0;
+ }
+
my $stat1 = (stat($path1))[2];
my $stat2 = (stat($path2))[2];
@@ -101,6 +109,11 @@ sub checkCollision {
return compare($path1, $path2) == 0;
}
+sub prependDangling {
+ my $path = shift;
+ return (-l $path && ! -e $path ? "dangling symlink " : "") . "`$path'";
+}
+
sub findFiles {
my ($relName, $target, $baseName, $ignoreCollisions, $checkCollisionContents, $priority) = @_;
@@ -125,12 +138,21 @@ sub findFiles {
# symlink to a file (not a directory) in a lower-priority package,
# overwrite it.
if (!defined $oldTarget || ($priority < $oldPriority && ($oldTarget ne "" && ! -d $oldTarget))) {
+ # If target is a dangling symlink, emit a warning.
+ if (-l $target && ! -e $target) {
+ my $link = readlink $target;
+ warn "creating dangling symlink `$out$extraPrefix/$relName' -> `$target' -> `$link'\n";
+ }
$symlinks{$relName} = [$target, $priority];
return;
}
# If target already exists and both targets resolves to the same path, skip
- if (defined $oldTarget && $oldTarget ne "" && abs_path($target) eq abs_path($oldTarget)) {
+ if (
+ defined $oldTarget && $oldTarget ne "" &&
+ defined abs_path($target) && defined abs_path($oldTarget) &&
+ abs_path($target) eq abs_path($oldTarget)
+ ) {
# Prefer the target that is not a symlink, if any
if (-l $oldTarget && ! -l $target) {
$symlinks{$relName} = [$target, $priority];
@@ -144,14 +166,25 @@ sub findFiles {
return;
}
+ # If target is supposed to be a directory but it isn't, die with an error message
+ # instead of attempting to recurse into it, only to fail then.
+ # This happens e.g. when pathsToLink contains a non-directory path.
+ if ($oldTarget eq "" && ! -d $target) {
+ die "not a directory: `$target'\n";
+ }
+
unless (-d $target && ($oldTarget eq "" || -d $oldTarget)) {
+ # Prepend "dangling symlink" to paths if applicable.
+ my $targetRef = prependDangling($target);
+ my $oldTargetRef = prependDangling($oldTarget);
+
if ($ignoreCollisions) {
- warn "collision between `$target' and `$oldTarget'\n" if $ignoreCollisions == 1;
+ warn "collision between $targetRef and $oldTargetRef\n" if $ignoreCollisions == 1;
return;
} elsif ($checkCollisionContents && checkCollision($oldTarget, $target)) {
return;
} else {
- die "collision between `$target' and `$oldTarget'\n";
+ die "collision between $targetRef and $oldTargetRef\n";
}
}
@@ -224,7 +257,6 @@ while (scalar(keys %postponed) > 0) {
# Create the symlinks.
-my $extraPrefix = $ENV{"extraPrefix"};
my $nrLinks = 0;
foreach my $relName (sort keys %symlinks) {
my ($target, $priority) = @{$symlinks{$relName}};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/cc-wrapper/add-hardening.sh b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/cc-wrapper/add-hardening.sh
index 8e2fe6c407e..e5d296f6c9c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/cc-wrapper/add-hardening.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/cc-wrapper/add-hardening.sh
@@ -45,11 +45,12 @@ for flag in "${!hardeningEnableMap[@]}"; do
hardeningCFlags+=('-fstack-protector-strong' '--param' 'ssp-buffer-size=4')
;;
pie)
+ # NB: we do not use `+=` here, because PIE flags must occur before any PIC flags
if (( "${NIX_DEBUG:-0}" >= 1 )); then echo HARDENING: enabling CFlags -fPIE >&2; fi
- hardeningCFlags+=('-fPIE')
- if [[ ! ("$*" =~ " -shared " || "$*" =~ " -static ") ]]; then
+ hardeningCFlags=('-fPIE' "${hardeningCFlags[@]}")
+ if [[ ! (" $* " =~ " -shared " || " $* " =~ " -static ") ]]; then
if (( "${NIX_DEBUG:-0}" >= 1 )); then echo HARDENING: enabling LDFlags -pie >&2; fi
- hardeningCFlags+=('-pie')
+ hardeningCFlags=('-pie' "${hardeningCFlags[@]}")
fi
;;
pic)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/cc-wrapper/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/cc-wrapper/default.nix
index 804f59286c7..3738f628b18 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/cc-wrapper/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/cc-wrapper/default.nix
@@ -463,6 +463,9 @@ stdenv.mkDerivation {
+ optionalString (targetPlatform ? gcc.mode) ''
echo "-mmode=${targetPlatform.gcc.mode}" >> $out/nix-support/cc-cflags-before
''
+ + optionalString (targetPlatform ? gcc.thumb) ''
+ echo "-m${if targetPlatform.gcc.thumb then "thumb" else "arm"}" >> $out/nix-support/cc-cflags-before
+ ''
+ optionalString (targetPlatform ? gcc.tune &&
isGccArchSupported targetPlatform.gcc.tune) ''
echo "-mtune=${targetPlatform.gcc.tune}" >> $out/nix-support/cc-cflags-before
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/coq/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/coq/default.nix
index 5f2b5e646b0..569b07cded6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/coq/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/coq/default.nix
@@ -16,7 +16,7 @@ in
displayVersion ? {},
release ? {},
extraBuildInputs ? [],
- namePrefix ? [],
+ namePrefix ? [ "coq" ],
enableParallelBuilding ? true,
extraInstallFlags ? [],
setCOQBIN ? true,
@@ -27,7 +27,7 @@ in
dropDerivationAttrs ? [],
useDune2ifVersion ? (x: false),
useDune2 ? false,
- opam-name ? "coq-${pname}",
+ opam-name ? (concatStringsSep "-" (namePrefix ++ [ pname ])),
...
}@args:
let
@@ -44,7 +44,6 @@ let
location = { inherit domain owner repo; };
} // optionalAttrs (args?fetcher) {inherit fetcher;});
fetched = fetch (if !isNull version then version else defaultVersion);
- namePrefix = args.namePrefix or [ "coq" ];
display-pkg = n: sep: v:
let d = displayVersion.${n} or (if sep == "" then ".." else true); in
n + optionalString (v != "" && v != null) (switch d [
@@ -57,7 +56,8 @@ let
] "") + optionalString (v == null) "-broken";
append-version = p: n: p + display-pkg n "" coqPackages.${n}.version + "-";
prefix-name = foldl append-version "" namePrefix;
- var-coqlib-install = (optionalString (versions.isGe "8.7" coq.coq-version) "COQMF_") + "COQLIB";
+ var-coqlib-install =
+ (optionalString (versions.isGe "8.7" coq.coq-version || coq.coq-version == "dev") "COQMF_") + "COQLIB";
useDune2 = args.useDune2 or (useDune2ifVersion fetched.version);
in
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/docker/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/docker/default.nix
index 9e4709dd9bf..a42b025bc7f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/docker/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/docker/default.nix
@@ -37,6 +37,11 @@
let
+ inherit (lib)
+ escapeShellArgs
+ toList
+ ;
+
mkDbExtraCommand = contents:
let
contentsList = if builtins.isList contents then contents else [ contents ];
@@ -191,13 +196,13 @@ rec {
, postMount ? ""
, postUmount ? ""
}:
- let
- result = vmTools.runInLinuxVM (
+ vmTools.runInLinuxVM (
runCommand name
{
preVM = vmTools.createEmptyImage {
size = diskSize;
fullName = "docker-run-disk";
+ destination = "./image";
};
inherit fromImage fromImageName fromImageTag;
@@ -278,12 +283,6 @@ rec {
${postUmount}
'');
- in
- runCommand name { } ''
- mkdir -p $out
- cd ${result}
- cp layer.tar json VERSION $out
- '';
exportImage = { name ? fromImage.name, fromImage, fromImageName ? null, fromImageTag ? null, diskSize ? 1024 }:
runWithOverlay {
@@ -291,7 +290,13 @@ rec {
postMount = ''
echo "Packing raw image..."
- tar -C mnt --hard-dereference --sort=name --mtime="@$SOURCE_DATE_EPOCH" -cf $out .
+ tar -C mnt --hard-dereference --sort=name --mtime="@$SOURCE_DATE_EPOCH" -cf $out/layer.tar .
+ '';
+
+ postUmount = ''
+ mv $out/layer.tar .
+ rm -rf $out
+ mv layer.tar $out
'';
};
@@ -402,7 +407,7 @@ rec {
preMount = lib.optionalString (contents != null && contents != [ ]) ''
echo "Adding contents..."
- for item in ${toString contents}; do
+ for item in ${escapeShellArgs (map (c: "${c}") (toList contents))}; do
echo "Adding $item..."
rsync -a${if keepContentsDirlinks then "K" else "k"} --chown=0:0 $item/ layer/
done
@@ -636,7 +641,7 @@ rec {
<(sort -n layerFiles|uniq|grep -v ${layer}) -1 -3 > newFiles
# Append the new files to the layer.
tar -rpf temp/layer.tar --hard-dereference --sort=name --mtime="@$SOURCE_DATE_EPOCH" \
- --owner=0 --group=0 --no-recursion --files-from newFiles
+ --owner=0 --group=0 --no-recursion --verbatim-files-from --files-from newFiles
echo "Adding meta..."
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/docker/examples.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/docker/examples.nix
index c66aca56fea..141c2ba0ea4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/docker/examples.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/docker/examples.nix
@@ -95,6 +95,15 @@ rec {
finalImageTag = "2.2.1";
finalImageName = "nix";
};
+ # Same example, but re-fetches every time the fetcher implementation changes.
+ # NOTE: Only use this for testing, or you'd be wasting a lot of time, network and space.
+ testNixFromDockerHub = pkgs.invalidateFetcherByDrvHash pullImage {
+ imageName = "nixos/nix";
+ imageDigest = "sha256:85299d86263a3059cf19f419f9d286cc9f06d3c13146a8ebbb21b3437f598357";
+ sha256 = "19fw0n3wmddahzr20mhdqv6jkjn1kanh6n2mrr08ai53dr8ph5n7";
+ finalImageTag = "2.2.1";
+ finalImageName = "nix";
+ };
# 5. example of multiple contents, emacs and vi happily coexisting
editors = buildImage {
@@ -541,4 +550,19 @@ rec {
config.Cmd = [ "hello" ];
includeStorePaths = false;
};
+
+ # Example export of the bash image
+ exportBash = pkgs.dockerTools.exportImage { fromImage = bash; };
+
+ build-image-with-path = buildImage {
+ name = "build-image-with-path";
+ tag = "latest";
+ contents = [ pkgs.bashInteractive ./test-dummy ];
+ };
+
+ layered-image-with-path = pkgs.dockerTools.streamLayeredImage {
+ name = "layered-image-with-path";
+ tag = "latest";
+ contents = [ pkgs.bashInteractive ./test-dummy ];
+ };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchfirefoxaddon/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchfirefoxaddon/default.nix
index 127f32dd61b..79014fd23c4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchfirefoxaddon/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchfirefoxaddon/default.nix
@@ -2,19 +2,26 @@
{
name
-, url
+, url ? null
, md5 ? ""
, sha1 ? ""
, sha256 ? ""
, sha512 ? ""
, fixedExtid ? null
, hash ? ""
+, src ? ""
}:
-stdenv.mkDerivation rec {
-
- inherit name;
+let
extid = if fixedExtid == null then "nixos@${name}" else fixedExtid;
+ source = if url == null then src else fetchurl {
+ url = url;
+ inherit md5 sha1 sha256 sha512 hash;
+ };
+in
+stdenv.mkDerivation {
+ inherit name;
+
passthru = {
inherit extid;
};
@@ -26,16 +33,12 @@ stdenv.mkDerivation rec {
UUID="${extid}"
mkdir -p "$out/$UUID"
- unzip -q ${src} -d "$out/$UUID"
+ unzip -q ${source} -d "$out/$UUID"
NEW_MANIFEST=$(jq '. + {"applications": { "gecko": { "id": "${extid}" }}, "browser_specific_settings":{"gecko":{"id": "${extid}"}}}' "$out/$UUID/manifest.json")
echo "$NEW_MANIFEST" > "$out/$UUID/manifest.json"
cd "$out/$UUID"
zip -r -q -FS "$out/$UUID.xpi" *
rm -r "$out/$UUID"
'';
- src = fetchurl {
- url = url;
- inherit md5 sha1 sha256 sha512 hash;
- };
nativeBuildInputs = [ coreutils unzip zip jq ];
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchfirefoxaddon/tests.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchfirefoxaddon/tests.nix
new file mode 100644
index 00000000000..c407d0e74b8
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchfirefoxaddon/tests.nix
@@ -0,0 +1,21 @@
+{ invalidateFetcherByDrvHash, fetchFirefoxAddon, fetchurl, ... }:
+
+{
+ simple = invalidateFetcherByDrvHash fetchFirefoxAddon {
+ name = "image-search-options";
+ # Chosen because its only 147KB
+ url = "https://addons.mozilla.org/firefox/downloads/file/3059971/image_search_options-3.0.12-fx.xpi";
+ sha256 = "sha256-H73YWX/DKxvhEwKpWOo7orAQ7c/rQywpljeyxYxv0Gg=";
+ };
+ overidden-source =
+ let
+ image-search-options = fetchurl {
+ url = "https://addons.mozilla.org/firefox/downloads/file/3059971/image_search_options-3.0.12-fx.xpi";
+ sha256 = "sha256-H73YWX/DKxvhEwKpWOo7orAQ7c/rQywpljeyxYxv0Gg=";
+ };
+ in
+ invalidateFetcherByDrvHash fetchFirefoxAddon {
+ name = "image-search-options";
+ src = image-search-options;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgit/default.nix
index 3222866dc78..c139030ea97 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgit/default.nix
@@ -21,6 +21,11 @@ in
postFetch ? ""
, preferLocalBuild ? true
, fetchLFS ? false
+, # Shell code to build a netrc file for BASIC auth
+ netrcPhase ? null
+, # Impure env vars (https://nixos.org/nix/manual/#sec-advanced-attributes)
+ # needed for netrcPhase
+ netrcImpureEnvVars ? []
}:
/* NOTE:
@@ -64,10 +69,17 @@ stdenvNoCC.mkDerivation {
inherit url rev leaveDotGit fetchLFS fetchSubmodules deepClone branchName postFetch;
+ postHook = if netrcPhase == null then null else ''
+ ${netrcPhase}
+ # required that git uses the netrc file
+ mv {,.}netrc
+ export HOME=$PWD
+ '';
+
GIT_SSL_CAINFO = "${cacert}/etc/ssl/certs/ca-bundle.crt";
- impureEnvVars = lib.fetchers.proxyImpureEnvVars ++ [
- "GIT_PROXY_COMMAND" "SOCKS_SERVER"
+ impureEnvVars = lib.fetchers.proxyImpureEnvVars ++ netrcImpureEnvVars ++ [
+ "GIT_PROXY_COMMAND" "NIX_GIT_SSL_CAINFO" "SOCKS_SERVER"
];
inherit preferLocalBuild;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgit/nix-prefetch-git b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgit/nix-prefetch-git
index 6e869ab5e43..10b402de614 100755
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgit/nix-prefetch-git
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgit/nix-prefetch-git
@@ -17,6 +17,10 @@ branchName=$NIX_PREFETCH_GIT_BRANCH_NAME
out=${out:-}
http_proxy=${http_proxy:-}
+# allow overwritting cacert's ca-bundle.crt with a custom one
+# this can be done by setting NIX_GIT_SSL_CAINFO and NIX_SSL_CERT_FILE enviroment variables for the nix-daemon
+GIT_SSL_CAINFO=${NIX_GIT_SSL_CAINFO:-$GIT_SSL_CAINFO}
+
# populated by clone_user_rev()
fullRev=
humanReadableRev=
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgit/tests.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgit/tests.nix
new file mode 100644
index 00000000000..6805473e296
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgit/tests.nix
@@ -0,0 +1,10 @@
+{ invalidateFetcherByDrvHash, fetchgit, ... }:
+
+{
+ simple = invalidateFetcherByDrvHash fetchgit {
+ name = "nix-source";
+ url = "https://github.com/NixOS/nix";
+ rev = "9d9dbe6ed05854e03811c361a3380e09183f4f4a";
+ sha256 = "sha256-7DszvbCNTjpzGRmpIVAWXk20P0/XTrWZ79KSOGLrUWY=";
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgithub/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgithub/default.nix
index 3f355d10f8a..ea95bbb4793 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgithub/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchgithub/default.nix
@@ -2,7 +2,7 @@
{ owner, repo, rev, name ? "source"
, fetchSubmodules ? false, leaveDotGit ? null
-, deepClone ? false, private ? false
+, deepClone ? false, private ? false, forceFetchGit ? false
, githubBase ? "github.com", varPrefix ? null
, ... # For hash agility
}@args:
@@ -10,7 +10,7 @@ let
baseUrl = "https://${githubBase}/${owner}/${repo}";
passthruAttrs = removeAttrs args [ "owner" "repo" "rev" "fetchSubmodules" "private" "githubBase" "varPrefix" ];
varBase = "NIX${if varPrefix == null then "" else "_${varPrefix}"}_GITHUB_PRIVATE_";
- useFetchGit = fetchSubmodules || (leaveDotGit == true) || deepClone;
+ useFetchGit = fetchSubmodules || (leaveDotGit == true) || deepClone || forceFetchGit;
# We prefer fetchzip in cases we don't need submodules as the hash
# is more stable in that case.
fetcher = if useFetchGit then fetchgit else fetchzip;
@@ -32,10 +32,8 @@ let
then {
inherit rev deepClone fetchSubmodules; url = "${baseUrl}.git";
} // lib.optionalAttrs (leaveDotGit != null) { inherit leaveDotGit; }
- else ({ url = "${baseUrl}/archive/${rev}.tar.gz"; } // privateAttrs)
- ) // passthruAttrs // { inherit name; };
+ else { url = "${baseUrl}/archive/${rev}.tar.gz"; }
+ ) // privateAttrs // passthruAttrs // { inherit name; };
in
-assert private -> !useFetchGit;
-
fetcher fetcherArgs // { meta.homepage = baseUrl; inherit rev; }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchnextcloudapp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchnextcloudapp/default.nix
new file mode 100644
index 00000000000..7fe5b35e259
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchnextcloudapp/default.nix
@@ -0,0 +1,37 @@
+{ stdenv, gnutar, findutils, fetchurl, ... }:
+{ name
+, url
+, version
+, sha256
+, patches ? [ ]
+}:
+stdenv.mkDerivation {
+ name = "nc-app-${name}";
+ inherit version patches;
+
+ src = fetchurl {
+ inherit url sha256;
+ };
+
+ nativeBuildInputs = [
+ gnutar
+ findutils
+ ];
+
+ unpackPhase = ''
+ tar -xzpf $src
+ '';
+
+ installPhase = ''
+ approot="$(dirname $(dirname $(find -path '*/appinfo/info.xml' | head -n 1)))"
+
+ if [ -d "$approot" ];
+ then
+ mv "$approot/" $out
+ chmod -R a-w $out
+ else
+ echo "Could not find appinfo/info.xml"
+ exit 1;
+ fi
+ '';
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchzip/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchzip/default.nix
index b174c252fc0..af38537737e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchzip/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/fetchzip/default.nix
@@ -40,6 +40,7 @@ in {
renamed="$TMPDIR/${tmpFilename}"
mv "$downloadedFile" "$renamed"
unpackFile "$renamed"
+ chmod -R +w "$unpackDir"
''
+ (if stripRoot then ''
if [ $(ls "$unpackDir" | wc -l) != 1 ]; then
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/libredirect/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/libredirect/default.nix
index 4678d35442f..42525ec98a7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/libredirect/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/libredirect/default.nix
@@ -14,6 +14,8 @@ stdenv.mkDerivation rec {
outputs = ["out" "hook"];
buildPhase = ''
+ runHook preBuild
+
$CC -Wall -std=c99 -O3 -fPIC -ldl -shared \
${lib.optionalString stdenv.isDarwin "-Wl,-install_name,$out/lib/$libName"} \
-o "$libName" \
@@ -22,9 +24,18 @@ stdenv.mkDerivation rec {
if [ -n "$doInstallCheck" ]; then
$CC -Wall -std=c99 -O3 test.c -o test
fi
+
+ runHook postBuild
'';
+ # We want to retain debugging info to be able to use GDB on libredirect.so
+ # to more easily investigate which function overrides are missing or why
+ # existing ones do not have the intended effect.
+ dontStrip = true;
+
installPhase = ''
+ runHook preInstall
+
install -vD "$libName" "$out/lib/$libName"
mkdir -p "$hook/nix-support"
@@ -36,6 +47,8 @@ stdenv.mkDerivation rec {
export LD_PRELOAD="$out/lib/$libName"
''}
SETUP_HOOK
+
+ runHook postInstall
'';
doInstallCheck = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/libredirect/libredirect.c b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/libredirect/libredirect.c
index dfa2978e9f4..c7058ce123c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/libredirect/libredirect.c
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/libredirect/libredirect.c
@@ -17,15 +17,22 @@ static int nrRedirects = 0;
static char * from[MAX_REDIRECTS];
static char * to[MAX_REDIRECTS];
+static int isInitialized = 0;
+
// FIXME: might run too late.
static void init() __attribute__((constructor));
static void init()
{
+ if (isInitialized) return;
+
char * spec = getenv("NIX_REDIRECTS");
if (!spec) return;
- unsetenv("NIX_REDIRECTS");
+ // Ensure we only run this code once.
+ // We do not do `unsetenv("NIX_REDIRECTS")` to ensure that redirects
+ // also get initialized for subprocesses.
+ isInitialized = 1;
char * spec2 = malloc(strlen(spec) + 1);
strcpy(spec2, spec);
@@ -272,3 +279,17 @@ int system(const char *command)
rewriteSystemCall(command, newCommand);
return _system(newCommand);
}
+
+int mkdir(const char *path, mode_t mode)
+{
+ int (*mkdir_real) (const char *path, mode_t mode) = dlsym(RTLD_NEXT, "mkdir");
+ char buf[PATH_MAX];
+ return mkdir_real(rewrite(path, buf), mode);
+}
+
+int mkdirat(int dirfd, const char *path, mode_t mode)
+{
+ int (*mkdirat_real) (int dirfd, const char *path, mode_t mode) = dlsym(RTLD_NEXT, "mkdirat");
+ char buf[PATH_MAX];
+ return mkdirat_real(dirfd, rewrite(path, buf), mode);
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/libredirect/test.c b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/libredirect/test.c
index 722d1303771..853f26bb520 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/libredirect/test.c
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/libredirect/test.c
@@ -10,6 +10,7 @@
#include
#define TESTPATH "/foo/bar/test"
+#define SUBTEST "./test sub"
extern char **environ;
@@ -36,7 +37,11 @@ void test_system(void) {
assert(system(TESTPATH) == 0);
}
-int main(void)
+void test_subprocess(void) {
+ assert(system(SUBTEST) == 0);
+}
+
+int main(int argc, char *argv[])
{
FILE *testfp;
int testfd;
@@ -56,6 +61,14 @@ int main(void)
test_spawn();
test_system();
+
+ // Only run subprocess if no arguments are given
+ // as the subprocess will be called without argument
+ // otherwise we will have infinite recursion
+ if (argc == 1) {
+ test_subprocess();
+ }
+
test_execv();
/* If all goes well, this is never reached because test_execv() replaces
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/mkshell/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/mkshell/default.nix
index 7ca4cc23c1d..27ee7e32262 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/mkshell/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/mkshell/default.nix
@@ -14,8 +14,9 @@
, ...
}@attrs:
let
- mergeInputs = name: lib.concatLists (lib.catAttrs name
- ([ attrs ] ++ inputsFrom));
+ mergeInputs = name:
+ (attrs.${name} or []) ++
+ (lib.subtractLists inputsFrom (lib.flatten (lib.catAttrs name inputsFrom)));
rest = builtins.removeAttrs attrs [
"packages"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/default.nix
new file mode 100644
index 00000000000..03be881311f
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/default.nix
@@ -0,0 +1,74 @@
+{ stdenv, lib, makeWrapper, coreutils, nix-prefetch-git, fetchurl, nodejs-slim, prefetch-yarn-deps, cacert, callPackage }:
+
+let
+ yarnpkg-lockfile-tar = fetchurl {
+ url = "https://registry.yarnpkg.com/@yarnpkg/lockfile/-/lockfile-1.1.0.tgz";
+ sha512 = "sha512-GpSwvyXOcOOlV70vbnzjj4fW5xW/FdUF6nQEt1ENy7m4ZCczi1+/buVUPAqmGfqznsORNFzUMjctTIp8a9tuCQ==";
+ };
+
+in {
+ prefetch-yarn-deps = stdenv.mkDerivation {
+ name = "prefetch-yarn-deps";
+
+ dontUnpack = true;
+
+ nativeBuildInputs = [ makeWrapper ];
+ buildInputs = [ coreutils nix-prefetch-git nodejs-slim ];
+
+ buildPhase = ''
+ runHook preBuild
+
+ mkdir libexec
+ tar --strip-components=1 -xf ${yarnpkg-lockfile-tar} package/index.js
+ mv index.js libexec/yarnpkg-lockfile.js
+ cp ${./index.js} libexec/index.js
+ patchShebangs libexec/index.js
+
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/bin
+ cp -r libexec $out
+ makeWrapper $out/libexec/index.js $out/bin/prefetch-yarn-deps \
+ --prefix PATH : ${lib.makeBinPath [ coreutils nix-prefetch-git ]}
+
+ runHook postInstall
+ '';
+ };
+
+ fetchYarnDeps = let
+ f = {
+ name ? "offline",
+ yarnLock,
+ hash ? "",
+ sha256 ? "",
+ }: let
+ hash_ =
+ if hash != "" then { outputHashAlgo = null; outputHash = hash; }
+ else if sha256 != "" then { outputHashAlgo = "sha256"; outputHash = sha256; }
+ else throw "fetchYarnDeps requires a hash";
+ in stdenv.mkDerivation {
+ inherit name;
+
+ dontUnpack = true;
+ dontInstall = true;
+
+ nativeBuildInputs = [ prefetch-yarn-deps ];
+ GIT_SSL_CAINFO = "${cacert}/etc/ssl/certs/ca-bundle.crt";
+
+ buildPhase = ''
+ mkdir -p $out
+ (cd $out; prefetch-yarn-deps --verbose --builder ${yarnLock})
+ '';
+
+ outputHashMode = "recursive";
+ inherit (hash_) outputHashAlgo outputHash;
+ };
+
+ in lib.setFunctionArgs f (lib.functionArgs f) // {
+ tests = callPackage ./tests {};
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/index.js b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/index.js
new file mode 100755
index 00000000000..a9c5ab29cce
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/index.js
@@ -0,0 +1,169 @@
+#!/usr/bin/env node
+'use strict'
+
+const fs = require('fs')
+const crypto = require('crypto')
+const process = require('process')
+const https = require('https')
+const child_process = require('child_process')
+const path = require('path')
+const lockfile = require('./yarnpkg-lockfile.js')
+const { promisify } = require('util')
+
+const execFile = promisify(child_process.execFile)
+
+const exec = async (...args) => {
+ const res = await execFile(...args)
+ if (res.error) throw new Error(res.stderr)
+ return res
+}
+
+const downloadFileHttps = (fileName, url, expectedHash) => {
+ return new Promise((resolve, reject) => {
+ https.get(url, (res) => {
+ const file = fs.createWriteStream(fileName)
+ const hash = crypto.createHash('sha1')
+ res.pipe(file)
+ res.pipe(hash).setEncoding('hex')
+ res.on('end', () => {
+ file.close()
+ const h = hash.read()
+ if (h != expectedHash) return reject(new Error(`hash mismatch, expected ${expectedHash}, got ${h}`))
+ resolve()
+ })
+ res.on('error', e => reject(e))
+ })
+ })
+}
+
+const downloadGit = async (fileName, url, rev) => {
+ await exec('nix-prefetch-git', [
+ '--out', fileName + '.tmp',
+ '--url', url,
+ '--rev', rev,
+ '--builder'
+ ])
+
+ await exec('tar', [
+ // hopefully make it reproducible across runs and systems
+ '--owner=0', '--group=0', '--numeric-owner', '--format=gnu', '--sort=name', '--mtime=@1',
+
+ // Set u+w because tar-fs can't unpack archives with read-only dirs: https://github.com/mafintosh/tar-fs/issues/79
+ '--mode', 'u+w',
+
+ '-C', fileName + '.tmp',
+ '-cf', fileName, '.'
+ ])
+
+ await exec('rm', [ '-rf', fileName + '.tmp', ])
+}
+
+const downloadPkg = (pkg, verbose) => {
+ const [ url, hash ] = pkg.resolved.split('#')
+ if (verbose) console.log('downloading ' + url)
+ if (url.startsWith('https://codeload.github.com/') && url.includes('/tar.gz/')) {
+ const fileName = path.basename(url)
+ const s = url.split('/')
+ downloadGit(fileName, `https://github.com/${s[3]}/${s[4]}.git`, s[6])
+ } else if (url.startsWith('https://')) {
+ const fileName = url
+ .replace(/https:\/\/(.)*(.com)\//g, '') // prevents having long directory names
+ .replace(/[@/%:-]/g, '_') // replace @ and : and - and % characters with underscore
+
+ return downloadFileHttps(fileName, url, hash)
+ } else if (url.startsWith('git+')) {
+ const fileName = path.basename(url)
+ return downloadGit(fileName, url.replace(/^git\+/, ''), hash)
+ } else {
+ throw new Error('don\'t know how to download "' + url + '"')
+ }
+}
+
+const performParallel = tasks => {
+ const worker = async () => {
+ while (tasks.length > 0) await tasks.shift()()
+ }
+
+ const workers = []
+ for (let i = 0; i < 4; i++) {
+ workers.push(worker())
+ }
+
+ return Promise.all(workers)
+}
+
+const prefetchYarnDeps = async (lockContents, verbose) => {
+ const lockData = lockfile.parse(lockContents)
+ const tasks = Object.values(
+ Object.entries(lockData.object)
+ .map(([key, value]) => {
+ return { key, ...value }
+ })
+ .reduce((out, pkg) => {
+ out[pkg.resolved] = pkg
+ return out
+ }, {})
+ )
+ .map(pkg => () => downloadPkg(pkg, verbose))
+
+ await performParallel(tasks)
+ await fs.promises.writeFile('yarn.lock', lockContents)
+ if (verbose) console.log('Done')
+}
+
+const showUsage = async () => {
+ process.stderr.write(`
+syntax: prefetch-yarn-deps [path to yarn.lock] [options]
+
+Options:
+ -h --help Show this help
+ -v --verbose Verbose output
+ --builder Only perform the download to current directory, then exit
+`)
+ process.exit(1)
+}
+
+const main = async () => {
+ const args = process.argv.slice(2)
+ let next, lockFile, verbose, isBuilder
+ while (next = args.shift()) {
+ if (next == '--builder') {
+ isBuilder = true
+ } else if (next == '--verbose' || next == '-v') {
+ verbose = true
+ } else if (next == '--help' || next == '-h') {
+ showUsage()
+ } else if (!lockFile) {
+ lockFile = next
+ } else {
+ showUsage()
+ }
+ }
+ let lockContents
+ try {
+ lockContents = await fs.promises.readFile(lockFile || 'yarn.lock', 'utf-8')
+ } catch {
+ showUsage()
+ }
+
+ if (isBuilder) {
+ await prefetchYarnDeps(lockContents, verbose)
+ } else {
+ const { stdout: tmpDir } = await exec('mktemp', [ '-d' ])
+
+ try {
+ process.chdir(tmpDir.trim())
+ await prefetchYarnDeps(lockContents, verbose)
+ const { stdout: hash } = await exec('nix-hash', [ '--type', 'sha256', '--base32', tmpDir.trim() ])
+ console.log(hash)
+ } finally {
+ await exec('rm', [ '-rf', tmpDir.trim() ])
+ }
+ }
+}
+
+main()
+ .catch(e => {
+ console.error(e)
+ process.exit(1)
+ })
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/tests/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/tests/default.nix
new file mode 100644
index 00000000000..a781dad8307
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/tests/default.nix
@@ -0,0 +1,16 @@
+{ invalidateFetcherByDrvHash, fetchYarnDeps, ... }:
+
+{
+ simple = invalidateFetcherByDrvHash fetchYarnDeps {
+ yarnLock = ./simple.lock;
+ sha256 = "sha256-Erdkw2E8wWT09jFNLXGkrdwKl0HuSZWnUDJUrV95vSE=";
+ };
+ gitDep = invalidateFetcherByDrvHash fetchYarnDeps {
+ yarnLock = ./git.lock;
+ sha256 = "sha256-lAqN4LpoE+jgsQO1nDtuORwcVEO7ogEV53jCu2jFJUI=";
+ };
+ githubDep = invalidateFetcherByDrvHash fetchYarnDeps {
+ yarnLock = ./github.lock;
+ sha256 = "sha256-Tsfgyjxz8x6gNmfN0xR7G/NQNoEs4svxRN/N+26vfJU=";
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/tests/git.lock b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/tests/git.lock
new file mode 100644
index 00000000000..9eda5b2c409
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/tests/git.lock
@@ -0,0 +1,7 @@
+# THIS IS AN AUTOGENERATED FILE. DO NOT EDIT THIS FILE DIRECTLY.
+# yarn lockfile v1
+
+
+"async@git+https://github.com/caolan/async":
+ version "3.2.1"
+ resolved "git+https://github.com/caolan/async#fc9ba651341af5ab974aade6b1640e345912be83"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/tests/github.lock b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/tests/github.lock
new file mode 100644
index 00000000000..057e043a539
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/tests/github.lock
@@ -0,0 +1,7 @@
+# THIS IS AN AUTOGENERATED FILE. DO NOT EDIT THIS FILE DIRECTLY.
+# yarn lockfile v1
+
+
+"async@github:caolan/async":
+ version "3.2.1"
+ resolved "https://codeload.github.com/caolan/async/tar.gz/fc9ba651341af5ab974aade6b1640e345912be83"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/tests/simple.lock b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/tests/simple.lock
new file mode 100644
index 00000000000..db2f4b2be4b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/node/fetch-yarn-deps/tests/simple.lock
@@ -0,0 +1,8 @@
+# THIS IS AN AUTOGENERATED FILE. DO NOT EDIT THIS FILE DIRECTLY.
+# yarn lockfile v1
+
+
+lit-html@1:
+ version "1.4.1"
+ resolved "https://registry.yarnpkg.com/lit-html/-/lit-html-1.4.1.tgz#0c6f3ee4ad4eb610a49831787f0478ad8e9ae5e0"
+ integrity sha512-B9btcSgPYb1q4oSOb/PrOT6Z/H+r6xuNzfH4lFli/AWhYwdtrgQkQWBbIc6mdnf6E2IL3gDXdkkqNktpU0OZQA==
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/ocaml/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/ocaml/default.nix
index 88ed3dfc2c2..cd17eb688c2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/ocaml/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/ocaml/default.nix
@@ -1,6 +1,6 @@
{ lib, stdenv, writeText, ocaml, findlib, ocamlbuild, camlp4 }:
-{ name, version, buildInputs ? [],
+{ name, version, nativeBuildInputs ? [],
createFindlibDestdir ? true,
dontStrip ? true,
minimumSupportedOcamlVersion ? null,
@@ -19,7 +19,7 @@ in
stdenv.mkDerivation (args // {
name = "ocaml-${name}-${version}";
- buildInputs = [ ocaml findlib ocamlbuild camlp4 ] ++ buildInputs;
+ nativeBuildInputs = [ ocaml findlib ocamlbuild camlp4 ] ++ nativeBuildInputs;
setupHook = if setupHook == null && hasSharedObjects
then writeText "setupHook.sh" ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/ocaml/dune.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/ocaml/dune.nix
index c049878d013..6bdec501630 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/ocaml/dune.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/ocaml/dune.nix
@@ -1,6 +1,6 @@
{ lib, stdenv, ocaml, findlib, dune_1, dune_2 }:
-{ pname, version, buildInputs ? [], enableParallelBuilding ? true, ... }@args:
+{ pname, version, nativeBuildInputs ? [], enableParallelBuilding ? true, ... }@args:
let Dune = if args.useDune2 or false then dune_2 else dune_1; in
@@ -12,6 +12,8 @@ else
stdenv.mkDerivation ({
inherit enableParallelBuilding;
+ dontAddStaticConfigureFlags = true;
+ configurePlatforms = [];
buildPhase = ''
runHook preBuild
@@ -33,7 +35,7 @@ stdenv.mkDerivation ({
name = "ocaml${ocaml.version}-${pname}-${version}";
- buildInputs = [ ocaml Dune findlib ] ++ buildInputs;
+ nativeBuildInputs = [ ocaml Dune findlib ] ++ nativeBuildInputs;
meta = (args.meta or {}) // { platforms = args.meta.platforms or ocaml.meta.platforms; };
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/release/debian-build.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/release/debian-build.nix
index bd54401e235..9104bf2dce5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/release/debian-build.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/release/debian-build.nix
@@ -3,7 +3,7 @@
{ name ? "debian-build"
, diskImage
-, src, stdenv, vmTools, checkinstall
+, src, lib, stdenv, vmTools, checkinstall
, fsTranslation ? false
, # Features provided by this package.
debProvides ? []
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/build-rust-crate/build-crate.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/build-rust-crate/build-crate.nix
index 3441e2c5e7b..42c5f6ab3c0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/build-rust-crate/build-crate.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/build-rust-crate/build-crate.nix
@@ -15,8 +15,9 @@
"--remap-path-prefix=$NIX_BUILD_TOP=/"
(mkRustcDepArgs dependencies crateRenames)
(mkRustcFeatureArgs crateFeatures)
+ ] ++ lib.optionals (stdenv.hostPlatform != stdenv.buildPlatform) [
+ "--target" (rust.toRustTargetSpec stdenv.hostPlatform)
] ++ extraRustcOpts
- ++ lib.optional (stdenv.hostPlatform != stdenv.buildPlatform) "--target ${rust.toRustTargetSpec stdenv.hostPlatform} -C linker=${stdenv.hostPlatform.config}-gcc"
# since rustc 1.42 the "proc_macro" crate is part of the default crate prelude
# https://github.com/rust-lang/cargo/commit/4d64eb99a4#diff-7f98585dbf9d30aa100c8318e2c77e79R1021-R1022
++ lib.optional (lib.elem "proc-macro" crateType) "--extern proc_macro"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/build-rust-crate/configure-crate.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/build-rust-crate/configure-crate.nix
index d1010ac1adb..1a9705591d6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/build-rust-crate/configure-crate.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/build-rust-crate/configure-crate.nix
@@ -13,7 +13,7 @@
, crateRenames
, crateVersion
, extraLinkFlags
-, extraRustcOpts
+, extraRustcOptsForBuildRs
, libName
, libPath
, release
@@ -24,7 +24,7 @@ let version_ = lib.splitString "-" crateVersion;
version = lib.splitVersion (lib.head version_);
rustcOpts = lib.foldl' (opts: opt: opts + " " + opt)
(if release then "-C opt-level=3" else "-C debuginfo=2")
- (["-C codegen-units=$NIX_BUILD_CORES"] ++ extraRustcOpts);
+ (["-C codegen-units=$NIX_BUILD_CORES"] ++ extraRustcOptsForBuildRs);
buildDeps = mkRustcDepArgs buildDependencies crateRenames;
authors = lib.concatStringsSep ":" crateAuthors;
optLevel = if release then 3 else 0;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/build-rust-crate/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/build-rust-crate/default.nix
index e605c9550e5..afb938e5118 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/build-rust-crate/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/build-rust-crate/default.nix
@@ -4,313 +4,358 @@
# This can be useful for deploying packages with NixOps, and to share
# binary dependencies between projects.
-{ lib, stdenv, defaultCrateOverrides, fetchCrate, pkgsBuildBuild, rustc, rust
-, cargo, jq }:
+{ lib
+, stdenv
+, defaultCrateOverrides
+, fetchCrate
+, pkgsBuildBuild
+, rustc
+, rust
+, cargo
+, jq
+, libiconv
+}:
let
- # Create rustc arguments to link against the given list of dependencies
- # and renames.
- #
- # See docs for crateRenames below.
- mkRustcDepArgs = dependencies: crateRenames:
- lib.concatMapStringsSep " " (dep:
+ # Create rustc arguments to link against the given list of dependencies
+ # and renames.
+ #
+ # See docs for crateRenames below.
+ mkRustcDepArgs = dependencies: crateRenames:
+ lib.concatMapStringsSep " "
+ (dep:
let
- normalizeName = lib.replaceStrings ["-"] ["_"];
+ normalizeName = lib.replaceStrings [ "-" ] [ "_" ];
extern = normalizeName dep.libName;
# Find a choice that matches in name and optionally version.
findMatchOrUseExtern = choices:
- lib.findFirst (choice:
- (!(choice ? version)
- || choice.version == dep.version or ""))
- { rename = extern; }
- choices;
- name = if lib.hasAttr dep.crateName crateRenames then
- let choices = crateRenames.${dep.crateName};
- in
- normalizeName (
- if builtins.isList choices
- then (findMatchOrUseExtern choices).rename
- else choices
- )
- else
- extern;
- in (if lib.any (x: x == "lib" || x == "rlib") dep.crateType then
- " --extern ${name}=${dep.lib}/lib/lib${extern}-${dep.metadata}.rlib"
- else
- " --extern ${name}=${dep.lib}/lib/lib${extern}-${dep.metadata}${stdenv.hostPlatform.extensions.sharedLibrary}")
- ) dependencies;
+ lib.findFirst
+ (choice:
+ (!(choice ? version)
+ || choice.version == dep.version or ""))
+ { rename = extern; }
+ choices;
+ name =
+ if lib.hasAttr dep.crateName crateRenames then
+ let choices = crateRenames.${dep.crateName};
+ in
+ normalizeName (
+ if builtins.isList choices
+ then (findMatchOrUseExtern choices).rename
+ else choices
+ )
+ else
+ extern;
+ in
+ (if lib.any (x: x == "lib" || x == "rlib") dep.crateType then
+ " --extern ${name}=${dep.lib}/lib/lib${extern}-${dep.metadata}.rlib"
+ else
+ " --extern ${name}=${dep.lib}/lib/lib${extern}-${dep.metadata}${stdenv.hostPlatform.extensions.sharedLibrary}")
+ )
+ dependencies;
- # Create feature arguments for rustc.
- mkRustcFeatureArgs = lib.concatMapStringsSep " " (f: ''--cfg feature=\"${f}\"'');
+ # Create feature arguments for rustc.
+ mkRustcFeatureArgs = lib.concatMapStringsSep " " (f: ''--cfg feature=\"${f}\"'');
- inherit (import ./log.nix { inherit lib; }) noisily echo_colored;
+ inherit (import ./log.nix { inherit lib; }) noisily echo_colored;
- configureCrate = import ./configure-crate.nix {
- inherit lib stdenv rust echo_colored noisily mkRustcDepArgs mkRustcFeatureArgs;
- };
+ configureCrate = import ./configure-crate.nix {
+ inherit lib stdenv rust echo_colored noisily mkRustcDepArgs mkRustcFeatureArgs;
+ };
- buildCrate = import ./build-crate.nix {
- inherit lib stdenv mkRustcDepArgs mkRustcFeatureArgs rust;
- };
+ buildCrate = import ./build-crate.nix {
+ inherit lib stdenv mkRustcDepArgs mkRustcFeatureArgs rust;
+ };
- installCrate = import ./install-crate.nix { inherit stdenv; };
+ installCrate = import ./install-crate.nix { inherit stdenv; };
- # Allow access to the rust attribute set from inside buildRustCrate, which
- # has a parameter that shadows the name.
- rustAttrs = rust;
+ # Allow access to the rust attribute set from inside buildRustCrate, which
+ # has a parameter that shadows the name.
+ rustAttrs = rust;
in
-/* The overridable pkgs.buildRustCrate function.
- *
- * Any unrecognized parameters will be passed as to
- * the underlying stdenv.mkDerivation.
- */
- crate_: lib.makeOverridable (
- # The rust compiler to use.
- #
- # Default: pkgs.rustc
- { rust
- # Whether to build a release version (`true`) or a debug
- # version (`false`). Debug versions are faster to build
- # but might be much slower at runtime.
- , release
- # Whether to print rustc invocations etc.
- #
- # Example: false
- # Default: true
- , verbose
- # A list of rust/cargo features to enable while building the crate.
- # Example: [ "std" "async" ]
- , features
- # Additional native build inputs for building this crate.
- , nativeBuildInputs
- # Additional build inputs for building this crate.
- #
- # Example: [ pkgs.openssl ]
- , buildInputs
- # Allows to override the parameters to buildRustCrate
- # for any rust dependency in the transitive build tree.
- #
- # Default: pkgs.defaultCrateOverrides
- #
- # Example:
- #
- # pkgs.defaultCrateOverrides // {
- # hello = attrs: { buildInputs = [ openssl ]; };
- # }
- , crateOverrides
- # Rust library dependencies, i.e. other libaries that were built
- # with buildRustCrate.
- , dependencies
- # Rust build dependencies, i.e. other libaries that were built
- # with buildRustCrate and are used by a build script.
- , buildDependencies
- # Specify the "extern" name of a library if it differs from the library target.
- # See above for an extended explanation.
- #
- # Default: no renames.
- #
- # Example:
- #
- # `crateRenames` supports two formats.
- #
- # The simple version is an attrset that maps the
- # `crateName`s of the dependencies to their alternative
- # names.
- #
- # ```nix
- # {
- # my_crate_name = "my_alternative_name";
- # # ...
- # }
- # ```
- #
- # The extended version is also keyed by the `crateName`s but allows
- # different names for different crate versions:
- #
- # ```nix
- # {
- # my_crate_name = [
- # { version = "1.2.3"; rename = "my_alternative_name01"; }
- # { version = "3.2.3"; rename = "my_alternative_name03"; }
- # ]
- # # ...
- # }
- # ```
- #
- # This roughly corresponds to the following snippet in Cargo.toml:
- #
- # ```toml
- # [dependencies]
- # my_alternative_name01 = { package = "my_crate_name", version = "0.1" }
- # my_alternative_name03 = { package = "my_crate_name", version = "0.3" }
- # ```
- #
- # Dependencies which use the lib target name as extern name, do not need
- # to be specified in the crateRenames, even if their crate name differs.
- #
- # Including multiple versions of a crate is very popular during
- # ecosystem transitions, e.g. from futures 0.1 to futures 0.3.
- , crateRenames
- # A list of extra options to pass to rustc.
- #
- # Example: [ "-Z debuginfo=2" ]
- # Default: []
- , extraRustcOpts
- # Whether to enable building tests.
- # Use true to enable.
- # Default: false
- , buildTests
- # Passed to stdenv.mkDerivation.
- , preUnpack
- # Passed to stdenv.mkDerivation.
- , postUnpack
- # Passed to stdenv.mkDerivation.
- , prePatch
- # Passed to stdenv.mkDerivation.
- , patches
- # Passed to stdenv.mkDerivation.
- , postPatch
- # Passed to stdenv.mkDerivation.
- , preConfigure
- # Passed to stdenv.mkDerivation.
- , postConfigure
- # Passed to stdenv.mkDerivation.
- , preBuild
- # Passed to stdenv.mkDerivation.
- , postBuild
- # Passed to stdenv.mkDerivation.
- , preInstall
- # Passed to stdenv.mkDerivation.
- , postInstall
- }:
+ /* The overridable pkgs.buildRustCrate function.
+ *
+ * Any unrecognized parameters will be passed as to
+ * the underlying stdenv.mkDerivation.
+ */
+crate_: lib.makeOverridable
+ (
+ # The rust compiler to use.
+ #
+ # Default: pkgs.rustc
+ { rust
+ # Whether to build a release version (`true`) or a debug
+ # version (`false`). Debug versions are faster to build
+ # but might be much slower at runtime.
+ , release
+ # Whether to print rustc invocations etc.
+ #
+ # Example: false
+ # Default: true
+ , verbose
+ # A list of rust/cargo features to enable while building the crate.
+ # Example: [ "std" "async" ]
+ , features
+ # Additional native build inputs for building this crate.
+ , nativeBuildInputs
+ # Additional build inputs for building this crate.
+ #
+ # Example: [ pkgs.openssl ]
+ , buildInputs
+ # Allows to override the parameters to buildRustCrate
+ # for any rust dependency in the transitive build tree.
+ #
+ # Default: pkgs.defaultCrateOverrides
+ #
+ # Example:
+ #
+ # pkgs.defaultCrateOverrides // {
+ # hello = attrs: { buildInputs = [ openssl ]; };
+ # }
+ , crateOverrides
+ # Rust library dependencies, i.e. other libaries that were built
+ # with buildRustCrate.
+ , dependencies
+ # Rust build dependencies, i.e. other libaries that were built
+ # with buildRustCrate and are used by a build script.
+ , buildDependencies
+ # Specify the "extern" name of a library if it differs from the library target.
+ # See above for an extended explanation.
+ #
+ # Default: no renames.
+ #
+ # Example:
+ #
+ # `crateRenames` supports two formats.
+ #
+ # The simple version is an attrset that maps the
+ # `crateName`s of the dependencies to their alternative
+ # names.
+ #
+ # ```nix
+ # {
+ # my_crate_name = "my_alternative_name";
+ # # ...
+ # }
+ # ```
+ #
+ # The extended version is also keyed by the `crateName`s but allows
+ # different names for different crate versions:
+ #
+ # ```nix
+ # {
+ # my_crate_name = [
+ # { version = "1.2.3"; rename = "my_alternative_name01"; }
+ # { version = "3.2.3"; rename = "my_alternative_name03"; }
+ # ]
+ # # ...
+ # }
+ # ```
+ #
+ # This roughly corresponds to the following snippet in Cargo.toml:
+ #
+ # ```toml
+ # [dependencies]
+ # my_alternative_name01 = { package = "my_crate_name", version = "0.1" }
+ # my_alternative_name03 = { package = "my_crate_name", version = "0.3" }
+ # ```
+ #
+ # Dependencies which use the lib target name as extern name, do not need
+ # to be specified in the crateRenames, even if their crate name differs.
+ #
+ # Including multiple versions of a crate is very popular during
+ # ecosystem transitions, e.g. from futures 0.1 to futures 0.3.
+ , crateRenames
+ # A list of extra options to pass to rustc.
+ #
+ # Example: [ "-Z debuginfo=2" ]
+ # Default: []
+ , extraRustcOpts
+ # A list of extra options to pass to rustc when building a build.rs.
+ #
+ # Example: [ "-Z debuginfo=2" ]
+ # Default: []
+ , extraRustcOptsForBuildRs
+ # Whether to enable building tests.
+ # Use true to enable.
+ # Default: false
+ , buildTests
+ # Passed to stdenv.mkDerivation.
+ , preUnpack
+ # Passed to stdenv.mkDerivation.
+ , postUnpack
+ # Passed to stdenv.mkDerivation.
+ , prePatch
+ # Passed to stdenv.mkDerivation.
+ , patches
+ # Passed to stdenv.mkDerivation.
+ , postPatch
+ # Passed to stdenv.mkDerivation.
+ , preConfigure
+ # Passed to stdenv.mkDerivation.
+ , postConfigure
+ # Passed to stdenv.mkDerivation.
+ , preBuild
+ # Passed to stdenv.mkDerivation.
+ , postBuild
+ # Passed to stdenv.mkDerivation.
+ , preInstall
+ # Passed to stdenv.mkDerivation.
+ , postInstall
+ }:
-let crate = crate_ // (lib.attrByPath [ crate_.crateName ] (attr: {}) crateOverrides crate_);
- dependencies_ = dependencies;
- buildDependencies_ = buildDependencies;
- processedAttrs = [
- "src" "nativeBuildInputs" "buildInputs" "crateBin" "crateLib" "libName" "libPath"
- "buildDependencies" "dependencies" "features" "crateRenames"
- "crateName" "version" "build" "authors" "colors" "edition"
- "buildTests"
- ];
- extraDerivationAttrs = builtins.removeAttrs crate processedAttrs;
- nativeBuildInputs_ = nativeBuildInputs;
- buildInputs_ = buildInputs;
- extraRustcOpts_ = extraRustcOpts;
- buildTests_ = buildTests;
+ let
+ crate = crate_ // (lib.attrByPath [ crate_.crateName ] (attr: { }) crateOverrides crate_);
+ dependencies_ = dependencies;
+ buildDependencies_ = buildDependencies;
+ processedAttrs = [
+ "src"
+ "nativeBuildInputs"
+ "buildInputs"
+ "crateBin"
+ "crateLib"
+ "libName"
+ "libPath"
+ "buildDependencies"
+ "dependencies"
+ "features"
+ "crateRenames"
+ "crateName"
+ "version"
+ "build"
+ "authors"
+ "colors"
+ "edition"
+ "buildTests"
+ ];
+ extraDerivationAttrs = builtins.removeAttrs crate processedAttrs;
+ nativeBuildInputs_ = nativeBuildInputs;
+ buildInputs_ = buildInputs;
+ extraRustcOpts_ = extraRustcOpts;
+ extraRustcOptsForBuildRs_ = extraRustcOptsForBuildRs;
+ buildTests_ = buildTests;
- # crate2nix has a hack for the old bash based build script that did split
- # entries at `,`. No we have to work around that hack.
- # https://github.com/kolloch/crate2nix/blame/5b19c1b14e1b0e5522c3e44e300d0b332dc939e7/crate2nix/templates/build.nix.tera#L89
- crateBin = lib.filter (bin: !(bin ? name && bin.name == ",")) (crate.crateBin or []);
- hasCrateBin = crate ? crateBin;
-in
-stdenv.mkDerivation (rec {
+ # crate2nix has a hack for the old bash based build script that did split
+ # entries at `,`. No we have to work around that hack.
+ # https://github.com/kolloch/crate2nix/blame/5b19c1b14e1b0e5522c3e44e300d0b332dc939e7/crate2nix/templates/build.nix.tera#L89
+ crateBin = lib.filter (bin: !(bin ? name && bin.name == ",")) (crate.crateBin or [ ]);
+ hasCrateBin = crate ? crateBin;
+ in
+ stdenv.mkDerivation (rec {
- inherit (crate) crateName;
- inherit
- preUnpack
- postUnpack
- prePatch
- patches
- postPatch
- preConfigure
- postConfigure
- preBuild
- postBuild
- preInstall
- postInstall
- buildTests
- ;
+ inherit (crate) crateName;
+ inherit
+ preUnpack
+ postUnpack
+ prePatch
+ patches
+ postPatch
+ preConfigure
+ postConfigure
+ preBuild
+ postBuild
+ preInstall
+ postInstall
+ buildTests
+ ;
- src = crate.src or (fetchCrate { inherit (crate) crateName version sha256; });
- name = "rust_${crate.crateName}-${crate.version}${lib.optionalString buildTests_ "-test"}";
- version = crate.version;
- depsBuildBuild = [ pkgsBuildBuild.stdenv.cc ];
- nativeBuildInputs = [ rust stdenv.cc cargo jq ] ++ (crate.nativeBuildInputs or []) ++ nativeBuildInputs_;
- buildInputs = (crate.buildInputs or []) ++ buildInputs_;
- dependencies = map lib.getLib dependencies_;
- buildDependencies = map lib.getLib buildDependencies_;
+ src = crate.src or (fetchCrate { inherit (crate) crateName version sha256; });
+ name = "rust_${crate.crateName}-${crate.version}${lib.optionalString buildTests_ "-test"}";
+ version = crate.version;
+ depsBuildBuild = [ pkgsBuildBuild.stdenv.cc ];
+ nativeBuildInputs = [ rust stdenv.cc cargo jq ] ++ (crate.nativeBuildInputs or [ ]) ++ nativeBuildInputs_;
+ buildInputs = lib.optionals stdenv.isDarwin [ libiconv ] ++ (crate.buildInputs or [ ]) ++ buildInputs_;
+ dependencies = map lib.getLib dependencies_;
+ buildDependencies = map lib.getLib buildDependencies_;
- completeDeps = lib.unique (dependencies ++ lib.concatMap (dep: dep.completeDeps) dependencies);
- completeBuildDeps = lib.unique (
- buildDependencies
- ++ lib.concatMap (dep: dep.completeBuildDeps ++ dep.completeDeps) buildDependencies
- );
+ completeDeps = lib.unique (dependencies ++ lib.concatMap (dep: dep.completeDeps) dependencies);
+ completeBuildDeps = lib.unique (
+ buildDependencies
+ ++ lib.concatMap (dep: dep.completeBuildDeps ++ dep.completeDeps) buildDependencies
+ );
- # Create a list of features that are enabled by the crate itself and
- # through the features argument of buildRustCrate. Exclude features
- # with a forward slash, since they are passed through to dependencies.
- crateFeatures = lib.optionals (crate ? features)
- (builtins.filter (f: !lib.hasInfix "/" f) (crate.features ++ features));
+ # Create a list of features that are enabled by the crate itself and
+ # through the features argument of buildRustCrate. Exclude features
+ # with a forward slash, since they are passed through to dependencies.
+ crateFeatures = lib.optionals (crate ? features)
+ (builtins.filter (f: !lib.hasInfix "/" f) (crate.features ++ features));
- libName = if crate ? libName then crate.libName else crate.crateName;
- libPath = if crate ? libPath then crate.libPath else "";
+ libName = if crate ? libName then crate.libName else crate.crateName;
+ libPath = if crate ? libPath then crate.libPath else "";
- # Seed the symbol hashes with something unique every time.
- # https://doc.rust-lang.org/1.0.0/rustc/metadata/loader/index.html#frobbing-symbols
- metadata = let
- depsMetadata = lib.foldl' (str: dep: str + dep.metadata) "" (dependencies ++ buildDependencies);
- hashedMetadata = builtins.hashString "sha256"
- (crateName + "-" + crateVersion + "___" + toString (mkRustcFeatureArgs crateFeatures) +
- "___" + depsMetadata + "___" + rustAttrs.toRustTarget stdenv.hostPlatform);
- in lib.substring 0 10 hashedMetadata;
+ # Seed the symbol hashes with something unique every time.
+ # https://doc.rust-lang.org/1.0.0/rustc/metadata/loader/index.html#frobbing-symbols
+ metadata =
+ let
+ depsMetadata = lib.foldl' (str: dep: str + dep.metadata) "" (dependencies ++ buildDependencies);
+ hashedMetadata = builtins.hashString "sha256"
+ (crateName + "-" + crateVersion + "___" + toString (mkRustcFeatureArgs crateFeatures) +
+ "___" + depsMetadata + "___" + rustAttrs.toRustTarget stdenv.hostPlatform);
+ in
+ lib.substring 0 10 hashedMetadata;
- build = crate.build or "";
- # Either set to a concrete sub path to the crate root
- # or use `null` for auto-detect.
- workspace_member = crate.workspace_member or ".";
- crateVersion = crate.version;
- crateDescription = crate.description or "";
- crateAuthors = if crate ? authors && lib.isList crate.authors then crate.authors else [];
- crateHomepage = crate.homepage or "";
- crateType =
- if lib.attrByPath ["procMacro"] false crate then ["proc-macro"] else
- if lib.attrByPath ["plugin"] false crate then ["dylib"] else
- (crate.type or ["lib"]);
- colors = lib.attrByPath [ "colors" ] "always" crate;
- extraLinkFlags = lib.concatStringsSep " " (crate.extraLinkFlags or []);
- edition = crate.edition or null;
- extraRustcOpts =
- lib.optionals (crate ? extraRustcOpts) crate.extraRustcOpts
- ++ extraRustcOpts_
- ++ (lib.optional (edition != null) "--edition ${edition}");
+ build = crate.build or "";
+ # Either set to a concrete sub path to the crate root
+ # or use `null` for auto-detect.
+ workspace_member = crate.workspace_member or ".";
+ crateVersion = crate.version;
+ crateDescription = crate.description or "";
+ crateAuthors = if crate ? authors && lib.isList crate.authors then crate.authors else [ ];
+ crateHomepage = crate.homepage or "";
+ crateType =
+ if lib.attrByPath [ "procMacro" ] false crate then [ "proc-macro" ] else
+ if lib.attrByPath [ "plugin" ] false crate then [ "dylib" ] else
+ (crate.type or [ "lib" ]);
+ colors = lib.attrByPath [ "colors" ] "always" crate;
+ extraLinkFlags = lib.concatStringsSep " " (crate.extraLinkFlags or [ ]);
+ edition = crate.edition or null;
+ extraRustcOpts =
+ lib.optionals (crate ? extraRustcOpts) crate.extraRustcOpts
+ ++ extraRustcOpts_
+ ++ (lib.optional (edition != null) "--edition ${edition}");
+ extraRustcOptsForBuildRs =
+ lib.optionals (crate ? extraRustcOptsForBuildRs) crate.extraRustcOptsForBuildRs
+ ++ extraRustcOptsForBuildRs_
+ ++ (lib.optional (edition != null) "--edition ${edition}");
- configurePhase = configureCrate {
- inherit crateName buildDependencies completeDeps completeBuildDeps crateDescription
- crateFeatures crateRenames libName build workspace_member release libPath crateVersion
- extraLinkFlags extraRustcOpts
- crateAuthors crateHomepage verbose colors;
- };
- buildPhase = buildCrate {
- inherit crateName dependencies
- crateFeatures crateRenames libName release libPath crateType
- metadata hasCrateBin crateBin verbose colors
- extraRustcOpts buildTests;
- };
- installPhase = installCrate crateName metadata buildTests;
+ configurePhase = configureCrate {
+ inherit crateName buildDependencies completeDeps completeBuildDeps crateDescription
+ crateFeatures crateRenames libName build workspace_member release libPath crateVersion
+ extraLinkFlags extraRustcOptsForBuildRs
+ crateAuthors crateHomepage verbose colors;
+ };
+ buildPhase = buildCrate {
+ inherit crateName dependencies
+ crateFeatures crateRenames libName release libPath crateType
+ metadata hasCrateBin crateBin verbose colors
+ extraRustcOpts buildTests;
+ };
+ installPhase = installCrate crateName metadata buildTests;
- # depending on the test setting we are either producing something with bins
- # and libs or just test binaries
- outputs = if buildTests then [ "out" ] else [ "out" "lib" ];
- outputDev = if buildTests then [ "out" ] else [ "lib" ];
+ # depending on the test setting we are either producing something with bins
+ # and libs or just test binaries
+ outputs = if buildTests then [ "out" ] else [ "out" "lib" ];
+ outputDev = if buildTests then [ "out" ] else [ "lib" ];
-} // extraDerivationAttrs
-)) {
+ } // extraDerivationAttrs
+ )
+ )
+{
rust = rustc;
release = crate_.release or true;
verbose = crate_.verbose or true;
- extraRustcOpts = [];
- features = [];
- nativeBuildInputs = [];
- buildInputs = [];
+ extraRustcOpts = [ ];
+ extraRustcOptsForBuildRs = [ ];
+ features = [ ];
+ nativeBuildInputs = [ ];
+ buildInputs = [ ];
crateOverrides = defaultCrateOverrides;
preUnpack = crate_.preUnpack or "";
postUnpack = crate_.postUnpack or "";
prePatch = crate_.prePatch or "";
- patches = crate_.patches or [];
+ patches = crate_.patches or [ ];
postPatch = crate_.postPatch or "";
preConfigure = crate_.preConfigure or "";
postConfigure = crate_.postConfigure or "";
@@ -318,8 +363,8 @@ stdenv.mkDerivation (rec {
postBuild = crate_.postBuild or "";
preInstall = crate_.preInstall or "";
postInstall = crate_.postInstall or "";
- dependencies = crate_.dependencies or [];
- buildDependencies = crate_.buildDependencies or [];
- crateRenames = crate_.crateRenames or {};
+ dependencies = crate_.dependencies or [ ];
+ buildDependencies = crate_.buildDependencies or [ ];
+ crateRenames = crate_.crateRenames or { };
buildTests = crate_.buildTests or false;
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/default-crate-overrides.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/default-crate-overrides.nix
index eb58f72f552..4050afe8dde 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/default-crate-overrides.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/default-crate-overrides.nix
@@ -1,7 +1,30 @@
-{ lib, stdenv, pkg-config, curl, darwin, libiconv, libgit2, libssh2,
- openssl, sqlite, zlib, dbus, dbus-glib, gdk-pixbuf, cairo, python3,
- libsodium, postgresql, gmp, foundationdb, capnproto, nettle, clang,
- llvmPackages, linux-pam, ... }:
+{ lib
+, stdenv
+, pkg-config
+, curl
+, darwin
+, libgit2
+, libssh2
+, openssl
+, sqlite
+, zlib
+, dbus
+, dbus-glib
+, gdk-pixbuf
+, cairo
+, python3
+, libsodium
+, postgresql
+, gmp
+, foundationdb
+, capnproto
+, nettle
+, clang
+, llvmPackages
+, linux-pam
+, rdkafka
+, ...
+}:
let
inherit (darwin.apple_sdk.frameworks) CoreFoundation Security;
@@ -17,20 +40,20 @@ in
cargo = attrs: {
buildInputs = [ openssl zlib curl ]
- ++ lib.optionals stdenv.isDarwin [ CoreFoundation Security libiconv ];
+ ++ lib.optionals stdenv.isDarwin [ CoreFoundation Security ];
};
libz-sys = attrs: {
nativeBuildInputs = [ pkg-config ];
buildInputs = [ zlib ];
- extraLinkFlags = ["-L${zlib.out}/lib"];
+ extraLinkFlags = [ "-L${zlib.out}/lib" ];
};
curl-sys = attrs: {
nativeBuildInputs = [ pkg-config ];
buildInputs = [ zlib curl ];
propagatedBuildInputs = [ curl zlib ];
- extraLinkFlags = ["-L${zlib.out}/lib"];
+ extraLinkFlags = [ "-L${zlib.out}/lib" ];
};
dbus = attrs: {
@@ -113,9 +136,14 @@ in
buildInputs = [ postgresql ];
};
+ rdkafka-sys = attr: {
+ nativeBuildInputs = [ pkg-config ];
+ buildInputs = [ rdkafka ];
+ };
+
rink = attrs: {
buildInputs = [ gmp ];
- crateBin = [ { name = "rink"; path = "src/bin/rink.rs"; } ];
+ crateBin = [{ name = "rink"; path = "src/bin/rink.rs"; }];
};
security-framework-sys = attr: {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/default.nix
index 2eb45bcafa1..3d7057dd7d9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/default.nix
@@ -1,17 +1,15 @@
-{ stdenv
-, lib
-, buildPackages
+{ lib
+, importCargoLock
+, fetchCargoTarball
+, rust
+, stdenv
+, callPackage
, cacert
+, git
, cargoBuildHook
, cargoCheckHook
, cargoInstallHook
, cargoSetupHook
-, fetchCargoTarball
-, importCargoLock
-, rustPlatform
-, callPackage
-, git
-, rust
, rustc
, libiconv
, windows
@@ -19,12 +17,6 @@
{ name ? "${args.pname}-${args.version}"
- # SRI hash
-, cargoHash ? ""
-
- # Legacy hash
-, cargoSha256 ? ""
-
# Name for the vendored dependencies tarball
, cargoDepsName ? name
@@ -56,7 +48,7 @@
, buildAndTestSubdir ? null
, ... } @ args:
-assert cargoVendorDir == null && cargoLock == null -> cargoSha256 == "" && cargoHash == ""
+assert cargoVendorDir == null && cargoLock == null -> !(args ? cargoSha256) && !(args ? cargoHash)
-> throw "cargoSha256, cargoHash, cargoVendorDir, or cargoLock must be set";
assert buildType == "release" || buildType == "debug";
@@ -68,15 +60,17 @@ let
else fetchCargoTarball ({
inherit src srcs sourceRoot unpackPhase cargoUpdateHook;
name = cargoDepsName;
- hash = cargoHash;
patches = cargoPatches;
- sha256 = cargoSha256;
+ } // lib.optionalAttrs (args ? cargoHash) {
+ hash = args.cargoHash;
+ } // lib.optionalAttrs (args ? cargoSha256) {
+ sha256 = args.cargoSha256;
} // depsExtraArgs)
else null;
# If we have a cargoSha256 fixed-output derivation, validate it at build time
# against the src fixed-output derivation to check consistency.
- validateCargoDeps = !(cargoHash == "" && cargoSha256 == "");
+ validateCargoDeps = args ? cargoHash || args ? cargoSha256;
target = rust.toRustTargetSpec stdenv.hostPlatform;
targetIsJSON = lib.hasSuffix ".json" target;
@@ -88,7 +82,7 @@ let
(lib.removeSuffix ".json" (builtins.baseNameOf "${target}"))
else target;
- sysroot = (callPackage ./sysroot {}) {
+ sysroot = callPackage ./sysroot { } {
inherit target shortTarget;
RUSTFLAGS = args.RUSTFLAGS or "";
originalCargoToml = src + /Cargo.toml; # profile info is later extracted
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/fetchCargoTarball.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/fetchCargoTarball.nix
index 3b36554e707..c2be9aac82d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/fetchCargoTarball.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/fetchCargoTarball.nix
@@ -22,23 +22,21 @@ in
, srcs ? []
, patches ? []
, sourceRoot ? ""
-, hash ? ""
-, sha256 ? ""
, cargoUpdateHook ? ""
, ...
} @ args:
let hash_ =
- if hash != "" then { outputHashAlgo = null; outputHash = hash; }
- else if sha256 != "" then { outputHashAlgo = "sha256"; outputHash = sha256; }
+ if args ? hash then { outputHashAlgo = null; outputHash = args.hash; }
+ else if args ? sha256 then { outputHashAlgo = "sha256"; outputHash = args.sha256; }
else throw "fetchCargoTarball requires a hash for ${name}";
in stdenv.mkDerivation ({
name = "${name}-vendor.tar.gz";
nativeBuildInputs = [ cacert git cargo-vendor-normalise cargo ];
- phases = "unpackPhase patchPhase buildPhase installPhase";
-
buildPhase = ''
+ runHook preBuild
+
# Ensure deterministic Cargo vendor builds
export SOURCE_DATE_EPOCH=1
@@ -69,6 +67,8 @@ in stdenv.mkDerivation ({
# Packages with git dependencies generate non-default cargo configs, so
# always install it rather than trying to write a standard default template.
install -D $CARGO_CONFIG $name/.cargo/config;
+
+ runHook postBuild
'';
# Build a reproducible tar, per instructions at https://reproducible-builds.org/docs/archives/
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/import-cargo-lock.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/import-cargo-lock.nix
index 83f4e0df4f2..fe070e9638d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/import-cargo-lock.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/import-cargo-lock.nix
@@ -2,26 +2,38 @@
{
# Cargo lock file
- lockFile
+ lockFile ? null
+
+ # Cargo lock file contents as string
+, lockFileContents ? null
# Hashes for git dependencies.
, outputHashes ? {}
-}:
+} @ args:
+
+assert (lockFile == null) != (lockFileContents == null);
let
# Parse a git source into different components.
parseGit = src:
let
- parts = builtins.match ''git\+([^?]+)(\?rev=(.*))?#(.*)?'' src;
- rev = builtins.elemAt parts 2;
+ parts = builtins.match ''git\+([^?]+)(\?(rev|tag|branch)=(.*))?#(.*)'' src;
+ type = builtins.elemAt parts 2; # rev, tag or branch
+ value = builtins.elemAt parts 3;
in
if parts == null then null
else {
url = builtins.elemAt parts 0;
- sha = builtins.elemAt parts 3;
- } // lib.optionalAttrs (rev != null) { inherit rev; };
+ sha = builtins.elemAt parts 4;
+ } // lib.optionalAttrs (type != null) { inherit type value; };
+
+ # shadows args.lockFileContents
+ lockFileContents =
+ if lockFile != null
+ then builtins.readFile lockFile
+ else args.lockFileContents;
- packages = (builtins.fromTOML (builtins.readFile lockFile)).package;
+ packages = (builtins.fromTOML lockFileContents).package;
# There is no source attribute for the source package itself. But
# since we do not want to vendor the source package anyway, we can
@@ -137,16 +149,23 @@ let
cat > $out/.cargo-config < $out/.cargo/config <"]
edition = "2018"
[dependencies]
-rand = { git = "https://github.com/rust-random/rand.git" }
+rand = "0.8"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/basic-dynamic/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/basic-dynamic/default.nix
new file mode 100644
index 00000000000..eea2c376059
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/basic-dynamic/default.nix
@@ -0,0 +1,16 @@
+{ rustPlatform }:
+
+rustPlatform.buildRustPackage {
+ pname = "basic-dynamic";
+ version = "0.1.0";
+
+ src = ./.;
+
+ cargoLock.lockFileContents = builtins.readFile ./Cargo.lock;
+
+ doInstallCheck = true;
+
+ installCheckPhase = ''
+ $out/bin/basic-dynamic
+ '';
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-no-rev/src/main.rs b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/basic-dynamic/src/main.rs
similarity index 100%
rename from infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-no-rev/src/main.rs
rename to infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/basic-dynamic/src/main.rs
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/default.nix
index 2dd525a8ac3..24e07099c05 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/default.nix
@@ -1,8 +1,13 @@
{ callPackage }:
+# Build like this from nixpkgs root:
+# $ nix-build -A tests.importCargoLock
{
basic = callPackage ./basic { };
+ basicDynamic = callPackage ./basic-dynamic { };
gitDependency = callPackage ./git-dependency { };
- gitDependencyNoRev = callPackage ./git-dependency-no-rev { };
+ gitDependencyRev = callPackage ./git-dependency-rev { };
+ gitDependencyTag = callPackage ./git-dependency-tag { };
+ gitDependencyBranch = callPackage ./git-dependency-branch { };
maturin = callPackage ./maturin { };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-branch/Cargo.lock b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-branch/Cargo.lock
new file mode 100644
index 00000000000..e832b2e5ba4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-branch/Cargo.lock
@@ -0,0 +1,72 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "getrandom"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c9495705279e7140bf035dde1f6e750c162df8b625267cd52cc44e0b156732c8"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi",
+]
+
+[[package]]
+name = "git-dependency-branch"
+version = "0.1.0"
+dependencies = [
+ "rand",
+]
+
+[[package]]
+name = "libc"
+version = "0.2.94"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "18794a8ad5b29321f790b55d93dfba91e125cb1a9edbd4f8e3150acc771c1a5e"
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac74c624d6b2d21f425f752262f42188365d7b8ff1aff74c82e45136510a4857"
+
+[[package]]
+name = "rand"
+version = "0.8.4"
+source = "git+https://github.com/rust-random/rand.git?branch=master#fcc5baf31565a94f63dce41c2e739e6f182475f4"
+dependencies = [
+ "libc",
+ "rand_chacha",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "git+https://github.com/rust-random/rand.git?branch=master#fcc5baf31565a94f63dce41c2e739e6f182475f4"
+dependencies = [
+ "ppv-lite86",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.3"
+source = "git+https://github.com/rust-random/rand.git?branch=master#fcc5baf31565a94f63dce41c2e739e6f182475f4"
+dependencies = [
+ "getrandom",
+]
+
+[[package]]
+name = "wasi"
+version = "0.10.2+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fd6fbd9a79829dd1ad0cc20627bf1ed606756a7f77edff7b66b7064f9cb327c6"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-branch/Cargo.toml b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-branch/Cargo.toml
new file mode 100644
index 00000000000..0702c5ad8a0
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-branch/Cargo.toml
@@ -0,0 +1,8 @@
+[package]
+name = "git-dependency-branch"
+version = "0.1.0"
+authors = ["Daniël de Kok "]
+edition = "2018"
+
+[dependencies]
+rand = { git = "https://github.com/rust-random/rand.git", branch = "master" }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-no-rev/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-branch/default.nix
similarity index 60%
rename from infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-no-rev/default.nix
rename to infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-branch/default.nix
index fc36edc4077..f274d86c52b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-no-rev/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-branch/default.nix
@@ -1,7 +1,7 @@
{ rustPlatform }:
rustPlatform.buildRustPackage {
- pname = "git-dependency-no-rev";
+ pname = "git-dependency-branch";
version = "0.1.0";
src = ./.;
@@ -9,13 +9,13 @@ rustPlatform.buildRustPackage {
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "rand-0.8.3" = "0ya2hia3cn31qa8894s3av2s8j5bjwb6yq92k0jsnlx7jid0jwqa";
+ "rand-0.8.4" = "1ilk9wvfw3mdm57g199ys8f5nrgdrh0n3a4c8b7nz6lgnqvfrv6z";
};
};
doInstallCheck = true;
installCheckPhase = ''
- $out/bin/git-dependency-no-rev
+ $out/bin/git-dependency-branch
'';
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-branch/src/main.rs b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-branch/src/main.rs
new file mode 100644
index 00000000000..50b4ed799e4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-branch/src/main.rs
@@ -0,0 +1,9 @@
+use rand::Rng;
+
+fn main() {
+ let mut rng = rand::thread_rng();
+
+ // Always draw zero :).
+ let roll: u8 = rng.gen_range(0..1);
+ assert_eq!(roll, 0);
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-no-rev/Cargo.lock b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-rev/Cargo.lock
similarity index 76%
rename from infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-no-rev/Cargo.lock
rename to infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-rev/Cargo.lock
index 54b9c7c5739..684d9419479 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-no-rev/Cargo.lock
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-rev/Cargo.lock
@@ -1,5 +1,7 @@
# This file is automatically @generated by Cargo.
# It is not intended for manual editing.
+version = 3
+
[[package]]
name = "cfg-if"
version = "1.0.0"
@@ -18,7 +20,7 @@ dependencies = [
]
[[package]]
-name = "git-dependency-no-rev"
+name = "git-dependency-rev"
version = "0.1.0"
dependencies = [
"rand",
@@ -39,7 +41,7 @@ checksum = "ac74c624d6b2d21f425f752262f42188365d7b8ff1aff74c82e45136510a4857"
[[package]]
name = "rand"
version = "0.8.3"
-source = "git+https://github.com/rust-random/rand.git#f0e01ee0a7257753cc51b291f62666f4765923ef"
+source = "git+https://github.com/rust-random/rand.git?rev=0.8.3#6ecbe2626b2cc6110a25c97b1702b347574febc7"
dependencies = [
"libc",
"rand_chacha",
@@ -50,7 +52,7 @@ dependencies = [
[[package]]
name = "rand_chacha"
version = "0.3.0"
-source = "git+https://github.com/rust-random/rand.git#f0e01ee0a7257753cc51b291f62666f4765923ef"
+source = "git+https://github.com/rust-random/rand.git?rev=0.8.3#6ecbe2626b2cc6110a25c97b1702b347574febc7"
dependencies = [
"ppv-lite86",
"rand_core",
@@ -58,8 +60,8 @@ dependencies = [
[[package]]
name = "rand_core"
-version = "0.6.2"
-source = "git+https://github.com/rust-random/rand.git#f0e01ee0a7257753cc51b291f62666f4765923ef"
+version = "0.6.1"
+source = "git+https://github.com/rust-random/rand.git?rev=0.8.3#6ecbe2626b2cc6110a25c97b1702b347574febc7"
dependencies = [
"getrandom",
]
@@ -67,7 +69,7 @@ dependencies = [
[[package]]
name = "rand_hc"
version = "0.3.0"
-source = "git+https://github.com/rust-random/rand.git#f0e01ee0a7257753cc51b291f62666f4765923ef"
+source = "git+https://github.com/rust-random/rand.git?rev=0.8.3#6ecbe2626b2cc6110a25c97b1702b347574febc7"
dependencies = [
"rand_core",
]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-rev/Cargo.toml b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-rev/Cargo.toml
new file mode 100644
index 00000000000..3500325ae57
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-rev/Cargo.toml
@@ -0,0 +1,8 @@
+[package]
+name = "git-dependency-rev"
+version = "0.1.0"
+authors = ["Daniël de Kok "]
+edition = "2018"
+
+[dependencies]
+rand = { git = "https://github.com/rust-random/rand.git", rev = "0.8.3" }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-rev/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-rev/default.nix
new file mode 100644
index 00000000000..40487d6829a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-rev/default.nix
@@ -0,0 +1,21 @@
+{ rustPlatform }:
+
+rustPlatform.buildRustPackage {
+ pname = "git-dependency-rev";
+ version = "0.1.0";
+
+ src = ./.;
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "rand-0.8.3" = "0l3p174bpwia61vcvxz5mw65a13ri3wy94z04xrnyy5lzciykz4f";
+ };
+ };
+
+ doInstallCheck = true;
+
+ installCheckPhase = ''
+ $out/bin/git-dependency-rev
+ '';
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-rev/src/main.rs b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-rev/src/main.rs
new file mode 100644
index 00000000000..50b4ed799e4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-rev/src/main.rs
@@ -0,0 +1,9 @@
+use rand::Rng;
+
+fn main() {
+ let mut rng = rand::thread_rng();
+
+ // Always draw zero :).
+ let roll: u8 = rng.gen_range(0..1);
+ assert_eq!(roll, 0);
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-tag/Cargo.lock b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-tag/Cargo.lock
new file mode 100644
index 00000000000..9f8ec19a366
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-tag/Cargo.lock
@@ -0,0 +1,81 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "getrandom"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c9495705279e7140bf035dde1f6e750c162df8b625267cd52cc44e0b156732c8"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi",
+]
+
+[[package]]
+name = "git-dependency-tag"
+version = "0.1.0"
+dependencies = [
+ "rand",
+]
+
+[[package]]
+name = "libc"
+version = "0.2.94"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "18794a8ad5b29321f790b55d93dfba91e125cb1a9edbd4f8e3150acc771c1a5e"
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac74c624d6b2d21f425f752262f42188365d7b8ff1aff74c82e45136510a4857"
+
+[[package]]
+name = "rand"
+version = "0.8.3"
+source = "git+https://github.com/rust-random/rand.git?tag=0.8.3#6ecbe2626b2cc6110a25c97b1702b347574febc7"
+dependencies = [
+ "libc",
+ "rand_chacha",
+ "rand_core",
+ "rand_hc",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.0"
+source = "git+https://github.com/rust-random/rand.git?tag=0.8.3#6ecbe2626b2cc6110a25c97b1702b347574febc7"
+dependencies = [
+ "ppv-lite86",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.1"
+source = "git+https://github.com/rust-random/rand.git?tag=0.8.3#6ecbe2626b2cc6110a25c97b1702b347574febc7"
+dependencies = [
+ "getrandom",
+]
+
+[[package]]
+name = "rand_hc"
+version = "0.3.0"
+source = "git+https://github.com/rust-random/rand.git?tag=0.8.3#6ecbe2626b2cc6110a25c97b1702b347574febc7"
+dependencies = [
+ "rand_core",
+]
+
+[[package]]
+name = "wasi"
+version = "0.10.2+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fd6fbd9a79829dd1ad0cc20627bf1ed606756a7f77edff7b66b7064f9cb327c6"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-tag/Cargo.toml b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-tag/Cargo.toml
new file mode 100644
index 00000000000..1bda7336c26
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-tag/Cargo.toml
@@ -0,0 +1,8 @@
+[package]
+name = "git-dependency-tag"
+version = "0.1.0"
+authors = ["Daniël de Kok "]
+edition = "2018"
+
+[dependencies]
+rand = { git = "https://github.com/rust-random/rand.git", tag = "0.8.3" }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-tag/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-tag/default.nix
new file mode 100644
index 00000000000..2a2f860ac8a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-tag/default.nix
@@ -0,0 +1,21 @@
+{ rustPlatform }:
+
+rustPlatform.buildRustPackage {
+ pname = "git-dependency-tag";
+ version = "0.1.0";
+
+ src = ./.;
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "rand-0.8.3" = "0l3p174bpwia61vcvxz5mw65a13ri3wy94z04xrnyy5lzciykz4f";
+ };
+ };
+
+ doInstallCheck = true;
+
+ installCheckPhase = ''
+ $out/bin/git-dependency-tag
+ '';
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-tag/src/main.rs b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-tag/src/main.rs
new file mode 100644
index 00000000000..50b4ed799e4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency-tag/src/main.rs
@@ -0,0 +1,9 @@
+use rand::Rng;
+
+fn main() {
+ let mut rng = rand::thread_rng();
+
+ // Always draw zero :).
+ let roll: u8 = rng.gen_range(0..1);
+ assert_eq!(roll, 0);
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency/Cargo.lock b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency/Cargo.lock
index 50600ef4caa..fa71865b3e5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency/Cargo.lock
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency/Cargo.lock
@@ -1,5 +1,7 @@
# This file is automatically @generated by Cargo.
# It is not intended for manual editing.
+version = 3
+
[[package]]
name = "cfg-if"
version = "1.0.0"
@@ -39,7 +41,7 @@ checksum = "ac74c624d6b2d21f425f752262f42188365d7b8ff1aff74c82e45136510a4857"
[[package]]
name = "rand"
version = "0.8.3"
-source = "git+https://github.com/rust-random/rand.git?rev=0.8.3#6ecbe2626b2cc6110a25c97b1702b347574febc7"
+source = "git+https://github.com/rust-random/rand.git#f0e01ee0a7257753cc51b291f62666f4765923ef"
dependencies = [
"libc",
"rand_chacha",
@@ -50,7 +52,7 @@ dependencies = [
[[package]]
name = "rand_chacha"
version = "0.3.0"
-source = "git+https://github.com/rust-random/rand.git?rev=0.8.3#6ecbe2626b2cc6110a25c97b1702b347574febc7"
+source = "git+https://github.com/rust-random/rand.git#f0e01ee0a7257753cc51b291f62666f4765923ef"
dependencies = [
"ppv-lite86",
"rand_core",
@@ -58,8 +60,8 @@ dependencies = [
[[package]]
name = "rand_core"
-version = "0.6.1"
-source = "git+https://github.com/rust-random/rand.git?rev=0.8.3#6ecbe2626b2cc6110a25c97b1702b347574febc7"
+version = "0.6.2"
+source = "git+https://github.com/rust-random/rand.git#f0e01ee0a7257753cc51b291f62666f4765923ef"
dependencies = [
"getrandom",
]
@@ -67,7 +69,7 @@ dependencies = [
[[package]]
name = "rand_hc"
version = "0.3.0"
-source = "git+https://github.com/rust-random/rand.git?rev=0.8.3#6ecbe2626b2cc6110a25c97b1702b347574febc7"
+source = "git+https://github.com/rust-random/rand.git#f0e01ee0a7257753cc51b291f62666f4765923ef"
dependencies = [
"rand_core",
]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency/Cargo.toml b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency/Cargo.toml
index 11ee8b1763e..a902dea9fcd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency/Cargo.toml
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency/Cargo.toml
@@ -5,4 +5,4 @@ authors = ["Daniël de Kok "]
edition = "2018"
[dependencies]
-rand = { git = "https://github.com/rust-random/rand.git", rev = "0.8.3" }
+rand = { git = "https://github.com/rust-random/rand.git" }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency/default.nix
index 17276c5f5c3..944de9b45cb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/git-dependency/default.nix
@@ -9,7 +9,7 @@ rustPlatform.buildRustPackage {
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "rand-0.8.3" = "0l3p174bpwia61vcvxz5mw65a13ri3wy94z04xrnyy5lzciykz4f";
+ "rand-0.8.3" = "0ya2hia3cn31qa8894s3av2s8j5bjwb6yq92k0jsnlx7jid0jwqa";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/maturin/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/maturin/default.nix
index af0de596b38..f8deb4f657e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/maturin/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/rust/test/import-cargo-lock/maturin/default.nix
@@ -38,6 +38,6 @@ python3.pkgs.buildPythonPackage rec {
description = "PyO3 word count example";
homepage = "https://github.com/PyO3/pyo3";
license = licenses.asl20;
- maintainers = [ maintainers.danieldk ];
+ maintainers = [ ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/auto-patchelf.sh b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/auto-patchelf.sh
index 70b1fc802b5..4b3a1c5c390 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/auto-patchelf.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/auto-patchelf.sh
@@ -38,7 +38,6 @@ isExecutable() {
declare -Ag autoPatchelfCachedDepsAssoc
declare -ag autoPatchelfCachedDeps
-
addToDepCache() {
if [[ ${autoPatchelfCachedDepsAssoc[$1]+f} ]]; then return; fi
@@ -54,25 +53,113 @@ declare -gi depCacheInitialised=0
declare -gi doneRecursiveSearch=0
declare -g foundDependency
-getDepsFromSo() {
- ldd "$1" 2> /dev/null | sed -n -e 's/[^=]*=> *\(.\+\) \+([^)]*)$/\1/p'
+getDepsFromElfBinary() {
+ # NOTE: This does not use runPatchelf because it may encounter non-ELF
+ # files. Caller is expected to check the return code if needed.
+ patchelf --print-needed "$1" 2> /dev/null
}
-populateCacheWithRecursiveDeps() {
- local so found foundso
- for so in "${autoPatchelfCachedDeps[@]}"; do
- for found in $(getDepsFromSo "$so"); do
- local base="${found##*/}"
- local soname="${base%.so*}"
- for foundso in "${found%/*}/$soname".so*; do
+getRpathFromElfBinary() {
+ # NOTE: This does not use runPatchelf because it may encounter non-ELF
+ # files. Caller is expected to check the return code if needed.
+ local rpath
+ IFS=':' read -ra rpath < <(patchelf --print-rpath "$1" 2> /dev/null) || return $?
+
+ printf "%s\n" "${rpath[@]}"
+}
+
+populateCacheForDep() {
+ local so="$1"
+ local rpath found
+ rpath="$(getRpathFromElfBinary "$so")" || return 1
+
+ for found in $(getDepsFromElfBinary "$so"); do
+ local rpathElem
+ for rpathElem in $rpath; do
+ # Ignore empty element or $ORIGIN magic variable which should be
+ # deterministically resolved by adding this package's library
+ # files early anyway.
+ #
+ # shellcheck disable=SC2016
+ # (Expressions don't expand in single quotes, use double quotes for
+ # that.)
+ if [[ -z "$rpathElem" || "$rpathElem" == *'$ORIGIN'* ]]; then
+ continue
+ fi
+
+ local soname="${found%.so*}"
+ local foundso=
+ for foundso in "$rpathElem/$soname".so*; do
addToDepCache "$foundso"
done
+
+ # Found in this element of the rpath, no need to check others.
+ if [ -n "$foundso" ]; then
+ break
+ fi
done
done
+
+ # Not found in any rpath element.
+ return 1
+}
+
+populateCacheWithRecursiveDeps() {
+ # Dependencies may add more to the end of this array, so we use a counter
+ # with while instead of a regular for loop here.
+ local -i i=0
+ while [ $i -lt ${#autoPatchelfCachedDeps[@]} ]; do
+ populateCacheForDep "${autoPatchelfCachedDeps[$i]}"
+ i=$i+1
+ done
+}
+
+getBinArch() {
+ $OBJDUMP -f "$1" 2> /dev/null | sed -ne 's/^architecture: *\([^,]\+\).*/\1/p'
}
-getSoArch() {
- objdump -f "$1" | sed -ne 's/^architecture: *\([^,]\+\).*/\1/p'
+# Returns the specific OS ABI for an ELF file in the format produced by
+# readelf(1), like "UNIX - System V" or "UNIX - GNU".
+getBinOsabi() {
+ $READELF -h "$1" 2> /dev/null | sed -ne 's/^[ \t]*OS\/ABI:[ \t]*\(.*\)/\1/p'
+}
+
+# Tests whether two OS ABIs are compatible, taking into account the generally
+# accepted compatibility of SVR4 ABI with other ABIs.
+areBinOsabisCompatible() {
+ local wanted="$1"
+ local got="$2"
+
+ if [[ -z "$wanted" || -z "$got" ]]; then
+ # One of the types couldn't be detected, so as a fallback we'll assume
+ # they're compatible.
+ return 0
+ fi
+
+ # Generally speaking, the base ABI (0x00), which is represented by
+ # readelf(1) as "UNIX - System V", indicates broad compatibility with other
+ # ABIs.
+ #
+ # TODO: This isn't always true. For example, some OSes embed ABI
+ # compatibility into SHT_NOTE sections like .note.tag and .note.ABI-tag.
+ # It would be prudent to add these to the detection logic to produce better
+ # ABI information.
+ if [[ "$wanted" == "UNIX - System V" ]]; then
+ return 0
+ fi
+
+ # Similarly here, we should be able to link against a superset of features,
+ # so even if the target has another ABI, this should be fine.
+ if [[ "$got" == "UNIX - System V" ]]; then
+ return 0
+ fi
+
+ # Otherwise, we simply return whether the ABIs are identical.
+ if [[ "$wanted" == "$got" ]]; then
+ return 0
+ fi
+
+ return 1
}
# NOTE: If you want to use this function outside of the autoPatchelf function,
@@ -83,6 +170,7 @@ getSoArch() {
findDependency() {
local filename="$1"
local arch="$2"
+ local osabi="$3"
local lib dep
if [ $depCacheInitialised -eq 0 ]; then
@@ -94,7 +182,7 @@ findDependency() {
for dep in "${autoPatchelfCachedDeps[@]}"; do
if [ "$filename" = "${dep##*/}" ]; then
- if [ "$(getSoArch "$dep")" = "$arch" ]; then
+ if [ "$(getBinArch "$dep")" = "$arch" ] && areBinOsabisCompatible "$osabi" "$(getBinOsabi "$dep")"; then
foundDependency="$dep"
return 0
fi
@@ -118,7 +206,24 @@ autoPatchelfFile() {
local dep rpath="" toPatch="$1"
local interpreter
- interpreter="$(< "$NIX_CC/nix-support/dynamic-linker")"
+ interpreter="$(< "$NIX_BINTOOLS/nix-support/dynamic-linker")"
+
+ local interpreterArch interpreterOsabi toPatchArch toPatchOsabi
+ interpreterArch="$(getBinArch "$interpreter")"
+ interpreterOsabi="$(getBinOsabi "$interpreter")"
+ toPatchArch="$(getBinArch "$toPatch")"
+ toPatchOsabi="$(getBinOsabi "$toPatch")"
+
+ if [ "$interpreterArch" != "$toPatchArch" ]; then
+ # Our target architecture is different than this file's architecture,
+ # so skip it.
+ echo "skipping $toPatch because its architecture ($toPatchArch) differs from target ($interpreterArch)" >&2
+ return 0
+ elif ! areBinOsabisCompatible "$interpreterOsabi" "$toPatchOsabi"; then
+ echo "skipping $toPatch because its OS ABI ($toPatchOsabi) is not compatible with target ($interpreterOsabi)" >&2
+ return 0
+ fi
+
if isExecutable "$toPatch"; then
runPatchelf --set-interpreter "$interpreter" "$toPatch"
# shellcheck disable=SC2154
@@ -130,27 +235,34 @@ autoPatchelfFile() {
fi
fi
- echo "searching for dependencies of $toPatch" >&2
+ local libcLib
+ libcLib="$(< "$NIX_BINTOOLS/nix-support/orig-libc")/lib"
- # We're going to find all dependencies based on ldd output, so we need to
- # clear the RPATH first.
- runPatchelf --remove-rpath "$toPatch"
+ echo "searching for dependencies of $toPatch" >&2
- # If the file is not a dynamic executable, ldd/sed will fail,
- # in which case we return, since there is nothing left to do.
local missing
- missing="$(
- ldd "$toPatch" 2> /dev/null | \
- sed -n -e 's/^[\t ]*\([^ ]\+\) => not found.*/\1/p'
- )" || return 0
+ missing="$(getDepsFromElfBinary "$toPatch")" || return 0
# This ensures that we get the output of all missing dependencies instead
# of failing at the first one, because it's more useful when working on a
# new package where you don't yet know its dependencies.
for dep in $missing; do
+ if [[ "$dep" == /* ]]; then
+ # This is an absolute path. If it exists, just use it. Otherwise,
+ # we probably want this to produce an error when checked (because
+ # just updating the rpath won't satisfy it).
+ if [ -f "$dep" ]; then
+ continue
+ fi
+ elif [ -f "$libcLib/$dep" ]; then
+ # This library exists in libc, and will be correctly resolved by
+ # the linker.
+ continue
+ fi
+
echo -n " $dep -> " >&2
- if findDependency "$dep" "$(getSoArch "$toPatch")"; then
+ if findDependency "$dep" "$toPatchArch" "$toPatchOsabi"; then
rpath="$rpath${rpath:+:}${foundDependency%/*}"
echo "found: $foundDependency" >&2
else
@@ -185,7 +297,7 @@ addAutoPatchelfSearchPath() {
done
while IFS= read -r -d '' file; do
- addToDepCache "$file"
+ addToDepCache "$file"
done < <(find "$@" "${findOpts[@]}" \! -type d \
\( -name '*.so' -o -name '*.so.*' \) -print0)
}
@@ -221,10 +333,10 @@ autoPatchelf() {
segmentHeaders="$(LANG=C $READELF -l "$file")"
# Skip if the ELF file doesn't have segment headers (eg. object files).
# not using grep -q, because it can cause Broken pipe
- [ -n "$(echo "$segmentHeaders" | grep '^Program Headers:')" ] || continue
+ grep -q '^Program Headers:' <<<"$segmentHeaders" || continue
if isExecutable "$file"; then
# Skip if the executable is statically linked.
- [ -n "$(echo "$segmentHeaders" | grep "^ *INTERP\\>")" ] || continue
+ grep -q "^ *INTERP\\>" <<<"$segmentHeaders" || continue
fi
# Jump file if patchelf is unable to parse it
# Some programs contain binary blobs for testing,
@@ -256,6 +368,9 @@ autoPatchelf() {
# So what we do here is basically run in postFixup and emulate the same
# behaviour as fixupOutputHooks because the setup hook for patchelf is run in
# fixupOutput and the postFixup hook runs later.
+#
+# shellcheck disable=SC2016
+# (Expressions don't expand in single quotes, use double quotes for that.)
postFixupHooks+=('
if [ -z "${dontAutoPatchelf-}" ]; then
autoPatchelf -- $(for output in $outputs; do
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/autoreconf.sh b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/autoreconf.sh
index c08cab15868..6ce879ac092 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/autoreconf.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/autoreconf.sh
@@ -1,4 +1,4 @@
-preConfigurePhases+=" autoreconfPhase"
+preConfigurePhases="${preConfigurePhases:-} autoreconfPhase"
autoreconfPhase() {
runHook preAutoreconf
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/compress-man-pages.sh b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/compress-man-pages.sh
index f5af76e8168..0d8a7655802 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/compress-man-pages.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/compress-man-pages.sh
@@ -9,7 +9,7 @@ compressManPages() {
echo "gzipping man pages under $dir/share/man/"
# Compress all uncompressed manpages. Don't follow symlinks, etc.
- find "$dir"/share/man/ -type f -a '!' -regex '.*\.\(bz2\|gz\)$' -print0 \
+ find "$dir"/share/man/ -type f -a '!' -regex '.*\.\(bz2\|gz\|xz\)$' -print0 \
| while IFS= read -r -d $'\0' f
do
if gzip -c -n "$f" > "$f".gz; then
@@ -20,7 +20,7 @@ compressManPages() {
done
# Point symlinks to compressed manpages.
- find "$dir"/share/man/ -type l -a '!' -regex '.*\.\(bz2\|gz\)$' -print0 \
+ find "$dir"/share/man/ -type l -a '!' -regex '.*\.\(bz2\|gz\|xz\)$' -print0 \
| sort -z \
| while IFS= read -r -d $'\0' f
do
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/move-docs.sh b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/move-docs.sh
index ef31dcdce27..e4460f98816 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/move-docs.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/move-docs.sh
@@ -6,7 +6,7 @@ preFixupHooks+=(_moveToShare)
_moveToShare() {
forceShare=${forceShare:=man doc info}
- if [ -z "$forceShare" -o -z "$out" ]; then return; fi
+ if [[ -z "$out" ]]; then return; fi
for d in $forceShare; do
if [ -d "$out/$d" ]; then
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/reproducible-builds.sh b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/reproducible-builds.sh
index 5b01c213fe4..7b52f84df67 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/reproducible-builds.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/reproducible-builds.sh
@@ -2,8 +2,9 @@
# This should ensure that it is deterministic across rebuilds of the same
# derivation and not easily collide with other builds.
# We also truncate the hash so that it cannot cause reference cycles.
-export NIX_CFLAGS_COMPILE+=" -frandom-seed=$(
+NIX_CFLAGS_COMPILE="${NIX_CFLAGS_COMPILE:-} -frandom-seed=$(
outbase="${out##*/}"
randomseed="${outbase:0:10}"
echo $randomseed
)"
+export NIX_CFLAGS_COMPILE
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/separate-debug-info.sh b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/separate-debug-info.sh
index 19dbb10d18e..2481d8f5047 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/separate-debug-info.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/setup-hooks/separate-debug-info.sh
@@ -14,7 +14,7 @@ _separateDebugInfo() {
dst="$dst/lib/debug/.build-id"
# Find executables and dynamic libraries.
- local i magic
+ local i
while IFS= read -r -d $'\0' i; do
if ! isELF "$i"; then continue; fi
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/skaware/build-skaware-man-pages.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/skaware/build-skaware-man-pages.nix
index a1f3977c0d5..92d8202ae95 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/skaware/build-skaware-man-pages.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/skaware/build-skaware-man-pages.nix
@@ -7,8 +7,6 @@
, version
# : string
, sha256
- # : list (int | string)
-, sections
# : string
, description
# : list Maintainer
@@ -39,10 +37,6 @@ stdenv.mkDerivation {
dontBuild = true;
- preInstall = lib.concatMapStringsSep "\n"
- (section: "mkdir -p \"${manDir}/man${builtins.toString section}\"")
- sections;
-
meta = with lib; {
inherit description license maintainers;
inherit (src.meta) homepage;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/skaware/build-skaware-package.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/skaware/build-skaware-package.nix
index d6f26fe908a..50d83f2ffff 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/skaware/build-skaware-package.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/skaware/build-skaware-package.nix
@@ -22,7 +22,8 @@
, postInstall
# : list Maintainer
, maintainers ? []
-
+ # : passtrhu arguments (e.g. tests)
+, passthru ? {}
}:
@@ -49,6 +50,8 @@ let
"CHANGELOG"
"README"
"README.*"
+ "DCO"
+ "CONTRIBUTING"
];
in stdenv.mkDerivation {
@@ -106,4 +109,6 @@ in stdenv.mkDerivation {
[ pmahoney Profpatsch qyliss ] ++ maintainers;
};
+ inherit passthru;
+
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/substitute/substitute.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/substitute/substitute.nix
new file mode 100644
index 00000000000..7f033233458
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/substitute/substitute.nix
@@ -0,0 +1,14 @@
+{ stdenvNoCC }:
+
+args:
+
+# This is a wrapper around `substitute` in the stdenv.
+# The `replacements` attribute should be a list of list of arguments
+# to `substitute`, such as `[ "--replace" "sourcetext" "replacementtext" ]`
+stdenvNoCC.mkDerivation ({
+ name = if args ? name then args.name else baseNameOf (toString args.src);
+ builder = ./substitute.sh;
+ inherit (args) src;
+ preferLocalBuild = true;
+ allowSubstitutes = false;
+} // args // { replacements = args.replacements; })
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/substitute/substitute.sh b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/substitute/substitute.sh
new file mode 100644
index 00000000000..dbac275a80e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/substitute/substitute.sh
@@ -0,0 +1,18 @@
+source $stdenv/setup
+
+args=
+
+target=$out
+if test -n "$dir"; then
+ target=$out/$dir/$name
+ mkdir -p $out/$dir
+fi
+
+substitute $src $target $replacements
+
+if test -n "$isExecutable"; then
+ chmod +x $target
+fi
+
+eval "$postInstall"
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/upstream-updater/attrset-to-dir.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/upstream-updater/attrset-to-dir.nix
index 24f7b735c2e..006537278da 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/upstream-updater/attrset-to-dir.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/upstream-updater/attrset-to-dir.nix
@@ -1,8 +1,7 @@
-a :
+a :
a.stdenv.mkDerivation {
buildCommand = ''
mkdir -p "$out/attributes"
-
'' + (a.lib.concatStrings (map
(n: ''
ln -s "${a.writeTextFile {name=n; text=builtins.getAttr n a.theAttrSet;}}" $out/attributes/${n};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/vm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/vm/default.nix
index 3a52d756571..d2aeb784e7f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/build-support/vm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/build-support/vm/default.nix
@@ -8,14 +8,17 @@
++ pkgs.lib.optional (pkgs.stdenv.isi686 || pkgs.stdenv.isx86_64) "rtc_cmos"
}:
-with pkgs;
-with import ../../../nixos/lib/qemu-flags.nix { inherit pkgs; };
-
+let
+ inherit (pkgs) bash bashInteractive busybox cpio coreutils e2fsprogs fetchurl kmod rpm
+ stdenv util-linux
+ buildPackages writeScript writeText runCommand;
+in
rec {
+ qemu-common = import ../../../nixos/lib/qemu-common.nix { inherit lib pkgs; };
- qemu = pkgs.qemu_kvm;
+ qemu = buildPackages.qemu_kvm;
- modulesClosure = makeModulesClosure {
+ modulesClosure = pkgs.makeModulesClosure {
inherit kernel rootModules;
firmware = kernel;
};
@@ -24,7 +27,7 @@ rec {
hd = "vda"; # either "sda" or "vda"
initrdUtils = runCommand "initrd-utils"
- { buildInputs = [ nukeReferences ];
+ { nativeBuildInputs = [ buildPackages.nukeReferences ];
allowedReferences = [ "out" modulesClosure ]; # prevent accidents like glibc being included in the initrd
}
''
@@ -137,7 +140,7 @@ rec {
'';
- initrd = makeInitrd {
+ initrd = pkgs.makeInitrd {
contents = [
{ object = stage1Init;
symlink = "/init";
@@ -152,7 +155,7 @@ rec {
# Set the system time from the hardware clock. Works around an
# apparent KVM > 1.5.2 bug.
- ${pkgs.util-linux}/bin/hwclock -s
+ ${util-linux}/bin/hwclock -s
export NIX_STORE=${storeDir}
export NIX_BUILD_TOP=/tmp
@@ -192,13 +195,13 @@ rec {
export PATH=/bin:/usr/bin:${coreutils}/bin
echo "Starting interactive shell..."
echo "(To run the original builder: \$origBuilder \$origArgs)"
- exec ${busybox}/bin/setsid ${bashInteractive}/bin/bash < /dev/${qemuSerialDevice} &> /dev/${qemuSerialDevice}
+ exec ${busybox}/bin/setsid ${bashInteractive}/bin/bash < /dev/${qemu-common.qemuSerialDevice} &> /dev/${qemu-common.qemuSerialDevice}
fi
'';
qemuCommandLinux = ''
- ${qemuBinary qemu} \
+ ${qemu-common.qemuBinary qemu} \
-nographic -no-reboot \
-device virtio-rng-pci \
-virtfs local,path=${storeDir},security_model=none,mount_tag=store \
@@ -206,7 +209,7 @@ rec {
''${diskImage:+-drive file=$diskImage,if=virtio,cache=unsafe,werror=report} \
-kernel ${kernel}/${img} \
-initrd ${initrd}/initrd \
- -append "console=${qemuSerialDevice} panic=1 command=${stage2Init} out=$out mountDisk=$mountDisk loglevel=4" \
+ -append "console=${qemu-common.qemuSerialDevice} panic=1 command=${stage2Init} out=$out mountDisk=$mountDisk loglevel=4" \
$QEMU_OPTS
'';
@@ -257,14 +260,23 @@ rec {
eval "$postVM"
'';
-
- createEmptyImage = {size, fullName}: ''
- mkdir $out
- diskImage=$out/disk-image.qcow2
+ /*
+ A bash script fragment that produces a disk image at `destination`.
+ */
+ createEmptyImage = {
+ # Disk image size in MiB
+ size,
+ # Name that will be written to ${destination}/nix-support/full-name
+ fullName,
+ # Where to write the image files, defaulting to $out
+ destination ? "$out"
+ }: ''
+ mkdir -p ${destination}
+ diskImage=${destination}/disk-image.qcow2
${qemu}/bin/qemu-img create -f qcow2 $diskImage "${toString size}M"
- mkdir $out/nix-support
- echo "${fullName}" > $out/nix-support/full-name
+ mkdir ${destination}/nix-support
+ echo "${fullName}" > ${destination}/nix-support/full-name
'';
@@ -315,7 +327,7 @@ rec {
extractFs = {file, fs ? null} :
- with pkgs; runInLinuxVM (
+ runInLinuxVM (
stdenv.mkDerivation {
name = "extract-file";
buildInputs = [ util-linux ];
@@ -340,10 +352,10 @@ rec {
extractMTDfs = {file, fs ? null} :
- with pkgs; runInLinuxVM (
+ runInLinuxVM (
stdenv.mkDerivation {
name = "extract-file-mtd";
- buildInputs = [ util-linux mtdutils ];
+ buildInputs = [ pkgs.util-linux pkgs.mtdutils ];
buildCommand = ''
ln -s ${kernel}/lib /lib
${kmod}/bin/modprobe mtd
@@ -494,7 +506,7 @@ rec {
tarball must contain an RPM specfile. */
buildRPM = attrs: runInLinuxImage (stdenv.mkDerivation ({
- prePhases = [ prepareImagePhase sysInfoPhase ];
+ prePhases = [ pkgs.prepareImagePhase pkgs.sysInfoPhase ];
dontUnpack = true;
dontConfigure = true;
@@ -575,7 +587,7 @@ rec {
buildCommand = ''
${createRootFS}
- PATH=$PATH:${lib.makeBinPath [ dpkg dpkg glibc xz ]}
+ PATH=$PATH:${lib.makeBinPath [ pkgs.dpkg pkgs.glibc pkgs.xz ]}
# Unpack the .debs. We do this to prevent pre-install scripts
# (which have lots of circular dependencies) from barfing.
@@ -655,7 +667,10 @@ rec {
rpmClosureGenerator =
{name, packagesLists, urlPrefixes, packages, archs ? []}:
assert (builtins.length packagesLists) == (builtins.length urlPrefixes);
- runCommand "${name}.nix" {buildInputs = [perl perlPackages.XMLSimple]; inherit archs;} ''
+ runCommand "${name}.nix" {
+ nativeBuildInputs = [ buildPackages.perl buildPackages.perlPackages.XMLSimple ];
+ inherit archs;
+ } ''
${lib.concatImapStrings (i: pl: ''
gunzip < ${pl} > ./packages_${toString i}.xml
'') packagesLists}
@@ -694,7 +709,8 @@ rec {
debClosureGenerator =
{name, packagesLists, urlPrefix, packages}:
- runCommand "${name}.nix" { buildInputs = [ perl dpkg ]; } ''
+ runCommand "${name}.nix"
+ { nativeBuildInputs = [ buildPackages.perl buildPackages.dpkg ]; } ''
for i in ${toString packagesLists}; do
echo "adding $i..."
case $i in
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/common-updater/scripts/list-archive-two-level-versions b/infra/libkookie/nixpkgs/unstable/pkgs/common-updater/scripts/list-archive-two-level-versions
index e46652820ad..36a051e97c9 100755
--- a/infra/libkookie/nixpkgs/unstable/pkgs/common-updater/scripts/list-archive-two-level-versions
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/common-updater/scripts/list-archive-two-level-versions
@@ -1,4 +1,4 @@
-#! /bin/sh
+#!/usr/bin/env bash
# lists all available versions listed for a package in a site (http)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/common-updater/scripts/list-git-tags b/infra/libkookie/nixpkgs/unstable/pkgs/common-updater/scripts/list-git-tags
index ff09671c7cb..d137552cdd6 100755
--- a/infra/libkookie/nixpkgs/unstable/pkgs/common-updater/scripts/list-git-tags
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/common-updater/scripts/list-git-tags
@@ -1,4 +1,4 @@
-#! /bin/sh -x
+#!/usr/bin/env bash
# lists all available tags from a git repository
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/common-updater/scripts/update-source-version b/infra/libkookie/nixpkgs/unstable/pkgs/common-updater/scripts/update-source-version
index d5c23466ee0..0ac6b3cf8c2 100755
--- a/infra/libkookie/nixpkgs/unstable/pkgs/common-updater/scripts/update-source-version
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/common-updater/scripts/update-source-version
@@ -188,7 +188,9 @@ tempHash=$(printf '%0*d' "$hashLength" 0)
if [[ -n "$sri" ]]; then
# SRI hashes only support base64
# SRI hashes need to declare the hash type as part of the hash
- tempHash="$(nix to-sri --type "$oldHashAlgo" "$tempHash")"
+ tempHash="$(nix hash to-sri --type "$oldHashAlgo" "$tempHash" 2>/dev/null \
+ || nix to-sri --type "$oldHashAlgo" "$tempHash" 2>/dev/null)" \
+ || die "Failed to convert hash to SRI representation!"
fi
# Escape regex metacharacter that are allowed in hashes (+)
@@ -232,7 +234,9 @@ if [[ -z "$newHash" ]]; then
if [[ -n "$sri" ]]; then
# nix-build preserves the hashing scheme so we can just convert the result to SRI using the old type
- newHash="$(nix to-sri --type "$oldHashAlgo" "$newHash")"
+ newHash="$(nix hash to-sri --type "$oldHashAlgo" "$newHash" 2>/dev/null \
+ || nix to-sri --type "$oldHashAlgo" "$newHash" 2>/dev/null)" \
+ || die "Failed to convert hash to SRI representation!"
fi
fi
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/execline-man-pages/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/execline-man-pages/default.nix
index 9e325b79748..0b1cb34bb3b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/execline-man-pages/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/execline-man-pages/default.nix
@@ -2,9 +2,8 @@
buildManPages {
pname = "execline-man-pages";
- version = "2.8.0.1.1";
- sha256 = "0xv9v39na1qnd8cm4v7xb8wa4ap3djq20iws0lrqz7vn1w40i8b4";
+ version = "2.8.1.0.1";
+ sha256 = "0d3lzxy7wv91q3nr6bw1wfmrfj285i15wmj4c8v9k9pxjg42iwwx";
description = "Port of the documentation for the execline suite to mdoc";
- sections = [ 1 7 ];
maintainers = [ lib.maintainers.sternenseemann ];
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/gnome-user-docs/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/gnome-user-docs/default.nix
index ded70a91c95..cdc104f3a53 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/gnome-user-docs/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/gnome-user-docs/default.nix
@@ -9,11 +9,11 @@
stdenv.mkDerivation rec {
pname = "gnome-user-docs";
- version = "40.3";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-user-docs/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "PeBXr6KsDebKcH9KdsKTLcvFVsHQ0cwCBWXcGHYpLM0=";
+ sha256 = "0lfxj8irwm88n3ba351ak85r97pqlds6y2hqbsic714yj4k8df1a";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/s6-man-pages/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/s6-man-pages/default.nix
index 78e3d1a3b86..583f1ff99fe 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/s6-man-pages/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/s6-man-pages/default.nix
@@ -2,9 +2,8 @@
buildManPages {
pname = "s6-man-pages";
- version = "2.10.0.3.1";
- sha256 = "0q9b6v7kbyjsh390s4bw80kjdp92kih609vlmnpl1qzyrr6kivsg";
+ version = "2.11.0.0.1";
+ sha256 = "00nxlpdf0kkdadyv84vj5w66y926pccqls8prkbip3zmcmnqgghs";
description = "Port of the documentation for the s6 supervision suite to mdoc";
- sections = [ 1 7 ];
maintainers = [ lib.maintainers.sternenseemann ];
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/s6-networking-man-pages/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/s6-networking-man-pages/default.nix
index 4177b502b5e..453125cc682 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/s6-networking-man-pages/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/documentation/s6-networking-man-pages/default.nix
@@ -2,9 +2,8 @@
buildManPages {
pname = "s6-networking-man-pages";
- version = "2.4.1.1.1";
- sha256 = "1qrqzm2r4rxf8hglz8k4laknjqcx1y0z1kjf636z91w1077qg0pn";
+ version = "2.5.0.0.1";
+ sha256 = "02xvyby23b2x30jxd4nw9c5629j4hdaxq9sph3qhajlhl53yiyf2";
description = "Port of the documentation for the s6-networking suite to mdoc";
- sections = [ 1 7 ];
maintainers = [ lib.maintainers.sternenseemann ];
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/3270font/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/3270font/default.nix
index d737c9383f1..914583d97ee 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/3270font/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/3270font/default.nix
@@ -1,13 +1,13 @@
{ lib, fetchzip }:
let
- version = "2.3.0";
+ version = "2.3.1";
in
fetchzip {
name = "3270font-${version}";
- url = "https://github.com/rbanffy/3270font/releases/download/v${version}/3270_fonts_fd00815.zip";
+ url = "https://github.com/rbanffy/3270font/releases/download/v${version}/3270_fonts_3b8f2fb.zip";
- sha256 = "0ny2jcsfa1kfzkm979dfzqv756ijm5xirm02ln7a4kwhxxsm5xr1";
+ sha256 = "06n87ydn2ayfhpg8318chmnwmdk3d4mmy65fcgf8frbiv2kpqncs";
postFetch = ''
mkdir -p $out/share/fonts/
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/bront/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/bront/default.nix
new file mode 100644
index 00000000000..d21c820f127
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/bront/default.nix
@@ -0,0 +1,26 @@
+{ stdenvNoCC, lib, fetchFromGitHub }:
+
+stdenvNoCC.mkDerivation {
+ pname = "bront_fonts";
+ version = "unstable-2015-06-28";
+
+ src = fetchFromGitHub {
+ owner = "chrismwendt";
+ repo = "bront";
+ rev = "aef23d9a11416655a8351230edb3c2377061c077";
+ sha256 = "1sx2gv19pgdyccb38sx3qnwszksmva7pqa1c8m35s6cipgjhhgb4";
+ };
+
+ installPhase = ''
+ install -m444 -Dt $out/share/fonts/truetype *Bront.ttf
+ '';
+
+ meta = with lib; {
+ description = "Bront Fonts";
+ longDescription = "Ubuntu Mono Bront and DejaVu Sans Mono Bront fonts.";
+ homepage = "https://github.com/chrismwendt/bront";
+ license = licenses.free;
+ platforms = platforms.all;
+ maintainers = [ maintainers.grburst ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/cascadia-code/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/cascadia-code/default.nix
index c223f9366af..b6a1a7a2c17 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/cascadia-code/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/cascadia-code/default.nix
@@ -1,13 +1,13 @@
{ lib, fetchzip }:
let
- version = "2106.17";
+ version = "2108.26";
in
fetchzip {
name = "cascadia-code-${version}";
url = "https://github.com/microsoft/cascadia-code/releases/download/v${version}/CascadiaCode-${version}.zip";
- sha256 = "sha256-EsXD6gY3q3KIk37vYPz731lLz5989cd+s5fz5rKIn2k=";
+ sha256 = "1dvwn5rs4ss4rwd64namy8ccz8dagkk84qjg13sxxqizyd5y08h1";
postFetch = ''
mkdir -p $out/share/fonts/
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/chonburi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/chonburi/default.nix
new file mode 100644
index 00000000000..4a14368f1f5
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/chonburi/default.nix
@@ -0,0 +1,41 @@
+{ lib, stdenv, fetchFromGitHub }:
+
+stdenv.mkDerivation rec {
+ pname = "chonburi";
+ version = "unstable-2021-09-15";
+
+ src = fetchFromGitHub {
+ owner = "cadsondemak";
+ repo = pname;
+ rev = "daf26bf77d82fba50eaa3aa3fad905cb9f6b5e28";
+ sha256 = "sha256-oC7ZCfNOyvGtqT9+Ap/CfCHzdWNzeCuac2dJ9fctgB8=";
+ };
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/share/doc/chonburi $out/share/fonts/{opentype,truetype}
+
+ cp $src/OFL.txt $src/BRIEF.md $out/share/doc/chonburi
+ cp $src/fonts/*.otf $out/share/fonts/opentype
+ cp $src/fonts/*.ttf $out/share/fonts/truetype
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ homepage = "https://cadsondemak.github.io/chonburi/";
+ description = "A Didonic Thai and Latin display typeface";
+ longDescription = ''
+ The objective of this project is to create a Thai and Latin Display
+ typeface. Chonburi is a display typeface with high contrast in a Didone
+ style. This single-weight typeface provides advance typographical support
+ with features such as discretionary ligature. This font can be extended
+ the family to other weights including both narrow and extended version. It
+ is also ready to be matched with other non-Latin script.
+ '';
+ license = licenses.ofl;
+ platforms = platforms.all;
+ maintainers = [ maintainers.toastal ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/comfortaa/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/comfortaa/default.nix
index 39c228d235b..fc7ce56f796 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/comfortaa/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/comfortaa/default.nix
@@ -1,13 +1,13 @@
{ lib, fetchFromGitHub }:
let
- version = "3.101";
+ version = "2021-07-29";
in fetchFromGitHub rec {
name = "comfortaa-${version}";
owner = "googlefonts";
repo = "comfortaa";
- rev = version;
+ rev = "2a87ac6f6ea3495150bfa00d0c0fb53dd0a2f11b";
postFetch = ''
tar -xf $downloadedFile --strip=1
@@ -16,7 +16,7 @@ in fetchFromGitHub rec {
cp FONTLOG.txt README.md $out/share/doc/comfortaa
'';
- sha256 = "06jhdrfzl01ma085bp354g002ypmkbp6a51jn1lsj77zfj2mfmfc";
+ sha256 = "12ad7qy11q49iv9h3l2d7x7y7kf0hxbqhclb92bzwig8dzly9n2k";
meta = with lib; {
homepage = "http://aajohan.deviantart.com/art/Comfortaa-font-105395949";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/gdouros/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/gdouros/default.nix
index eb6635ec3a3..a707d6f25a4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/gdouros/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/gdouros/default.nix
@@ -2,36 +2,36 @@
let
fonts = {
- aegan = { version = "10.00"; file = "Aegean.zip"; sha256 = "0k47nhzw4vx771ch3xx8mf6xx5vx0hg0cif5jdlmdaz4h2c3rawz"; description = "Aegean"; };
- aegyptus = { version = "8.00"; file = "Aegyptus.zip"; sha256 = "13h2pi641k9vxgqi9l11mjya10ym9ln54wrkwxx6gxq63zy7y5mj"; description = "Egyptian Hieroglyphs, Coptic, Meroitic"; };
- akkadian = { version = "7.18"; file = "Akkadian.zip"; sha256 = "1bplcvszbdrk85kqipn9lzhr62647wjibz1p8crzjvsw6f9ymxy3"; description = "Sumero-Akkadian Cuneiform"; };
- assyrian = { version = "2.00"; file = "AssyrianFont.zip"; sha256 = "0vdvb24vsnmwzd6bw14akqg0hbvsk8avgnbwk9fkybn1f801475k"; description = "Neo-Assyrian in Unicode with OpenType"; };
- eemusic = { version = "2.00"; file = "EEMusic.zip"; sha256 = "1y9jf105a2b689m7hdjmhhr7z5j0qd2w6dmb3iic9bwaczlrjy7j"; description = "Byzantine Musical Notation in Unicode with OpenType"; };
- maya = { version = "4.18"; file = "Maya.zip"; sha256 = "08z2ch0z2c43fjfg5m4yp3l1dp0cbk7lv5i7wzsr3cr9kr59wpi9"; description = "Maya Hieroglyphs"; };
- symbola = { version = "12.00"; file = "Symbola.zip"; sha256 = "1i3xra33xkj32vxs55xs2afrqyc822nk25669x78px5g5qd8gypm"; description = "Basic Latin, Greek, Cyrillic and many Symbol blocks of Unicode"; };
- textfonts = { version = "9.00"; file = "Textfonts.zip"; sha256 = "0wzxz4j4fgk81b88d58715n1wvq2mqmpjpk4g5hi3vk77y2zxc4d"; description = "Aroania, Anaktoria, Alexander, Avdira and Asea"; };
- unidings = { version = "9.19"; file = "Unidings.zip"; sha256 = "1bybzgdqhmq75hb12n3pjrsdcpw1a6sgryx464s68jlq4zi44g78"; description = "Glyphs and Icons for blocks of The Unicode Standard"; };
+ aegan = { version = "13.00"; file = "Aegean.zip"; sha256 = "1w4ks341jw12p6zi1fy1hb3kqiqv61yn8i2hr9ybng9n8xdw03li"; description = "Aegean"; };
+ aegyptus = { version = "13.00"; file = "Aegyptus.zip"; sha256 = "16j8rj3mr2cddag7laxvzpm5w3yk467fwzsk60nq8pnh6ab1v05y"; description = "Egyptian Hieroglyphs, Coptic, Meroitic"; };
+ akkadian = { version = "13.00"; file = "Akkadian.zip"; sha256 = "1f2v9cjk307c5lw0si9hwjcll5wb9nwwy5im0y16kvpgwh2ccshc"; description = "Sumero-Akkadian Cuneiform"; };
+ assyrian = { version = "13.00"; file = "Assyrian.zip"; sha256 = "18nx6ayfk3ba6wg1rp37r9fll5ajrwq2mp5w2l3y1q1kk92frkid"; description = "Neo-Assyrian in Unicode with OpenType"; };
+ eemusic = { version = "13.00"; file = "EEMusic.zip"; sha256 = "1kk5rd3wd7y13z9bqcg1k9idbwad4l3hfmi3lbfk4y1w895vgxk2"; description = "Byzantine Musical Notation in Unicode with OpenType"; };
+ maya = { version = "13.00"; file = "Maya%20Hieroglyphs.zip"; sha256 = "0fzzrlkd4m2dj2phg97nz782w0afmw0f0ykdvlwyp29j1ak7yyp1"; description = "Maya Hieroglyphs"; };
+ symbola = { version = "13.00"; file = "Symbola.zip"; sha256 = "04pxh5agvlkyg8mvv2szwshnmzi3n0m7va4xsyq401zbsa147rmi"; description = "Basic Latin, Greek, Cyrillic and many Symbol blocks of Unicode"; };
+ textfonts = { version = "13.00"; file = "Textfonts.zip"; sha256 = "1xp8qlfpvcf5n96zgm07zij3ndlzvqjlii8gx9sbj5aa56rxkdgb"; description = "Aroania, Anaktoria, Alexander, Avdira and Asea"; };
+ unidings = { version = "13.00"; file = "Unidings.zip"; sha256 = "0cvnxblk9wsr8mxm5lrdpdm456vi7lln7qh53b67npg4baf0as63"; description = "Glyphs and Icons for blocks of The Unicode Standard"; };
};
mkpkg = name_: {version, file, sha256, description}: fetchzip rec {
name = "${name_}-${version}";
- url = "http://users.teilar.gr/~g1951d/${file}";
+ url = "https://dn-works.com/wp-content/uploads/2020/UFAS-Fonts/${file}";
postFetch = ''
mkdir -p $out/share/{fonts,doc}
- unzip -j $downloadedFile \*.ttf -d $out/share/fonts/truetype
- unzip -j $downloadedFile \*.docx \*.pdf \*.xlsx -d "$out/share/doc/${name}" || true # unpack docs if any
+ unzip -j $downloadedFile \*.otf -d $out/share/fonts/opentype
+ unzip -j $downloadedFile \*.odt \*.pdf \*.xlsx -d "$out/share/doc/${name}" || true # unpack docs if any
rmdir "$out/share/doc/${name}" $out/share/doc || true # remove dirs if empty
'';
inherit sha256;
meta = {
inherit description;
- # see http://users.teilar.gr/~g1951d/License.pdf
+ # see https://dn-works.com/wp-content/uploads/2020/UFAS-Docs/License.pdf
# quite draconian: non-commercial, no modifications,
# no redistribution, "a single instantiation and no
# network installation"
license = lib.licenses.unfree;
- homepage = "http://users.teilar.gr/~g1951d/";
+ homepage = "https://dn-works.com/ufas/";
};
};
in
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/helvetica-neue-lt-std/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/helvetica-neue-lt-std/default.nix
index 275b0d8f871..19092cb06a5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/helvetica-neue-lt-std/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/helvetica-neue-lt-std/default.nix
@@ -1,21 +1,21 @@
{ lib, fetchzip }:
let
- version = "2013.06.07"; # date of most recent file in distribution
+ version = "2014.08.16"; # date of most recent file in distribution
in fetchzip {
name = "helvetica-neue-lt-std-${version}";
- url = "http://www.ephifonts.com/downloads/helvetica-neue-lt-std.zip";
+ url = "https://web.archive.org/web/20190823153624/http://ephifonts.com/downloads/helvetica-neue-lt-std.zip";
postFetch = ''
mkdir -p $out/share/fonts
- unzip -j $downloadedFile Helvetica\ Neue\ LT\ Std/\*.otf -d $out/share/fonts/opentype
+ unzip -j $downloadedFile "Helvetica Neue LT Std/*.otf" -d $out/share/fonts/opentype
'';
sha256 = "0ampp9vf9xw0sdppl4lb9i9h75ywljhdcqmzh45mx2x9m7h6xgg9";
- meta = {
- homepage = "http://www.ephifonts.com/free-helvetica-font-helvetica-neue-lt-std.html";
+ meta = with lib; {
+ homepage = "https://web.archive.org/web/20190926040940/http://www.ephifonts.com/free-helvetica-font-helvetica-neue-lt-std.html";
description = "Helvetica Neue LT Std font";
longDescription = ''
Helvetica Neue Lt Std is one of the most highly rated and complete
@@ -28,8 +28,8 @@ in fetchzip {
font. The numbers are well spaced and defined with high accuracy. The
punctuation marks are heavily detailed as well.
'';
- license = lib.licenses.unfree;
- maintainers = [ lib.maintainers.romildo ];
- platforms = lib.platforms.all;
+ license = licenses.unfree;
+ platforms = platforms.all;
+ maintainers = with maintainers; [ romildo ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/i-dot-ming/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/i-dot-ming/default.nix
new file mode 100644
index 00000000000..766440032f3
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/i-dot-ming/default.nix
@@ -0,0 +1,22 @@
+{ lib, fetchzip }:
+
+let
+ version = "7.01";
+in
+fetchzip {
+ name = "i.ming-${version}";
+ url = "https://raw.githubusercontent.com/ichitenfont/I.Ming/${version}/${version}/I.Ming-${version}.ttf";
+ sha256 = "1b2dj7spkznpkad8a0blqigj9f6ism057r0wn9wdqg5g88yp32vd";
+
+ postFetch = ''
+ install -DT -m444 $downloadedFile $out/share/fonts/truetype/I.Ming/I.Ming.ttf
+ '';
+
+ meta = with lib; {
+ description = "An open source Pan-CJK serif typeface";
+ homepage = "https://github.com/ichitenfont/I.Ming";
+ license = licenses.ipa;
+ platforms = platforms.all;
+ maintainers = [ maintainers.linsui ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/inconsolata/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/inconsolata/default.nix
index 327b7fa2ca5..57069fadbc5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/inconsolata/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/inconsolata/default.nix
@@ -1,12 +1,18 @@
-{ lib, stdenv, google-fonts }:
+{ lib, stdenv, fetchFromGitHub }:
stdenv.mkDerivation {
pname = "inconsolata";
+ version = "unstable-2021-01-19";
- inherit (google-fonts) src version;
+ src = fetchFromGitHub {
+ owner = "google";
+ repo = "fonts";
+ rev = "f113126dc4b9b1473d9354a86129c9d7b837aa1a";
+ sha256 = "0safw5prpa63mqcyfw3gr3a535w4c9hg5ayw5pkppiwil7n3pyxs";
+ };
installPhase = ''
- install -m644 --target $out/share/fonts/truetype/inconsolata -D $src/ofl/inconsolata/static/*.ttf
+ install -m644 --target $out/share/fonts/truetype/inconsolata -D $src/ofl/inconsolata/*.ttf
'';
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/iosevka/bin.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/iosevka/bin.nix
index cd12033b505..08c4a1b145e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/iosevka/bin.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/iosevka/bin.nix
@@ -3,14 +3,15 @@
}:
let
- name = "iosevka" + lib.optionalString (variant != "") "-" + variant;
+ name = if lib.hasPrefix "sgr" variant then variant
+ else "iosevka" + lib.optionalString (variant != "") "-" + variant;
variantHashes = import ./variants.nix;
validVariants = map (lib.removePrefix "iosevka-")
(builtins.attrNames (builtins.removeAttrs variantHashes [ "iosevka" ]));
in stdenv.mkDerivation rec {
pname = "${name}-bin";
- version = "10.0.0";
+ version = "10.1.0";
src = fetchurl {
url = "https://github.com/be5invis/Iosevka/releases/download/v${version}/ttc-${name}-${version}.zip";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/iosevka/variants.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/iosevka/variants.nix
index ce96f183375..0ce5212b131 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/iosevka/variants.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/iosevka/variants.nix
@@ -1,95 +1,95 @@
# This file was autogenerated. DO NOT EDIT!
{
- iosevka = "1730pcbxkcyzfw22hgqsv45sybd79pdsm7vb4l2gm9pfzasypjra";
- iosevka-aile = "1nm0s8zhmg5v181rik4d4nsygxrvfr9wdjwqz6gfl2dmg17r7cyi";
- iosevka-curly = "0kmvj1zhf0xs02rdf2x1f3lnahj36dpc91p6k4mbji5mn9klb547";
- iosevka-curly-slab = "0zklkypyh303gi5gqpdkwmj3g9m1f1xqda3ah232c3d6cfznbyqc";
- iosevka-etoile = "1nj0p25pbjkzc1lg8fp45zxj6r3q4k5yc882rra3jkjmlw2h65b7";
- iosevka-slab = "1vz9443swmxb27iqmimjyg3zs6q0pw7fpwiiaa7k1s7gc5xkyb5s";
- iosevka-ss01 = "1g2xxl9x5apyhhm7lsbmplh19c5aln3jwryzqvrqxpnsngkqmp0h";
- iosevka-ss02 = "1d2b8syvdx8i1dqw9k87yirkyg3wdvr7y2hy5c3nzj62sg7drfla";
- iosevka-ss03 = "0b4y1v6kri4d56h6m58qqmc50bh4r4151h72n1a2q0a0nwkgvlwm";
- iosevka-ss04 = "0fj7rj9xy9sfrzdhjqzv37v34lmkajz4d497i7lvdc2i0w4ia4gf";
- iosevka-ss05 = "0xncnrf8d78iqf3731z0midw4rlza8hdji0m3gvxnigbq3cqxhwd";
- iosevka-ss06 = "15vclj2m5brp1fnw82w5b53cwlwzzsr5hzxm6j2bj9bghc75cigm";
- iosevka-ss07 = "1hs7c5n5pcgmspwrhdxv69dc0wdycfcdfs1mxwbamnal77c9q0s8";
- iosevka-ss08 = "00fz1yb0g1rlzw3pxfpi88vh03k1q9nkzi8h6naqv0hngcbsz1ia";
- iosevka-ss09 = "1ig5lqpk86z7mwr45gqvsdxs00g7b0mvx1i8q8hx5x4pyr36y7yh";
- iosevka-ss10 = "12c50mh3xggz03lqqrkdcmdfvfq3m87x8xb9x0h8lwfslqaa0c0x";
- iosevka-ss11 = "1qvdsfviif8wyms0bkzm7vx0gf8vx5gic3ghincv4ignx8hmrbm9";
- iosevka-ss12 = "17qxrpmbrandlibhshycsgjlwspx7gz0x6mzhy1n8ccycrd7qlii";
- iosevka-ss13 = "07nz5wf99j6m72vkrnbhpr4yhn3pdgb898dinzi4n5k0rmky03zb";
- iosevka-ss14 = "1h9icwqz4qdzm99j17qxmrv1jvm3dzqrcghsffva9yvr32anc5y6";
- iosevka-ss15 = "06362h12vy48ib338dw7vjxx6vqpfzcc47f54f23pp1b73ygrkxp";
- iosevka-ss16 = "1sbby53vmjaq8h09a2izf4w5nha5knpgb0ljfyfd1wj1nnkdbisp";
- iosevka-ss17 = "13l3dindp0x76c3ddx7ibjins65f6xpv8zy7dfjyil8kg2570lfq";
- iosevka-ss18 = "1z0ypy19cj2hlg8qhvg0a54p0704f8szljf0lrrajprc8ws4cqy0";
- sgr-iosevka = "0cl08cxidpvrjy2ifhjb4cgrcjsldv86ipx4i8wh2kvs632hkz42";
- sgr-iosevka-aile = "01a7glrzrifwbfh05jynhmjd78cck4hw8aik3qf8pjr0lmyn8inz";
- sgr-iosevka-curly = "1wl80fn6zk1dvhqnfwxc74i2f925yf362s45d1bshi3n2qd7ixv4";
- sgr-iosevka-curly-slab = "18vvhkqhljnpv75v7cbw5z3d4xc418g0pgh39zyy1sdpq01h6ycj";
- sgr-iosevka-etoile = "0g7brirxpb2s0a94vc00jk8d45wafcimkd1dkilhpc5h862d7y3d";
- sgr-iosevka-fixed = "17g81448bjms88xph2h8cjfz2z2bhy4dc5ialy583zw9hafk0b6k";
- sgr-iosevka-fixed-curly = "18kfz4bdp81ylwjikdyj00m58bb5ykaxnxv288d9qr9r0wav14bf";
- sgr-iosevka-fixed-curly-slab = "1r1223m547ddpjrc0dpzkmkbw4851lvkc2g37yzd97i7g3da0q5g";
- sgr-iosevka-fixed-slab = "006d1cznz5ikclpz6kli69h5jnsr50yd08za3m6k07npnj4g9i9h";
- sgr-iosevka-fixed-ss01 = "0dxjmxvhq7dba7f4dcw2z85mgbx4qmy3w1nz99kbn729pjv3xbnr";
- sgr-iosevka-fixed-ss02 = "1ljq7dxj7dfg8bwmljykbl0lgkw4q9v5h41mflrvxhxkgblghji9";
- sgr-iosevka-fixed-ss03 = "14q5wi4af1mnm6g895zgpmf1qcnadv0mpiyydcizayqxnc015xr0";
- sgr-iosevka-fixed-ss04 = "0szy07dlv9ag7jqahlgyi9wgwpas73rg2vw74jg63fx06svwyx7z";
- sgr-iosevka-fixed-ss05 = "1bm6mqal8jni9za27dmbq9pdqs9j3x58w0cnzx7ma3gyaypfi5jc";
- sgr-iosevka-fixed-ss06 = "08a6mzrbx7wl4z147kv3289fbaccd7cs0r1gp3dnkkypsy4cw907";
- sgr-iosevka-fixed-ss07 = "05l0i4mblgx2zqfp5qvpwqp9671mkfj60i4pg0kznwd13j0ya8qs";
- sgr-iosevka-fixed-ss08 = "15ils79jpa1kibyh3ih5dkjk0qi0ppsy9iibyyl301c4vyhgypzb";
- sgr-iosevka-fixed-ss09 = "1s2m349m7560zz10r0w0nmgixxzn0ys4j8jwy3c1zxzphdq60a10";
- sgr-iosevka-fixed-ss10 = "1iby1afylism23cn70x0bb2qi8mdkf0ysgnmagdr47cgh6n8kgmy";
- sgr-iosevka-fixed-ss11 = "10zn26ijrdj2s0fzc1d1kyi0rpy6qw1bbp6qwf1x1mbhapj0mc8a";
- sgr-iosevka-fixed-ss12 = "1vdxn5qr1h192c1czxifvr4f2mv1jhkb20m5n3wgawyf75p7blcy";
- sgr-iosevka-fixed-ss13 = "1fdki2kf6xy2mvxnna1m77xgk5hm88i1g5ds8dzr6gc5mkm5mw8m";
- sgr-iosevka-fixed-ss14 = "1gaycm1zzm2qnriy76xnyy74rk9ccs54q71br2m55jlr4ifglanv";
- sgr-iosevka-fixed-ss15 = "07b9ss5a2vk4gndwc6zw8qwa4wgsrfnfq9cbrx9zlzj08143q9dr";
- sgr-iosevka-fixed-ss16 = "156yh0hbqqklhpf7czblk43nmq3cw0akgiy4z7jq0904b96v68zs";
- sgr-iosevka-fixed-ss17 = "0wj8j09wvf7m7m1ss47bqf6s0nvrn3vlzdhgnmzwc2jc4rkrvjpa";
- sgr-iosevka-fixed-ss18 = "0zsy2ql3r0419h6ganfdfhmwzn7lprypw26bq7iqzvld03vss45c";
- sgr-iosevka-slab = "10al24w3lglgdz9v86yx6q58mx4qyrxr8kffl0qvjiqvdcyyp460";
- sgr-iosevka-ss01 = "0ipwpjwg14wijzx0qb0zni8rzvw6wwfbwzqv8pzf2dmm6iwnmnqc";
- sgr-iosevka-ss02 = "0nfbw5smfarglma3cddzw397rjh72qjxqhz3g28l0sj26gk2bwma";
- sgr-iosevka-ss03 = "0cdvb5igir3c216niq3i0hbjvff1y9bnzf6fwny17303vjvfqg41";
- sgr-iosevka-ss04 = "0sj62id2ljwsms8xv17j474pdr881r6z8kb7a26gv48p08r225fq";
- sgr-iosevka-ss05 = "13pxfc2s2vxxkqp4jvzam6bx7ywn350phs5xhlzmcdk4sjgml9i2";
- sgr-iosevka-ss06 = "0xscng0a90vlr621pnl3hxpn2la862rgcx7xy8d1i6k47wpp1zbj";
- sgr-iosevka-ss07 = "0yj11jc8fzw9l2316y90mdj7hsqd46y5i1rckxlvih5nv300x1cp";
- sgr-iosevka-ss08 = "15jn1xjafawd5b4y2z4fkbaf22fgbvc861m3sjx4hib5vqjn41p3";
- sgr-iosevka-ss09 = "0kffxk8kr5giisfc10a5h889azgkqs4q9f0gggv8xlml4afdycd0";
- sgr-iosevka-ss10 = "1ldwpx2ysx0v79qfzhcqcc2cwylwnr6x81fy2yqqnv2319v1xrky";
- sgr-iosevka-ss11 = "1rd98yvky9wxgxcp4ps9p1k4ll8hnh9g9vgwf1r0bjlykhv7dhmf";
- sgr-iosevka-ss12 = "0439fg1pvxnv96v77rzrn0sbzna962ixgn8bx4ykpx0wkrigmyrk";
- sgr-iosevka-ss13 = "0qzbf4milkijhmxfkv3al2w5s2aa0a0aqqqxbv2wgza7g3i2glgv";
- sgr-iosevka-ss14 = "0vk8s71lyrdgngdbaasimdg0a5ygckciy7wxkkbixvxh18vi3mfr";
- sgr-iosevka-ss15 = "0c5sai8zbciwpkwrfliakf8091n5zcj7bilkbhzljpgfhalxg43v";
- sgr-iosevka-ss16 = "0a8q3ns3chw6kg77fxc03njlbr4slnq83381lwznhnsziyk7jb6r";
- sgr-iosevka-ss17 = "0bbfq7fjbr718fnmfy4nl7m9n7sjnra89chig9am7571ws66wbxc";
- sgr-iosevka-ss18 = "16sj1g5i75hfd07ghsm6zb655mypgwagxzpz5sk22dkrilxwrdix";
- sgr-iosevka-term = "1ncr05mprm8bar8v9saqsklgm36mymzhzw5x1viz04757s89cqnc";
- sgr-iosevka-term-curly = "0vwi4ccz0fnd7a3adfxffar5qxfzkx4pz23208kzc5zjidl9s9ka";
- sgr-iosevka-term-curly-slab = "0dwjcj8d4am5kqw35w68hm3qnxyk9w5k44z2n1mf9gsj411layi8";
- sgr-iosevka-term-slab = "1i7gp1lirdzzcmcv5lcrdf2mb2l9v3kjx1yhhdydfpapq85q5wma";
- sgr-iosevka-term-ss01 = "0zjx0r7sznzdw1diy88p6bkdki0ihqilvksil6qccbg4fn9f2swm";
- sgr-iosevka-term-ss02 = "1ma8366h42n5ij2czhkhmfyzmv23hmn165ihjxmwkxhg0c58l4jl";
- sgr-iosevka-term-ss03 = "0n23fy0ks0pid1m8z5vl9j7g607nl70h7bxfn015lryl7v8yj2dm";
- sgr-iosevka-term-ss04 = "1a7llxzf4cs9jr7ldnhxdc7r2jviaffq2kvhkj3spqan9bk6ymcx";
- sgr-iosevka-term-ss05 = "1d3sp99f6gycbmxk6z0raa7gk0is0m7bc7dqb4dy6zikra35kv4x";
- sgr-iosevka-term-ss06 = "1vjc785rzzrcbdbcp5j2dljk9flv9inmcjswyf7fyacn4ghszap6";
- sgr-iosevka-term-ss07 = "03pjbr7bp1av2pav1x913j1h18b4nhxvr7k62dg68b019rj1pvfg";
- sgr-iosevka-term-ss08 = "1b9qvkb4zpvwfygvh7i6b6dcwk8jk0y1kg078ma4vlpfag9ay4xb";
- sgr-iosevka-term-ss09 = "0zcg1b1j7113qp5q81s5dx34n1h3lmrshrx8xkvy6kn1n48b17b8";
- sgr-iosevka-term-ss10 = "1nrciywy8fr8x716w087pyyw0vkyd60j3lmxc7ixsr9yl3ff9bb0";
- sgr-iosevka-term-ss11 = "1k4xsl9x6195ap2zg0xxrla4svvzxhwas6xf0dbh7k2baiwyknb3";
- sgr-iosevka-term-ss12 = "16h0i0vj98l0l6hfyjsq4qy8mxkz5p8xpqxnpd56wxm7mnl2b7i9";
- sgr-iosevka-term-ss13 = "1i907injbdamdyfd1ydzdjsygn0b3syab0ahas7xmd438rfkcfj6";
- sgr-iosevka-term-ss14 = "1ypx059ws3pdhkn6lsc4cai4qhm8gzm9chmrsiqk2978yaf2z06c";
- sgr-iosevka-term-ss15 = "1nqbslx44ikj4wd3h1ycqsbk6sk72zz2n49pkn9r3khp9wwz7qwn";
- sgr-iosevka-term-ss16 = "1lpmph22gqzn3zf9zsr5hzb59573xkiz7yq9pfqg5bxnx248byr9";
- sgr-iosevka-term-ss17 = "02d3vs46cg4nbak1y64cw5jlhzgxmlxxkhlz3jzf5wzzb9kli4iv";
- sgr-iosevka-term-ss18 = "1z580s3icbzpivp766cqdc3j8ijgpp5f2yz9a4g4hpz3isa1lpy6";
+ iosevka = "09fwk1sm2i0yf2qvwc99g46jhhi9jwmxrqm02m9n348gcsvml7k1";
+ iosevka-aile = "07nykjvm5acnxc585y7qfs38d1mm4x654wykq24cwd0qdialz2yn";
+ iosevka-curly = "1v9v5xhv4pdihb2q1hgzlw3z54vpg9lvjf753z95x97ah246kbyc";
+ iosevka-curly-slab = "0av94y57pi9vy8skb96dbvlcbz7j6hz7cvhsrdpx50nbf9x2ya4b";
+ iosevka-etoile = "0vinmfcxs16rx1i86sl7ig7hwwyfwv49vh12k6yx9gx56jyywj51";
+ iosevka-slab = "18sjdj5gdg993a0mzvx43l3ll7q2l8w30j12934nlzlw5cadv8gf";
+ iosevka-ss01 = "1k08nwzgdz78iiijd6bzfricjbwa23xmzjm6jq72q7cvcqrwpzfj";
+ iosevka-ss02 = "139q7ps9y97qzmyqr45xqphw5szr4a119pm1jnwrc5scplnhiisb";
+ iosevka-ss03 = "1rbsvrc11skznnk448nj0brfvj58zhgkczlq7skhb8rc3mznhgb8";
+ iosevka-ss04 = "0rr7zy9n84lqxj7h0ljd091m8a5yjs0kzpyp3j68mvccsdwncqq4";
+ iosevka-ss05 = "035rv0pq7741n6c7zkajjzis2rkdyb75z9zjzyiiylfx19j6d6a7";
+ iosevka-ss06 = "0bvbl36zpk79f3h7svs51l0wbllmnnkgxmdk76ikfg4a490nz4g9";
+ iosevka-ss07 = "061xngjvznr6syk1y996fmnjqpj0kvnnibibr46lgqcx5xb1w38x";
+ iosevka-ss08 = "0szc3iydg3kkg6v42ym52b7nd6ljfwrfcw7n3j1av9vhf5gmn0rv";
+ iosevka-ss09 = "1p0hsl6vihly2drh6yiniijcwvwjz35d34d6jfxavjhx028h92mw";
+ iosevka-ss10 = "152asnmd7m7q1hligkv4ar8h71xn96586p9whplvmkgfrcr731p7";
+ iosevka-ss11 = "0mf3gg1b9x3i1j8c3yqks7sc56j97fwx736pr01bf99lw4jchd2h";
+ iosevka-ss12 = "136s3i5dwz0iv8mivq8fraadhbqzjjc5h2c5wqydvmw9i7rpyp2h";
+ iosevka-ss13 = "0n9886kn9sr89rwnc0r0q9d2a16fykq5asd0cazrs95jbqq0acix";
+ iosevka-ss14 = "128y8dgsawdz20lyjshdl7932222dph6qyiirim6rkh99bp2kdy6";
+ iosevka-ss15 = "0h2ywzn2gmaj61n5gzdms7v3yqa3x474icdg10vqds7i86n6g8am";
+ iosevka-ss16 = "1c1sr4lphwf8x5xdagciws8dr7ia8jh2cy3zv787g8dhflhzvc1i";
+ iosevka-ss17 = "1gqbwx89hcnky7bi1xscz13ykh4srvycbfgf9z4b5j26wflfk2a9";
+ iosevka-ss18 = "07h0zcf789g6qw5laznf5y67syh003lwhr6141ifz2zv2sgjl716";
+ sgr-iosevka = "0h9yg63cjc0s4kbl5k9lpic48y5iz0hlm8bi7h2h850zhbj405fm";
+ sgr-iosevka-aile = "1sv0lb2xb18skwvvw95qzdi9hqr1mr3gi2p4plqlbxq6bjpcvc57";
+ sgr-iosevka-curly = "1irg71zrbqnw1r2ar5qkfzdjzb1ziwd22jyvm9g1gynjiwh1idaj";
+ sgr-iosevka-curly-slab = "12lc9gqlbnp4crp9qrqf38dlzwaqanyj3l9xyasd96z33wmgnvcr";
+ sgr-iosevka-etoile = "0j78cbrdsz9qnvs6y6vkv1ys2spfv9l207z20zkyw5m0i3yvhwi4";
+ sgr-iosevka-fixed = "04lirldlmjlvz8q33xb2886d1jqaj1a7a94mnrm1ikw2gzbh7j1m";
+ sgr-iosevka-fixed-curly = "1s4xyzlmg9s8jvpvc22bxqc6z9qn0bbgham9kp1w2nwlmlnhl712";
+ sgr-iosevka-fixed-curly-slab = "01g6rk0n1xs0bv4vyqv9pwyndzk9k8cfhf0sd640zdkqi51p4raw";
+ sgr-iosevka-fixed-slab = "1ipzwxs0jqk4cc8snyy9mxhak1zrj9qlicwwhhhv8pmxs2lcirgq";
+ sgr-iosevka-fixed-ss01 = "1vpfgj496yzn5n8zb5hxzlx0kh0yfh27v2naz4zi4gci0k58mj4g";
+ sgr-iosevka-fixed-ss02 = "122id78h9lvnm4abflng5572zjdn52wqci9jq88gh5iyk02kja6b";
+ sgr-iosevka-fixed-ss03 = "0wa4q1zqp75ja5m34wy3zmx5in225ldr0ah23y7l9kh3x67lfykd";
+ sgr-iosevka-fixed-ss04 = "11dxlc3r1gn3psf4bpsiwr283zjpc63d8fgswwbh5d6swk9nxm7v";
+ sgr-iosevka-fixed-ss05 = "0jw57byz8rbdc5h1ig5d4kpjklqrm6880sx0z06gw97z3p4aqmb1";
+ sgr-iosevka-fixed-ss06 = "0saxvswnrszi3kirv5j1pp96n9fhnqwrmsc8naqdgq342rcy13w5";
+ sgr-iosevka-fixed-ss07 = "0bsrpfbcjf2g8vd6f6sv6yxvdi9s6wdjckbjb3m64mdgv25lpdwv";
+ sgr-iosevka-fixed-ss08 = "1l5k2y0h4h3fsk2ac7akym4rash6bb63bj9vhh3f9igq062dk10a";
+ sgr-iosevka-fixed-ss09 = "09s1x6q7lx4y0462m0ac3jp8jfy4x2sc2irfmxcz2rl5px2smgdg";
+ sgr-iosevka-fixed-ss10 = "0j8h200gw60rzknxyg7nvcg9cw1nhvgy3n6n70lf3b8jnp5splzd";
+ sgr-iosevka-fixed-ss11 = "0cgxy8gq5wak1a7z3j013l1kadph45ckl865dlkw5jnmndz7a684";
+ sgr-iosevka-fixed-ss12 = "1y9grhh3ami6qwdm8a6r7m671n7c9bnxp7qgmk7qxgb8jax31qcp";
+ sgr-iosevka-fixed-ss13 = "1a9in4ybl9vjyvxab0hdbjnq46rg3yx9gyalj6x8y3mxsfij0wh2";
+ sgr-iosevka-fixed-ss14 = "0wc3yw6rf05wdh8kzz6af1apirvyspkb8bav4pbdxahsy1asij58";
+ sgr-iosevka-fixed-ss15 = "1b7ns68lx267y9rwlv47yl0y48nvwyzqdpgpwdfwkmpl6vd9kmnn";
+ sgr-iosevka-fixed-ss16 = "07h4zvcll7324r4l7kwwk13874hmjs7vdiiffbjwhi403vbiw1an";
+ sgr-iosevka-fixed-ss17 = "1rjb0c3yvww8n3sam49ynj2f7h0xgbdsznk7xbj4sk5pkx3l5zr5";
+ sgr-iosevka-fixed-ss18 = "0s39p9khjidasizg1ps3k87ldlkpy3cxy5l6r0c2bkvnfz63k66n";
+ sgr-iosevka-slab = "10gx0hlr2iywj3nksc70idjha9wja3fw9fl8yvkmnpbqaxlrlzm8";
+ sgr-iosevka-ss01 = "1fzxzx9ky4zrbv3zbjh7c57k8dm949xz356a4jk1lpbmwyd0gry4";
+ sgr-iosevka-ss02 = "1qk9f257pq2r4jfilrh02viwgy80kqga4czpc1mvwwbqfalz2lg9";
+ sgr-iosevka-ss03 = "0cj2xgpfcxdj4sh2sdp6cvbg08s6c8gvg0h01ylrqnazxddfv1xr";
+ sgr-iosevka-ss04 = "02q2xqv1qvdijn53p3nbz2swn39yk2pp5ndq1wkakm5j3w5n52f9";
+ sgr-iosevka-ss05 = "0y4f8zkzvxq512ns4qzbq5hnd6zzwdjlc1p2iify0f4m491msx5n";
+ sgr-iosevka-ss06 = "154jzvb44h1njzkzsk9x6mk7g9sa5jr7kqjv26ylm0ax0i039ax2";
+ sgr-iosevka-ss07 = "08025i39hkinrd0sq0yj3d9dc3fqhv5qfdvxaqg3wp89p5jz2q2q";
+ sgr-iosevka-ss08 = "1hj80l9k1qi4cnw5dqfp431z3yiyqvrhby9f4ny84ppkpihp60xk";
+ sgr-iosevka-ss09 = "0pl1fz70nx0ls0l4zr8j111flf2mh54miavb0422r2dzprvqaviq";
+ sgr-iosevka-ss10 = "1wb03i26g36n6qgzkyza3sbdbgpari5sw0m4qm7yaz3c2f91ic69";
+ sgr-iosevka-ss11 = "1mz1mg0pc3nidsl5pb6kvdmmga62fj8x77x0n1xjzcz2iwvdd616";
+ sgr-iosevka-ss12 = "0g3i88rhax9am4nfjzq91kdkj1k6vzszia7g79hzsw8nfyrd1i52";
+ sgr-iosevka-ss13 = "1jcg4y52xbig8npjd7jbjxqdr9nsbwh120mh9sjy9vvq3hxdsbqh";
+ sgr-iosevka-ss14 = "1am7j9ymxgmyb50qziyd3xkal1f82cnx4m21gd2rqxijpa12x1r3";
+ sgr-iosevka-ss15 = "0zpwz51xdbckldgycgbmrqf3g9wssanmb2z554n1vbmc5whhwkpp";
+ sgr-iosevka-ss16 = "19jzkq3xj0cncs4mk11z8q8mmf6h75i0x2nj4ikcvk01mlrss6s8";
+ sgr-iosevka-ss17 = "1zn0xh0h997afjsj6n97bmxanxk441iw6mcdliavfs9l6lj1zhns";
+ sgr-iosevka-ss18 = "147w89y3p9s2qanm4wwxv3plpif50fs85hzhhdz23jin5zvh5lz2";
+ sgr-iosevka-term = "01aqqniw3r2njv4fc114iymjzp9k5mdl7c5dyxypm71sdlpcjxqj";
+ sgr-iosevka-term-curly = "0rh0k1svfsan04q50ihhf2xf2fa8isggpqmfps77q1xrbih9miyc";
+ sgr-iosevka-term-curly-slab = "0ys2apprdz1awf6nad6phv2k2kf0qfigb22j930y1gya9vshxqx1";
+ sgr-iosevka-term-slab = "0qbiwsllyim81ayh0whrkzc1nq06x9g7hnv8haxh91jg9nf327vw";
+ sgr-iosevka-term-ss01 = "0mdgh9hdnz752d7sxv91ayi6lyp6czs6gq21dqigk3wmkgwaraz2";
+ sgr-iosevka-term-ss02 = "0zxynyzbngng6ymajd7yf5pmagdzxnr19vnpbmqkvhjnsjmhqpcd";
+ sgr-iosevka-term-ss03 = "192fjh0kc8jh0z8y7l74g41jvqfnax5p2shnn0ch1h824vraklvd";
+ sgr-iosevka-term-ss04 = "0fjmy0wl8hh38gbhf5h6m064la1dp68lyfimmxvjpf1s2c3g5szd";
+ sgr-iosevka-term-ss05 = "0w57k1kjn91srngy297fywi1wnc64bwyymclk0w704sqpx04jv4n";
+ sgr-iosevka-term-ss06 = "0n2ifw444z606qm9w4il6inmf5zmbkhrk2wvldfx5bsgv2pfxnjd";
+ sgr-iosevka-term-ss07 = "1wwky8pichvr467ypgxrxyfqgr27hqkpmx7c35fzka9c0kap483q";
+ sgr-iosevka-term-ss08 = "117c54z898rmsclm23hn4x2wvhypc2vmncrq2mvkqck0wawkwaxw";
+ sgr-iosevka-term-ss09 = "0lahhifnar8f716xq63xjhibay7cfqgaa7drxvz4pqxmzijv6r2r";
+ sgr-iosevka-term-ss10 = "0fp149a4dn7wgdsms70k162g60jgdg5ric93rhxnkn83x3d5jam1";
+ sgr-iosevka-term-ss11 = "16pvy63b194vig5vxy15ylmyl5422vrj9adqqwl82r0l9aqpkqlw";
+ sgr-iosevka-term-ss12 = "1gldv3srnm7zx9gkyizi6gvf34b7z7xg17qk77882jczsv95hyh1";
+ sgr-iosevka-term-ss13 = "0aznbica0yc4vhp4bp84dflfd6jhzw8lsakknfn8dz10kj1qq7vc";
+ sgr-iosevka-term-ss14 = "0x73vwywxj7j6qg3armbhm6rjy308j1rk1fhjfriv51hnkm6ylz2";
+ sgr-iosevka-term-ss15 = "0px9y25bx75ppsdaq0rfddd9ljxwa3fv5296kvvkw3mwd0ralflx";
+ sgr-iosevka-term-ss16 = "1bmnf7z8v4mbcq97lj2qkf722ww1n500jgv2zgs36vxc22zjjrvk";
+ sgr-iosevka-term-ss17 = "0lp5qz8j9xc8n959lm4sbfkjhm3ib79qnv69a57nkv5a10ddk20r";
+ sgr-iosevka-term-ss18 = "0jkp6zjx9ih5m4pa95a2rn7j1wx4hvnxg2j24cib9dixr7sc81b6";
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/julia-mono/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/julia-mono/default.nix
index 88f9683e34f..a1f8f316773 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/julia-mono/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/julia-mono/default.nix
@@ -1,13 +1,13 @@
{ lib, fetchzip }:
let
- version = "0.041";
+ version = "0.043";
in
fetchzip {
name = "JuliaMono-ttf-${version}";
url = "https://github.com/cormullion/juliamono/releases/download/v${version}/JuliaMono-ttf.tar.gz";
- sha256 = "sha256-OjguPR2MFjbY72/PF0R43/g6i95uAPVPbXk+HS0B360=";
+ sha256 = "sha256-oxQRrFhTf37OrJSbDlmzh/7xOuKrtxO7v2+j7QcsAmE=";
postFetch = ''
mkdir -p $out/share/fonts/truetype
@@ -25,7 +25,7 @@ fetchzip {
'';
maintainers = with maintainers; [ suhr ];
platforms = with platforms; all;
- homepage = "https://cormullion.github.io/pages/2020-07-26-JuliaMono/";
+ homepage = "https://juliamono.netlify.app/";
license = licenses.ofl;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/kanit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/kanit/default.nix
index 9b5be79061c..8875704ba6b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/kanit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/kanit/default.nix
@@ -12,12 +12,16 @@ stdenv.mkDerivation rec {
};
installPhase = ''
- mkdir -p $out/share/doc/${pname}/css/ $out/share/fonts/{opentype,truetype}
+ runHook preInstall
- cp $src/OFL.txt $src/documentation/{BRIEF.md,features.html} $out/share/doc/${pname}
- cp $src/documentation/css/fonts.css $out/share/doc/${pname}/css
+ mkdir -p $out/share/doc/kanit/css/ $out/share/fonts/{opentype,truetype}
+
+ cp $src/OFL.txt $src/documentation/{BRIEF.md,features.html} $out/share/doc/kanit
+ cp $src/documentation/css/fonts.css $out/share/doc/kanit/css
cp $src/fonts/otf/*.otf $out/share/fonts/opentype
cp $src/fonts/ttf/*.ttf $out/share/fonts/truetype
+
+ runHook postInstall
'';
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/noto-fonts/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/noto-fonts/default.nix
index 07fba382286..27e414b12e2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/noto-fonts/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/noto-fonts/default.nix
@@ -141,6 +141,14 @@ in
# python requirements using python.withPackages
sed -i '/ifndef VIRTUAL_ENV/,+2d' Makefile
+ # Remove check for missing zopfli, it doesn't
+ # work and we guarantee its presence already.
+ sed -i '/ifdef MISSING_ZOPFLI/,+2d' Makefile
+ sed -i '/ifeq (,$(shell which $(ZOPFLIPNG)))/,+4d' Makefile
+
+ sed -i '/ZOPFLIPNG = zopflipng/d' Makefile
+ echo "ZOPFLIPNG = ${zopfli}/bin/zopflipng" >> Makefile
+
# Make the build verbose so it won't get culled by Hydra thinking that
# it somehow got stuck doing nothing.
sed -i 's;\t@;\t;' Makefile
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/ocr-a/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/ocr-a/default.nix
new file mode 100644
index 00000000000..216b960994d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/ocr-a/default.nix
@@ -0,0 +1,24 @@
+{ lib, stdenv, fetchurl }:
+
+stdenv.mkDerivation rec {
+ pname = "OCR-A";
+ version = "1.0";
+
+ src = fetchurl {
+ url = "mirror://sourceforge/ocr-a-font/OCR-A/${version}/OCRA.ttf";
+ sha256 = "0kpmjjxwzm84z8maz6lq9sk1b0xv1zkvl28lwj7i0m2xf04qixd0";
+ };
+
+ dontUnpack = true;
+
+ installPhase = ''
+ install -D -m 0644 $src $out/share/fonts/truetype/OCRA.ttf
+ '';
+
+ meta = with lib; {
+ description = "ANSI OCR font from the '60s. CYBER";
+ homepage = "https://sourceforge.net/projects/ocr-a-font/";
+ license = licenses.publicDomain;
+ maintainers = with maintainers; [ V ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/openmoji/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/openmoji/default.nix
new file mode 100644
index 00000000000..d511a78f725
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/openmoji/default.nix
@@ -0,0 +1,58 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, scfbuild
+, nodejs
+, nodePackages
+, python3Packages
+, variant ? "color" # "color" or "black"
+}:
+
+let
+ filename = builtins.replaceStrings
+ [ "color" "black" ]
+ [ "OpenMoji-Color.ttf" "OpenMoji-Black.ttf" ]
+ variant;
+
+in stdenv.mkDerivation rec {
+ pname = "openmoji";
+ version = "13.1.0";
+
+ src = fetchFromGitHub {
+ owner = "hfg-gmuend";
+ repo = pname;
+ rev = version;
+ sha256 = "sha256-7G6a+LFq79njyPhnDhhSJ98Smw5fWlfcsFj6nWBPsSk=";
+ };
+
+ nativeBuildInputs = [
+ scfbuild
+ nodejs
+ nodePackages.glob
+ nodePackages.lodash
+ ];
+
+ buildPhase = ''
+ runHook preBuild
+
+ node helpers/generate-font-glyphs.js
+
+ cd font
+ scfbuild -c scfbuild-${variant}.yml
+
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ install -Dm644 ${filename} $out/share/fonts/truetype/${filename}
+ '';
+
+ meta = with lib; {
+ license = licenses.cc-by-sa-40;
+ maintainers = with maintainers; [ fgaz ];
+ platforms = platforms.all;
+ homepage = "https://openmoji.org/";
+ downloadPage = "https://github.com/hfg-gmuend/openmoji/releases";
+ description = "Open-source emojis for designers, developers and everyone else";
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/scientifica/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/scientifica/default.nix
index d8eda3e9232..bf5b887cc77 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/scientifica/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/scientifica/default.nix
@@ -1,7 +1,7 @@
{ lib, fetchurl }:
let
- version = "2.2";
+ version = "2.3";
in fetchurl rec {
name = "scientifica-${version}";
@@ -11,7 +11,7 @@ in fetchurl rec {
recursiveHash = true;
- sha256 = "sha256-mkZnuW+CB20t6MEpEeQR1CWkIUtqgVwrKN4sezQRaB4=";
+ sha256 = "sha256-pVWkj/2lFpmWk0PPDrIMU4Gey7/m/9tzUsuD3ZDUAdc=";
postFetch = ''
tar xf $downloadedFile
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/source-han/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/source-han/default.nix
index 28ec08f63b6..e24bc8ae0dd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/source-han/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/source-han/default.nix
@@ -1,55 +1,61 @@
{ stdenvNoCC
, lib
, fetchzip
-, fetchurl
}:
let
- makePackage = { family, description, rev, sha256 }: let
- Family =
+ makePackage =
+ { family
+ , description
+ , rev
+ , sha256
+ , postFetch ? ''
+ install -m444 -Dt $out/share/fonts/opentype/source-han-${family} $downloadedFile
+ ''
+ , zip ? ""
+ }:
+ let Family =
lib.toUpper (lib.substring 0 1 family) +
lib.substring 1 (lib.stringLength family) family;
+ in
+ fetchzip {
+ name = "source-han-${family}-${lib.removeSuffix "R" rev}";
- ttc = fetchurl {
- url = "https://github.com/adobe-fonts/source-han-${family}/releases/download/${rev}/SourceHan${Family}.ttc";
- inherit sha256;
- };
- in stdenvNoCC.mkDerivation {
- pname = "source-han-${family}";
- version = lib.removeSuffix "R" rev;
-
- buildCommand = ''
- mkdir -p $out/share/fonts/opentype/source-han-${family}
- ln -s ${ttc} $out/share/fonts/opentype/source-han-${family}/SourceHan${Family}.ttc
- '';
+ url = "https://github.com/adobe-fonts/source-han-${family}/releases/download/${rev}/SourceHan${Family}.ttc${zip}";
+ inherit sha256 postFetch;
- meta = {
- description = "An open source Pan-CJK ${description} typeface";
- homepage = "https://github.com/adobe-fonts/source-han-${family}";
- license = lib.licenses.ofl;
- maintainers = with lib.maintainers; [ taku0 emily ];
+ meta = {
+ description = "An open source Pan-CJK ${description} typeface";
+ homepage = "https://github.com/adobe-fonts/source-han-${family}";
+ license = lib.licenses.ofl;
+ maintainers = with lib.maintainers; [ taku0 emily ];
+ };
};
- };
in
{
sans = makePackage {
family = "sans";
description = "sans-serif";
- rev = "2.001R";
- sha256 = "101p8q0sagf1sd1yzwdrmmxvkqq7j0b8hi0ywsfck9w56r4zx54y";
+ rev = "2.004R";
+ sha256 = "052d17hvz435zc4r2y1p9cgkkgn0ps8g74mfbvnbm1pv8ykj40m9";
+ postFetch = ''
+ mkdir -p $out/share/fonts/opentype/source-han-sans
+ unzip $downloadedFile -d $out/share/fonts/opentype/source-han-sans
+ '';
+ zip = ".zip";
};
serif = makePackage {
family = "serif";
description = "serif";
rev = "1.001R";
- sha256 = "1d968h30qvvwy3s77m9y3f1glq8zlr6bnfw00yinqa18l97n7k45";
+ sha256 = "0nnsb2w140ih0cnp1fh7s4csvzp9y0cavz9df2ryhv215mh9z4m0";
};
mono = makePackage {
family = "mono";
description = "monospaced";
rev = "1.002";
- sha256 = "1haqffkcgz0cc24y8rc9bg36v8x9hdl8fdl3xc8qz14hvr42868c";
+ sha256 = "010h1y469c21bjavwdmkpbwk3ny686inz8i062wh1dhcv8cnqk3c";
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/sudo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/sudo/default.nix
index bc8396a7a21..2cb310109ba 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/sudo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/sudo/default.nix
@@ -1,11 +1,11 @@
{ lib, fetchzip }:
let
- version = "0.55.2";
+ version = "0.60";
in fetchzip {
name = "sudo-font-${version}";
url = "https://github.com/jenskutilek/sudo-font/releases/download/v${version}/sudo.zip";
- sha256 = "0r7w58r80yblyzlh6qb57pmafxb3frg1iny644bfr3p64j4cbzzb";
+ sha256 = "1zhl9yhx0dzkzc31i60lmcrizq8f3rkc7dbng5fal6iy8dwhnkmg";
postFetch = ''
mkdir -p $out/share/fonts/
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/ultimate-oldschool-pc-font-pack/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/ultimate-oldschool-pc-font-pack/default.nix
index b1da06ccd8b..d34ebaf6d8f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/ultimate-oldschool-pc-font-pack/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/ultimate-oldschool-pc-font-pack/default.nix
@@ -16,6 +16,7 @@ fetchzip {
meta = with lib; {
description = "The Ultimate Oldschool PC Font Pack (TTF Fonts)";
homepage = "https://int10h.org/oldschool-pc-fonts/";
+ changelog = "https://int10h.org/oldschool-pc-fonts/readme/#history";
license = licenses.cc-by-sa-40;
maintainers = [ maintainers.endgame ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/unifont/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/unifont/default.nix
index f7cb84f285a..44c3a231246 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/unifont/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/unifont/default.nix
@@ -4,16 +4,16 @@
stdenv.mkDerivation rec {
pname = "unifont";
- version = "13.0.06";
+ version = "14.0.01";
ttf = fetchurl {
url = "mirror://gnu/unifont/${pname}-${version}/${pname}-${version}.ttf";
- sha256 = "0hp72lcj8q8cw490lxl5y1ygw9mcicryjwqr1mmkdz8zh4jh8g6p";
+ sha256 = "19algkm4nnixmzshc25rjgh8gfccqinallgi86wgvkcwcmn6ccn6";
};
pcf = fetchurl {
url = "mirror://gnu/unifont/${pname}-${version}/${pname}-${version}.pcf.gz";
- sha256 = "0y030km1x8mai8zrk661dqsb0yq8rpx6akl7p2sw5ijkcdsfm85f";
+ sha256 = "1aj29pswi6qwpvjwncv5w3ndwy2nzli0200i6dx6f80036z8nz9i";
};
nativeBuildInputs = [ libfaketime fonttosfnt mkfontscale ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/unifont_upper/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/unifont_upper/default.nix
index 40799fafab7..902aba0caa2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/unifont_upper/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/fonts/unifont_upper/default.nix
@@ -1,7 +1,7 @@
{ lib, fetchzip }:
let
- version = "13.0.06";
+ version = "14.0.01";
in fetchzip rec {
name = "unifont_upper-${version}";
@@ -9,7 +9,7 @@ in fetchzip rec {
postFetch = "install -Dm644 $downloadedFile $out/share/fonts/truetype/unifont_upper.ttf";
- sha256 = "0bqw30h5b787dw8bn1dj8shz22mlxr1zmcfp68fpyll5vg02540n";
+ sha256 = "0sb3m2qg0ri7zmxhjvrq8n0jmxxjx8rrx9rpibh5f5fbfkibq4gm";
meta = with lib; {
description = "Unicode font for glyphs above the Unicode Basic Multilingual Plane";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/luna-icons/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/luna-icons/default.nix
index 3b5bc262c03..3a40ef978e3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/luna-icons/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/luna-icons/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "luna-icons";
- version = "1.3";
+ version = "1.6";
src = fetchFromGitHub {
owner = "darkomarko42";
repo = pname;
rev = version;
- sha256 = "0pww8882qvlnamxzvn7jxyi0h7lffrwld7qqs1q08h73xc3p18nv";
+ sha256 = "1iw9wqfs8s3l5k5ngyjmvvxbsxcsya3a6h1xwl6d603swv7h1s02";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/papirus-icon-theme/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/papirus-icon-theme/default.nix
index 0709441d41b..9e8856528b1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/papirus-icon-theme/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/papirus-icon-theme/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "papirus-icon-theme";
- version = "20210802";
+ version = "20211001";
src = fetchFromGitHub {
owner = "PapirusDevelopmentTeam";
repo = pname;
rev = version;
- sha256 = "sha256-run7F1iGq1h7o06zS/RNpCMhK5WDH++knLN+MvMcSy8=";
+ sha256 = "sha256-OVG/bKWOdSxOXVvtMOITnCDoGNSc+zPNZ/XOablfSEE=";
};
nativeBuildInputs = [ gtk3 ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/qogir-icon-theme/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/qogir-icon-theme/default.nix
index 00de4f6760c..c0f65ab7ead 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/qogir-icon-theme/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/qogir-icon-theme/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "qogir-icon-theme";
- version = "2021-07-14";
+ version = "2021-10-14";
src = fetchFromGitHub {
owner = "vinceliuice";
repo = pname;
rev = version;
- sha256 = "0anma2ss3yqr9njx4ay2nyxjkgnj7ky17c93ipwgrvgsv8jk5nn2";
+ sha256 = "0qbbg0hcdda7apk892b8nhbrsvji12nv97ss7lv412xwcmxsj9fp";
};
nativeBuildInputs = [ gtk3 jdupes ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/tela-icon-theme/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/tela-icon-theme/default.nix
index aaf32fdf16d..31745f9b2f1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/tela-icon-theme/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/tela-icon-theme/default.nix
@@ -1,14 +1,14 @@
-{ fetchFromGitHub, gtk3, hicolor-icon-theme, jdupes, lib, stdenvNoCC }:
+{ lib, stdenvNoCC, fetchFromGitHub, gtk3, jdupes, hicolor-icon-theme }:
stdenvNoCC.mkDerivation rec {
pname = "tela-icon-theme";
- version = "2021-01-21";
+ version = "2021-10-08";
src = fetchFromGitHub {
owner = "vinceliuice";
repo = pname;
rev = version;
- sha256 = "0gphy4aq2qjcg79k6rc0q5901mn3q76qhckn5vxvmypn9n3lb9ph";
+ sha256 = "sha256-4h6c7asjUC7pqi4GkxCN13LOpVVbjBdvjUMN7sXAlNE=";
};
nativeBuildInputs = [ gtk3 jdupes ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/whitesur-icon-theme/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/whitesur-icon-theme/default.nix
index 95f41caca1a..27695f3cbd1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/whitesur-icon-theme/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/icons/whitesur-icon-theme/default.nix
@@ -1,30 +1,19 @@
-{ lib
-, stdenvNoCC
-, fetchFromGitHub
-, gtk3
-, gnome
-, gnome-icon-theme
-, hicolor-icon-theme
-}:
+{ lib, stdenvNoCC, fetchFromGitHub, gtk3, hicolor-icon-theme }:
stdenvNoCC.mkDerivation rec {
pname = "Whitesur-icon-theme";
- version = "2021-05-20";
+ version = "2021-08-26";
src = fetchFromGitHub {
owner = "vinceliuice";
repo = pname;
rev = version;
- sha256 = "KboUYozTleOBKNun66g2oj7u/36hyQsPtRSk/x/LAWo=";
+ sha256 = "O7nb6X20HvnzldijP/fXqAs/2qE5JUg3DEMb84ZMQp4=";
};
nativeBuildInputs = [ gtk3 ];
- buildInputs = [
- gnome-icon-theme
- gnome.adwaita-icon-theme
- hicolor-icon-theme
- ];
+ buildInputs = [ hicolor-icon-theme ];
dontDropIconThemeCache = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/brise/fetchPackages.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/brise/fetchPackages.nix
index 92930c67cc9..50ad1113aa9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/brise/fetchPackages.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/brise/fetchPackages.nix
@@ -4,8 +4,8 @@ fetchFromGitHub:
ln -sv ${fetchFromGitHub {
owner = "rime";
repo = "rime-array";
- rev = "9ca2b725ae52c9b3185213e3555df1f9d4f1c53f";
- sha256 = "0x3sifdpdivr8ssynjhc4g1zfl6h9hm9nh9p9zb9wkh1ky9z7kha";
+ rev = "d10f2f8b2aec7c7e736ace01e8a399e5ae5e7c3a";
+ sha256 = "sha256-4t6+gh2V57SueDp9Tn6vTuxQCZNGzjLdJEhzIEqRjdI=";
}} array
ln -sv ${fetchFromGitHub {
owner = "rime";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/cacert/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/cacert/default.nix
index b4a8ebc4ef2..bd67e2a58f1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/cacert/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/cacert/default.nix
@@ -1,28 +1,17 @@
-{ lib, stdenv, fetchurl, nss, python3
-, blacklist ? []
-
-# Used for tests only
+{ lib
+, stdenv
+, fetchurl
+, nss
+, python3
+, blacklist ? [ ]
+
+ # Used for tests only
, runCommand
, cacert
, openssl
}:
-with lib;
-
let
- version = "3.66";
-
- underscoreVersion = builtins.replaceStrings ["."] ["_"] version;
-in
-
-stdenv.mkDerivation {
- name = "nss-cacert-${version}";
-
- src = fetchurl {
- url = "mirror://mozilla/security/nss/releases/NSS_${underscoreVersion}_RTM/src/nss-${version}.tar.gz";
- sha256 = "1jfdnh5l4k57r2vb07s06hqi7m2qzk0d9x25lsdsrw3cflx9x9w9";
- };
-
certdata2pem = fetchurl {
name = "certdata2pem.py";
urls = [
@@ -31,6 +20,16 @@ stdenv.mkDerivation {
];
sha256 = "1d4q27j1gss0186a5m8bs5dk786w07ccyq0qi6xmd2zr1a8q16wy";
};
+in
+
+stdenv.mkDerivation rec {
+ pname = "nss-cacert";
+ version = "3.66";
+
+ src = fetchurl {
+ url = "mirror://mozilla/security/nss/releases/NSS_${lib.replaceStrings ["."] ["_"] version}_RTM/src/nss-${version}.tar.gz";
+ sha256 = "1jfdnh5l4k57r2vb07s06hqi7m2qzk0d9x25lsdsrw3cflx9x9w9";
+ };
outputs = [ "out" "unbundled" ];
@@ -40,11 +39,11 @@ stdenv.mkDerivation {
ln -s nss/lib/ckfw/builtins/certdata.txt
cat << EOF > blacklist.txt
- ${concatStringsSep "\n" (map (c: ''"${c}"'') blacklist)}
+ ${lib.concatStringsSep "\n" (map (c: ''"${c}"'') blacklist)}
EOF
# copy from the store, otherwise python will scan it for imports
- cat "$certdata2pem" > certdata2pem.py
+ cat "${certdata2pem}" > certdata2pem.py
'';
buildPhase = ''
@@ -63,61 +62,66 @@ stdenv.mkDerivation {
# install individual certs in unbundled output
mkdir -pv $unbundled/etc/ssl/certs
cp -v *.crt $unbundled/etc/ssl/certs
- rm -f $unbundled/etc/ssl/certs/ca-bundle.crt # not wanted in unbundled
+ rm $unbundled/etc/ssl/certs/ca-bundle.crt # not wanted in unbundled
'';
setupHook = ./setup-hook.sh;
- passthru.updateScript = ./update.sh;
- passthru.tests = {
- # Test that building this derivation with a blacklist works, and that UTF-8 is supported.
- blacklist-utf8 = let
- blacklistCAToFingerprint = {
- # "blacklist" uses the CA name from the NSS bundle, but we check for presence using the SHA256 fingerprint.
- "CFCA EV ROOT" = "5C:C3:D7:8E:4E:1D:5E:45:54:7A:04:E6:87:3E:64:F9:0C:F9:53:6D:1C:CC:2E:F8:00:F3:55:C4:C5:FD:70:FD";
- "NetLock Arany (Class Gold) Főtanúsítvány" = "6C:61:DA:C3:A2:DE:F0:31:50:6B:E0:36:D2:A6:FE:40:19:94:FB:D1:3D:F9:C8:D4:66:59:92:74:C4:46:EC:98";
- };
- mapBlacklist = f: concatStringsSep "\n" (mapAttrsToList f blacklistCAToFingerprint);
- in runCommand "verify-the-cacert-filter-output" {
- cacert = cacert.unbundled;
- cacertWithExcludes = (cacert.override {
- blacklist = builtins.attrNames blacklistCAToFingerprint;
- }).unbundled;
-
- nativeBuildInputs = [ openssl ];
- } ''
- isPresent() {
- # isPresent
- for f in $1/etc/ssl/certs/*.crt; do
- fingerprint="$(openssl x509 -in "$f" -noout -fingerprint -sha256 | cut -f2 -d=)"
- if [[ "x$fingerprint" == "x$3" ]]; then
- return 0
- fi
- done
- return 1
- }
-
- # Ensure that each certificate is in the main "cacert".
- ${mapBlacklist (caName: caFingerprint: ''
- isPresent "$cacert" "${caName}" "${caFingerprint}" || ({
- echo "CA fingerprint ${caFingerprint} (${caName}) is missing from the CA bundle. Consider picking a different CA for the blacklist test." >&2
- exit 1
- })
- '')}
-
- # Ensure that each certificate is NOT in the "cacertWithExcludes".
- ${mapBlacklist (caName: caFingerprint: ''
- isPresent "$cacertWithExcludes" "${caName}" "${caFingerprint}" && ({
- echo "CA fingerprint ${caFingerprint} (${caName}) is present in the cacertWithExcludes bundle." >&2
- exit 1
- })
- '')}
-
- touch $out
- '';
+ passthru = {
+ updateScript = ./update.sh;
+ tests = {
+ # Test that building this derivation with a blacklist works, and that UTF-8 is supported.
+ blacklist-utf8 =
+ let
+ blacklistCAToFingerprint = {
+ # "blacklist" uses the CA name from the NSS bundle, but we check for presence using the SHA256 fingerprint.
+ "CFCA EV ROOT" = "5C:C3:D7:8E:4E:1D:5E:45:54:7A:04:E6:87:3E:64:F9:0C:F9:53:6D:1C:CC:2E:F8:00:F3:55:C4:C5:FD:70:FD";
+ "NetLock Arany (Class Gold) Főtanúsítvány" = "6C:61:DA:C3:A2:DE:F0:31:50:6B:E0:36:D2:A6:FE:40:19:94:FB:D1:3D:F9:C8:D4:66:59:92:74:C4:46:EC:98";
+ };
+ mapBlacklist = f: lib.concatStringsSep "\n" (lib.mapAttrsToList f blacklistCAToFingerprint);
+ in
+ runCommand "verify-the-cacert-filter-output"
+ {
+ cacert = cacert.unbundled;
+ cacertWithExcludes = (cacert.override {
+ blacklist = builtins.attrNames blacklistCAToFingerprint;
+ }).unbundled;
+
+ nativeBuildInputs = [ openssl ];
+ } ''
+ isPresent() {
+ # isPresent
+ for f in $1/etc/ssl/certs/*.crt; do
+ fingerprint="$(openssl x509 -in "$f" -noout -fingerprint -sha256 | cut -f2 -d=)"
+ if [[ "x$fingerprint" == "x$3" ]]; then
+ return 0
+ fi
+ done
+ return 1
+ }
+
+ # Ensure that each certificate is in the main "cacert".
+ ${mapBlacklist (caName: caFingerprint: ''
+ isPresent "$cacert" "${caName}" "${caFingerprint}" || ({
+ echo "CA fingerprint ${caFingerprint} (${caName}) is missing from the CA bundle. Consider picking a different CA for the blacklist test." >&2
+ exit 1
+ })
+ '')}
+
+ # Ensure that each certificate is NOT in the "cacertWithExcludes".
+ ${mapBlacklist (caName: caFingerprint: ''
+ isPresent "$cacertWithExcludes" "${caName}" "${caFingerprint}" && ({
+ echo "CA fingerprint ${caFingerprint} (${caName}) is present in the cacertWithExcludes bundle." >&2
+ exit 1
+ })
+ '')}
+
+ touch $out
+ '';
+ };
};
- meta = {
+ meta = with lib; {
homepage = "https://curl.haxx.se/docs/caextract.html";
description = "A bundle of X.509 certificates of public Certificate Authorities (CA)";
platforms = platforms.all;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/hackage/pin.json b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/hackage/pin.json
index b00021504b4..aaab65085fa 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/hackage/pin.json
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/hackage/pin.json
@@ -1,6 +1,6 @@
{
- "commit": "23315eb3220b0748c3aa71a9027dc39e5416ce9e",
- "url": "https://github.com/commercialhaskell/all-cabal-hashes/archive/23315eb3220b0748c3aa71a9027dc39e5416ce9e.tar.gz",
- "sha256": "0pgcyfb3lnl7kxpj87sbj50ljlg2l05v4kgcdwy568pcf24bh764",
- "msg": "Update from Hackage at 2021-08-17T22:21:14Z"
+ "commit": "73463ac080cd55650580d58fe80e5b2dcdfe5a7e",
+ "url": "https://github.com/commercialhaskell/all-cabal-hashes/archive/73463ac080cd55650580d58fe80e5b2dcdfe5a7e.tar.gz",
+ "sha256": "1vwlpkrpfj6wdzlv4lwargyakyci81gri70z2jhkpvv3l6adc0gk",
+ "msg": "Update from Hackage at 2021-10-15T10:42:20Z"
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/iana-etc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/iana-etc/default.nix
index 60735eb4782..29ebac848d8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/iana-etc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/iana-etc/default.nix
@@ -1,17 +1,23 @@
-{ lib, fetchzip }:
+{ lib, fetchzip, stdenvNoCC, writeText }:
let
version = "20210225";
-in fetchzip {
+in stdenvNoCC.mkDerivation {
name = "iana-etc-${version}";
- url = "https://github.com/Mic92/iana-etc/releases/download/${version}/iana-etc-${version}.tar.gz";
- sha256 = "sha256-NVvZG3EJEYOXFDTBXD5m9sg/8msyMiBMkiZr+ZxWZ/g=";
+ src = fetchzip {
+ url = "https://github.com/Mic92/iana-etc/releases/download/${version}/iana-etc-${version}.tar.gz";
+ sha256 = "sha256:1bbbnj2ya0apyyhnw37521yl1hrz3zy3l8dw6sacmir0y6pmx9gi";
+ };
- postFetch = ''
- tar -xzvf $downloadedFile --strip-components=1
+ installPhase = ''
install -D -m0644 -t $out/etc services protocols
'';
+ setupHook = writeText "setup-hook" ''
+ export NIX_ETC_PROTOCOLS=@out@/etc/protocols
+ export NIX_ETC_SERVICES=@out@/etc/services
+ '';
+
meta = with lib; {
homepage = "https://github.com/Mic92/iana-etc";
description = "IANA protocol and port number assignments (/etc/protocols and /etc/services)";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/mobile-broadband-provider-info/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/mobile-broadband-provider-info/default.nix
index 49755dbd8ad..51598a53d50 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/mobile-broadband-provider-info/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/mobile-broadband-provider-info/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "mobile-broadband-provider-info";
- version = "20201225";
+ version = "20210805";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${version}/${pname}-${version}.tar.xz";
- sha256 = "1g9x2i4xjm2sagaha07n9psacbylrwfrmfqkp17gjwhpyi6w0zqd";
+ sha256 = "sha256-a/ihVY6lVBr7xve0QV50zJ9aqYKbE07Ks+8ch0ElaLw=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/rime-data/fetchSchema.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/rime-data/fetchSchema.nix
index 7bf7d8477c5..c2841a9f1e6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/rime-data/fetchSchema.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/rime-data/fetchSchema.nix
@@ -5,8 +5,8 @@ mkdir -p package/rime
ln -sv ${fetchFromGitHub {
owner = "rime";
repo = "rime-array";
- rev = "8514193da939bc8888ad6a744f5e5921d4baebc7";
- sha256 = "1fy7pcq7d8m0wzkkhklmv6p370ms9lqc1zpndyy2xjamzrbb9l83";
+ rev = "d10f2f8b2aec7c7e736ace01e8a399e5ae5e7c3a";
+ sha256 = "sha256-4t6+gh2V57SueDp9Tn6vTuxQCZNGzjLdJEhzIEqRjdI=";
}} package/rime/array
ln -sv ${fetchFromGitHub {
owner = "rime";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/shared-mime-info/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/shared-mime-info/default.nix
index 416bf009518..4c60895897f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/shared-mime-info/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/misc/shared-mime-info/default.nix
@@ -16,6 +16,8 @@ stdenv.mkDerivation rec {
pname = "shared-mime-info";
version = "2.1";
+ outputs = [ "out" "dev" ];
+
src = fetchFromGitLab {
domain = "gitlab.freedesktop.org";
owner = "xdg";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/adwaita-qt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/adwaita-qt/default.nix
index 3e72d8a74b8..f1424b15ad1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/adwaita-qt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/adwaita-qt/default.nix
@@ -14,6 +14,8 @@ mkDerivation rec {
pname = "adwaita-qt";
version = "1.4.0";
+ outputs = [ "out" "dev" ];
+
src = fetchFromGitHub {
owner = "FedoraQt";
repo = pname;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/arc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/arc/default.nix
index ea2362c0529..ea18da119c7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/arc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/arc/default.nix
@@ -15,13 +15,13 @@
stdenv.mkDerivation rec {
pname = "arc-theme";
- version = "20210412";
+ version = "20211018";
src = fetchFromGitHub {
owner = "jnsh";
repo = pname;
rev = version;
- sha256 = "sha256-BNJirtBtdWsIzQfsJsZzg1zFbJEzZPq1j2qZ+1QjRH8=";
+ sha256 = "1rrxm5b7l8kq1h0lm08ck54xljzm8w573mxx904n3rhdg3ri9d63";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/canta/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/canta/default.nix
index f0a2339b3e6..5666eded73f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/canta/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/canta/default.nix
@@ -1,4 +1,5 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchFromGitHub
, gdk-pixbuf
, librsvg
@@ -12,13 +13,13 @@
stdenv.mkDerivation rec {
pname = "canta-theme";
- version = "2021-07-06";
+ version = "2021-09-08";
src = fetchFromGitHub {
owner = "vinceliuice";
repo = pname;
rev = version;
- sha256 = "sha256-dz78h9Qq25+/i6fmw/zGlPq3DVQB3ADYwehgaWReMQ8=";
+ sha256 = "05h42nrggb6znzjcbh4lqqfcm41h4r85n3vwimp3l4lq5p90igr2";
};
nativeBuildInputs = [
@@ -57,7 +58,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Flat Design theme for GTK based desktop environments";
homepage = "https://github.com/vinceliuice/Canta-theme";
- license = licenses.gpl2;
+ license = licenses.gpl2Only;
platforms = platforms.linux; # numix-icon-theme-circle unavailable in darwin
maintainers = [ maintainers.romildo ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/flat-remix-gnome/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/flat-remix-gnome/default.nix
index 28bfb12f4c5..8e273afc769 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/flat-remix-gnome/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/flat-remix-gnome/default.nix
@@ -6,20 +6,20 @@
stdenv.mkDerivation rec {
pname = "flat-remix-gnome";
- version = "20210716";
+ version = "20210921";
src = fetchFromGitHub {
owner = "daniruiz";
repo = pname;
rev = version;
- hash = "sha256-UAWi4MyqtuSzp5TEkVLYJF7+2tzH/aT60ObNOimCJ4o=";
+ hash = "sha256-HnbKqdDAre2jhZH1Osf3jigz/dQpx7k0fPsVaZz7xC8=";
};
nativeBuildInputs = [ glib ];
makeFlags = [ "PREFIX=$(out)" ];
preInstall = ''
# make install will back up this file, it will fail if the file doesn't exist.
- # https://github.com/daniruiz/flat-remix-gnome/blob/20210716/Makefile#L53
+ # https://github.com/daniruiz/flat-remix-gnome/blob/20210921/Makefile#L53
mkdir -p $out/share/gnome-shell/
touch $out/share/gnome-shell/gnome-shell-theme.gresource
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/greybird/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/greybird/default.nix
index 202424eeb2c..06496ea9be1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/greybird/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/greybird/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "greybird";
- version = "3.22.14";
+ version = "3.22.15";
src = fetchFromGitHub {
owner = "shimmerproject";
repo = pname;
rev = "v${version}";
- sha256 = "0b0axzrvdsv7aa029idz4rs1jm6df4ff3v4j4d5wf4yiypb48js9";
+ sha256 = "1fk66fxy2lif9ngazlgkpsziw216i4b1ld2zm97cadf7n97376g9";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/jade1/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/jade1/default.nix
index f33fa2db546..42979c8c81b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/jade1/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/jade1/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "theme-jade1";
- version = "1.13";
+ version = "1.14";
src = fetchurl {
url = "https://github.com/madmaxms/theme-jade-1/releases/download/v${version}/jade-1-theme.tar.xz";
- sha256 = "04a9c56w4hm8lwa8hzy5lwj4yli19gzy5wp5iinsm61qas9xgy69";
+ sha256 = "01p1g0gy6d1c8aa9y7inhn6zhm0qy0fzmwlniiv07h15g32appvd";
};
sourceRoot = ".";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/marwaita/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/marwaita/default.nix
index bdb14be0bdd..1eb6a5f39e6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/marwaita/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/marwaita/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "marwaita";
- version = "10.3";
+ version = "11.2";
src = fetchFromGitHub {
owner = "darkomarko42";
repo = pname;
rev = version;
- sha256 = "0v9sxjy4x03y3hcgbkn9lj010kd5csiyc019dwxzvx5kg8xh8qca";
+ sha256 = "1krfjk76yjyzsxkhymc1dpy3y7ykawdxzwb282wgbb480dpg8s05";
};
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/matcha/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/matcha/default.nix
index 3d88a78b39f..4507e3c2f15 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/matcha/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/matcha/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "matcha-gtk-theme";
- version = "2021-08-23";
+ version = "2021-09-24";
src = fetchFromGitHub {
owner = "vinceliuice";
repo = pname;
rev = version;
- sha256 = "sha256-gemDiGcr7xLv247w9J1CMOSKg2tWp8ADKpG16qa3hZQ=";
+ sha256 = "064x340z6fif59bbk1p7ryl6xfj8hlf42ld7h8prcjsyghpznw15";
};
buildInputs = [ gdk-pixbuf librsvg ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/materia-kde/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/materia-kde/default.nix
index bf3b56ae666..a50cadb0676 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/materia-kde/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/materia-kde/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "materia-kde-theme";
- version = "20210624";
+ version = "20210814";
src = fetchFromGitHub {
owner = "PapirusDevelopmentTeam";
repo = "materia-kde";
rev = version;
- sha256 = "jmUJAWoQ/GVPNQOjlyZBg4rIIo+rhzr5imnCFAWOtrA=";
+ sha256 = "KfC1nB5WUcYixqSy5XCP+6Uqhs07Y3p2F1H+5HB8wAg=";
};
makeFlags = [ "PREFIX=$(out)" ];
@@ -16,7 +16,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A port of the materia theme for Plasma";
homepage = "https://github.com/PapirusDevelopmentTeam/materia-kde";
- license = licenses.gpl3;
+ license = licenses.gpl3Plus;
maintainers = [ maintainers.diffumist ];
platforms = platforms.all;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/numix-solarized/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/numix-solarized/default.nix
index 532275e5f74..efc8236c451 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/numix-solarized/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/numix-solarized/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "numix-solarized-gtk-theme";
- version = "20210522";
+ version = "20210831";
src = fetchFromGitHub {
owner = "Ferdi265";
repo = pname;
rev = version;
- sha256 = "0hin73fmfir4w1z0j87k5hahhf2blhcq4r7gf89gz4slnl18cvjh";
+ sha256 = "1q8qhpw0hfv625sm626zp4vbz2106b0g5m11ygk87s6kbxrfg6lr";
};
nativeBuildInputs = [ python3 sassc glib gdk-pixbuf inkscape ];
@@ -24,7 +24,8 @@ stdenv.mkDerivation rec {
installPhase = ''
runHook preInstall
- for theme in *.colors; do
+ for theme in colors/*.colors; do
+ theme="''${theme##*/}"
make THEME="''${theme/.colors/}" install
done
runHook postInstall
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/solarc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/solarc/default.nix
index 3f6932e40a0..a6ae8ce2e91 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/solarc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/solarc/default.nix
@@ -25,7 +25,7 @@ stdenv.mkDerivation {
description = "Solarized version of the Arc theme";
homepage = "https://github.com/schemar/solarc-theme";
license = licenses.gpl3;
- maintainers = [ maintainers.bricewge ];
+ maintainers = [ ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/venta/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/venta/default.nix
index cd679152160..1f739c66006 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/venta/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/venta/default.nix
@@ -1,4 +1,5 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchFromGitHub
, gdk-pixbuf
, gtk-engine-murrine
@@ -8,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "venta";
- version = "2020-08-20";
+ version = "0.7.1";
src = fetchFromGitHub {
owner = "darkomarko42";
repo = pname;
- rev = "f9b7ea560def5c9d25a14015d265ba559d3501ca";
- sha256 = "13rdavspz1q3zk2h04jpd77hxdcifg42kd71qq13ryg4b5yzqqgb";
+ rev = version;
+ sha256 = "14ckkvyarq1xmf48fh47by5h3jnkmksj2n4y6zvx3aw7pfg2jc51";
};
buildInputs = [
@@ -33,7 +34,6 @@ stdenv.mkDerivation rec {
runHook preInstall
mkdir -p $out/share/themes
cp -a Venta* $out/share/themes
- rm $out/share/themes/*/COPYING
runHook postInstall
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/whitesur/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/whitesur/default.nix
index c158cc8a00c..83764e3c8bc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/whitesur/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/whitesur/default.nix
@@ -11,13 +11,13 @@
stdenv.mkDerivation rec {
pname = "whitesur-gtk-theme";
- version = "2021-07-27";
+ version = "2021-09-24";
src = fetchFromGitHub {
owner = "vinceliuice";
repo = pname;
rev = version;
- sha256 = "17x4lqlv6whx8vg0c1nm89j7671l033apn4alqyhjb9qx5w2fa43";
+ sha256 = "12dwmgq0kadjfky5bjm62vwgdlw3nmrrhqqs5iw15w0pn3mbmd5c";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/yaru/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/yaru/default.nix
index 05ddd5ad15d..64bd3eb5eeb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/yaru/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/data/themes/yaru/default.nix
@@ -16,13 +16,13 @@
stdenv.mkDerivation rec {
pname = "yaru";
- version = "21.10.1";
+ version = "21.10.2";
src = fetchFromGitHub {
owner = "ubuntu";
repo = "yaru";
rev = version;
- sha256 = "sha256-VcuH/lEnSeOYGFXr3OPw9PRUwHOVx4RjUQXblv6jdD8=";
+ sha256 = "sha256-VN/jgKGM2Th+2nv91vEz47kmQiBx8xAiEVbtXwhZg6U=";
};
nativeBuildInputs = [ meson sassc pkg-config glib ninja python3 ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/arcan.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/arcan.nix
index 6cd31001fa7..a0d037725ed 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/arcan.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/arcan.nix
@@ -52,13 +52,13 @@ let
in
stdenv.mkDerivation rec {
pname = "arcan";
- version = "0.6.1pre1+unstable=2021-07-30";
+ version = "0.6.1pre1+unstable=2021-09-05";
src = fetchFromGitHub {
owner = "letoram";
repo = "arcan";
- rev = "885b2f0c9e031fd157af21302af2027ecbe3fe1f";
- hash = "sha256-tj5kPa5OWCGt7LTzo4ZYV1UjBpOrjQHER/K+ZfL3h+8=";
+ rev = "525521177e4458199d7a57f8e6d37d41c04a988d";
+ hash = "sha256-RsvTHPIvF9TeOfjPGcArptIiF9g42BfZkVMCbjJcXnE=";
};
postUnpack = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/default.nix
index 874035c4801..39d3e489c59 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/default.nix
@@ -35,8 +35,8 @@ rec {
# One Expression to SymlinkJoin Them All
- everyone-wrapped = callPackage ./wrapper.nix {
- name = "everyone-wrapped";
+ all-wrapped = callPackage ./wrapper.nix {
+ name = "all-wrapped";
appls = [ durden pipeworld ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/pipeworld.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/pipeworld.nix
index a48ce5044ca..359c3a21a67 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/pipeworld.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/pipeworld.nix
@@ -5,13 +5,13 @@
stdenv.mkDerivation rec {
pname = "pipeworld";
- version = "0.0.0+unstable=2021-05-27";
+ version = "0.pre+unstable=2021-08-01";
src = fetchFromGitHub {
owner = "letoram";
repo = pname;
- rev = "c26df9ca0225ce2fd4f89e7ec59d4ab1f94a4c2e";
- hash = "sha256-RkDAbM1q4o61RGPLPLXHLvbvClp+bfjodlWgUGoODzA=";
+ rev = "311cc91946be63faab3b1578bc1d40668dd30f8c";
+ hash = "sha256-iqcdVzEp4ST/f93+9fGSwvJMj7BznNtoEx4F3oMPCYk=";
};
installPhase = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/prio.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/prio.nix
index 764d82fae9b..34443baa801 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/prio.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/arcan/prio.nix
@@ -5,7 +5,7 @@
stdenv.mkDerivation rec {
pname = "prio";
- version = "0.0.0+unstable=2018-09-13";
+ version = "0.pre+unstable=2018-09-13";
src = fetchFromGitHub {
owner = "letoram";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/enlightenment/terminology/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/enlightenment/terminology/default.nix
index 36c449ee9de..e74f91d8d48 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/enlightenment/terminology/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/enlightenment/terminology/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "terminology";
- version = "1.9.0";
+ version = "1.10.0";
src = fetchurl {
- url = "http://download.enlightenment.org/rel/apps/${pname}/${pname}-${version}.tar.xz";
- sha256 = "0v74858yvrrfy0l2pq7yn6izvqhpkb9gw2jpd3a3khjwv8kw6frz";
+ url = "https://download.enlightenment.org/rel/apps/${pname}/${pname}-${version}.tar.xz";
+ sha256 = "0imk7cjkcjss3zf4hjwmy54pbizm6l6pq553jcx7bpsnhs56bbsz";
};
nativeBuildInputs = [
@@ -30,11 +30,11 @@ stdenv.mkDerivation rec {
patchShebangs data/colorschemes/*.py
'';
- meta = {
+ meta = with lib; {
description = "Powerful terminal emulator based on EFL";
homepage = "https://www.enlightenment.org/about-terminology";
- license = lib.licenses.bsd2;
- platforms = lib.platforms.linux;
- maintainers = with lib.maintainers; [ matejc tstrobel ftrvxmtrx romildo ];
+ license = licenses.bsd2;
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ matejc tstrobel ftrvxmtrx romildo ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/cheese/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/cheese/default.nix
index 71a582993ab..38754a55693 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/cheese/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/cheese/default.nix
@@ -2,7 +2,6 @@
, stdenv
, gettext
, fetchurl
-, fetchpatch
, wrapGAppsHook
, gnome-video-effects
, libcanberra-gtk3
@@ -35,23 +34,15 @@
stdenv.mkDerivation rec {
pname = "cheese";
- version = "3.38.0";
+ version = "41.0";
outputs = [ "out" "man" "devdoc" ];
src = fetchurl {
- url = "mirror://gnome/sources/cheese/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "0vyim2avlgq3a48rgdfz5g21kqk11mfb53b2l883340v88mp7ll8";
+ url = "mirror://gnome/sources/cheese/${lib.versions.major version}/${pname}-${version}.tar.xz";
+ sha256 = "EG8d9n4c9Bwqp5yZveZ2rskA2wNstSX6EIObBhh9Ivk=";
};
- patches = [
- # Fix build with latest Vala or GLib
- (fetchpatch {
- url = "https://gitlab.gnome.org/GNOME/cheese/commit/7cf6268e54620bbbe5e6e61800c50fb0cb4bea57.patch";
- sha256 = "WJgGNrpZLTahe7Sxr8HdTl+4Mf4VcmJb6DdiInlDcT4=";
- })
- ];
-
nativeBuildInputs = [
appstream-glib
docbook_xml_dtd_43
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/file-roller/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/file-roller/default.nix
index 6af1994fb06..a2642865200 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/file-roller/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/file-roller/default.nix
@@ -25,10 +25,11 @@ stdenv.mkDerivation rec {
patchShebangs data/set-mime-type-entry.py
'';
- postFixup = ''
+ preFixup = ''
# Workaround because of https://gitlab.gnome.org/GNOME/file-roller/issues/40
- wrapProgram "$out/bin/file-roller" \
+ gappsWrapperArgs+=(
--prefix PATH : ${lib.makeBinPath [ unzip ]}
+ )
'';
passthru = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/ghex/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/ghex/default.nix
index 500e60feb75..6275bcd3fc6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/ghex/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/ghex/default.nix
@@ -1,6 +1,6 @@
-{ lib, stdenv
+{ stdenv
+, lib
, fetchurl
-, fetchpatch
, pkg-config
, meson
, ninja
@@ -19,13 +19,13 @@
stdenv.mkDerivation rec {
pname = "ghex";
- version = "3.18.4";
+ version = "3.41.0";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/ghex/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "1h1pjrr9wynclfykizqd78dbi785wjz6b63p31k87kjvzy8w3nf2";
+ sha256 = "KcdG8ihzteQVvDly29PdYNalH3CA5qPpVsNNZHrjRKI=";
};
nativeBuildInputs = [
@@ -50,18 +50,6 @@ stdenv.mkDerivation rec {
desktop-file-utils
];
- patches = [
- # Fixes for darwin. Drop in next release.
- (fetchpatch {
- url = "https://gitlab.gnome.org/GNOME/ghex/commit/b0af26666cd990d99076c242b2abb3efc6e98671.patch";
- sha256 = "1zwdkgr2nqrn9q3ydyvrrpn5x55cdi747fhbq6mh6blp9cbrk9b5";
- })
- (fetchpatch {
- url = "https://gitlab.gnome.org/GNOME/ghex/commit/cc8ef9e67b23604c402460010dc0b5dccb85391b.patch";
- sha256 = "0j2165rfhlbrlzhmcnirqd5m89ljpz0n3nz20sxbwlc8h42zv36s";
- })
- ];
-
postPatch = ''
chmod +x meson_post_install.py
patchShebangs meson_post_install.py
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-boxes/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-boxes/default.nix
index 529596cd6e1..fa0f590b5f5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-boxes/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-boxes/default.nix
@@ -50,15 +50,16 @@
, vte
, glib-networking
, qemu-utils
+, qemu
}:
stdenv.mkDerivation rec {
pname = "gnome-boxes";
- version = "40.3";
+ version = "41.1";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "//COj0Wkvm0zsKGpY6yzc5ujld6yFZDUOLsepvv3QFg=";
+ sha256 = "1wzhm8n485cqhbai4qshgrwl05ix881g8gjshilrj6vg8p1li79h";
};
doCheck = true;
@@ -121,7 +122,7 @@ stdenv.mkDerivation rec {
];
preFixup = ''
- gappsWrapperArgs+=(--prefix PATH : "${lib.makeBinPath [ mtools cdrkit libcdio qemu-utils ]}")
+ gappsWrapperArgs+=(--prefix PATH : "${lib.makeBinPath [ mtools cdrkit libcdio qemu-utils qemu ]}")
'';
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-calendar/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-calendar/default.nix
index da2e37e51fc..c8c2853203c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-calendar/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-calendar/default.nix
@@ -24,11 +24,11 @@
stdenv.mkDerivation rec {
pname = "gnome-calendar";
- version = "40.2";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "njcB/UoOWJgA0iUgN3BkTzHVI0ZV9UqDqF/wVW3X6jM=";
+ sha256 = "0gqrxcn7fcvlh5c9691lx5zgdgs71ah15h5psrbhkg8qcqy95b3k";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-characters/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-characters/default.nix
index 5cbd58d602a..960a3320472 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-characters/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-characters/default.nix
@@ -22,11 +22,11 @@
stdenv.mkDerivation rec {
pname = "gnome-characters";
- version = "40.0";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-characters/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "0z2xa4w921bzpzj6gv88pvbrijcnnwni6jxynwz0ybaravyzaqha";
+ sha256 = "0yw6mimfwn0fij8zncjb4rg8bnazd1z47rmzq85lk6807nlyqag1";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-connections/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-connections/default.nix
index a00b239641f..1f2cb9dc52e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-connections/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-connections/default.nix
@@ -13,6 +13,7 @@
, wrapGAppsHook
, glib
, gtk3
+, libhandy
, libxml2
, gtk-vnc
, gtk-frdp
@@ -21,11 +22,11 @@
stdenv.mkDerivation rec {
pname = "gnome-connections";
- version = "40.0.1";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- hash = "sha256-vpvLoHzz+vWs4M5UzSL4YJtNx3ZuJe5f2cGAw5WbTRE=";
+ hash = "sha256-M5/1VaEI0gt6sPO/GCmWMWAYYOeO+peLpqudGO3DtGA=";
};
nativeBuildInputs = [
@@ -46,6 +47,7 @@ stdenv.mkDerivation rec {
glib
gtk-vnc
gtk3
+ libhandy
libxml2
gtk-frdp
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-maps/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-maps/default.nix
index 5784fb8d481..7ddf227a8fb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-maps/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-maps/default.nix
@@ -9,13 +9,13 @@
, gtk3
, gobject-introspection
, gdk-pixbuf
+, librest
, librsvg
, libgweather
, geoclue2
, wrapGAppsHook
, folks
, libchamplain
-, gfbgraph
, libsoup
, gsettings-desktop-schemas
, webkitgtk
@@ -29,11 +29,11 @@
stdenv.mkDerivation rec {
pname = "gnome-maps";
- version = "40.4";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-LFt+HmX39OVP6G7d2hE46qbAaRoUlAPZXL4i7cgiUJw=";
+ sha256 = "sha256-G0CC22wHDp3LCFJZ6+PIpCG44eiyezKEq2BXULudjJI=";
};
doCheck = true;
@@ -53,7 +53,6 @@ stdenv.mkDerivation rec {
gdk-pixbuf
geoclue2
geocode-glib
- gfbgraph
gjs
gnome-online-accounts
gnome.adwaita-icon-theme
@@ -64,6 +63,7 @@ stdenv.mkDerivation rec {
libgee
libgweather
libhandy
+ librest
librsvg
libsoup
webkitgtk
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-music/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-music/default.nix
index 803235e9584..96ec321fa4a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-music/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-music/default.nix
@@ -25,18 +25,19 @@
, gst_all_1
, libdazzle
, libsoup
+, libhandy
, gsettings-desktop-schemas
}:
python3.pkgs.buildPythonApplication rec {
pname = "gnome-music";
- version = "40.1.1";
+ version = "41.0";
format = "other";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "IMtnPhHC8xQ9NYjPyrmhInkQgOun3GASypTBhglVjVE=";
+ sha256 = "1llz2aqa3n3ivwl7i09pgylsbgrfzb872vcj1k7pvivxm1kkbcb9";
};
nativeBuildInputs = [
@@ -67,6 +68,7 @@ python3.pkgs.buildPythonApplication rec {
libnotify
libdazzle
libsoup
+ libhandy
gsettings-desktop-schemas
tracker
] ++ (with gst_all_1; [
@@ -77,13 +79,12 @@ python3.pkgs.buildPythonApplication rec {
gst-plugins-ugly
]);
- propagatedBuildInputs = with python3.pkgs; [
+ pythonPath = with python3.pkgs; [
pycairo
dbus-python
pygobject3
];
-
postPatch = ''
for f in meson_post_conf.py meson_post_install.py; do
chmod +x $f
@@ -91,6 +92,13 @@ python3.pkgs.buildPythonApplication rec {
done
'';
+ # Prevent double wrapping, let the Python wrapper use the args in preFixup.
+ dontWrapGApps = true;
+
+ preFixup = ''
+ makeWrapperArgs+=("''${gappsWrapperArgs[@]}")
+ '';
+
doCheck = false;
# handle setup hooks better
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-todo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-todo/default.nix
index ae1334cd562..cfa77fadb79 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-todo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-todo/default.nix
@@ -21,15 +21,16 @@
, libical
, librest
, json-glib
+, itstool
}:
stdenv.mkDerivation rec {
pname = "gnome-todo";
- version = "40.0";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "aAl8lvBnXHFCZn0QQ0ToNHLdf8xTj+wKzb9gJrucobE=";
+ sha256 = "1r94880d4khbjhhfnhaba3y3d4hv2bri82rzfzxn27s5iybpqras";
};
nativeBuildInputs = [
@@ -39,6 +40,7 @@ stdenv.mkDerivation rec {
gettext
python3
wrapGAppsHook
+ itstool
];
buildInputs = [
@@ -62,10 +64,6 @@ stdenv.mkDerivation rec {
postPatch = ''
chmod +x build-aux/meson/meson_post_install.py
patchShebangs build-aux/meson/meson_post_install.py
-
- # https://gitlab.gnome.org/GNOME/gnome-todo/merge_requests/103
- substituteInPlace src/meson.build \
- --replace 'Gtk-3.0' 'Gtk-4.0'
'';
passthru = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-weather/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-weather/default.nix
index 39c10e82a1c..aa192a6a3b6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-weather/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/gnome-weather/default.nix
@@ -19,11 +19,11 @@
stdenv.mkDerivation rec {
pname = "gnome-weather";
- version = "40.0";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-weather/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "1vxfcvga5waangq3rzwdrdxyy5sw40vv0l463lc651s0n8xafd9a";
+ sha256 = "1vidwq768xnrnr24jcfbpwjczz7vm5zmaiv41nb75q4p8avlwqg5";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/polari/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/polari/default.nix
index 0675ccb2f82..f207307588a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/polari/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/polari/default.nix
@@ -1,17 +1,15 @@
{ lib, stdenv, itstool, fetchurl, gdk-pixbuf, adwaita-icon-theme
, telepathy-glib, gjs, meson, ninja, gettext, telepathy-idle, libxml2, desktop-file-utils
-, pkg-config, gtk3, glib, libsecret, libsoup, webkitgtk, gobject-introspection, appstream-glib
-, gnome, wrapGAppsHook, telepathy-logger, gspell, gsettings-desktop-schemas }:
+, pkg-config, gtk4, gtk3, glib, libsecret, libsoup, webkitgtk, gobject-introspection, appstream-glib
+, gnome, wrapGAppsHook4, telepathy-logger, gspell, gsettings-desktop-schemas }:
-let
+stdenv.mkDerivation rec {
pname = "polari";
- version = "3.38.0";
-in stdenv.mkDerivation rec {
- name = "${pname}-${version}";
+ version = "41.0";
src = fetchurl {
- url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${name}.tar.xz";
- sha256 = "1l82nmb5qk4h69rsdhzlcmjjdhwh9jzfs4cnw8hy39sg5v9ady1s";
+ url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
+ sha256 = "o7BfgWYDcMZ8lCtvRLKYx7eIFv6zjJJuwiEr3iLqQOs=";
};
patches = [
@@ -24,12 +22,12 @@ in stdenv.mkDerivation rec {
propagatedUserEnvPkgs = [ telepathy-idle telepathy-logger ];
nativeBuildInputs = [
- meson ninja pkg-config itstool gettext wrapGAppsHook libxml2
+ meson ninja pkg-config itstool gettext wrapGAppsHook4 libxml2
desktop-file-utils gobject-introspection appstream-glib
];
buildInputs = [
- gtk3 glib adwaita-icon-theme gsettings-desktop-schemas
+ gtk4 gtk3 glib adwaita-icon-theme gsettings-desktop-schemas
telepathy-glib telepathy-logger gjs gspell gdk-pixbuf libsecret libsoup webkitgtk
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/polari/make-thumbnailer-wrappable.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/polari/make-thumbnailer-wrappable.patch
index 0fb09eb154a..269b455f978 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/polari/make-thumbnailer-wrappable.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/polari/make-thumbnailer-wrappable.patch
@@ -1,24 +1,24 @@
diff --git a/src/thumbnailer.js b/src/thumbnailer.js
old mode 100644
new mode 100755
-index e2ad0a5..7ebf08a
+index ed6350ea..83d832cb
--- a/src/thumbnailer.js
+++ b/src/thumbnailer.js
@@ -1,3 +1,4 @@
-+#!/usr/bin/env gjs
- imports.gi.versions.Gdk = '3.0';
- imports.gi.versions.Gtk = '3.0';
-
++#!/usr/bin/env gjs --module
+ import Cairo from 'cairo';
+ import Gdk from 'gi://Gdk?version=3.0';
+ import Gio from 'gi://Gio';
diff --git a/src/urlPreview.js b/src/urlPreview.js
-index f17e0be..ccffc32 100644
+index 5f7931e4..d2282900 100644
--- a/src/urlPreview.js
+++ b/src/urlPreview.js
-@@ -44,7 +44,7 @@ class Thumbnailer {
- _generateThumbnail(data) {
+@@ -69,7 +69,7 @@ class Thumbnailer {
+ async _generateThumbnail(data) {
let { filename, uri } = data;
this._subProc = Gio.Subprocess.new(
-- ['gjs', `${pkg.pkgdatadir}/thumbnailer.js`, uri, filename],
+- ['gjs', '--module', `${pkg.pkgdatadir}/thumbnailer.js`, uri, filename],
+ [`${pkg.pkgdatadir}/thumbnailer.js`, uri, filename],
Gio.SubprocessFlags.NONE);
- this._subProc.wait_async(null, (o, res) => {
- try {
+ try {
+ await this._subProc.wait_async(null);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/seahorse/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/seahorse/default.nix
index d09a4c9ff3e..67036df2d51 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/seahorse/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/apps/seahorse/default.nix
@@ -27,15 +27,13 @@
stdenv.mkDerivation rec {
pname = "seahorse";
- version = "40.0";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- hash = "sha256-fscFezhousbqBB/aghQKOfXsnlsYi0UJFNRTvC1V0Cw=";
+ hash = "sha256-5u7AnoEESClfVH8YwdV3K2XD7cHZ5aJZXxC13eaJKfU=";
};
- doCheck = true;
-
nativeBuildInputs = [
meson
ninja
@@ -65,10 +63,21 @@ stdenv.mkDerivation rec {
libhandy
];
+ doCheck = true;
+
postPatch = ''
patchShebangs build-aux/
'';
+ preCheck = ''
+ # Add “org.gnome.crypto.pgp” GSettings schema to path
+ # to make it available for “gpgme-backend” test.
+ # It is used by Seahorse’s internal “common” library.
+ addToSearchPath XDG_DATA_DIRS "${glib.getSchemaPath gcr}/../.."
+ # The same test also requires home directory so that it can store settings.
+ export HOME=$TMPDIR
+ '';
+
passthru = {
updateScript = gnome.updateScript {
packageName = pname;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/adwaita-icon-theme/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/adwaita-icon-theme/default.nix
index 04f8a7a3c37..c7c85779d3f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/adwaita-icon-theme/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/adwaita-icon-theme/default.nix
@@ -3,11 +3,11 @@
stdenv.mkDerivation rec {
pname = "adwaita-icon-theme";
- version = "40.1.1";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/adwaita-icon-theme/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "C2xDbtatmIeoitofcqAZex63OwINjTRKurTH+nJQ+PY=";
+ sha256 = "71M52MNfytXRBIG3BICAPw+iCz08vDOSOPys7q7gHro=";
};
# For convenience, we can specify adwaita-icon-theme only in packages
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/baobab/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/baobab/default.nix
index 8d0f9459c3b..40ea18b1af1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/baobab/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/baobab/default.nix
@@ -19,31 +19,35 @@
stdenv.mkDerivation rec {
pname = "baobab";
- version = "40.0";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "19yii3bdgivxrcka1c4g6dpbmql5nyawwhzlsph7z6bs68nambm6";
+ sha256 = "ytYnjS3MgMhLVxBapbtY2KMM6Y1vq9dnUZ3bhshX6FU=";
};
nativeBuildInputs = [
+ desktop-file-utils
+ gettext
+ glib
+ itstool
+ libxml2
meson
ninja
pkg-config
+ python3
vala
- gettext
- itstool
- libxml2
- desktop-file-utils
wrapGAppsHook
- python3
+ # Prevents “error: Package `libhandy-1' not found in specified Vala API
+ # directories or GObject-Introspection GIR directories” with strictDeps,
+ # even though it should only be a runtime dependency.
+ libhandy
];
buildInputs = [
gtk3
libhandy
glib
- gnome.adwaita-icon-theme
];
doCheck = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/eog/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/eog/default.nix
index e67a623069b..67ecf9f5c13 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/eog/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/eog/default.nix
@@ -28,11 +28,11 @@
stdenv.mkDerivation rec {
pname = "eog";
- version = "40.2";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-kITimZMftX3ih+V9vS7i0pf7Z0DA1TW52o1fGWqP0ZU=";
+ sha256 = "sha256-kX3ghv2X/T7JMPoHyIut0r4fXQrmueVpyYYATgNZqaw=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/epiphany/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/epiphany/default.nix
index 73c7a7aa113..617ef1cc38f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/epiphany/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/epiphany/default.nix
@@ -9,6 +9,7 @@
, icu
, wrapGAppsHook
, gnome
+, pantheon
, libportal
, libxml2
, libxslt
@@ -33,17 +34,26 @@
, libdazzle
, libhandy
, buildPackages
+, withPantheon ? false
}:
stdenv.mkDerivation rec {
pname = "epiphany";
- version = "40.3";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "2tE4ufLVXeJxEo/KOLYfU/2YDFh9KeG6a1CP/zsZ9WQ=";
+ sha256 = "s50YJUkllbC3TF1qZoaoV/lBnfpMAvgBPCl7yHDibdA=";
};
+ patches = lib.optionals withPantheon [
+ # Make this respect dark mode settings from Pantheon
+ # https://github.com/elementary/browser
+ # The patch currently differs from upstream (updated for epiphany 40 and 41).
+ ./pantheon-dark-style.patch
+ ./pantheon-navigation-buttons.patch
+ ];
+
nativeBuildInputs = [
desktop-file-utils
gettext
@@ -87,6 +97,8 @@ stdenv.mkDerivation rec {
p11-kit
sqlite
webkitgtk
+ ] ++ lib.optionals withPantheon [
+ pantheon.granite
];
# Tests need an X display
@@ -108,7 +120,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Epiphany";
description = "WebKit based web browser for GNOME";
- maintainers = teams.gnome.members;
+ maintainers = teams.gnome.members ++ teams.pantheon.members;
license = licenses.gpl3Plus;
platforms = platforms.linux;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/epiphany/pantheon-dark-style.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/epiphany/pantheon-dark-style.patch
new file mode 100644
index 00000000000..56ac5c1e5b2
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/epiphany/pantheon-dark-style.patch
@@ -0,0 +1,76 @@
+diff --git a/meson.build b/meson.build
+index de9cbfa850..647f617605 100644
+--- a/meson.build
++++ b/meson.build
+@@ -92,6 +92,7 @@ iso_codes_dep = dependency('iso-codes', version: '>= 0.35')
+ json_glib_dep = dependency('json-glib-1.0', version: '>= 1.6')
+ libarchive_dep = dependency('libarchive')
+ libdazzle_dep = dependency('libdazzle-1.0', version: '>= 3.37.1')
++libgranite_dep = dependency('granite', version: '>= 6.0.0')
+ libhandy_dep = dependency('libhandy-1', version: '>= 1.1.0')
+ libsecret_dep = dependency('libsecret-1', version: '>= 0.19.0')
+ libsoup_dep = dependency('libsoup-2.4', version: '>= 2.48.0')
+diff --git a/src/ephy-shell.c b/src/ephy-shell.c
+index 650531da86..b9d1355e86 100644
+--- a/src/ephy-shell.c
++++ b/src/ephy-shell.c
+@@ -50,6 +50,7 @@
+
+ #include
+ #include
++#include
+ #include
+
+ struct _EphyShell {
+@@ -483,6 +484,20 @@ run_in_background_set_mapping (const GValue *value,
+ return g_variant_new_boolean (g_variant_get_boolean (var));
+ }
+
++static void
++ephy_shell_set_prefers_color_scheme (EphyShell *shell)
++{
++ GtkSettings* gtk_settings = gtk_settings_get_default ();
++ GraniteSettings* granite_settings = granite_settings_get_default ();
++
++ g_object_set (
++ gtk_settings,
++ "gtk-application-prefer-dark-theme",
++ granite_settings_get_prefers_color_scheme (granite_settings) == GRANITE_SETTINGS_COLOR_SCHEME_DARK,
++ NULL
++ );
++}
++
+ static void
+ ephy_shell_startup (GApplication *application)
+ {
+@@ -490,11 +505,18 @@ ephy_shell_startup (GApplication *application)
+ EphyShell *shell = EPHY_SHELL (application);
+ EphyEmbedShellMode mode;
+ GAction *action;
++ GraniteSettings* granite_settings = granite_settings_get_default ();
+
+ G_APPLICATION_CLASS (ephy_shell_parent_class)->startup (application);
+
+ hdy_init ();
+
++ ephy_shell_set_prefers_color_scheme (shell);
++
++ g_signal_connect (granite_settings, "notify::prefers-color-scheme",
++ G_CALLBACK (ephy_shell_set_prefers_color_scheme), shell
++ );
++
+ /* If we are under Pantheon set the icon-theme and cursor-theme accordingly. */
+ if (is_desktop_pantheon ()) {
+ GtkSettings *settings = gtk_settings_get_default ();
+diff --git a/src/meson.build b/src/meson.build
+index 5bf3eb92ec..d17b1b6d93 100644
+--- a/src/meson.build
++++ b/src/meson.build
+@@ -74,6 +74,7 @@ libephymain_deps = [
+ gdk_dep,
+ gvdb_dep,
+ libarchive_dep,
++ libgranite_dep,
+ libhandy_dep
+ ]
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/epiphany/pantheon-navigation-buttons.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/epiphany/pantheon-navigation-buttons.patch
new file mode 100644
index 00000000000..b7b3b620535
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/epiphany/pantheon-navigation-buttons.patch
@@ -0,0 +1,12 @@
+diff --git a/src/resources/gtk/action-bar-start.ui b/src/resources/gtk/action-bar-start.ui
+index e604b9601f..2bfe244d53 100644
+--- a/src/resources/gtk/action-bar-start.ui
++++ b/src/resources/gtk/action-bar-start.ui
+@@ -7,7 +7,6 @@
+ True
+ horizontal
+
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/evince/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/evince/default.nix
index 11cd3735e1d..45812dbc901 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/evince/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/evince/default.nix
@@ -30,6 +30,7 @@
, gsettings-desktop-schemas
, gnome-desktop
, dbus
+, pantheon
, python3
, texlive
, t1lib
@@ -40,19 +41,27 @@
, supportMultimedia ? true # PDF multimedia
, libgxps
, supportXPS ? true # Open XML Paper Specification via libgxps
+, withPantheon ? false
}:
stdenv.mkDerivation rec {
pname = "evince";
- version = "40.4";
+ version = "41.2";
outputs = [ "out" "dev" "devdoc" ];
src = fetchurl {
url = "mirror://gnome/sources/evince/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "M0IFAODgYPF4pDUGMZfULa57Z+OcxDepZRCjPd9+lfs=";
+ sha256 = "lautDW/urJVg2zq4C6fF6rsf3xyg47PJMzmvBUU6JNg=";
};
+ patches = lib.optionals withPantheon [
+ # Make this respect dark mode settings from Pantheon
+ # https://github.com/elementary/evince
+ # The patch currently differs from upstream (updated for evince 41).
+ ./pantheon-dark-style.patch
+ ];
+
postPatch = ''
chmod +x meson_post_install.py
patchShebangs meson_post_install.py
@@ -96,9 +105,18 @@ stdenv.mkDerivation rec {
poppler
t1lib
texlive.bin.core # kpathsea for DVI support
- ] ++ lib.optional supportXPS libgxps
- ++ lib.optionals supportMultimedia (with gst_all_1; [
- gstreamer gst-plugins-base gst-plugins-good gst-plugins-bad gst-plugins-ugly gst-libav ]);
+ ] ++ lib.optionals supportXPS [
+ libgxps
+ ] ++ lib.optionals supportMultimedia (with gst_all_1; [
+ gstreamer
+ gst-plugins-base
+ gst-plugins-good
+ gst-plugins-bad
+ gst-plugins-ugly
+ gst-libav
+ ]) ++ lib.optionals withPantheon [
+ pantheon.granite
+ ];
mesonFlags = [
"-Dnautilus=false"
@@ -128,8 +146,8 @@ stdenv.mkDerivation rec {
on the GNOME Desktop with a single simple application.
'';
- license = lib.licenses.gpl2Plus;
+ license = licenses.gpl2Plus;
platforms = platforms.linux;
- maintainers = teams.gnome.members;
+ maintainers = teams.gnome.members ++ teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/evince/pantheon-dark-style.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/evince/pantheon-dark-style.patch
new file mode 100644
index 00000000000..5e1ef67b08c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/evince/pantheon-dark-style.patch
@@ -0,0 +1,86 @@
+diff --git a/meson.build b/meson.build
+index bcb69cf7..4a18c08c 100644
+--- a/meson.build
++++ b/meson.build
+@@ -162,6 +162,7 @@ gmodule_dep = dependency('gmodule-2.0')
+ gmodule_no_export_dep = dependency('gmodule-no-export-2.0', version: glib_req_version)
+ gtk_dep = dependency('gtk+-3.0', version: gtk_req_version)
+ gthread_dep = dependency('gthread-2.0', version: glib_req_version)
++granite_dep = dependency('granite')
+ # Keep the version here synchronised with subprojects/libhandy.wrap
+ hdy_dep = dependency('libhandy-1', version: hdy_req_version, fallback: ['libhandy', 'libhandy_dep'])
+
+diff --git a/shell/ev-application.c b/shell/ev-application.c
+index e704bda2..d705328c 100644
+--- a/shell/ev-application.c
++++ b/shell/ev-application.c
+@@ -30,6 +30,7 @@
+ #include
+ #include
+ #include
++#include
+ #include
+ #ifdef GDK_WINDOWING_X11
+ #include
+@@ -176,7 +177,7 @@ ev_spawn (const char *uri,
+
+ g_string_append_printf (cmd, " %s", path);
+ g_free (path);
+-
++
+ /* Page label */
+ if (dest) {
+ switch (ev_link_dest_get_dest_type (dest)) {
+@@ -940,6 +941,20 @@ ev_application_migrate_config_dir (EvApplication *application)
+ g_free (old_accels);
+ }
+
++static void
++ev_application_set_prefers_color_scheme ()
++{
++ GtkSettings* gtk_settings = gtk_settings_get_default ();
++ GraniteSettings* granite_settings = granite_settings_get_default ();
++
++ g_object_set (
++ gtk_settings,
++ "gtk-application-prefer-dark-theme",
++ granite_settings_get_prefers_color_scheme (granite_settings) == GRANITE_SETTINGS_COLOR_SCHEME_DARK,
++ NULL
++ );
++}
++
+ static void
+ ev_application_startup (GApplication *gapplication)
+ {
+@@ -992,6 +1007,7 @@ ev_application_startup (GApplication *gapplication)
+
+ EvApplication *application = EV_APPLICATION (gapplication);
+ const gchar **it;
++ GraniteSettings* granite_settings = granite_settings_get_default ();
+
+ g_application_set_resource_base_path (gapplication, "/org/gnome/evince");
+
+@@ -999,6 +1015,11 @@ ev_application_startup (GApplication *gapplication)
+
+ hdy_init ();
+
++ ev_application_set_prefers_color_scheme ();
++
++ g_signal_connect (granite_settings, "notify::prefers-color-scheme",
++ G_CALLBACK(ev_application_set_prefers_color_scheme), NULL);
++
+ for (it = action_accels; it[0]; it += g_strv_length ((gchar **)it) + 1)
+ gtk_application_set_accels_for_action (GTK_APPLICATION (application), it[0], &it[1]);
+ }
+diff --git a/shell/meson.build b/shell/meson.build
+index 7cbc48f2..a3089b13 100644
+--- a/shell/meson.build
++++ b/shell/meson.build
+@@ -52,6 +52,7 @@ sources += gnome.compile_resources(
+ deps = [
+ gdk_pixbuf_dep,
+ gnome_desktop_dep,
++ granite_dep,
+ hdy_dep,
+ libevmisc_dep,
+ libevproperties_dep,
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/evolution-data-server/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/evolution-data-server/default.nix
index 156ffbb1c78..aabe9af1482 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/evolution-data-server/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/evolution-data-server/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "evolution-data-server";
- version = "3.40.4";
+ version = "3.42.0";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/evolution-data-server/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "h8GF8Yw3Jw42EZgfGb2SIayXTIB0Ysjc6QvqCHEsWAA=";
+ sha256 = "6P3TvEegfW+KMFK7yuiA8g9tvE9pc6jpDQAWm7mbFjU=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gdm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gdm/default.nix
index e3c69383637..392ea61688a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gdm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gdm/default.nix
@@ -26,6 +26,7 @@
, plymouth
, librsvg
, coreutils
+, xorgserver
, xwayland
, dbus
, nixos-icons
@@ -42,13 +43,13 @@ in
stdenv.mkDerivation rec {
pname = "gdm";
- version = "40.1";
+ version = "41.0";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/gdm/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "q7ih6mZISPLJD4SsqkLpTSVgVwNYgamPvUH7xdfRc/0=";
+ sha256 = "VzjEKTqfWoDUpungb00N8+nzE8p7Yb+02K+rqYPiANw=";
};
mesonFlags = [
@@ -102,7 +103,7 @@ stdenv.mkDerivation rec {
# Change hardcoded paths to nix store paths.
(substituteAll {
src = ./fix-paths.patch;
- inherit coreutils plymouth xwayland dbus;
+ inherit coreutils plymouth xorgserver xwayland dbus;
})
# The following patches implement certain environment variables in GDM which are set by
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gdm/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gdm/fix-paths.patch
index d649556fe9e..980627c78d4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gdm/fix-paths.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gdm/fix-paths.patch
@@ -1,66 +1,64 @@
+diff --git a/daemon/gdm-local-display-factory.c b/daemon/gdm-local-display-factory.c
+index 5fbbad68..739718ec 100644
--- a/daemon/gdm-local-display-factory.c
+++ b/daemon/gdm-local-display-factory.c
-@@ -201,7 +201,7 @@
+@@ -233,9 +233,9 @@ struct GdmDisplayServerConfiguration {
+ const char *session_type;
+ } display_server_configuration[] = {
#ifdef ENABLE_WAYLAND_SUPPORT
- gboolean wayland_enabled = FALSE;
- if (gdm_settings_direct_get_boolean (GDM_KEY_WAYLAND_ENABLE, &wayland_enabled)) {
-- if (wayland_enabled && g_file_test ("/usr/bin/Xwayland", G_FILE_TEST_IS_EXECUTABLE) )
-+ if (wayland_enabled && g_file_test ("@xwayland@/bin/Xwayland", G_FILE_TEST_IS_EXECUTABLE) )
- return TRUE;
- }
+- { "wayland", GDM_KEY_WAYLAND_ENABLE, "/usr/bin/Xwayland", "wayland" },
++ { "wayland", GDM_KEY_WAYLAND_ENABLE, "@xwayland@/bin/Xwayland", "wayland" },
#endif
+- { "xorg", GDM_KEY_XORG_ENABLE, "/usr/bin/Xorg", "x11" },
++ { "xorg", GDM_KEY_XORG_ENABLE, "@xorgserver@/bin/Xorg", "x11" },
+ { NULL, NULL, NULL },
+ };
+
+diff --git a/daemon/gdm-manager.c b/daemon/gdm-manager.c
+index cc61efc9..4c9d15af 100644
--- a/daemon/gdm-manager.c
+++ b/daemon/gdm-manager.c
-@@ -145,7 +145,7 @@
+@@ -148,7 +148,7 @@ plymouth_is_running (void)
GError *error;
-
+
error = NULL;
- res = g_spawn_command_line_sync ("plymouth --ping",
+ res = g_spawn_command_line_sync ("@plymouth@/bin/plymouth --ping",
NULL, NULL, &status, &error);
if (! res) {
g_debug ("Could not ping plymouth: %s", error->message);
-@@ -163,7 +163,7 @@
+@@ -166,7 +166,7 @@ plymouth_prepare_for_transition (void)
GError *error;
-
+
error = NULL;
- res = g_spawn_command_line_sync ("plymouth deactivate",
+ res = g_spawn_command_line_sync ("@plymouth@/bin/plymouth deactivate",
NULL, NULL, NULL, &error);
if (! res) {
g_warning ("Could not deactivate plymouth: %s", error->message);
-@@ -178,7 +178,7 @@
+@@ -181,7 +181,7 @@ plymouth_quit_with_transition (void)
GError *error;
-
+
error = NULL;
- res = g_spawn_command_line_async ("plymouth quit --retain-splash", &error);
+ res = g_spawn_command_line_async ("@plymouth@/bin/plymouth quit --retain-splash", &error);
if (! res) {
g_warning ("Could not quit plymouth: %s", error->message);
g_error_free (error);
-@@ -194,7 +194,7 @@
+@@ -197,7 +197,7 @@ plymouth_quit_without_transition (void)
GError *error;
-
+
error = NULL;
- res = g_spawn_command_line_async ("plymouth quit", &error);
+ res = g_spawn_command_line_async ("@plymouth@/bin/plymouth quit", &error);
if (! res) {
g_warning ("Could not quit plymouth: %s", error->message);
g_error_free (error);
---- a/data/gdm.service.in
-+++ b/data/gdm.service.in
-@@ -26,7 +26,7 @@ Restart=always
- IgnoreSIGPIPE=no
- BusName=org.gnome.DisplayManager
- EnvironmentFile=-${LANG_CONFIG_FILE}
--ExecReload=/bin/kill -SIGHUP $MAINPID
-+ExecReload=@coreutils@/bin/kill -SIGHUP $MAINPID
- KeyringMode=shared
-
- [Install]
+diff --git a/daemon/gdm-session.c b/daemon/gdm-session.c
+index 4b709731..245ac0cf 100644
--- a/daemon/gdm-session.c
+++ b/daemon/gdm-session.c
-@@ -2916,16 +2916,16 @@ gdm_session_start_session (GdmSession *self,
+@@ -2972,16 +2972,16 @@ gdm_session_start_session (GdmSession *self,
*/
if (run_launcher) {
if (is_x11) {
@@ -80,3 +78,16 @@
self->selected_program);
}
}
+diff --git a/data/gdm.service.in b/data/gdm.service.in
+index 17e8a8de..afc70977 100644
+--- a/data/gdm.service.in
++++ b/data/gdm.service.in
+@@ -26,7 +26,7 @@ Restart=always
+ IgnoreSIGPIPE=no
+ BusName=org.gnome.DisplayManager
+ EnvironmentFile=-${LANG_CONFIG_FILE}
+-ExecReload=/bin/kill -SIGHUP $MAINPID
++ExecReload=@coreutils@/bin/kill -SIGHUP $MAINPID
+ KeyringMode=shared
+
+ [Install]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-backgrounds/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-backgrounds/default.nix
index 370cd14e467..89a49e4cecb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-backgrounds/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-backgrounds/default.nix
@@ -2,17 +2,24 @@
stdenv.mkDerivation rec {
pname = "gnome-backgrounds";
- version = "40.1";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-backgrounds/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "YN+KDaMBzkJbcEPUKuMuxAEf8I8Y4Pxi8pQBMF2jpw4=";
+ sha256 = "HaGsDSYb7fD80shbSAvGVQXiPPUfEUMSbA03cX5pMUU=";
};
passthru = {
updateScript = gnome.updateScript { packageName = "gnome-backgrounds"; attrPath = "gnome.gnome-backgrounds"; };
};
+ patches = [
+ # Makes the database point to stable paths in /run/current-system/sw/share, which don't decay whenever this package's hash changes.
+ # This assumes a nixos + gnome system, where this package is installed in environment.systemPackages,
+ # and /share outputs are included in environment.pathsToLink.
+ ./stable-dir.patch
+ ];
+
nativeBuildInputs = [ meson ninja pkg-config gettext ];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-backgrounds/stable-dir.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-backgrounds/stable-dir.patch
new file mode 100644
index 00000000000..cbc55e8a5c0
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-backgrounds/stable-dir.patch
@@ -0,0 +1,9 @@
+diff --git a/backgrounds/meson.build b/backgrounds/meson.build
+index 2175a16..cf521df 100644
+--- a/backgrounds/meson.build
++++ b/backgrounds/meson.build
+@@ -1,5 +1,5 @@
+ dataconf = configuration_data()
+-dataconf.set('BACKGROUNDDIR', backgrounddir)
++dataconf.set('BACKGROUNDDIR', '/run/current-system/sw/share/backgrounds/gnome')
+ dataconf.set('datadir', datadir)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-calculator/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-calculator/default.nix
index 7e19e9523ce..58c079078d6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-calculator/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-calculator/default.nix
@@ -26,11 +26,11 @@
stdenv.mkDerivation rec {
pname = "gnome-calculator";
- version = "40.1";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-calculator/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "1xkazxbkpn1z5pfphhps7fc5q4yc8lp7f6b222n8bx5iyxhwbrkz";
+ sha256 = "pm3AS9hYfnbWc3Wmrvp5VTtWnJvfeOvcKBfxwK3j3Jk=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-contacts/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-contacts/default.nix
index 62a43d20d58..919bb5206cf 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-contacts/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-contacts/default.nix
@@ -29,11 +29,11 @@
stdenv.mkDerivation rec {
pname = "gnome-contacts";
- version = "40.0";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-contacts/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "0w2g5xhw65adzvwzakrj5kaim4sw1w7s8qqwm3nm6inq50znzpn9";
+ sha256 = "Y+MUm10UdbeiaYAFu191DzyApzVxcWDjnfjP3+v8zfA=";
};
propagatedUserEnvPkgs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-control-center/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-control-center/default.nix
index 6170f53c716..d7a898c1c45 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-control-center/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-control-center/default.nix
@@ -1,5 +1,4 @@
{ fetchurl
-, fetchpatch
, lib
, stdenv
, substituteAll
@@ -17,6 +16,7 @@
, gettext
, glib
, glib-networking
+, gcr
, glibc
, gnome-bluetooth
, gnome-color-manager
@@ -24,8 +24,6 @@
, gnome-online-accounts
, gnome-settings-daemon
, gnome
-, grilo
-, grilo-plugins
, gsettings-desktop-schemas
, gsound
, gtk3
@@ -70,11 +68,11 @@
stdenv.mkDerivation rec {
pname = "gnome-control-center";
- version = "40.0";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-zMmlc2UXOFEJrlpZkGwlgkTdh5t1A61ZhM9BZVyzAvE=";
+ sha256 = "sha256-pWliIq01P8+aB8QG4ofsyROOJQZFyypZQaiP8GhWWME=";
};
patches = [
@@ -85,13 +83,6 @@ stdenv.mkDerivation rec {
inherit glibc libgnomekbd tzdata;
inherit cups networkmanagerapplet;
})
-
- # Fix startup assertion in power panel.
- # https://gitlab.gnome.org/GNOME/gnome-control-center/merge_requests/974
- (fetchpatch {
- url = "https://gitlab.gnome.org/GNOME/gnome-control-center/commit/9acaa10567c94048657c69538e5d7813f82c4224.patch";
- sha256 = "59GeTPcG2UiVTL4VTS/TP0p0QkAQpm3VgvuAiw64wUU=";
- })
];
nativeBuildInputs = [
@@ -119,14 +110,13 @@ stdenv.mkDerivation rec {
gdk-pixbuf
glib
glib-networking
+ gcr
gnome-bluetooth
gnome-desktop
gnome-online-accounts
gnome-remote-desktop # optional, sharing panel
gnome-settings-daemon
gnome-user-share # optional, sharing panel
- grilo
- grilo-plugins # for setting wallpaper from Flickr
gsettings-desktop-schemas
gsound
gtk3
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-desktop/bubblewrap-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-desktop/bubblewrap-paths.patch
index 57eb4b3d44a..c31e093f4b7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-desktop/bubblewrap-paths.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-desktop/bubblewrap-paths.patch
@@ -1,15 +1,17 @@
+diff --git a/libgnome-desktop/gnome-desktop-thumbnail-script.c b/libgnome-desktop/gnome-desktop-thumbnail-script.c
+index ddcc1511..546c2a36 100644
--- a/libgnome-desktop/gnome-desktop-thumbnail-script.c
+++ b/libgnome-desktop/gnome-desktop-thumbnail-script.c
-@@ -536,9 +536,9 @@ add_bwrap (GPtrArray *array,
+@@ -555,9 +555,9 @@ add_bwrap (GPtrArray *array,
g_return_val_if_fail (script->s_infile != NULL, FALSE);
add_args (array,
- "bwrap",
- "--ro-bind", "/usr", "/usr",
-- "--ro-bind", "/etc/ld.so.cache", "/etc/ld.so.cache",
+- "--ro-bind-try", "/etc/ld.so.cache", "/etc/ld.so.cache",
+ "@bubblewrap_bin@",
+ "--ro-bind", "@storeDir@", "@storeDir@",
-+ "--ro-bind", "/run/current-system", "/run/current-system",
++ "--ro-bind-try", "/run/current-system", "/run/current-system",
NULL);
/* These directories might be symlinks into /usr/... */
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-desktop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-desktop/default.nix
index 1a7887fc35d..6ab45283c85 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-desktop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-desktop/default.nix
@@ -26,13 +26,13 @@
stdenv.mkDerivation rec {
pname = "gnome-desktop";
- version = "40.3";
+ version = "41.0";
outputs = [ "out" "dev" "devdoc" ];
src = fetchurl {
url = "mirror://gnome/sources/gnome-desktop/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-U9ZGlEbXoJsCaTZ2nllTUv3zvlYy80a7Af5XyLUWLOs=";
+ sha256 = "sha256-acsdPZoQcA62Y0jvHA5mqFX8WpeuYpAt+XpJnaEVYtI=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-disk-utility/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-disk-utility/default.nix
index ead50f1c9d1..195a0c4f016 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-disk-utility/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-disk-utility/default.nix
@@ -27,11 +27,11 @@
stdenv.mkDerivation rec {
pname = "gnome-disk-utility";
- version = "40.2";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-disk-utility/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-ztES6qh9j/ohOv1NC62TnPp662cbeySIWaHchNb1Iec=";
+ sha256 = "sha256-h0PJj9ZWBi74YpM+/jDFvkxrMi7ALu4VTscNCO0Ild8=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-font-viewer/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-font-viewer/default.nix
index 622a7de1bff..4ba915df2db 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-font-viewer/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-font-viewer/default.nix
@@ -4,11 +4,11 @@
stdenv.mkDerivation rec {
pname = "gnome-font-viewer";
- version = "40.0";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-font-viewer/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "0hpyi0sz3gcqqs9lkwyk8b6hr39m3n27432x98kxr436jj37dk6j";
+ sha256 = "XdQQMxvgcOTgNDl/J1SYDgc4UdUKIRny+/lq3G/i6HY=";
};
doCheck = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-initial-setup/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-initial-setup/default.nix
index f507ef0e4bb..ff1d95861e3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-initial-setup/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-initial-setup/default.nix
@@ -35,11 +35,11 @@
stdenv.mkDerivation rec {
pname = "gnome-initial-setup";
- version = "40.3";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "5QP9HUiFL112qr9iLR7ymWs4TYjaMf0WoQ1RPwmpDdc=";
+ sha256 = "R/ZHW2bIQ+fVPZC6Dns5SHYt8VFjSkNvaMK5u6BQVgo=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-remote-desktop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-remote-desktop/default.nix
index fda0f6e2ffd..fac50cd2b34 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-remote-desktop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-remote-desktop/default.nix
@@ -7,6 +7,7 @@
, python3
, wrapGAppsHook
, glib
+, nv-codec-headers-11
, pipewire
, systemd
, libvncserver
@@ -21,11 +22,11 @@
stdenv.mkDerivation rec {
pname = "gnome-remote-desktop";
- version = "40.1";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- hash = "sha256-mvpuUlVwo3IJP5cwM4JwkDiU87H5+KnfX1eDbqHSnek=";
+ hash = "sha256-apvIscaHRvSjqsH+dMRKcuJqi7S+HxynMCdwWstlK14=";
};
nativeBuildInputs = [
@@ -42,6 +43,7 @@ stdenv.mkDerivation rec {
fuse3
gdk-pixbuf # For libnotify
glib
+ nv-codec-headers-11
libnotify
libsecret
libvncserver
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-settings-daemon/3.38/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-settings-daemon/3.38/default.nix
new file mode 100644
index 00000000000..de48b72faca
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-settings-daemon/3.38/default.nix
@@ -0,0 +1,135 @@
+{ lib, stdenv
+, fetchpatch
+, substituteAll
+, fetchurl
+, meson
+, ninja
+, pkg-config
+, gnome
+, perl
+, gettext
+, gtk3
+, glib
+, libnotify
+, libgnomekbd
+, lcms2
+, libpulseaudio
+, alsa-lib
+, libcanberra-gtk3
+, upower
+, colord
+, libgweather
+, polkit
+, gsettings-desktop-schemas
+, geoclue2
+, systemd
+, libgudev
+, libwacom
+, libxslt
+, libxml2
+, modemmanager
+, networkmanager
+, gnome-desktop
+, geocode-glib
+, docbook_xsl
+, wrapGAppsHook
+, python3
+, tzdata
+, nss
+, gcr
+, gnome-session-ctl
+, pantheon
+}:
+
+stdenv.mkDerivation rec {
+ pname = "gnome-settings-daemon";
+ version = "3.38.2";
+
+ src = fetchurl {
+ url = "mirror://gnome/sources/gnome-settings-daemon/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
+ sha256 = "136p3prdqvc0lvrcqs4h7crpnfqnimqklpzjivq5w4g1rhbdbhrj";
+ };
+
+ patches = [
+ # https://gitlab.gnome.org/GNOME/gnome-settings-daemon/-/merge_requests/202
+ (fetchpatch {
+ url = "https://gitlab.gnome.org/GNOME/gnome-settings-daemon/commit/aae1e774dd9de22fe3520cf9eb2bfbf7216f5eb0.patch";
+ sha256 = "O4m0rOW8Zrgu3Q0p0OA8b951VC0FjYbOUk9MLzB9icI=";
+ })
+
+ (substituteAll {
+ src = ./fix-paths.patch;
+ inherit tzdata;
+ })
+
+ # Adjust to libgweather changes.
+ # https://gitlab.gnome.org/GNOME/gnome-settings-daemon/-/merge_requests/217
+ (fetchpatch {
+ url = "https://gitlab.gnome.org/GNOME/gnome-settings-daemon/commit/82d88014dfca2df7e081712870e1fb017c16b808.patch";
+ sha256 = "H5k/v+M2bRaswt5nrDJFNn4gS4BdB0UfzdjUCT4yLKg=";
+ })
+ ];
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ perl
+ gettext
+ libxml2
+ libxslt
+ docbook_xsl
+ wrapGAppsHook
+ python3
+ ];
+
+ buildInputs = [
+ gtk3
+ glib
+ gsettings-desktop-schemas
+ modemmanager
+ networkmanager
+ libnotify
+ libgnomekbd # for org.gnome.libgnomekbd.keyboard schema
+ gnome-desktop
+ lcms2
+ libpulseaudio
+ alsa-lib
+ libcanberra-gtk3
+ upower
+ colord
+ libgweather
+ nss
+ polkit
+ geocode-glib
+ geoclue2
+ systemd
+ libgudev
+ libwacom
+ gcr
+ ];
+
+ mesonFlags = [
+ "-Dudev_dir=${placeholder "out"}/lib/udev"
+ "-Dgnome_session_ctl_path=${gnome-session-ctl}/libexec/gnome-session-ctl"
+ ];
+
+ # Default for release buildtype but passed manually because
+ # we're using plain
+ NIX_CFLAGS_COMPILE = "-DG_DISABLE_CAST_CHECKS";
+
+ postPatch = ''
+ for f in gnome-settings-daemon/codegen.py plugins/power/gsd-power-constants-update.pl meson_post_install.py; do
+ chmod +x $f
+ patchShebangs $f
+ done
+ '';
+
+ meta = with lib; {
+ description = "GNOME Settings Daemon";
+ homepage = "https://gitlab.gnome.org/GNOME/gnome-settings-daemon/";
+ license = licenses.gpl2Plus;
+ maintainers = teams.pantheon.members;
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-settings-daemon/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-settings-daemon/3.38/fix-paths.patch
similarity index 100%
rename from infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-settings-daemon/fix-paths.patch
rename to infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-settings-daemon/3.38/fix-paths.patch
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-settings-daemon/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-settings-daemon/default.nix
index 4a1b7f4c20c..307de72cc4f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-settings-daemon/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-settings-daemon/default.nix
@@ -42,11 +42,11 @@
stdenv.mkDerivation rec {
pname = "gnome-settings-daemon";
- version = "40.0.1";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-settings-daemon/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "08bv32hvsmd8izw0llvldg0c2d71srch4hi8j94jwgm5d4dsrprp";
+ sha256 = "5spjYfvR3qst4aHjkNTxQWfPR7HFR9u4tlpdielmOIQ=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-shell-extensions/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-shell-extensions/default.nix
index 8013e101913..25f7fb93ab3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-shell-extensions/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-shell-extensions/default.nix
@@ -13,11 +13,11 @@
stdenv.mkDerivation rec {
pname = "gnome-shell-extensions";
- version = "40.3";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-shell-extensions/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "nfh/qVNSAf68o9UI/b97rQFxz3ony2ZN1OPB+WxO0Es=";
+ sha256 = "2E+qwUSLOPl12cGUkMWSivxcWixJ3X5/ga9pD5Rm/Gg=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-shell/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-shell/default.nix
index 6a7cb1742ba..04a4b0984ec 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-shell/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-shell/default.nix
@@ -66,13 +66,13 @@ let
in
stdenv.mkDerivation rec {
pname = "gnome-shell";
- version = "40.4";
+ version = "41.0";
outputs = [ "out" "devdoc" ];
src = fetchurl {
url = "mirror://gnome/sources/gnome-shell/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "160z8bz2kqmrs6a4cs2gakv0rl9ba69p3ij2xjakqav50n9r3i9b";
+ sha256 = "Uvlx6FFA5d50uTae9mVuSc6Vrx8jL8Hg3x8EYSmrT2U=";
};
patches = [
@@ -103,6 +103,13 @@ stdenv.mkDerivation rec {
url = "https://src.fedoraproject.org/rpms/gnome-shell/raw/9a647c460b651aaec0b8a21f046cc289c1999416/f/0001-gdm-Work-around-failing-fingerprint-auth.patch";
sha256 = "pFvZli3TilUt6YwdZztpB8Xq7O60XfuWUuPMMVSpqLw=";
})
+
+ # Make color picker in GTK work again
+ # https://gitlab.gnome.org/GNOME/gnome-shell/-/merge_requests/1990
+ (fetchpatch {
+ url = "https://gitlab.gnome.org/GNOME/gnome-shell/-/commit/a3dcdaae30bdcbadec7ba3fa31c9eb0bb2a4c8c2.patch";
+ sha256 = "1UTWGZ5CiVJVCYcepaf+A6/8X/s6jUMsYB4BJ7VTjxk=";
+ })
];
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-shell/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-shell/fix-paths.patch
index e17a608a2d8..8b35736ecfc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-shell/fix-paths.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-shell/fix-paths.patch
@@ -1,30 +1,36 @@
+diff --git a/data/org.gnome.Shell-disable-extensions.service b/data/org.gnome.Shell-disable-extensions.service
+index de91167c5..1c9965678 100644
+--- a/data/org.gnome.Shell-disable-extensions.service
++++ b/data/org.gnome.Shell-disable-extensions.service
+@@ -11,5 +11,5 @@ ConditionPathExists=%t/gnome-shell-disable-extensions
+ [Service]
+ Type=simple
+ # Disable extensions
+-ExecStart=gsettings set org.gnome.shell disable-user-extensions true
++ExecStart=@gsettings@ set org.gnome.shell disable-user-extensions true
+ Restart=no
+diff --git a/js/ui/extensionDownloader.js b/js/ui/extensionDownloader.js
+index 8bf4646a6..59e88bb4c 100644
--- a/js/ui/extensionDownloader.js
+++ b/js/ui/extensionDownloader.js
-@@ -86,7 +86,7 @@
- stream.output_stream.write_bytes(contents, null);
- stream.close(null);
- let [success, pid] = GLib.spawn_async(null,
-- ['unzip', '-uod', dir.get_path(), '--', file.get_path()],
-+ ['@unzip@/bin/unzip', '-uod', dir.get_path(), '--', file.get_path()],
- null,
- GLib.SpawnFlags.SEARCH_PATH | GLib.SpawnFlags.DO_NOT_REAP_CHILD,
- null);
+@@ -114,7 +114,7 @@ async function extractExtensionArchive(bytes, dir) {
+ stream.close_async(GLib.PRIORITY_DEFAULT, null);
+
+ const unzip = Gio.Subprocess.new(
+- ['unzip', '-uod', dir.get_path(), '--', file.get_path()],
++ ['@unzip@/bin/unzip', '-uod', dir.get_path(), '--', file.get_path()],
+ Gio.SubprocessFlags.NONE);
+ await unzip.wait_check_async(null);
+ }
+diff --git a/js/ui/status/keyboard.js b/js/ui/status/keyboard.js
+index bc50f3d37..868eb5abf 100644
--- a/js/ui/status/keyboard.js
+++ b/js/ui/status/keyboard.js
-@@ -1062,6 +1062,6 @@ class InputSourceIndicator extends PanelMenu.Button {
+@@ -1080,6 +1080,6 @@ class InputSourceIndicator extends PanelMenu.Button {
if (xkbVariant.length > 0)
- description = `${description}\t${xkbVariant}`;
+ description = '%s\t%s'.format(description, xkbVariant);
- Util.spawn(['gkbd-keyboard-display', '-l', description]);
+ Util.spawn(['@libgnomekbd@/bin/gkbd-keyboard-display', '-l', description]);
}
});
---- a/data/org.gnome.Shell-disable-extensions.service
-+++ b/data/org.gnome.Shell-disable-extensions.service
-@@ -10,5 +10,5 @@ Requisite=gnome-session-stable.timer
- [Service]
- Type=simple
- # Disable extensions
--ExecStart=gsettings set org.gnome.shell disable-user-extensions true
-+ExecStart=@gsettings@ set org.gnome.shell disable-user-extensions true
- Restart=no
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-software/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-software/default.nix
index 6d71c579d7e..4d9a5c40765 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-software/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-software/default.nix
@@ -25,7 +25,6 @@
, gsettings-desktop-schemas
, gnome-desktop
, libxmlb
-, gnome-online-accounts
, json-glib
, libsecret
, valgrind-light
@@ -43,11 +42,11 @@ in
stdenv.mkDerivation rec {
pname = "gnome-software";
- version = "40.4";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-software/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "voxhGoAvcXGNzLvUVE7ZaIcxGYRv03t7dqeq1yx5mL8=";
+ sha256 = "AkC3bsnfYi2b7A6zjkrFY8rt5oCLrZO4gmPYCXD/OcE=";
};
patches = [
@@ -89,7 +88,6 @@ stdenv.mkDerivation rec {
polkit
flatpak
libxmlb
- gnome-online-accounts
libsysprof-capture
] ++ lib.optionals withFwupd [
fwupd
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-system-monitor/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-system-monitor/default.nix
index 77579be6272..d373d6f358c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-system-monitor/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-system-monitor/default.nix
@@ -24,11 +24,11 @@
stdenv.mkDerivation rec {
pname = "gnome-system-monitor";
- version = "40.1";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-system-monitor/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "06hxd4igxas2kyind5jwfq5qbfkknykpdfy2sy3anylhcx1hzczx";
+ sha256 = "x/xExhlJt5SwKJlo67vMDBX4z8PZ5Fv6qB7UXBITnl8=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-terminal/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-terminal/default.nix
index ab3e3aecc03..48e65009ade 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-terminal/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-terminal/default.nix
@@ -1,36 +1,79 @@
-{ lib, stdenv, fetchurl, pkg-config, libxml2, gnome, dconf, nautilus
-, gtk3, gsettings-desktop-schemas, vte, gettext, which, libuuid, vala
-, desktop-file-utils, itstool, wrapGAppsHook, pcre2
-, libxslt, docbook-xsl-nons }:
+{ stdenv
+, lib
+, fetchurl
+, meson
+, ninja
+, pkg-config
+, python3
+, libxml2
+, gnome
+, dconf
+, nautilus
+, glib
+, gtk3
+, gsettings-desktop-schemas
+, vte
+, gettext
+, which
+, libuuid
+, vala
+, desktop-file-utils
+, itstool
+, wrapGAppsHook
+, pcre2
+, libxslt
+, docbook-xsl-nons
+}:
stdenv.mkDerivation rec {
pname = "gnome-terminal";
- version = "3.40.1";
+ version = "3.42.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-terminal/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "1r6qd6w18gk83w32y6bvn4hg2hd7qvngak4ymwpgndyp41rwqw07";
+ sha256 = "tQ6eVmQjDmyikLzziBKltl4LqsZqSG7iEIlM9nX3Lgs=";
};
- buildInputs = [
- gtk3 gsettings-desktop-schemas vte libuuid dconf
- # For extension
- nautilus
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ gettext
+ itstool
+ which
+ libxml2
+ libxslt
+ glib # for glib-compile-schemas
+ docbook-xsl-nons
+ vala
+ desktop-file-utils
+ wrapGAppsHook
+ pcre2
+ python3
];
- nativeBuildInputs = [
- pkg-config gettext itstool which libxml2 libxslt docbook-xsl-nons
- vala desktop-file-utils wrapGAppsHook pcre2
+ buildInputs = [
+ glib
+ gtk3
+ gsettings-desktop-schemas
+ vte
+ libuuid
+ dconf
+ nautilus # For extension
];
- # Silly ./configure, it looks for dbus file from gnome-shell in the
+ # Silly build system, it looks for dbus file from gnome-shell in the
# installation tree of the package it is configuring.
postPatch = ''
- substituteInPlace configure --replace '$(eval echo $(eval echo $(eval echo ''${dbusinterfacedir})))/org.gnome.ShellSearchProvider2.xml' "${gnome.gnome-shell}/share/dbus-1/interfaces/org.gnome.ShellSearchProvider2.xml"
- substituteInPlace src/Makefile.in --replace '$(dbusinterfacedir)/org.gnome.ShellSearchProvider2.xml' "${gnome.gnome-shell}/share/dbus-1/interfaces/org.gnome.ShellSearchProvider2.xml"
- '';
+ substituteInPlace src/meson.build \
+ --replace "gt_prefix / gt_dbusinterfacedir / 'org.gnome.ShellSearchProvider2.xml'" \
+ "'${gnome.gnome-shell}/share/dbus-1/interfaces/org.gnome.ShellSearchProvider2.xml'"
- configureFlags = [ "--disable-migration" ]; # TODO: remove this with 3.30
+ patchShebangs \
+ data/icons/meson_updateiconcache.py \
+ data/meson_desktopfile.py \
+ src/meson_compileschemas.py
+ '';
passthru = {
updateScript = gnome.updateScript {
@@ -39,8 +82,6 @@ stdenv.mkDerivation rec {
};
};
- enableParallelBuilding = true;
-
meta = with lib; {
description = "The GNOME Terminal Emulator";
homepage = "https://wiki.gnome.org/Apps/Terminal";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-user-share/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-user-share/default.nix
index 211326cfcdb..bab13a6ccd1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-user-share/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gnome-user-share/default.nix
@@ -50,7 +50,7 @@ stdenv.mkDerivation rec {
mesonFlags = [
"-Dhttpd=${apacheHttpd.out}/bin/httpd"
- "-Dmodules_path=${apacheHttpd.dev}/modules"
+ "-Dmodules_path=${apacheHttpd}/modules"
"-Dsystemduserunitdir=${placeholder "out"}/etc/systemd/user"
# In 3.34.0 it defaults to false but it is silently ignored and always installed.
# Let’s add it anyway in case they decide to make build respect the option in the future.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gucharmap/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gucharmap/default.nix
index 336a4d260c4..7d15e6b41ef 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gucharmap/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/gucharmap/default.nix
@@ -1,4 +1,5 @@
-{ lib, stdenv
+{ stdenv
+, lib
, intltool
, fetchFromGitLab
, meson
@@ -11,7 +12,6 @@
, desktop-file-utils
, gtk-doc
, wrapGAppsHook
-, gnome
, itstool
, libxml2
, yelp-tools
@@ -25,6 +25,7 @@
, runCommand
, symlinkJoin
, gobject-introspection
+, nix-update-script
}:
let
@@ -44,7 +45,7 @@ let
};
in stdenv.mkDerivation rec {
pname = "gucharmap";
- version = "13.0.2";
+ version = "14.0.0";
outputs = [ "out" "lib" "dev" "devdoc" ];
@@ -53,7 +54,7 @@ in stdenv.mkDerivation rec {
owner = "GNOME";
repo = pname;
rev = version;
- sha256 = "099za9mc6qdq9pwcbjp3d7hxjbaa43vk2w9qw4yiyswl1xq3jw62";
+ sha256 = "sha256-d283zVRH42NZNq+vGmItN3ZBrRrl9gpYDco7osm3RoY=";
};
nativeBuildInputs = [
@@ -93,8 +94,8 @@ in stdenv.mkDerivation rec {
'';
passthru = {
- updateScript = gnome.updateScript {
- packageName = pname;
+ updateScript = nix-update-script {
+ attrPath = "gnome.gucharmap";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0001-EGL-Include-EGL-eglmesaext.h.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0001-EGL-Include-EGL-eglmesaext.h.patch
deleted file mode 100644
index 3691c034d1e..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0001-EGL-Include-EGL-eglmesaext.h.patch
+++ /dev/null
@@ -1,74 +0,0 @@
-From 7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d Mon Sep 17 00:00:00 2001
-Message-Id: <7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d.1601082838.git-series.worldofpeace@protonmail.ch>
-From: WORLDofPEACE
-Date: Sun, 20 Oct 2019 12:04:31 +0200
-Subject: [PATCH 1/7] EGL: Include EGL/eglmesaext.h
-
-From: Jan Alexander Steffens (heftig)
-
-The eglext.h shipped by libglvnd does not include the Mesa extensions,
-unlike the header shipped in Mesa.
-
-Fixes https://gitlab.gnome.org/GNOME/mutter/issues/876
-
-(cherry picked from commit a444a4c5f58ea516ad3cd9d6ddc0056c3ca9bc90)
----
- cogl/cogl/meson.build | 2 +-
- src/backends/meta-egl-ext.h | 1 +
- src/backends/meta-egl.c | 1 +
- src/backends/meta-egl.h | 1 +
- 4 files changed, 4 insertions(+), 1 deletion(-)
-
-diff --git a/cogl/cogl/meson.build b/cogl/cogl/meson.build
-index 1057ef9..9a64294 100644
---- a/cogl/cogl/meson.build
-+++ b/cogl/cogl/meson.build
-@@ -48,7 +48,7 @@ cogl_gl_header_h = configure_file(
- built_headers += [cogl_gl_header_h]
-
- if have_egl
-- cogl_egl_includes_string = '#include \n#include '
-+ cogl_egl_includes_string = '#include \n#include \n#include '
- else
- cogl_egl_includes_string = ''
- endif
-diff --git a/src/backends/meta-egl-ext.h b/src/backends/meta-egl-ext.h
-index 8705e7d..db0b74f 100644
---- a/src/backends/meta-egl-ext.h
-+++ b/src/backends/meta-egl-ext.h
-@@ -29,6 +29,7 @@
-
- #include
- #include
-+#include
-
- /*
- * This is a little different to the tests shipped with EGL implementations,
-diff --git a/src/backends/meta-egl.c b/src/backends/meta-egl.c
-index 6554be9..fdeff4f 100644
---- a/src/backends/meta-egl.c
-+++ b/src/backends/meta-egl.c
-@@ -27,6 +27,7 @@
-
- #include
- #include
-+#include
- #include
- #include
- #include
-diff --git a/src/backends/meta-egl.h b/src/backends/meta-egl.h
-index f2a8164..4591e7d 100644
---- a/src/backends/meta-egl.h
-+++ b/src/backends/meta-egl.h
-@@ -28,6 +28,7 @@
-
- #include
- #include
-+#include
- #include
-
- #define META_EGL_ERROR meta_egl_error_quark ()
-
-base-commit: 48ffbb582404c1d52196eb6cc5f082c31ca4910c
---
-git-series 0.9.1
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0002-drop-inheritable.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0002-drop-inheritable.patch
deleted file mode 100644
index 28f89ac7c0c..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0002-drop-inheritable.patch
+++ /dev/null
@@ -1,135 +0,0 @@
-From 14cee101882e65a57dcd66ea0f8399477b23ce7e Mon Sep 17 00:00:00 2001
-Message-Id: <14cee101882e65a57dcd66ea0f8399477b23ce7e.1601082838.git-series.worldofpeace@protonmail.ch>
-In-Reply-To: <7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d.1601082838.git-series.worldofpeace@protonmail.ch>
-References: <7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d.1601082838.git-series.worldofpeace@protonmail.ch>
-From: WORLDofPEACE
-Date: Sat, 19 Oct 2019 13:26:05 +0200
-Subject: [PATCH 2/7] drop inheritable
-
-From: Tor Hedin Brønner
-
-Adapted from https://gitlab.gnome.org/GNOME/mutter/commit/c53c47ae123b03cc66044d2b846342123ecb3a01
-
-We only want to drop inheritable though, to prevent the ambient set leaking further than gnome-shell.
----
- config.h.meson | 3 +++
- meson.build | 5 +++++
- meson_options.txt | 6 ++++++
- src/core/main.c | 10 ++++++++++
- src/meson.build | 1 +
- 5 files changed, 25 insertions(+)
-
-diff --git a/config.h.meson b/config.h.meson
-index 0bab718..202fb7e 100644
---- a/config.h.meson
-+++ b/config.h.meson
-@@ -58,6 +58,9 @@
- /* Xwayland applications allowed to issue keyboard grabs */
- #mesondefine XWAYLAND_GRAB_DEFAULT_ACCESS_RULES
-
-+/* Defined if libcap-ng is available */
-+#mesondefine HAVE_LIBCAPNG
-+
- /* XKB base prefix */
- #mesondefine XKB_BASE
-
-diff --git a/meson.build b/meson.build
-index 29d495b..86970df 100644
---- a/meson.build
-+++ b/meson.build
-@@ -35,6 +35,7 @@ libstartup_notification_req = '>= 0.7'
- libcanberra_req = '>= 0.26'
- libwacom_req = '>= 0.13'
- atk_req = '>= 2.5.3'
-+libcapng_req = '>= 0.7.9'
-
- # optional version requirements
- udev_req = '>= 228'
-@@ -125,6 +126,7 @@ xau_dep = dependency('xau')
- ice_dep = dependency('ice')
- atk_dep = dependency('atk', version: atk_req)
- libcanberra_dep = dependency('libcanberra', version: libcanberra_req)
-+libcapng_dep = dependency('libcap-ng', required: get_option('libcapng'))
-
- # For now always require X11 support
- have_x11 = true
-@@ -256,6 +258,7 @@ have_core_tests = false
- have_cogl_tests = false
- have_clutter_tests = false
- have_installed_tests = false
-+have_libcapng = libcapng_dep.found()
-
- if have_tests
- have_core_tests = get_option('core_tests')
-@@ -361,6 +364,7 @@ cdata.set('HAVE_LIBWACOM', have_libwacom)
- cdata.set('HAVE_SM', have_sm)
- cdata.set('HAVE_STARTUP_NOTIFICATION', have_startup_notification)
- cdata.set('HAVE_INTROSPECTION', have_introspection)
-+cdata.set('HAVE_LIBCAPNG', have_libcapng)
- cdata.set('HAVE_PROFILER', have_profiler)
-
- xkb_base = xkeyboard_config_dep.get_pkgconfig_variable('xkb_base')
-@@ -443,6 +447,7 @@ output = [
- ' Startup notification..... ' + have_startup_notification.to_string(),
- ' Introspection............ ' + have_introspection.to_string(),
- ' Profiler................. ' + have_profiler.to_string(),
-+ ' libcap-ng................ ' + have_libcapng.to_string(),
- '',
- ' Tests:',
- '',
-diff --git a/meson_options.txt b/meson_options.txt
-index 73aa7ad..8bfaacd 100644
---- a/meson_options.txt
-+++ b/meson_options.txt
-@@ -152,3 +152,9 @@ option('xwayland_grab_default_access_rules',
- value: 'gnome-boxes,remote-viewer,virt-viewer,virt-manager,vinagre,vncviewer,Xephyr',
- description: 'Comma delimited list of applications ressources or class allowed to issue X11 grabs in Xwayland'
- )
-+
-+option('libcapng',
-+ type: 'feature',
-+ value: 'auto',
-+ description: 'Enable libcap-ng support'
-+)
-diff --git a/src/core/main.c b/src/core/main.c
-index 3935f35..ecf3cb2 100644
---- a/src/core/main.c
-+++ b/src/core/main.c
-@@ -66,6 +66,10 @@
- #include
- #endif
-
-+#ifdef HAVE_LIBCAPNG
-+#include
-+#endif
-+
- #if defined(HAVE_NATIVE_BACKEND) && defined(HAVE_WAYLAND)
- #include
- #endif /* HAVE_WAYLAND && HAVE_NATIVE_BACKEND */
-@@ -673,6 +677,12 @@ meta_run (void)
- if (!meta_display_open ())
- meta_exit (META_EXIT_ERROR);
-
-+#ifdef HAVE_LIBCAPNG
-+ capng_clear(CAPNG_SELECT_BOTH);
-+ capng_update(CAPNG_ADD, CAPNG_EFFECTIVE|CAPNG_PERMITTED, CAP_SYS_NICE);
-+ capng_apply(CAPNG_SELECT_BOTH);
-+#endif
-+
- g_main_loop_run (meta_main_loop);
-
- meta_finalize ();
-diff --git a/src/meson.build b/src/meson.build
-index 90d8073..a9fffa2 100644
---- a/src/meson.build
-+++ b/src/meson.build
-@@ -18,6 +18,7 @@ mutter_pkg_deps = [
- glib_dep,
- gsettings_desktop_schemas_dep,
- gtk3_dep,
-+ libcapng_dep,
- pango_dep,
- ]
-
---
-git-series 0.9.1
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0003-Fix-glitches-in-gala.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0003-Fix-glitches-in-gala.patch
deleted file mode 100644
index 9f78a324d9d..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0003-Fix-glitches-in-gala.patch
+++ /dev/null
@@ -1,33 +0,0 @@
-From 5d2b9a03f24b4dbc423adff52b2eeb478c4b5913 Mon Sep 17 00:00:00 2001
-Message-Id: <5d2b9a03f24b4dbc423adff52b2eeb478c4b5913.1601082838.git-series.worldofpeace@protonmail.ch>
-In-Reply-To: <7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d.1601082838.git-series.worldofpeace@protonmail.ch>
-References: <7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d.1601082838.git-series.worldofpeace@protonmail.ch>
-From: WORLDofPEACE
-Date: Sun, 5 Apr 2020 23:06:03 -0400
-Subject: [PATCH 3/7] Fix glitches in gala
-
-From: worldofpeace
-
-This fixes issues for users of mutter like in gala[0].
-
-Upstream report: https://gitlab.gnome.org/GNOME/mutter/issues/536
-[0]: https://github.com/elementary/gala/issues/605
----
- clutter/clutter/clutter-actor.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
-diff --git a/clutter/clutter/clutter-actor.c b/clutter/clutter/clutter-actor.c
-index ecf9a59..07b8b71 100644
---- a/clutter/clutter/clutter-actor.c
-+++ b/clutter/clutter/clutter-actor.c
-@@ -17831,7 +17831,7 @@ _clutter_actor_get_paint_volume_mutable (ClutterActor *self)
- if (_clutter_actor_get_paint_volume_real (self, &priv->paint_volume))
- {
- priv->paint_volume_valid = TRUE;
-- priv->needs_paint_volume_update = FALSE;
-+ //priv->needs_paint_volume_update = FALSE;
- return &priv->paint_volume;
- }
- else
---
-git-series 0.9.1
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0004-profiler-track-changes-in-GLib-and-Sysprof.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0004-profiler-track-changes-in-GLib-and-Sysprof.patch
deleted file mode 100644
index cd98d395041..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0004-profiler-track-changes-in-GLib-and-Sysprof.patch
+++ /dev/null
@@ -1,58 +0,0 @@
-From 5a9f9fbaa1322b2ad0a52fcdd171d4f44d031918 Mon Sep 17 00:00:00 2001
-Message-Id: <5a9f9fbaa1322b2ad0a52fcdd171d4f44d031918.1601082838.git-series.worldofpeace@protonmail.ch>
-In-Reply-To: <7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d.1601082838.git-series.worldofpeace@protonmail.ch>
-References: <7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d.1601082838.git-series.worldofpeace@protonmail.ch>
-From: WORLDofPEACE
-Date: Wed, 30 Oct 2019 15:23:24 -0700
-Subject: [PATCH 4/7] profiler: track changes in GLib and Sysprof
-
-From: Christian Hergert
-
-This tracks the changes to gdbus-codegen in terms of how GUnixFDList is
-done to use the UnixFD annotation.
-
-https://gitlab.gnome.org/GNOME/mutter/merge_requests/908
-(cherry picked from commit 605171291993460f31d470a8143d6438d0c6169c)
----
- src/backends/meta-profiler.c | 7 ++-----
- 1 file changed, 2 insertions(+), 5 deletions(-)
-
-diff --git a/src/backends/meta-profiler.c b/src/backends/meta-profiler.c
-index 10d433a..0d62701 100644
---- a/src/backends/meta-profiler.c
-+++ b/src/backends/meta-profiler.c
-@@ -51,13 +51,12 @@ G_DEFINE_TYPE_WITH_CODE (MetaProfiler,
- static gboolean
- handle_start (MetaDBusSysprof3Profiler *dbus_profiler,
- GDBusMethodInvocation *invocation,
-+ GUnixFDList *fd_list,
- GVariant *options,
- GVariant *fd_variant)
- {
- MetaProfiler *profiler = META_PROFILER (dbus_profiler);
- GMainContext *main_context = g_main_context_default ();
-- GDBusMessage *message;
-- GUnixFDList *fd_list;
- const char *group_name;
- int position;
- int fd = -1;
-@@ -73,8 +72,6 @@ handle_start (MetaDBusSysprof3Profiler *dbus_profiler,
-
- g_variant_get (fd_variant, "h", &position);
-
-- message = g_dbus_method_invocation_get_message (invocation);
-- fd_list = g_dbus_message_get_unix_fd_list (message);
- if (fd_list)
- fd = g_unix_fd_list_get (fd_list, position, NULL);
-
-@@ -98,7 +95,7 @@ handle_start (MetaDBusSysprof3Profiler *dbus_profiler,
-
- g_debug ("Profiler running");
-
-- meta_dbus_sysprof3_profiler_complete_start (dbus_profiler, invocation);
-+ meta_dbus_sysprof3_profiler_complete_start (dbus_profiler, invocation, NULL);
- return TRUE;
- }
-
---
-git-series 0.9.1
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0005-meta-Add-missing-display.h-to-meta-workspace-manager.h.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0005-meta-Add-missing-display.h-to-meta-workspace-manager.h.patch
deleted file mode 100644
index 138970ddda0..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0005-meta-Add-missing-display.h-to-meta-workspace-manager.h.patch
+++ /dev/null
@@ -1,32 +0,0 @@
-From 2caa072dd8e283a8e43febeab55fe8b76dda69b7 Mon Sep 17 00:00:00 2001
-Message-Id: <2caa072dd8e283a8e43febeab55fe8b76dda69b7.1601082838.git-series.worldofpeace@protonmail.ch>
-In-Reply-To: <7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d.1601082838.git-series.worldofpeace@protonmail.ch>
-References: <7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d.1601082838.git-series.worldofpeace@protonmail.ch>
-From: WORLDofPEACE
-Date: Wed, 29 Jan 2020 11:02:33 +0100
-Subject: [PATCH 5/7] meta: Add missing display.h to meta-workspace-manager.h
-
-From: Corentin Noël
-
-This is required because MetaDisplayCorner is only defined in display.h
-
-https://gitlab.gnome.org/GNOME/mutter/merge_requests/1025
-(cherry picked from commit 9d390ee49fb1f6300336e82ae94cc8061c6bae12)
----
- src/meta/meta-workspace-manager.h | 1 +
- 1 file changed, 1 insertion(+)
-
-diff --git a/src/meta/meta-workspace-manager.h b/src/meta/meta-workspace-manager.h
-index 0390c44..92cd681 100644
---- a/src/meta/meta-workspace-manager.h
-+++ b/src/meta/meta-workspace-manager.h
-@@ -26,6 +26,7 @@
- #include
-
- #include
-+#include
- #include
- #include
-
---
-git-series 0.9.1
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0006-build-bump-ABI-to-sysprof-capture-4.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0006-build-bump-ABI-to-sysprof-capture-4.patch
deleted file mode 100644
index 6d88f0f5e76..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0006-build-bump-ABI-to-sysprof-capture-4.patch
+++ /dev/null
@@ -1,102 +0,0 @@
-From 0c95e5a5b31eab93f149b90982680f38e8977063 Mon Sep 17 00:00:00 2001
-Message-Id: <0c95e5a5b31eab93f149b90982680f38e8977063.1601082838.git-series.worldofpeace@protonmail.ch>
-In-Reply-To: <7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d.1601082838.git-series.worldofpeace@protonmail.ch>
-References: <7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d.1601082838.git-series.worldofpeace@protonmail.ch>
-From: WORLDofPEACE
-Date: Sat, 4 Jul 2020 12:01:28 -0700
-Subject: [PATCH 6/7] build: bump ABI to sysprof-capture-4
-
-From: Christian Hergert
-
-GLib will now be linking against sysprof-capture-4.a. To support that,
-sysprof had to remove the GLib dependency from sysprof-capture-4 which
-had the side-effect of breaking ABi.
-
-This bumps the dependency and includes a fallback to compile just the
-libsysprof-capture-4.a using a subproject wrap.
-
-https://gitlab.gnome.org/GNOME/mutter/-/merge_requests/1352
-(cherry picked from commit 2c08eb6d163b6758efec9eafe1d5c17fc1ab3692)
----
- meson.build | 20 ++++++++++++++++++--
- src/meson.build | 8 ++++++--
- subprojects/sysprof.wrap | 4 ++++
- 3 files changed, 28 insertions(+), 4 deletions(-)
- create mode 100644 subprojects/sysprof.wrap
-
-diff --git a/meson.build b/meson.build
-index 86970df..3dc0098 100644
---- a/meson.build
-+++ b/meson.build
-@@ -1,6 +1,6 @@
- project('mutter', 'c',
- version: '3.34.6',
-- meson_version: '>= 0.50.0',
-+ meson_version: '>= 0.51.0',
- license: 'GPLv2+'
- )
-
-@@ -52,6 +52,9 @@ gbm_req = '>= 10.3'
- # screen cast version requirements
- libpipewire_req = '>= 0.2.5'
-
-+# profiler requirements
-+sysprof_req = '>= 3.37.2'
-+
- gnome = import('gnome')
- pkg = import('pkgconfig')
- i18n = import('i18n')
-@@ -275,7 +278,20 @@ endif
-
- have_profiler = get_option('profiler')
- if have_profiler
-- sysprof_dep = dependency('sysprof-capture-3')
-+ # libsysprof-capture support
-+ sysprof_dep = dependency('sysprof-capture-4',
-+ required: true,
-+ default_options: [
-+ 'enable_examples=false',
-+ 'enable_gtk=false',
-+ 'enable_tests=false',
-+ 'enable_tools=false',
-+ 'libsysprof=false',
-+ 'with_sysprofd=none',
-+ 'help=false',
-+ ],
-+ fallback: ['sysprof', 'libsysprof_capture_dep'],
-+ )
- endif
-
- required_functions = [
-diff --git a/src/meson.build b/src/meson.build
-index a9fffa2..a91baa1 100644
---- a/src/meson.build
-+++ b/src/meson.build
-@@ -711,9 +711,13 @@ if have_profiler
- 'backends/meta-profiler.h',
- ]
-
-- dbus_interfaces_dir = join_paths(datadir, 'dbus-1', 'interfaces')
-- sysprof3_dbus_file = join_paths(dbus_interfaces_dir, 'org.gnome.Sysprof3.Profiler.xml')
-+ if sysprof_dep.type_name() == 'pkgconfig'
-+ sysprof_dbus_interfaces_dir = join_paths(sysprof_dep.get_pkgconfig_variable('datadir'), 'dbus-1', 'interfaces')
-+ else
-+ sysprof_dbus_interfaces_dir = join_paths(meson.source_root(), 'subprojects', 'sysprof', 'src')
-+ endif
-
-+ sysprof3_dbus_file = join_paths(sysprof_dbus_interfaces_dir, 'org.gnome.Sysprof3.Profiler.xml')
- dbus_sysprof3_profiler_built_sources = gnome.gdbus_codegen('meta-dbus-sysprof3-profiler',
- sysprof3_dbus_file,
- interface_prefix: 'org.gnome.',
-diff --git a/subprojects/sysprof.wrap b/subprojects/sysprof.wrap
-new file mode 100644
-index 0000000..c8f5883
---- /dev/null
-+++ b/subprojects/sysprof.wrap
-@@ -0,0 +1,4 @@
-+[wrap-git]
-+directory=sysprof
-+url=https://gitlab.gnome.org/GNOME/sysprof.git
-+revision=cae28263ff5dd4a510d82f3dc2e3a3b3d9b386fb
---
-git-series 0.9.1
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0007-fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0007-fix-paths.patch
deleted file mode 100644
index 8376fc649b5..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/0007-fix-paths.patch
+++ /dev/null
@@ -1,27 +0,0 @@
-From 7bbbf082599ec786f64f2135c9acc0b4fe2ecbf4 Mon Sep 17 00:00:00 2001
-Message-Id: <7bbbf082599ec786f64f2135c9acc0b4fe2ecbf4.1601082838.git-series.worldofpeace@protonmail.ch>
-In-Reply-To: <7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d.1601082838.git-series.worldofpeace@protonmail.ch>
-References: <7b94f980f2a099dd4b19b60c357cfcf5ff7ada6d.1601082838.git-series.worldofpeace@protonmail.ch>
-From: WORLDofPEACE
-Date: Fri, 25 Sep 2020 20:48:33 -0400
-Subject: [PATCH 7/7] fix paths
-
----
- src/core/util.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
-diff --git a/src/core/util.c b/src/core/util.c
-index 79bcfdc..87ce549 100644
---- a/src/core/util.c
-+++ b/src/core/util.c
-@@ -623,7 +623,7 @@ meta_show_dialog (const char *type,
-
- args = g_ptr_array_new ();
-
-- append_argument (args, "zenity");
-+ append_argument (args, "@zenity@/bin/zenity");
- append_argument (args, type);
-
- if (display)
---
-git-series 0.9.1
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.38/default.nix
similarity index 54%
rename from infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/default.nix
rename to infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.38/default.nix
index 42f07d45e62..3c2d30b813b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.34/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.38/default.nix
@@ -1,35 +1,35 @@
{ fetchurl
-, fetchpatch
, substituteAll
-, lib, stdenv
+, runCommand
+, lib
+, stdenv
, pkg-config
, gnome
-, pantheon
, gettext
, gobject-introspection
-, upower
, cairo
, pango
-, cogl
, json-glib
, libstartup_notification
, zenity
-, libcanberra-gtk3
+, libcanberra
, ninja
, xkeyboard_config
, libxkbfile
+, libXdamage
, libxkbcommon
, libXtst
, libinput
+, libdrm
, gsettings-desktop-schemas
, glib
, gtk3
, gnome-desktop
-, geocode-glib
-, pipewire_0_2
+, pipewire
, libgudev
, libwacom
, xwayland
+, mesa
, meson
, gnome-settings-daemon
, xorgserver
@@ -39,23 +39,47 @@
, desktop-file-utils
, libcap_ng
, egl-wayland
+, graphene
+, wayland-protocols
+, pantheon
}:
-stdenv.mkDerivation rec {
+let self = stdenv.mkDerivation rec {
pname = "mutter";
- version = "3.34.6";
+ version = "3.38.6";
outputs = [ "out" "dev" "man" ];
src = fetchurl {
url = "mirror://gnome/sources/mutter/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- hash = "sha256-I73ofTO4mBNYgxzsiRW7X/Hq+cHedMkM0WYLG5WINSY=";
+ sha256 = "0mxln9azl4krmknq2vmhd15lgpa2q7gh7whiv14nsqbr9iaxmg2x";
};
+ patches = [
+ # Drop inheritable cap_sys_nice, to prevent the ambient set from leaking
+ # from mutter/gnome-shell, see https://github.com/NixOS/nixpkgs/issues/71381
+ ./drop-inheritable.patch
+
+ # Fixes issues for users of mutter like in gala.
+ # https://github.com/elementary/gala/issues/605
+ # https://gitlab.gnome.org/GNOME/mutter/issues/536
+ ./fix-glitches-in-gala.patch
+
+ (substituteAll {
+ src = ./fix-paths.patch;
+ inherit zenity;
+ })
+ ];
+
mesonFlags = [
"-Degl_device=true"
"-Dinstalled_tests=false" # TODO: enable these
"-Dwayland_eglstream=true"
+ "-Dprofiler=true"
+ "-Dxwayland_path=${xwayland}/bin/Xwayland"
+ # This should be auto detected, but it looks like it manages a false
+ # positive.
+ "-Dxwayland_initfd=disabled"
];
propagatedBuildInputs = [
@@ -63,11 +87,13 @@ stdenv.mkDerivation rec {
json-glib
libXtst
libcap_ng
+ graphene
];
nativeBuildInputs = [
desktop-file-utils
gettext
+ mesa # needed for gbm
meson
ninja
pkg-config
@@ -78,42 +104,28 @@ stdenv.mkDerivation rec {
buildInputs = [
cairo
- cogl
egl-wayland
- geocode-glib
glib
gnome-desktop
gnome-settings-daemon
gobject-introspection
gsettings-desktop-schemas
gtk3
- libcanberra-gtk3
+ libcanberra
+ libdrm
libgudev
libinput
libstartup_notification
libwacom
libxkbcommon
libxkbfile
+ libXdamage
pango
- pipewire_0_2 # TODO: backport pipewire 0.3 support
+ pipewire
sysprof
- upower
xkeyboard_config
xwayland
- zenity
- ];
-
- patches = [
- ./0001-EGL-Include-EGL-eglmesaext.h.patch
- ./0002-drop-inheritable.patch
- ./0003-Fix-glitches-in-gala.patch
- ./0004-profiler-track-changes-in-GLib-and-Sysprof.patch
- ./0005-meta-Add-missing-display.h-to-meta-workspace-manager.h.patch
- ./0006-build-bump-ABI-to-sysprof-capture-4.patch
- (substituteAll {
- src = ./0007-fix-paths.patch;
- inherit zenity;
- })
+ wayland-protocols
];
postPatch = ''
@@ -124,11 +136,29 @@ stdenv.mkDerivation rec {
${glib.dev}/bin/glib-compile-schemas "$out/share/glib-2.0/schemas"
'';
+ # Install udev files into our own tree.
+ PKG_CONFIG_UDEV_UDEVDIR = "${placeholder "out"}/lib/udev";
+
+ passthru = {
+ libdir = "${self}/lib/mutter-7";
+
+ tests = {
+ libdirExists = runCommand "mutter-libdir-exists" {} ''
+ if [[ ! -d ${self.libdir} ]]; then
+ echo "passthru.libdir should contain a directory, “${self.libdir}” is not one."
+ exit 1
+ fi
+ touch $out
+ '';
+ };
+ };
+
meta = with lib; {
description = "A window manager for GNOME";
homepage = "https://gitlab.gnome.org/GNOME/mutter";
- license = licenses.gpl2;
- maintainers = pantheon.maintainers;
+ license = licenses.gpl2Plus;
+ maintainers = teams.pantheon.members;
platforms = platforms.linux;
};
-}
+};
+in self
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/drop-inheritable.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.38/drop-inheritable.patch
similarity index 100%
rename from infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/drop-inheritable.patch
rename to infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.38/drop-inheritable.patch
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.38/fix-glitches-in-gala.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.38/fix-glitches-in-gala.patch
new file mode 100644
index 00000000000..97376823979
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.38/fix-glitches-in-gala.patch
@@ -0,0 +1,27 @@
+From a58ace29db48f98ad59f4f309d49b458c68a6eec Mon Sep 17 00:00:00 2001
+From: Bobby Rong
+Date: Wed, 28 Jul 2021 22:08:11 +0800
+Subject: [PATCH] Fix glitches in gala
+
+Co-Authored-By: WORLDofPEACE
+
+This fixes issues for users of mutter like in gala[0].
+
+Upstream report: https://gitlab.gnome.org/GNOME/mutter/issues/536
+[0]: https://github.com/elementary/gala/issues/605
+---
+ clutter/clutter/clutter-actor.c | 1 -
+ 1 file changed, 1 deletion(-)
+
+diff --git a/clutter/clutter/clutter-actor.c b/clutter/clutter/clutter-actor.c
+index febfb31918..71906000c0 100644
+--- a/clutter/clutter/clutter-actor.c
++++ b/clutter/clutter/clutter-actor.c
+@@ -15926,7 +15926,6 @@ _clutter_actor_get_paint_volume_mutable (ClutterActor *self)
+ if (_clutter_actor_get_paint_volume_real (self, &priv->paint_volume))
+ {
+ priv->paint_volume_valid = TRUE;
+- priv->needs_paint_volume_update = FALSE;
+ return &priv->paint_volume;
+ }
+ else
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.38/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.38/fix-paths.patch
new file mode 100644
index 00000000000..6ac0a431f61
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/3.38/fix-paths.patch
@@ -0,0 +1,13 @@
+diff --git a/src/core/util.c b/src/core/util.c
+index 57b73747d..f424cc81c 100644
+--- a/src/core/util.c
++++ b/src/core/util.c
+@@ -636,7 +636,7 @@ meta_show_dialog (const char *type,
+
+ args = g_ptr_array_new ();
+
+- append_argument (args, "zenity");
++ append_argument (args, "@zenity@/bin/zenity");
+ append_argument (args, type);
+
+ if (display)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/default.nix
index 3336cfab730..78b90a379c3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/mutter/default.nix
@@ -14,6 +14,7 @@
, zenity
, libcanberra
, ninja
+, xvfb-run
, xkeyboard_config
, libxkbfile
, libXdamage
@@ -45,13 +46,13 @@
let self = stdenv.mkDerivation rec {
pname = "mutter";
- version = "40.4";
+ version = "41.0";
outputs = [ "out" "dev" "man" ];
src = fetchurl {
url = "mirror://gnome/sources/mutter/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-pxwVnNKshKZ32l+nrMSUg7Jifa13L4gPiJ645FMKHiM=";
+ sha256 = "sha256-+oChp0QETYjr/Wd/8DID1ncF7SzWJOoGy7i1iUjN+J4=";
};
patches = [
@@ -90,6 +91,7 @@ let self = stdenv.mkDerivation rec {
mesa # needed for gbm
meson
ninja
+ xvfb-run
pkg-config
python3
wrapGAppsHook
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/nautilus/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/nautilus/default.nix
index f3ccdbce98c..2a964964a09 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/nautilus/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/nautilus/default.nix
@@ -34,11 +34,13 @@
stdenv.mkDerivation rec {
pname = "nautilus";
- version = "40.1";
+ version = "41.0";
+
+ outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "0cwxr7bfa19dvzra81s9wfshzv0zv7ycpfffn4amigd0fh0vkkwf";
+ sha256 = "+blBrcEEcAxn6kB2YiMV8fa3fc7BVMN/PUwLKDlQoeU=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/rygel/add-option-for-installation-sysconfdir.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/rygel/add-option-for-installation-sysconfdir.patch
index 6fe651b9cbb..d2319ec13ed 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/rygel/add-option-for-installation-sysconfdir.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/rygel/add-option-for-installation-sysconfdir.patch
@@ -1,8 +1,8 @@
diff --git a/meson.build b/meson.build
-index 4aa683d6..a930d533 100644
+index 8af2fcf9..0e318307 100644
--- a/meson.build
+++ b/meson.build
-@@ -20,7 +20,11 @@ if not get_option('uninstalled')
+@@ -22,7 +22,11 @@ if not get_option('uninstalled')
rygel_datadir = join_paths(get_option('prefix'), get_option('datadir'), 'rygel')
rygel_libexecdir = join_paths(get_option('prefix'), get_option('libexecdir'),
'rygel')
@@ -15,7 +15,7 @@ index 4aa683d6..a930d533 100644
rygel_plugindir = join_paths(rygel_libdir, 'rygel-2.6', 'plugins')
rygel_enginedir = join_paths(rygel_libdir, 'rygel-2.6', 'engines')
rygel_presetdir = join_paths(rygel_datadir, 'presets')
-@@ -55,7 +59,7 @@ conf.set_quoted('DATA_DIR', rygel_datadir)
+@@ -57,7 +61,7 @@ conf.set_quoted('DATA_DIR', rygel_datadir)
conf.set_quoted('PLUGIN_DIR', rygel_plugindir)
conf.set_quoted('BIG_ICON_DIR', rygel_bigicondir)
conf.set_quoted('SMALL_ICON_DIR', rygel_smallicondir)
@@ -25,14 +25,11 @@ index 4aa683d6..a930d533 100644
conf.set_quoted('MX_EXTRACT_PATH', join_paths(rygel_libexecdir, 'mx-extract'))
conf.set_quoted('DESKTOP_DIR', join_paths(get_option('prefix'), get_option('datadir'), 'applications'))
diff --git a/meson_options.txt b/meson_options.txt
-index cb604c4e..1b049b77 100644
+index fd04776a..3dee43ba 100644
--- a/meson_options.txt
+++ b/meson_options.txt
-@@ -1,6 +1,7 @@
+@@ -1,3 +1,4 @@
++option('sysconfdir_install', type: 'string', value: '', description: 'sysconfdir to use during installation')
option('uninstalled', type: 'boolean', value: 'false', description: 'Run Rygel from build directory only')
option('api-docs', type: 'boolean', value: 'false', description: 'Build the API documentation')
- option('systemd-user-units-dir', type : 'string', value : 'auto', description : 'Where to install the systemd user unit (use special values "auto" or "none", or pass a path')
-+option('sysconfdir_install', type: 'string', value: '', description: 'sysconfdir to use during installation')
- option('plugins', type : 'array', choices : ['external', 'gst-launch', 'lms', 'media-export', 'mpris', 'playbin', 'ruih', 'tracker', 'tracker3'])
- option('engines', type : 'array', choices : ['simple', 'gstreamer'])
- option('examples', type : 'boolean', value : 'true')
+ option('man_pages', type: 'boolean', value: 'true', description: 'Build the man pages')
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/rygel/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/rygel/default.nix
index f43df23f44a..c3cfeec8ff8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/rygel/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/rygel/default.nix
@@ -28,16 +28,20 @@
stdenv.mkDerivation rec {
pname = "rygel";
- version = "0.40.1";
+ version = "0.40.2";
# TODO: split out lib
outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "QkDXd1mcjNCeZ9pEzLOV0KbceEedgJzWIZgixbVooy0=";
+ sha256 = "yejHNiltIsTe/pWXJ6KdMUN7vSt6oMZHDWviQBEFBpc=";
};
+ patches = [
+ ./add-option-for-installation-sysconfdir.patch
+ ];
+
nativeBuildInputs = [
meson
ninja
@@ -78,16 +82,10 @@ stdenv.mkDerivation rec {
"-Dapi-docs=false"
"--sysconfdir=/etc"
"-Dsysconfdir_install=${placeholder "out"}/etc"
- # Build all plug-ins except for tracker 2
- "-Dplugins=external,gst-launch,lms,media-export,mpris,playbin,ruih,tracker3"
];
doCheck = true;
- patches = [
- ./add-option-for-installation-sysconfdir.patch
- ];
-
postPatch = ''
patchShebangs data/xml/process-xml.py
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/simple-scan/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/simple-scan/default.nix
index be501c0aef3..9514caa1e9b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/simple-scan/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/simple-scan/default.nix
@@ -25,11 +25,11 @@
stdenv.mkDerivation rec {
pname = "simple-scan";
- version = "40.1";
+ version = "40.5";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-6+45qx/kygU8TtK9OjynZ0L/EJQ23QZF00FWIhMroLQ=";
+ sha256 = "sha256-61N55MtspgUJLJQiEMGEJdA2dz2nZUHkO4nYIj+CuaQ=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/sushi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/sushi/default.nix
index cd93094120d..f08f51512c4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/sushi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/sushi/default.nix
@@ -8,8 +8,7 @@
, gnome
, gtksourceview4
, gjs
-, webkitgtk
-, libmusicbrainz5
+, webkitgtk_4_1
, icu
, wrapGAppsHook
, gst_all_1
@@ -23,11 +22,11 @@
stdenv.mkDerivation rec {
pname = "sushi";
- version = "3.38.1";
+ version = "41.0";
src = fetchurl {
- url = "mirror://gnome/sources/sushi/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "8+bRDIFVKNA6Zl+v0VwHGeAXqBOXWzrzIHYZnjeIiOk=";
+ url = "mirror://gnome/sources/sushi/${lib.versions.major version}/${pname}-${version}.tar.xz";
+ sha256 = "m3UlaQzkNmJO+gpgV3NJNDLNDva49GSYLouETtqYmO4=";
};
nativeBuildInputs = [
@@ -49,8 +48,7 @@ stdenv.mkDerivation rec {
gtksourceview4
gdk-pixbuf
librsvg
- libmusicbrainz5
- webkitgtk
+ webkitgtk_4_1
epoxy
gst_all_1.gstreamer
gst_all_1.gst-plugins-base
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/totem/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/totem/default.nix
index 7d1d4e90abf..0178c04b53c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/totem/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/totem/default.nix
@@ -30,11 +30,11 @@
stdenv.mkDerivation rec {
pname = "totem";
- version = "3.38.1";
+ version = "3.38.2";
src = fetchurl {
url = "mirror://gnome/sources/totem/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "j/rPfA6inO3qBndzCGHUh2qPesTaTGI0u3X3/TcFoQg=";
+ sha256 = "/OVi4rJsvPwMZ4U43MgfncFc5g1aie5DWJB79jQwTEA=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/yelp-xsl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/yelp-xsl/default.nix
index 7c9b4eb839c..8b091e000ba 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/yelp-xsl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/yelp-xsl/default.nix
@@ -10,11 +10,11 @@
stdenv.mkDerivation rec {
pname = "yelp-xsl";
- version = "40.0";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/yelp-xsl/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-Nh7NTTP8zbO7CKaH9g5cPpCdLp47Ai2ETgSYINDPYrA=";
+ sha256 = "sha256-yM1kwJO72MXV5H/TiGTpCDG1+c90A1MIcCBvqWY2pKU=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/yelp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/yelp/default.nix
index 746866fc9c2..b1e094292bf 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/yelp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/yelp/default.nix
@@ -1,23 +1,47 @@
-{ lib, stdenv, gettext, fetchurl, webkitgtk, pkg-config, gtk3, glib
-, gnome, sqlite
-, itstool, libxml2, libxslt, gst_all_1
-, wrapGAppsHook }:
+{ lib
+, stdenv
+, gettext
+, fetchurl
+, webkitgtk_4_1
+, pkg-config
+, gtk3
+, glib
+, gnome
+, sqlite
+, itstool
+, libxml2
+, libxslt
+, gst_all_1
+, wrapGAppsHook
+}:
stdenv.mkDerivation rec {
pname = "yelp";
- version = "40.3";
+ version = "41.1";
src = fetchurl {
url = "mirror://gnome/sources/yelp/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-oXOEeFHyYYm+eOy7EAFdU52Mzv/Hwj6GNUkrw62l7iM=";
+ sha256 = "sha256-RWpkFWR7zusBWbkLNVP/MocozymmCPzggCQjJQTMuHQ=";
};
- nativeBuildInputs = [ pkg-config gettext itstool wrapGAppsHook ];
+ nativeBuildInputs = [
+ pkg-config
+ gettext
+ itstool
+ wrapGAppsHook
+ ];
+
buildInputs = [
- gtk3 glib webkitgtk sqlite
- libxml2 libxslt gnome.yelp-xsl
+ gtk3
+ glib
+ webkitgtk_4_1
+ sqlite
+ libxml2
+ libxslt
+ gnome.yelp-xsl
gnome.adwaita-icon-theme
- gst_all_1.gst-plugins-base gst_all_1.gst-plugins-good
+ gst_all_1.gst-plugins-base
+ gst_all_1.gst-plugins-good
];
passthru = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/zenity/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/zenity/default.nix
index 51ad81a77a4..ef8259e9e82 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/zenity/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/core/zenity/default.nix
@@ -1,10 +1,12 @@
-{ lib, stdenv
+{ stdenv
+, lib
, fetchurl
+, meson
+, ninja
, pkg-config
, libxml2
, gnome
, gtk3
-, yelp-tools
, gettext
, libX11
, itstool
@@ -13,17 +15,18 @@
stdenv.mkDerivation rec {
pname = "zenity";
- version = "3.32.0";
+ version = "3.41.0";
src = fetchurl {
url = "mirror://gnome/sources/zenity/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "15fdh8xfdhnwcynyh4byx3mrjxbyprqnwxzi7qn3g5wwaqryg1p7";
+ sha256 = "GbZ2w1EOIrrfzDIEBi1DK6U3QC9eCuJhKMDZDJVAN+E=";
};
nativeBuildInputs = [
+ meson
+ ninja
pkg-config
gettext
- yelp-tools
itstool
libxml2
wrapGAppsHook
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/default.nix
index 979ddafc10f..a3f0107c288 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/default.nix
@@ -88,6 +88,9 @@ lib.makeScope pkgs.newScope (self: with self; {
gnome-settings-daemon = callPackage ./core/gnome-settings-daemon { };
+ # Using 3.38 to match Mutter used in Pantheon
+ gnome-settings-daemon338 = callPackage ./core/gnome-settings-daemon/3.38 { };
+
gnome-software = callPackage ./core/gnome-software { };
gnome-system-monitor = callPackage ./core/gnome-system-monitor { };
@@ -106,9 +109,8 @@ lib.makeScope pkgs.newScope (self: with self; {
mutter = callPackage ./core/mutter { };
- # Needed for elementary's gala and greeter until 3.36 support has more bugfixes
- # https://github.com/elementary/gala/issues/763
- mutter334 = callPackage ./core/mutter/3.34 { };
+ # Needed for elementary's gala and greeter until support for higher versions is provided
+ mutter338 = callPackage ./core/mutter/3.38 { };
nautilus = callPackage ./core/nautilus { };
@@ -355,7 +357,9 @@ lib.makeScope pkgs.newScope (self: with self; {
maintainers = lib.teams.gnome.members;
- mutter328 = throw "Removed as Pantheon is upgraded to mutter334.";
+ mutter328 = throw "Removed as Pantheon is upgraded to mutter338.";
+
+ mutter334 = throw "Removed as Pantheon is upgraded to mutter338.";
gnome-getting-started-docs = throw "Removed in favour of gnome-tour.";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/devtools/devhelp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/devtools/devhelp/default.nix
index 46491cffc5f..2ad3c3ff39a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/devtools/devhelp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/devtools/devhelp/default.nix
@@ -7,10 +7,10 @@
, gtk3
, wrapGAppsHook
, glib
-, amtk
, appstream-glib
, gobject-introspection
, python3
+, gi-docgen
, webkitgtk
, gettext
, itstool
@@ -20,11 +20,13 @@
stdenv.mkDerivation rec {
pname = "devhelp";
- version = "40.0";
+ version = "41.2";
+
+ outputs = [ "out" "devdoc" ];
src = fetchurl {
url = "mirror://gnome/sources/devhelp/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "0zr64qp5c6jcc3x5hmfp7jhzpi96qwr6xplyfkmz4kjzvr9xidjd";
+ sha256 = "7KqQsPTaqPsgMPbcaQv1M/+Zp3NDf+Dhis/oLZl/YNI=";
};
nativeBuildInputs = [
@@ -37,22 +39,27 @@ stdenv.mkDerivation rec {
appstream-glib
gobject-introspection
python3
+ gi-docgen
];
buildInputs = [
glib
gtk3
webkitgtk
- amtk
gnome.adwaita-icon-theme
gsettings-desktop-schemas
];
+ mesonFlags = [
+ "-Dgtk_doc=true"
+ ];
+
doCheck = true;
postPatch = ''
- chmod +x meson_post_install.py # patchShebangs requires executable file
- patchShebangs meson_post_install.py
+ # patchShebangs requires executable file
+ chmod +x build-aux/meson/meson_post_install.py
+ patchShebangs build-aux/meson/meson_post_install.py
'';
preFixup = ''
@@ -63,6 +70,11 @@ stdenv.mkDerivation rec {
)
'';
+ postFixup = ''
+ # Cannot be in postInstall, otherwise _multioutDocs hook in preFixup will move right back.
+ moveToOutput share/doc/devhelp-3 "$devdoc"
+ '';
+
passthru = {
updateScript = gnome.updateScript {
packageName = "devhelp";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/arcmenu/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/arcmenu/default.nix
index 2720026357d..68898fa42b0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/arcmenu/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/arcmenu/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "gnome-shell-extension-arcmenu";
- version = "12";
+ version = "14";
src = fetchFromGitLab {
owner = "arcmenu";
repo = "ArcMenu";
rev = "v${version}";
- sha256 = "sha256-R1OUDf/YMyMlxwXM9rNsrasPumHEoYhJK0evnYGeIkA=";
+ sha256 = "sha256-Iobu5eNWSvAiTRe6wyx/0PgUtB9QIC9KdH0M1xhsM1I=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/buildGnomeExtension.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/buildGnomeExtension.nix
index 3be7f5c8789..d661c853bbc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/buildGnomeExtension.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/buildGnomeExtension.nix
@@ -36,9 +36,12 @@ let
echo "${metadata}" | base64 --decode > $out/metadata.json
'';
};
- buildCommand = ''
+ dontBuild = true;
+ installPhase = ''
+ runHook preInstall
mkdir -p $out/share/gnome-shell/extensions/
- cp -r -T $src $out/share/gnome-shell/extensions/${uuid}
+ cp -r -T . $out/share/gnome-shell/extensions/${uuid}
+ runHook postInstall
'';
meta = {
description = builtins.head (lib.splitString "\n" description);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/collisions.json b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/collisions.json
index 0eab20b4bd9..2b2f6eb2776 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/collisions.json
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/collisions.json
@@ -16,6 +16,18 @@
"Fuzzy_Clock@dallagi",
"fuzzy-clock@keepawayfromfire.co.uk"
],
+ "hide-activities-button": [
+ "Hide_Activities@shay.shayel.org",
+ "hide-activities-button@nmingori.gnome-shell-extensions.org"
+ ],
+ "shutdowntimer": [
+ "ShutdownTimer@neumann",
+ "ShutdownTimer@deminder"
+ ],
+ "noannoyance": [
+ "noannoyance@sindex.com",
+ "noannoyance@daase.net"
+ ],
"transparent-window": [
"transparent-window@pbxqdown.github.com",
"transparentwindows.mdirshad07"
@@ -23,6 +35,10 @@
"floating-dock": [
"floatingDock@sun.wxg@gmail.com",
"floating-dock@nandoferreira_prof@hotmail.com"
+ ],
+ "gnome-trash": [
+ "gnome-trash@gnome-trash.b00f.gitlab.com",
+ "gnome-trash@b00f.github.io"
]
},
"40": {
@@ -37,6 +53,43 @@
"lock-keys": [
"lockkeys@vaina.lt",
"lockkeys@fawtytoo"
+ ],
+ "system-monitor": [
+ "system-monitor@paradoxxx.zero.gmail.com",
+ "System_Monitor@bghome.gmail.com"
+ ],
+ "hide-activities-button": [
+ "Hide_Activities@shay.shayel.org",
+ "hide-activities-button@nmingori.gnome-shell-extensions.org"
+ ],
+ "shutdowntimer": [
+ "ShutdownTimer@neumann",
+ "shutdown-timer-gnome-shell-extension",
+ "ShutdownTimer@deminder"
+ ],
+ "noannoyance": [
+ "noannoyance@sindex.com",
+ "noannoyance@daase.net"
+ ],
+ "panel-date-format": [
+ "panel-date-format@keiii.github.com",
+ "panel-date-format@atareao.es"
+ ],
+ "disable-unredirect-fullscreen-windows": [
+ "unredirect@vaina.lt",
+ "unredirect@aunetx"
+ ],
+ "floating-dock": [
+ "floatingDock@sun.wxg@gmail.com",
+ "floating-dock@nandoferreira_prof@hotmail.com"
+ ],
+ "extension-list": [
+ "extension-list@tu.berry",
+ "screen-lock@garciabaameiro.com"
+ ],
+ "wireguard-indicator": [
+ "wireguard-indicator@gregos.me",
+ "wireguard-indicator@atareao.es"
]
}
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/dash-to-dock/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/dash-to-dock/default.nix
deleted file mode 100644
index 6efefd1fb03..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/dash-to-dock/default.nix
+++ /dev/null
@@ -1,41 +0,0 @@
-{ lib, stdenv
-, fetchFromGitHub
-, glib
-, gettext
-, sassc
-}:
-
-stdenv.mkDerivation rec {
- pname = "gnome-shell-extension-dash-to-dock";
- version = "unstable-2021-07-07";
-
- # temporarily switched to https://github.com/micheleg/dash-to-dock/pull/1402 because upstream doesn't work with GNOME 40 yet.
- src = fetchFromGitHub {
- owner = "ewlsh";
- repo = "dash-to-dock";
- rev = "e4beec847181e4163b0a99ceaef4c4582cc8ae4c";
- hash = "sha256-7UVnLXH7COnIbqxbt3CCscuu1YyPH6ax5DlKdaHCT/0=";
- };
-
- nativeBuildInputs = [
- glib
- gettext
- sassc
- ];
-
- makeFlags = [
- "INSTALLBASE=${placeholder "out"}/share/gnome-shell/extensions"
- ];
-
- passthru = {
- extensionUuid = "dash-to-dock@micxgx.gmail.com";
- extensionPortalSlug = "dash-to-dock";
- };
-
- meta = with lib; {
- description = "A dock for the Gnome Shell";
- homepage = "https://micheleg.github.io/dash-to-dock/";
- license = licenses.gpl2;
- maintainers = with maintainers; [ eperuffo jtojnar ];
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/default.nix
index f98e2fb4e67..2937cf6ac79 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/default.nix
@@ -60,17 +60,24 @@ in rec {
gnome38Extensions = mapUuidNames (produceExtensionsList "38");
gnome40Extensions = mapUuidNames (produceExtensionsList "40");
- gnomeExtensions = lib.recurseIntoAttrs (
- (mapReadableNames
- (lib.attrValues (gnome40Extensions // (callPackages ./manuallyPackaged.nix {})))
- )
- // lib.optionalAttrs (config.allowAliases or true) {
+ gnomeExtensions = lib.trivial.pipe gnome40Extensions [
+ # Apply some custom patches for automatically packaged extensions
+ (callPackage ./extensionOverrides.nix {})
+ # Add all manually packaged extensions
+ (extensions: extensions // (callPackages ./manuallyPackaged.nix {}))
+ # Map the extension UUIDs to readable names
+ (lib.attrValues)
+ (mapReadableNames)
+ # Add some aliases
+ (extensions: extensions // lib.optionalAttrs (config.allowAliases or true) {
unite-shell = gnomeExtensions.unite; # added 2021-01-19
arc-menu = gnomeExtensions.arcmenu; # added 2021-02-14
nohotcorner = throw "gnomeExtensions.nohotcorner removed since 2019-10-09: Since 3.34, it is a part of GNOME Shell configurable through GNOME Tweaks.";
mediaplayer = throw "gnomeExtensions.mediaplayer deprecated since 2019-09-23: retired upstream https://github.com/JasonLG1979/gnome-shell-extensions-mediaplayer/blob/master/README.md";
remove-dropdown-arrows = throw "gnomeExtensions.remove-dropdown-arrows removed since 2021-05-25: The extensions has not seen an update sine GNOME 3.34. Furthermore, the functionality it provides is obsolete as of GNOME 40.";
- }
- );
+ })
+ # Make the set "public"
+ lib.recurseIntoAttrs
+ ];
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/emoji-selector/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/emoji-selector/default.nix
index 6f249bcb635..9b24c1241e1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/emoji-selector/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/emoji-selector/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "gnome-shell-extension-emoji-selector";
- version = "19";
+ version = "20";
src = fetchFromGitHub {
owner = "maoschanz";
repo = "emoji-selector-for-gnome";
rev = version;
- sha256 = "0x60pg5nl5d73av494dg29hyfml7fbf2d03wm053vx1q8a3pxbyb";
+ sha256 = "sha256-I5aEHDC5GaGkAoH+1l4eyhBmJ+lmyZZoDw2Fq2SSlmo=";
};
passthru = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/extensionOverrides.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/extensionOverrides.nix
new file mode 100644
index 00000000000..058f0c54ad2
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/extensionOverrides.nix
@@ -0,0 +1,42 @@
+{ lib
+, ddcutil
+, gjs
+, xprop
+}:
+# A set of overrides for automatically packaged extensions that require some small fixes.
+# The input must be an attribute set with the extensions' UUIDs as keys and the extension
+# derivations as values. Output is the same, but with patches applied.
+#
+# Note that all source patches refer to the built extension as published on extensions.gnome.org, and not
+# the upstream repository's sources.
+super: super // {
+
+ "dash-to-dock@micxgx.gmail.com" = super."dash-to-dock@micxgx.gmail.com".overrideAttrs (old: {
+ meta.maintainers = with lib.maintainers; [ eperuffo jtojnar rhoriguchi ];
+ });
+
+ "display-brightness-ddcutil@themightydeity.github.com" = super."display-brightness-ddcutil@themightydeity.github.com".overrideAttrs (old: {
+ # Has a hard-coded path to a run-time dependency
+ # https://github.com/NixOS/nixpkgs/issues/136111
+ postPatch = ''
+ substituteInPlace "extension.js" --replace "/usr/bin/ddcutil" "${ddcutil}/bin/ddcutil"
+ '';
+ });
+
+ "gnome-shell-screenshot@ttll.de" = super."gnome-shell-screenshot@ttll.de".overrideAttrs (old: {
+ # Requires gjs
+ # https://github.com/NixOS/nixpkgs/issues/136112
+ postPatch = ''
+ for file in *.js; do
+ substituteInPlace $file --replace "gjs" "${gjs}/bin/gjs"
+ done
+ '';
+ });
+
+ "unite@hardpixel.eu" = super."unite@hardpixel.eu".overrideAttrs (old: {
+ buildInputs = [ xprop ];
+
+ meta.maintainers = with lib.maintainers; [ rhoriguchi ];
+ });
+
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/extensionRenames.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/extensionRenames.nix
index 674720b4eeb..c7bc0b65ab4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/extensionRenames.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/extensionRenames.nix
@@ -16,6 +16,30 @@
"system-monitor@paradoxxx.zero.gmail.com" = "system-monitor"; # manually packaged
"System_Monitor@bghome.gmail.com" = "system-monitor-2";
+ "Hide_Activities@shay.shayel.org" = "hide-activities-button";
+ "hide-activities-button@nmingori.gnome-shell-extensions.org" = "hide-activities-button-2";
+
+ # At the moment, ShutdownTimer@deminder is a fork of ShutdownTimer@neumann which adds new features
+ # there seem to be upstream plans, so this should be checked periodically:
+ # https://github.com/Deminder/ShutdownTimer https://github.com/neumann-d/ShutdownTimer/pull/46
+ "ShutdownTimer@neumann" = null;
+ "shutdown-timer-gnome-shell-extension" = "shutdowntimer-2";
+ "ShutdownTimer@deminder" = "shutdowntimer";
+
+ "noannoyance@sindex.com" = "noannoyance";
+ "noannoyance@daase.net" = "noannoyance-2";
+
+ "panel-date-format@keiii.github.com" = "panel-date-format";
+ "panel-date-format@atareao.es" = "panel-date-format-2";
+
+ "wireguard-indicator@gregos.me" = "wireguard-indicator-2";
+ "wireguard-indicator@atareao.es" = "wireguard-indicator";
+
+ "extension-list@tu.berry" = "extension-list";
+ "screen-lock@garciabaameiro.com" = "screen-lock"; # Don't know why they got 'extension-list' as slug
+
+ "floatingDock@sun.wxg@gmail.com" = "floating-dock-2";
+ "floating-dock@nandoferreira_prof@hotmail.com" = "floating-dock";
# ############################################################################
# These are conflicts for 3.38 extensions. They will very probably come back
@@ -32,7 +56,6 @@
#"flypie@schneegans.github.com" = null;
-
# ############################################################################
# Overrides for extensions that were manually packaged in the past but are gradually
# being replaced by automatic packaging where possible.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/extensions.json b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/extensions.json
index b046591b877..e05c4ce74bc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/extensions.json
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/extensions.json
@@ -1,69 +1,82 @@
-[ {"uuid": "Move_Clock@rmy.pobox.com", "name": "Frippery Move Clock", "pname": "move-clock", "description": "Move clock to left of status menu button", "link": "https://extensions.gnome.org/extension/2/move-clock/", "shell_version_map": {"38": {"version": "22", "sha256": "085ardkmrxz6rzh48frpb5z8mjlilqk037gjr84dr39gj9dkb81z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgY2xvY2sgdG8gbGVmdCBvZiBzdGF0dXMgbWVudSBidXR0b24iLAogICJuYW1lIjogIkZyaXBwZXJ5IE1vdmUgQ2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHA6Ly9mcmlwcGVyeS5vcmcvZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAiTW92ZV9DbG9ja0BybXkucG9ib3guY29tIiwKICAidmVyc2lvbiI6IDIyCn0="}, "40": {"version": "24", "sha256": "0181cfrs06qplig4yy5aaghxq2w5x88wvc0166c9z31fsgshqh5z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgY2xvY2sgdG8gbGVmdCBvZiBzdGF0dXMgbWVudSBidXR0b24iLAogICJuYW1lIjogIkZyaXBwZXJ5IE1vdmUgQ2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwOi8vZnJpcHBlcnkub3JnL2V4dGVuc2lvbnMiLAogICJ1dWlkIjogIk1vdmVfQ2xvY2tAcm15LnBvYm94LmNvbSIsCiAgInZlcnNpb24iOiAyNAp9"}}}
-, {"uuid": "Bottom_Panel@rmy.pobox.com", "name": "Frippery Bottom Panel", "pname": "bottom-panel", "description": "Add a bottom panel to the shell", "link": "https://extensions.gnome.org/extension/3/bottom-panel/", "shell_version_map": {"38": {"version": "49", "sha256": "09gsbnj564z8f7m593iv8j7s6f32230k0ikfsl1dlv1jsfpfn8zv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGJvdHRvbSBwYW5lbCB0byB0aGUgc2hlbGwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmcmlwcGVyeS1ib3R0b20tcGFuZWwiLAogICJuYW1lIjogIkZyaXBwZXJ5IEJvdHRvbSBQYW5lbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZnJpcHBlcnkuYm90dG9tLXBhbmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwOi8vZnJpcHBlcnkub3JnL2V4dGVuc2lvbnMiLAogICJ1dWlkIjogIkJvdHRvbV9QYW5lbEBybXkucG9ib3guY29tIiwKICAidmVyc2lvbiI6IDQ5Cn0="}, "40": {"version": "52", "sha256": "0qw25lp2hwp5ssi4gq3xpnbwk96fgpwd5j0lgzwk94gg0p9dsi9s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGJvdHRvbSBwYW5lbCB0byB0aGUgc2hlbGwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmcmlwcGVyeS1ib3R0b20tcGFuZWwiLAogICJuYW1lIjogIkZyaXBwZXJ5IEJvdHRvbSBQYW5lbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZnJpcHBlcnkuYm90dG9tLXBhbmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cDovL2ZyaXBwZXJ5Lm9yZy9leHRlbnNpb25zIiwKICAidXVpZCI6ICJCb3R0b21fUGFuZWxAcm15LnBvYm94LmNvbSIsCiAgInZlcnNpb24iOiA1Mgp9"}}}
-, {"uuid": "Panel_Favorites@rmy.pobox.com", "name": "Frippery Panel Favorites", "pname": "panel-favorites", "description": "Add launchers for Favorites to the panel", "link": "https://extensions.gnome.org/extension/4/panel-favorites/", "shell_version_map": {"38": {"version": "39", "sha256": "0jqysp82rhckdlgn1jhf1n6sqqphv97m9dri5pjiqjggvm4ls80j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBsYXVuY2hlcnMgZm9yIEZhdm9yaXRlcyB0byB0aGUgcGFuZWwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmcmlwcGVyeS1wYW5lbC1mYXZvcml0ZXMiLAogICJuYW1lIjogIkZyaXBwZXJ5IFBhbmVsIEZhdm9yaXRlcyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZnJpcHBlcnkucGFuZWwtZmF2b3JpdGVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2ZyaXBwZXJ5Lm9yZy9leHRlbnNpb25zIiwKICAidXVpZCI6ICJQYW5lbF9GYXZvcml0ZXNAcm15LnBvYm94LmNvbSIsCiAgInZlcnNpb24iOiAzOQp9"}, "40": {"version": "41", "sha256": "1iv39jz3yzfiphd2xfnjzf8i9lmwxnhxlv6yhw6cwlyrf7pz68vx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBsYXVuY2hlcnMgZm9yIEZhdm9yaXRlcyB0byB0aGUgcGFuZWwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmcmlwcGVyeS1wYW5lbC1mYXZvcml0ZXMiLAogICJuYW1lIjogIkZyaXBwZXJ5IFBhbmVsIEZhdm9yaXRlcyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZnJpcHBlcnkucGFuZWwtZmF2b3JpdGVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9mcmlwcGVyeS5vcmcvZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAiUGFuZWxfRmF2b3JpdGVzQHJteS5wb2JveC5jb20iLAogICJ2ZXJzaW9uIjogNDEKfQ=="}}}
-, {"uuid": "apps-menu@gnome-shell-extensions.gcampax.github.com", "name": "Applications Menu", "pname": "applications-menu", "description": "Add a category-based menu for applications.\nThis extension is part of Classic Mode and is officially supported by GNOME. Please do not report bugs using the form below, use GNOME's GitLab instance instead.", "link": "https://extensions.gnome.org/extension/6/applications-menu/", "shell_version_map": {"38": {"version": "46", "sha256": "1l5fliypxq3s3b6crv7rc6nl741m7hw48dwl0g7vi3yxyw0vyc0x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGNhdGVnb3J5LWJhc2VkIG1lbnUgZm9yIGFwcGxpY2F0aW9ucy5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogImFwcHMtbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIkFwcGxpY2F0aW9ucyBNZW51IiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJlMjAwMkBiay5ydSIsCiAgICAiZGViYXJzaGlyQGdub21lLm9yZyIKICBdLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJhcHBzLW1lbnVAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNDYKfQ=="}, "40": {"version": "47", "sha256": "0qjhq1x0lga8zsgqa8dw03ijykm3izh0qylgw2a4gah1gp5vmg9g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGNhdGVnb3J5LWJhc2VkIG1lbnUgZm9yIGFwcGxpY2F0aW9ucy5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogImFwcHMtbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIkFwcGxpY2F0aW9ucyBNZW51IiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJlMjAwMkBiay5ydSIsCiAgICAiZGViYXJzaGlyQGdub21lLm9yZyIKICBdLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJhcHBzLW1lbnVAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNDcKfQ=="}}}
-, {"uuid": "drive-menu@gnome-shell-extensions.gcampax.github.com", "name": "Removable Drive Menu", "pname": "removable-drive-menu", "description": "A status menu for accessing and unmounting removable devices.", "link": "https://extensions.gnome.org/extension/7/removable-drive-menu/", "shell_version_map": {"38": {"version": "45", "sha256": "1f5a9md2gxbl65shbdm498y5dwhhqdpj96gvf2m81ad7gsgxzliv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc3RhdHVzIG1lbnUgZm9yIGFjY2Vzc2luZyBhbmQgdW5tb3VudGluZyByZW1vdmFibGUgZGV2aWNlcy4iLAogICJleHRlbnNpb24taWQiOiAiZHJpdmUtbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIlJlbW92YWJsZSBEcml2ZSBNZW51IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRyaXZlLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJkcml2ZS1tZW51QGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQ1Cn0="}, "40": {"version": "46", "sha256": "1k98m4kyppa3ky0yxranvp1d8q9w01m3x35v2l7kzanfdlbckbkm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc3RhdHVzIG1lbnUgZm9yIGFjY2Vzc2luZyBhbmQgdW5tb3VudGluZyByZW1vdmFibGUgZGV2aWNlcy4iLAogICJleHRlbnNpb24taWQiOiAiZHJpdmUtbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIlJlbW92YWJsZSBEcml2ZSBNZW51IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRyaXZlLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJkcml2ZS1tZW51QGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQ2Cn0="}}}
-, {"uuid": "places-menu@gnome-shell-extensions.gcampax.github.com", "name": "Places Status Indicator", "pname": "places-status-indicator", "description": "Add a menu for quickly navigating places in the system.\nThis extension is part of Classic Mode and is officially supported by GNOME. Please do not report bugs using the form below, use GNOME's GitLab instance instead.", "link": "https://extensions.gnome.org/extension/8/places-status-indicator/", "shell_version_map": {"38": {"version": "48", "sha256": "05m8nkg2km72iwnmadx6f4br8qnzqagp78mlhz8r2z6hp19nqsh5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIG1lbnUgZm9yIHF1aWNrbHkgbmF2aWdhdGluZyBwbGFjZXMgaW4gdGhlIHN5c3RlbS5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogInBsYWNlcy1tZW51IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiUGxhY2VzIFN0YXR1cyBJbmRpY2F0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucGxhY2VzLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJwbGFjZXMtbWVudUBnbm9tZS1zaGVsbC1leHRlbnNpb25zLmdjYW1wYXguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0OAp9"}, "40": {"version": "50", "sha256": "1z6hx0djjxqclx0n00rc44cmc9kisjqk76wxj97d7mh1mi91wf2k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIG1lbnUgZm9yIHF1aWNrbHkgbmF2aWdhdGluZyBwbGFjZXMgaW4gdGhlIHN5c3RlbS5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogInBsYWNlcy1tZW51IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiUGxhY2VzIFN0YXR1cyBJbmRpY2F0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucGxhY2VzLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJwbGFjZXMtbWVudUBnbm9tZS1zaGVsbC1leHRlbnNpb25zLmdjYW1wYXguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA1MAp9"}}}
-, {"uuid": "windowsNavigator@gnome-shell-extensions.gcampax.github.com", "name": "windowNavigator", "pname": "windownavigator", "description": "Allow keyboard selection of windows and workspaces in overlay mode. number selects a workspace, and number selects a window.", "link": "https://extensions.gnome.org/extension/10/windownavigator/", "shell_version_map": {"38": {"version": "49", "sha256": "1rzfnssk0iw1ysaya79ksghikkr1rpm41h2w39cz142fby6kip2d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IGtleWJvYXJkIHNlbGVjdGlvbiBvZiB3aW5kb3dzIGFuZCB3b3Jrc3BhY2VzIGluIG92ZXJsYXkgbW9kZS4gPEN0cmw+bnVtYmVyIHNlbGVjdHMgYSB3b3Jrc3BhY2UsIGFuZCA8QWx0Pm51bWJlciBzZWxlY3RzIGEgd2luZG93LiIsCiAgImV4dGVuc2lvbi1pZCI6ICJ3aW5kb3dzTmF2aWdhdG9yIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAid2luZG93TmF2aWdhdG9yIiwKICAib3JpZ2luYWwtYXV0aG9yIjogInphc3BpcmVAcmFtYmxlci5ydSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53aW5kb3dzTmF2aWdhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAid2luZG93c05hdmlnYXRvckBnbm9tZS1zaGVsbC1leHRlbnNpb25zLmdjYW1wYXguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0OQp9"}, "40": {"version": "52", "sha256": "05r8svpakhnaw8yqib9j0bxxw4bbdkw5xzahkl4mq3kiy56d9kxv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IGtleWJvYXJkIHNlbGVjdGlvbiBvZiB3aW5kb3dzIGFuZCB3b3Jrc3BhY2VzIGluIG92ZXJsYXkgbW9kZS4gPEN0cmw+bnVtYmVyIHNlbGVjdHMgYSB3b3Jrc3BhY2UsIGFuZCA8QWx0Pm51bWJlciBzZWxlY3RzIGEgd2luZG93LiIsCiAgImV4dGVuc2lvbi1pZCI6ICJ3aW5kb3dzTmF2aWdhdG9yIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAid2luZG93TmF2aWdhdG9yIiwKICAib3JpZ2luYWwtYXV0aG9yIjogInphc3BpcmVAcmFtYmxlci5ydSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53aW5kb3dzTmF2aWdhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogIndpbmRvd3NOYXZpZ2F0b3JAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNTIKfQ=="}}}
-, {"uuid": "Applications_Menu@rmy.pobox.com", "name": "Frippery Applications Menu", "pname": "applications-menu", "description": "Replace Activities button with an Applications menu", "link": "https://extensions.gnome.org/extension/13/applications-menu/", "shell_version_map": {"38": {"version": "45", "sha256": "0kg9dq1ssa11xizb31kcq3p724qzm1cmf30wriqffj043n5nd125", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2UgQWN0aXZpdGllcyBidXR0b24gd2l0aCBhbiBBcHBsaWNhdGlvbnMgbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImZyaXBwZXJ5LWFwcGxpY2F0aW9ucy1tZW51IiwKICAibmFtZSI6ICJGcmlwcGVyeSBBcHBsaWNhdGlvbnMgTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZnJpcHBlcnkuYXBwbGljYXRpb25zLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHA6Ly9mcmlwcGVyeS5vcmcvZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAiQXBwbGljYXRpb25zX01lbnVAcm15LnBvYm94LmNvbSIsCiAgInZlcnNpb24iOiA0NQp9"}, "40": {"version": "47", "sha256": "1y5qd46zbr7qrhglbb1ylb4hl40dfalkjgpvrq3m1kjry6kdvkv1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2UgQWN0aXZpdGllcyBidXR0b24gd2l0aCBhbiBBcHBsaWNhdGlvbnMgbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImZyaXBwZXJ5LWFwcGxpY2F0aW9ucy1tZW51IiwKICAibmFtZSI6ICJGcmlwcGVyeSBBcHBsaWNhdGlvbnMgTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZnJpcHBlcnkuYXBwbGljYXRpb25zLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwOi8vZnJpcHBlcnkub3JnL2V4dGVuc2lvbnMiLAogICJ1dWlkIjogIkFwcGxpY2F0aW9uc19NZW51QHJteS5wb2JveC5jb20iLAogICJ2ZXJzaW9uIjogNDcKfQ=="}}}
-, {"uuid": "auto-move-windows@gnome-shell-extensions.gcampax.github.com", "name": "Auto Move Windows", "pname": "auto-move-windows", "description": "Move applications to specific workspaces when they create windows.", "link": "https://extensions.gnome.org/extension/16/auto-move-windows/", "shell_version_map": {"38": {"version": "44", "sha256": "05lmpmyzaawxh3kn030a8sanq7p6g87zfh7nzxfvgi8nbpygd59q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgYXBwbGljYXRpb25zIHRvIHNwZWNpZmljIHdvcmtzcGFjZXMgd2hlbiB0aGV5IGNyZWF0ZSB3aW5kb3dzLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJhdXRvLW1vdmUtd2luZG93cyIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIkF1dG8gTW92ZSBXaW5kb3dzIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJhbGVzc2FuZHJvLmNyaXNtYW5pQGdtYWlsLmNvbSIsCiAgICAidGhvbWFzLmJvdWZmb25AZ21haWwuY29tIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hdXRvLW1vdmUtd2luZG93cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogImF1dG8tbW92ZS13aW5kb3dzQGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQ0Cn0="}, "40": {"version": "45", "sha256": "0xljd6469q5p3pxnjhbpd5blrkidhgwffx4dhdicw8253ad3c8qd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgYXBwbGljYXRpb25zIHRvIHNwZWNpZmljIHdvcmtzcGFjZXMgd2hlbiB0aGV5IGNyZWF0ZSB3aW5kb3dzLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJhdXRvLW1vdmUtd2luZG93cyIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIkF1dG8gTW92ZSBXaW5kb3dzIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJhbGVzc2FuZHJvLmNyaXNtYW5pQGdtYWlsLmNvbSIsCiAgICAidGhvbWFzLmJvdWZmb25AZ21haWwuY29tIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hdXRvLW1vdmUtd2luZG93cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogImF1dG8tbW92ZS13aW5kb3dzQGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQ1Cn0="}}}
-, {"uuid": "native-window-placement@gnome-shell-extensions.gcampax.github.com", "name": "Native Window Placement", "pname": "native-window-placement", "description": "Arrange windows in overview in a more compact way.", "link": "https://extensions.gnome.org/extension/18/native-window-placement/", "shell_version_map": {"38": {"version": "45", "sha256": "15vhdcfrfbsinp0m1jfygjl4djccafhvgwc1rsi321jvykqhajmm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFycmFuZ2Ugd2luZG93cyBpbiBvdmVydmlldyBpbiBhIG1vcmUgY29tcGFjdCB3YXkuIiwKICAiZXh0ZW5zaW9uLWlkIjogIm5hdGl2ZS13aW5kb3ctcGxhY2VtZW50IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiTmF0aXZlIFdpbmRvdyBQbGFjZW1lbnQiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgIndlcG1hc2NoZGFAZ214LmRlIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5uYXRpdmUtd2luZG93LXBsYWNlbWVudCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogIm5hdGl2ZS13aW5kb3ctcGxhY2VtZW50QGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQ1Cn0="}, "40": {"version": "46", "sha256": "0fll3fkszf5lmiy0cmg9w0ma5n7pzq5s3bkx0kyg37pib28zhlaz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFycmFuZ2Ugd2luZG93cyBpbiBvdmVydmlldyBpbiBhIG1vcmUgY29tcGFjdCB3YXkuIiwKICAiZXh0ZW5zaW9uLWlkIjogIm5hdGl2ZS13aW5kb3ctcGxhY2VtZW50IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiTmF0aXZlIFdpbmRvdyBQbGFjZW1lbnQiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgIndlcG1hc2NoZGFAZ214LmRlIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5uYXRpdmUtd2luZG93LXBsYWNlbWVudCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogIm5hdGl2ZS13aW5kb3ctcGxhY2VtZW50QGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQ2Cn0="}}}
-, {"uuid": "user-theme@gnome-shell-extensions.gcampax.github.com", "name": "User Themes", "pname": "user-themes", "description": "Load shell themes from user directory.", "link": "https://extensions.gnome.org/extension/19/user-themes/", "shell_version_map": {"38": {"version": "42", "sha256": "0jykwcd8pmvr03dm2vala6nzzhi9i83c11svgx8wymfvxr5qrya8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvYWQgc2hlbGwgdGhlbWVzIGZyb20gdXNlciBkaXJlY3RvcnkuIiwKICAiZXh0ZW5zaW9uLWlkIjogInVzZXItdGhlbWUiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJVc2VyIFRoZW1lcyIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAiam9obi5zdG93ZXJzQGdtYWlsLmNvbSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudXNlci10aGVtZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogInVzZXItdGhlbWVAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNDIKfQ=="}, "40": {"version": "44", "sha256": "14alrbhwqhy48vlqi7l12cila2ai0x57ksw6lxmgfir1mxvj8l03", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvYWQgc2hlbGwgdGhlbWVzIGZyb20gdXNlciBkaXJlY3RvcnkuIiwKICAiZXh0ZW5zaW9uLWlkIjogInVzZXItdGhlbWUiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJVc2VyIFRoZW1lcyIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAiam9obi5zdG93ZXJzQGdtYWlsLmNvbSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudXNlci10aGVtZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogInVzZXItdGhlbWVAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNDQKfQ=="}}}
-, {"uuid": "workspace-indicator@gnome-shell-extensions.gcampax.github.com", "name": "Workspace Indicator", "pname": "workspace-indicator", "description": "Put an indicator on the panel signaling in which workspace you are, and give you the possibility of switching to another one.", "link": "https://extensions.gnome.org/extension/21/workspace-indicator/", "shell_version_map": {"38": {"version": "45", "sha256": "16y7zhlsj0qjwwj78fvcr81m7081i2y30gwjm35qahr3j0gfrk16", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlB1dCBhbiBpbmRpY2F0b3Igb24gdGhlIHBhbmVsIHNpZ25hbGluZyBpbiB3aGljaCB3b3Jrc3BhY2UgeW91IGFyZSwgYW5kIGdpdmUgeW91IHRoZSBwb3NzaWJpbGl0eSBvZiBzd2l0Y2hpbmcgdG8gYW5vdGhlciBvbmUuIiwKICAiZXh0ZW5zaW9uLWlkIjogIndvcmtzcGFjZS1pbmRpY2F0b3IiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJXb3Jrc3BhY2UgSW5kaWNhdG9yIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJlcmljay5yZWRAZ21haWwuY29tIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3Jrc3BhY2UtaW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAid29ya3NwYWNlLWluZGljYXRvckBnbm9tZS1zaGVsbC1leHRlbnNpb25zLmdjYW1wYXguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0NQp9"}, "40": {"version": "47", "sha256": "03cf5jadkvbz9r33mhv5mkv8qxsv7zf7jib1w7xcks1r2pak4ax9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlB1dCBhbiBpbmRpY2F0b3Igb24gdGhlIHBhbmVsIHNpZ25hbGluZyBpbiB3aGljaCB3b3Jrc3BhY2UgeW91IGFyZSwgYW5kIGdpdmUgeW91IHRoZSBwb3NzaWJpbGl0eSBvZiBzd2l0Y2hpbmcgdG8gYW5vdGhlciBvbmUuIiwKICAiZXh0ZW5zaW9uLWlkIjogIndvcmtzcGFjZS1pbmRpY2F0b3IiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJXb3Jrc3BhY2UgSW5kaWNhdG9yIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJlcmljay5yZWRAZ21haWwuY29tIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3Jrc3BhY2UtaW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAid29ya3NwYWNlLWluZGljYXRvckBnbm9tZS1zaGVsbC1leHRlbnNpb25zLmdjYW1wYXguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Nwp9"}}}
-, {"uuid": "gTile@vibou", "name": "gTile", "pname": "gtile", "description": "Tile windows on a grid.", "link": "https://extensions.gnome.org/extension/28/gtile/", "shell_version_map": {"38": {"version": "44", "sha256": "0z9kn4n7snq94yrydikbnj9rwia1ad8q04mysjj0rry1v504mf8c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGdyaWQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ3RpbGVAdmlib3UiLAogICJuYW1lIjogImdUaWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmd0aWxlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dUaWxlIiwKICAidXVpZCI6ICJnVGlsZUB2aWJvdSIsCiAgInZlcnNpb24iOiA0NAp9"}, "40": {"version": "44", "sha256": "0z9kn4n7snq94yrydikbnj9rwia1ad8q04mysjj0rry1v504mf8c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGdyaWQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ3RpbGVAdmlib3UiLAogICJuYW1lIjogImdUaWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmd0aWxlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dUaWxlIiwKICAidXVpZCI6ICJnVGlsZUB2aWJvdSIsCiAgInZlcnNpb24iOiA0NAp9"}}}
-, {"uuid": "lockkeys@vaina.lt", "name": "Lock Keys", "pname": "lock-keys", "description": "Numlock & Capslock status on the panel", "link": "https://extensions.gnome.org/extension/36/lock-keys/", "shell_version_map": {"38": {"version": "42", "sha256": "0rbb7abbpbzbgpaidf8vgsx0camfczgcd5d10m7xp702gasbq5lk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk51bWxvY2sgJiBDYXBzbG9jayBzdGF0dXMgb24gdGhlIHBhbmVsIiwKICAibmFtZSI6ICJMb2NrIEtleXMiLAogICJvcmlnaW5hbC1hdXRob3JzIjogIkthemltaWVyYXMgVmFpbmEsIFBpZXJyZSBPc3NtYW4sIGVyZ3VpbGxlLCBqb25uaXVzLCBQaGlsaXBwIFdvbGZlciwgTWFyaXVzeiBMaXNvd3NraSwgQ3Jpc3RpYW4gQmVyb2l6YSwgd2FybXN1bjAyMjAsIFJhc211cyBLYWosIFBhYmxvIE1hcnRpbi1Hb21leiBCb3Vza2EsIFJhcGhhXHUwMGVibCBSb2NoZXQsIEx1aXogTmlja2VsLCBKZXNzZSwgRHVcdTAxNjFhbiBLYXppayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9rYXp5c21hc3Rlci9nbm9tZS1zaGVsbC1leHRlbnNpb24tbG9ja2tleXMiLAogICJ1dWlkIjogImxvY2trZXlzQHZhaW5hLmx0IiwKICAidmVyc2lvbiI6IDQyCn0="}, "40": {"version": "42", "sha256": "0rbb7abbpbzbgpaidf8vgsx0camfczgcd5d10m7xp702gasbq5lk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk51bWxvY2sgJiBDYXBzbG9jayBzdGF0dXMgb24gdGhlIHBhbmVsIiwKICAibmFtZSI6ICJMb2NrIEtleXMiLAogICJvcmlnaW5hbC1hdXRob3JzIjogIkthemltaWVyYXMgVmFpbmEsIFBpZXJyZSBPc3NtYW4sIGVyZ3VpbGxlLCBqb25uaXVzLCBQaGlsaXBwIFdvbGZlciwgTWFyaXVzeiBMaXNvd3NraSwgQ3Jpc3RpYW4gQmVyb2l6YSwgd2FybXN1bjAyMjAsIFJhc211cyBLYWosIFBhYmxvIE1hcnRpbi1Hb21leiBCb3Vza2EsIFJhcGhhXHUwMGVibCBSb2NoZXQsIEx1aXogTmlja2VsLCBKZXNzZSwgRHVcdTAxNjFhbiBLYXppayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9rYXp5c21hc3Rlci9nbm9tZS1zaGVsbC1leHRlbnNpb24tbG9ja2tleXMiLAogICJ1dWlkIjogImxvY2trZXlzQHZhaW5hLmx0IiwKICAidmVyc2lvbiI6IDQyCn0="}}}
+[ {"uuid": "Move_Clock@rmy.pobox.com", "name": "Frippery Move Clock", "pname": "move-clock", "description": "Move clock to left of status menu button", "link": "https://extensions.gnome.org/extension/2/move-clock/", "shell_version_map": {"38": {"version": "22", "sha256": "085ardkmrxz6rzh48frpb5z8mjlilqk037gjr84dr39gj9dkb81z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgY2xvY2sgdG8gbGVmdCBvZiBzdGF0dXMgbWVudSBidXR0b24iLAogICJuYW1lIjogIkZyaXBwZXJ5IE1vdmUgQ2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHA6Ly9mcmlwcGVyeS5vcmcvZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAiTW92ZV9DbG9ja0BybXkucG9ib3guY29tIiwKICAidmVyc2lvbiI6IDIyCn0="}, "40": {"version": "25", "sha256": "06bjswh450dwgns6kycgrzq3c4cf4ja57mraw8f7rlgzqrwlj3ci", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgY2xvY2sgdG8gbGVmdCBvZiBzdGF0dXMgbWVudSBidXR0b24iLAogICJuYW1lIjogIkZyaXBwZXJ5IE1vdmUgQ2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cDovL2ZyaXBwZXJ5Lm9yZy9leHRlbnNpb25zIiwKICAidXVpZCI6ICJNb3ZlX0Nsb2NrQHJteS5wb2JveC5jb20iLAogICJ2ZXJzaW9uIjogMjUKfQ=="}}}
+, {"uuid": "Bottom_Panel@rmy.pobox.com", "name": "Frippery Bottom Panel", "pname": "bottom-panel", "description": "Add a bottom panel to the shell", "link": "https://extensions.gnome.org/extension/3/bottom-panel/", "shell_version_map": {"38": {"version": "49", "sha256": "09gsbnj564z8f7m593iv8j7s6f32230k0ikfsl1dlv1jsfpfn8zv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGJvdHRvbSBwYW5lbCB0byB0aGUgc2hlbGwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmcmlwcGVyeS1ib3R0b20tcGFuZWwiLAogICJuYW1lIjogIkZyaXBwZXJ5IEJvdHRvbSBQYW5lbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZnJpcHBlcnkuYm90dG9tLXBhbmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwOi8vZnJpcHBlcnkub3JnL2V4dGVuc2lvbnMiLAogICJ1dWlkIjogIkJvdHRvbV9QYW5lbEBybXkucG9ib3guY29tIiwKICAidmVyc2lvbiI6IDQ5Cn0="}, "40": {"version": "55", "sha256": "1hchr32jmwbys96l99ghkwq5d93qawlqkyq2hclz4gan5jzpv4s0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGJvdHRvbSBwYW5lbCB0byB0aGUgc2hlbGwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmcmlwcGVyeS1ib3R0b20tcGFuZWwiLAogICJuYW1lIjogIkZyaXBwZXJ5IEJvdHRvbSBQYW5lbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZnJpcHBlcnkuYm90dG9tLXBhbmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHA6Ly9mcmlwcGVyeS5vcmcvZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAiQm90dG9tX1BhbmVsQHJteS5wb2JveC5jb20iLAogICJ2ZXJzaW9uIjogNTUKfQ=="}}}
+, {"uuid": "Panel_Favorites@rmy.pobox.com", "name": "Frippery Panel Favorites", "pname": "panel-favorites", "description": "Add launchers for Favorites to the panel", "link": "https://extensions.gnome.org/extension/4/panel-favorites/", "shell_version_map": {"38": {"version": "39", "sha256": "0jqysp82rhckdlgn1jhf1n6sqqphv97m9dri5pjiqjggvm4ls80j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBsYXVuY2hlcnMgZm9yIEZhdm9yaXRlcyB0byB0aGUgcGFuZWwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmcmlwcGVyeS1wYW5lbC1mYXZvcml0ZXMiLAogICJuYW1lIjogIkZyaXBwZXJ5IFBhbmVsIEZhdm9yaXRlcyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZnJpcHBlcnkucGFuZWwtZmF2b3JpdGVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2ZyaXBwZXJ5Lm9yZy9leHRlbnNpb25zIiwKICAidXVpZCI6ICJQYW5lbF9GYXZvcml0ZXNAcm15LnBvYm94LmNvbSIsCiAgInZlcnNpb24iOiAzOQp9"}, "40": {"version": "43", "sha256": "1nic3ds6y8gbrihfbqm9q62258rvrrv0prnkrbg5kx37bbnl2z5v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBsYXVuY2hlcnMgZm9yIEZhdm9yaXRlcyB0byB0aGUgcGFuZWwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmcmlwcGVyeS1wYW5lbC1mYXZvcml0ZXMiLAogICJuYW1lIjogIkZyaXBwZXJ5IFBhbmVsIEZhdm9yaXRlcyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZnJpcHBlcnkucGFuZWwtZmF2b3JpdGVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZnJpcHBlcnkub3JnL2V4dGVuc2lvbnMiLAogICJ1dWlkIjogIlBhbmVsX0Zhdm9yaXRlc0BybXkucG9ib3guY29tIiwKICAidmVyc2lvbiI6IDQzCn0="}}}
+, {"uuid": "apps-menu@gnome-shell-extensions.gcampax.github.com", "name": "Applications Menu", "pname": "applications-menu", "description": "Add a category-based menu for applications.\nThis extension is part of Classic Mode and is officially supported by GNOME. Please do not report bugs using the form below, use GNOME's GitLab instance instead.", "link": "https://extensions.gnome.org/extension/6/applications-menu/", "shell_version_map": {"38": {"version": "46", "sha256": "1l5fliypxq3s3b6crv7rc6nl741m7hw48dwl0g7vi3yxyw0vyc0x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGNhdGVnb3J5LWJhc2VkIG1lbnUgZm9yIGFwcGxpY2F0aW9ucy5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogImFwcHMtbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIkFwcGxpY2F0aW9ucyBNZW51IiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJlMjAwMkBiay5ydSIsCiAgICAiZGViYXJzaGlyQGdub21lLm9yZyIKICBdLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJhcHBzLW1lbnVAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNDYKfQ=="}, "40": {"version": "48", "sha256": "0whi4ir3hvz6gby57331hv0a80ssz1b746pj79v43cm15djlsbhv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGNhdGVnb3J5LWJhc2VkIG1lbnUgZm9yIGFwcGxpY2F0aW9ucy5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogImFwcHMtbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIkFwcGxpY2F0aW9ucyBNZW51IiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJlMjAwMkBiay5ydSIsCiAgICAiZGViYXJzaGlyQGdub21lLm9yZyIKICBdLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAiYXBwcy1tZW51QGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQ4Cn0="}}}
+, {"uuid": "drive-menu@gnome-shell-extensions.gcampax.github.com", "name": "Removable Drive Menu", "pname": "removable-drive-menu", "description": "A status menu for accessing and unmounting removable devices.", "link": "https://extensions.gnome.org/extension/7/removable-drive-menu/", "shell_version_map": {"38": {"version": "45", "sha256": "1f5a9md2gxbl65shbdm498y5dwhhqdpj96gvf2m81ad7gsgxzliv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc3RhdHVzIG1lbnUgZm9yIGFjY2Vzc2luZyBhbmQgdW5tb3VudGluZyByZW1vdmFibGUgZGV2aWNlcy4iLAogICJleHRlbnNpb24taWQiOiAiZHJpdmUtbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIlJlbW92YWJsZSBEcml2ZSBNZW51IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRyaXZlLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJkcml2ZS1tZW51QGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQ1Cn0="}, "40": {"version": "47", "sha256": "0sa694y58jx4yhjb16i25d6h1z5d8vzamwpqlrfs35g0bq93ri5s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc3RhdHVzIG1lbnUgZm9yIGFjY2Vzc2luZyBhbmQgdW5tb3VudGluZyByZW1vdmFibGUgZGV2aWNlcy4iLAogICJleHRlbnNpb24taWQiOiAiZHJpdmUtbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIlJlbW92YWJsZSBEcml2ZSBNZW51IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRyaXZlLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAiZHJpdmUtbWVudUBnbm9tZS1zaGVsbC1leHRlbnNpb25zLmdjYW1wYXguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Nwp9"}}}
+, {"uuid": "places-menu@gnome-shell-extensions.gcampax.github.com", "name": "Places Status Indicator", "pname": "places-status-indicator", "description": "Add a menu for quickly navigating places in the system.\nThis extension is part of Classic Mode and is officially supported by GNOME. Please do not report bugs using the form below, use GNOME's GitLab instance instead.", "link": "https://extensions.gnome.org/extension/8/places-status-indicator/", "shell_version_map": {"38": {"version": "48", "sha256": "05m8nkg2km72iwnmadx6f4br8qnzqagp78mlhz8r2z6hp19nqsh5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIG1lbnUgZm9yIHF1aWNrbHkgbmF2aWdhdGluZyBwbGFjZXMgaW4gdGhlIHN5c3RlbS5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogInBsYWNlcy1tZW51IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiUGxhY2VzIFN0YXR1cyBJbmRpY2F0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucGxhY2VzLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJwbGFjZXMtbWVudUBnbm9tZS1zaGVsbC1leHRlbnNpb25zLmdjYW1wYXguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0OAp9"}, "40": {"version": "51", "sha256": "159356lnwhxb2d52na679m0wdrsxw89x7m4zkq0kglf01cf8my65", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIG1lbnUgZm9yIHF1aWNrbHkgbmF2aWdhdGluZyBwbGFjZXMgaW4gdGhlIHN5c3RlbS5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogInBsYWNlcy1tZW51IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiUGxhY2VzIFN0YXR1cyBJbmRpY2F0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucGxhY2VzLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAicGxhY2VzLW1lbnVAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNTEKfQ=="}}}
+, {"uuid": "windowsNavigator@gnome-shell-extensions.gcampax.github.com", "name": "windowNavigator", "pname": "windownavigator", "description": "Allow keyboard selection of windows and workspaces in overlay mode. number selects a workspace, and number selects a window.", "link": "https://extensions.gnome.org/extension/10/windownavigator/", "shell_version_map": {"38": {"version": "49", "sha256": "1rzfnssk0iw1ysaya79ksghikkr1rpm41h2w39cz142fby6kip2d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IGtleWJvYXJkIHNlbGVjdGlvbiBvZiB3aW5kb3dzIGFuZCB3b3Jrc3BhY2VzIGluIG92ZXJsYXkgbW9kZS4gPEN0cmw+bnVtYmVyIHNlbGVjdHMgYSB3b3Jrc3BhY2UsIGFuZCA8QWx0Pm51bWJlciBzZWxlY3RzIGEgd2luZG93LiIsCiAgImV4dGVuc2lvbi1pZCI6ICJ3aW5kb3dzTmF2aWdhdG9yIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAid2luZG93TmF2aWdhdG9yIiwKICAib3JpZ2luYWwtYXV0aG9yIjogInphc3BpcmVAcmFtYmxlci5ydSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53aW5kb3dzTmF2aWdhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAid2luZG93c05hdmlnYXRvckBnbm9tZS1zaGVsbC1leHRlbnNpb25zLmdjYW1wYXguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0OQp9"}, "40": {"version": "54", "sha256": "0y41w3lxbnhb709n7ynq21nhhc9p4j6fvyjzfw0aw8ysjc8f54iv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IGtleWJvYXJkIHNlbGVjdGlvbiBvZiB3aW5kb3dzIGFuZCB3b3Jrc3BhY2VzIGluIG92ZXJsYXkgbW9kZS4gPEN0cmw+bnVtYmVyIHNlbGVjdHMgYSB3b3Jrc3BhY2UsIGFuZCA8QWx0Pm51bWJlciBzZWxlY3RzIGEgd2luZG93LiIsCiAgImV4dGVuc2lvbi1pZCI6ICJ3aW5kb3dzTmF2aWdhdG9yIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAid2luZG93TmF2aWdhdG9yIiwKICAib3JpZ2luYWwtYXV0aG9yIjogInphc3BpcmVAcmFtYmxlci5ydSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53aW5kb3dzTmF2aWdhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogIndpbmRvd3NOYXZpZ2F0b3JAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNTQKfQ=="}}}
+, {"uuid": "Applications_Menu@rmy.pobox.com", "name": "Frippery Applications Menu", "pname": "applications-menu", "description": "Replace Activities button with an Applications menu", "link": "https://extensions.gnome.org/extension/13/applications-menu/", "shell_version_map": {"38": {"version": "45", "sha256": "0kg9dq1ssa11xizb31kcq3p724qzm1cmf30wriqffj043n5nd125", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2UgQWN0aXZpdGllcyBidXR0b24gd2l0aCBhbiBBcHBsaWNhdGlvbnMgbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImZyaXBwZXJ5LWFwcGxpY2F0aW9ucy1tZW51IiwKICAibmFtZSI6ICJGcmlwcGVyeSBBcHBsaWNhdGlvbnMgTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZnJpcHBlcnkuYXBwbGljYXRpb25zLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHA6Ly9mcmlwcGVyeS5vcmcvZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAiQXBwbGljYXRpb25zX01lbnVAcm15LnBvYm94LmNvbSIsCiAgInZlcnNpb24iOiA0NQp9"}, "40": {"version": "48", "sha256": "1dpgifdf5jk5mz67q8plxrd3952p0a3jzfg3yxxdhb00mdp26azr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2UgQWN0aXZpdGllcyBidXR0b24gd2l0aCBhbiBBcHBsaWNhdGlvbnMgbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImZyaXBwZXJ5LWFwcGxpY2F0aW9ucy1tZW51IiwKICAibmFtZSI6ICJGcmlwcGVyeSBBcHBsaWNhdGlvbnMgTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZnJpcHBlcnkuYXBwbGljYXRpb25zLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cDovL2ZyaXBwZXJ5Lm9yZy9leHRlbnNpb25zIiwKICAidXVpZCI6ICJBcHBsaWNhdGlvbnNfTWVudUBybXkucG9ib3guY29tIiwKICAidmVyc2lvbiI6IDQ4Cn0="}}}
+, {"uuid": "auto-move-windows@gnome-shell-extensions.gcampax.github.com", "name": "Auto Move Windows", "pname": "auto-move-windows", "description": "Move applications to specific workspaces when they create windows.", "link": "https://extensions.gnome.org/extension/16/auto-move-windows/", "shell_version_map": {"38": {"version": "44", "sha256": "05lmpmyzaawxh3kn030a8sanq7p6g87zfh7nzxfvgi8nbpygd59q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgYXBwbGljYXRpb25zIHRvIHNwZWNpZmljIHdvcmtzcGFjZXMgd2hlbiB0aGV5IGNyZWF0ZSB3aW5kb3dzLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJhdXRvLW1vdmUtd2luZG93cyIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIkF1dG8gTW92ZSBXaW5kb3dzIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJhbGVzc2FuZHJvLmNyaXNtYW5pQGdtYWlsLmNvbSIsCiAgICAidGhvbWFzLmJvdWZmb25AZ21haWwuY29tIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hdXRvLW1vdmUtd2luZG93cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogImF1dG8tbW92ZS13aW5kb3dzQGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQ0Cn0="}, "40": {"version": "46", "sha256": "1hr0z7r0mg1xg9b41d71lqbyra813yrl8i80kin0dmwrcx8xqs8m", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgYXBwbGljYXRpb25zIHRvIHNwZWNpZmljIHdvcmtzcGFjZXMgd2hlbiB0aGV5IGNyZWF0ZSB3aW5kb3dzLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJhdXRvLW1vdmUtd2luZG93cyIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIkF1dG8gTW92ZSBXaW5kb3dzIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJhbGVzc2FuZHJvLmNyaXNtYW5pQGdtYWlsLmNvbSIsCiAgICAidGhvbWFzLmJvdWZmb25AZ21haWwuY29tIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hdXRvLW1vdmUtd2luZG93cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJhdXRvLW1vdmUtd2luZG93c0Bnbm9tZS1zaGVsbC1leHRlbnNpb25zLmdjYW1wYXguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Ngp9"}}}
+, {"uuid": "native-window-placement@gnome-shell-extensions.gcampax.github.com", "name": "Native Window Placement", "pname": "native-window-placement", "description": "Arrange windows in overview in a more compact way.", "link": "https://extensions.gnome.org/extension/18/native-window-placement/", "shell_version_map": {"38": {"version": "45", "sha256": "15vhdcfrfbsinp0m1jfygjl4djccafhvgwc1rsi321jvykqhajmm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFycmFuZ2Ugd2luZG93cyBpbiBvdmVydmlldyBpbiBhIG1vcmUgY29tcGFjdCB3YXkuIiwKICAiZXh0ZW5zaW9uLWlkIjogIm5hdGl2ZS13aW5kb3ctcGxhY2VtZW50IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiTmF0aXZlIFdpbmRvdyBQbGFjZW1lbnQiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgIndlcG1hc2NoZGFAZ214LmRlIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5uYXRpdmUtd2luZG93LXBsYWNlbWVudCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogIm5hdGl2ZS13aW5kb3ctcGxhY2VtZW50QGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQ1Cn0="}, "40": {"version": "47", "sha256": "1v424l1svrmw5yikxgbi1j14xx307dg9zy21f34rv2il8bf72vk4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFycmFuZ2Ugd2luZG93cyBpbiBvdmVydmlldyBpbiBhIG1vcmUgY29tcGFjdCB3YXkuIiwKICAiZXh0ZW5zaW9uLWlkIjogIm5hdGl2ZS13aW5kb3ctcGxhY2VtZW50IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiTmF0aXZlIFdpbmRvdyBQbGFjZW1lbnQiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgIndlcG1hc2NoZGFAZ214LmRlIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5uYXRpdmUtd2luZG93LXBsYWNlbWVudCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJuYXRpdmUtd2luZG93LXBsYWNlbWVudEBnbm9tZS1zaGVsbC1leHRlbnNpb25zLmdjYW1wYXguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Nwp9"}}}
+, {"uuid": "user-theme@gnome-shell-extensions.gcampax.github.com", "name": "User Themes", "pname": "user-themes", "description": "Load shell themes from user directory.", "link": "https://extensions.gnome.org/extension/19/user-themes/", "shell_version_map": {"38": {"version": "42", "sha256": "0jykwcd8pmvr03dm2vala6nzzhi9i83c11svgx8wymfvxr5qrya8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvYWQgc2hlbGwgdGhlbWVzIGZyb20gdXNlciBkaXJlY3RvcnkuIiwKICAiZXh0ZW5zaW9uLWlkIjogInVzZXItdGhlbWUiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJVc2VyIFRoZW1lcyIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAiam9obi5zdG93ZXJzQGdtYWlsLmNvbSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudXNlci10aGVtZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogInVzZXItdGhlbWVAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNDIKfQ=="}, "40": {"version": "46", "sha256": "07gbzvbnxah5bws5vc6sivw43j0rgm23n6vsp4a64z7s8s2ay7sm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvYWQgc2hlbGwgdGhlbWVzIGZyb20gdXNlciBkaXJlY3RvcnkuIiwKICAiZXh0ZW5zaW9uLWlkIjogInVzZXItdGhlbWUiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJVc2VyIFRoZW1lcyIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAiam9obi5zdG93ZXJzQGdtYWlsLmNvbSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudXNlci10aGVtZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJ1c2VyLXRoZW1lQGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQ2Cn0="}}}
+, {"uuid": "workspace-indicator@gnome-shell-extensions.gcampax.github.com", "name": "Workspace Indicator", "pname": "workspace-indicator", "description": "Put an indicator on the panel signaling in which workspace you are, and give you the possibility of switching to another one.", "link": "https://extensions.gnome.org/extension/21/workspace-indicator/", "shell_version_map": {"38": {"version": "45", "sha256": "16y7zhlsj0qjwwj78fvcr81m7081i2y30gwjm35qahr3j0gfrk16", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlB1dCBhbiBpbmRpY2F0b3Igb24gdGhlIHBhbmVsIHNpZ25hbGluZyBpbiB3aGljaCB3b3Jrc3BhY2UgeW91IGFyZSwgYW5kIGdpdmUgeW91IHRoZSBwb3NzaWJpbGl0eSBvZiBzd2l0Y2hpbmcgdG8gYW5vdGhlciBvbmUuIiwKICAiZXh0ZW5zaW9uLWlkIjogIndvcmtzcGFjZS1pbmRpY2F0b3IiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJXb3Jrc3BhY2UgSW5kaWNhdG9yIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJlcmljay5yZWRAZ21haWwuY29tIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3Jrc3BhY2UtaW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAid29ya3NwYWNlLWluZGljYXRvckBnbm9tZS1zaGVsbC1leHRlbnNpb25zLmdjYW1wYXguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0NQp9"}, "40": {"version": "49", "sha256": "0483k1scq0lwfpg3i3yww7kfzv0qwlp6aqyikkacivh0nkq6v2iy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlB1dCBhbiBpbmRpY2F0b3Igb24gdGhlIHBhbmVsIHNpZ25hbGluZyBpbiB3aGljaCB3b3Jrc3BhY2UgeW91IGFyZSwgYW5kIGdpdmUgeW91IHRoZSBwb3NzaWJpbGl0eSBvZiBzd2l0Y2hpbmcgdG8gYW5vdGhlciBvbmUuIiwKICAiZXh0ZW5zaW9uLWlkIjogIndvcmtzcGFjZS1pbmRpY2F0b3IiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJXb3Jrc3BhY2UgSW5kaWNhdG9yIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJlcmljay5yZWRAZ21haWwuY29tIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3Jrc3BhY2UtaW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogIndvcmtzcGFjZS1pbmRpY2F0b3JAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNDkKfQ=="}}}
+, {"uuid": "gTile@vibou", "name": "gTile", "pname": "gtile", "description": "Tile windows on a grid.", "link": "https://extensions.gnome.org/extension/28/gtile/", "shell_version_map": {"38": {"version": "47", "sha256": "0l6b2sl2sfcq2292nbxsq6qq3qwl8ck5ixkzzv2wpazlikkjdwms", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGdyaWQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ3RpbGVAdmlib3UiLAogICJuYW1lIjogImdUaWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmd0aWxlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9nVGlsZSIsCiAgInV1aWQiOiAiZ1RpbGVAdmlib3UiLAogICJ2ZXJzaW9uIjogNDcKfQ=="}, "40": {"version": "47", "sha256": "0l6b2sl2sfcq2292nbxsq6qq3qwl8ck5ixkzzv2wpazlikkjdwms", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGdyaWQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ3RpbGVAdmlib3UiLAogICJuYW1lIjogImdUaWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmd0aWxlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9nVGlsZSIsCiAgInV1aWQiOiAiZ1RpbGVAdmlib3UiLAogICJ2ZXJzaW9uIjogNDcKfQ=="}}}
+, {"uuid": "lockkeys@vaina.lt", "name": "Lock Keys", "pname": "lock-keys", "description": "Numlock & Capslock status on the panel", "link": "https://extensions.gnome.org/extension/36/lock-keys/", "shell_version_map": {"38": {"version": "45", "sha256": "0cqkhi8k8lywjsy9g6nbl5kbv8bvz4nql16k1ciajar4443gbz7i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk51bWxvY2sgJiBDYXBzbG9jayBzdGF0dXMgb24gdGhlIHBhbmVsIiwKICAibmFtZSI6ICJMb2NrIEtleXMiLAogICJvcmlnaW5hbC1hdXRob3JzIjogIkthemltaWVyYXMgVmFpbmEsIFBpZXJyZSBPc3NtYW4sIGVyZ3VpbGxlLCBqb25uaXVzLCBQaGlsaXBwIFdvbGZlciwgTWFyaXVzeiBMaXNvd3NraSwgQ3Jpc3RpYW4gQmVyb2l6YSwgd2FybXN1bjAyMjAsIFJhc211cyBLYWosIFBhYmxvIE1hcnRpbi1Hb21leiBCb3Vza2EsIFJhcGhhXHUwMGVibCBSb2NoZXQsIEx1aXogTmlja2VsLCBKZXNzZSwgRHVcdTAxNjFhbiBLYXppayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20va2F6eXNtYXN0ZXIvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWxvY2trZXlzIiwKICAidXVpZCI6ICJsb2Nra2V5c0B2YWluYS5sdCIsCiAgInZlcnNpb24iOiA0NQp9"}, "40": {"version": "45", "sha256": "0cqkhi8k8lywjsy9g6nbl5kbv8bvz4nql16k1ciajar4443gbz7i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk51bWxvY2sgJiBDYXBzbG9jayBzdGF0dXMgb24gdGhlIHBhbmVsIiwKICAibmFtZSI6ICJMb2NrIEtleXMiLAogICJvcmlnaW5hbC1hdXRob3JzIjogIkthemltaWVyYXMgVmFpbmEsIFBpZXJyZSBPc3NtYW4sIGVyZ3VpbGxlLCBqb25uaXVzLCBQaGlsaXBwIFdvbGZlciwgTWFyaXVzeiBMaXNvd3NraSwgQ3Jpc3RpYW4gQmVyb2l6YSwgd2FybXN1bjAyMjAsIFJhc211cyBLYWosIFBhYmxvIE1hcnRpbi1Hb21leiBCb3Vza2EsIFJhcGhhXHUwMGVibCBSb2NoZXQsIEx1aXogTmlja2VsLCBKZXNzZSwgRHVcdTAxNjFhbiBLYXppayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20va2F6eXNtYXN0ZXIvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWxvY2trZXlzIiwKICAidXVpZCI6ICJsb2Nra2V5c0B2YWluYS5sdCIsCiAgInZlcnNpb24iOiA0NQp9"}}}
, {"uuid": "putWindow@clemens.lab21.org", "name": "Put Windows", "pname": "put-windows", "description": "Fully customizable replacement for the old compiz put plugin. \n * Move windows to left/right side, bottom/top, center or corner \n * Move window to other screen \n * Select focused window using the keyboard \n * Application based window placement \n\n Please check github if your gnome-shell version is not supported", "link": "https://extensions.gnome.org/extension/39/put-windows/", "shell_version_map": {"38": {"version": "32", "sha256": "1n4hk2sqdbcn25lxk02vljc9xxbidragimvc4b6dj2m72625lx67", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZ1bGx5IGN1c3RvbWl6YWJsZSByZXBsYWNlbWVudCBmb3IgdGhlIG9sZCBjb21waXogcHV0IHBsdWdpbi4gXG4gKiBNb3ZlIHdpbmRvd3MgdG8gbGVmdC9yaWdodCBzaWRlLCBib3R0b20vdG9wLCBjZW50ZXIgb3IgY29ybmVyIFxuICogTW92ZSB3aW5kb3cgdG8gb3RoZXIgc2NyZWVuIFxuICogU2VsZWN0IGZvY3VzZWQgd2luZG93IHVzaW5nIHRoZSBrZXlib2FyZCBcbiAqIEFwcGxpY2F0aW9uIGJhc2VkIHdpbmRvdyBwbGFjZW1lbnQgXG5cbiBQbGVhc2UgY2hlY2sgZ2l0aHViIGlmIHlvdXIgZ25vbWUtc2hlbGwgdmVyc2lvbiBpcyBub3Qgc3VwcG9ydGVkIiwKICAiZ2V0dGV4dC1kb21haW4iOiAicHV0V2luZG93IiwKICAibmFtZSI6ICJQdXQgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5vcmctbGFiMjEtcHV0d2luZG93IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbmVnZXN0aS9nbm9tZS1zaGVsbC1leHRlbnNpb25zLW5lZ2VzdGkiLAogICJ1dWlkIjogInB1dFdpbmRvd0BjbGVtZW5zLmxhYjIxLm9yZyIsCiAgInZlcnNpb24iOiAzMgp9"}}}
+, {"uuid": "permanent-notifications@bonzini.gnu.org", "name": "Permanent notifications", "pname": "permanent-notifications", "description": "Keep notifications on the message tray until clicked", "link": "https://extensions.gnome.org/extension/41/permanent-notifications/", "shell_version_map": {"40": {"version": "5", "sha256": "1dwqag1sp9gs030qjngzw3ifs1gwamq7c18zh61jzc18xv3f8j0z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIktlZXAgbm90aWZpY2F0aW9ucyBvbiB0aGUgbWVzc2FnZSB0cmF5IHVudGlsIGNsaWNrZWQiLAogICJsb2NhbGVkaXIiOiAiL3Vzci9zaGFyZS9sb2NhbGUiLAogICJuYW1lIjogIlBlcm1hbmVudCBub3RpZmljYXRpb25zIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJib256aW5pQGdudS5vcmciCiAgXSwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjIiLAogICAgIjMuMi4xIiwKICAgICIzLjQiLAogICAgIjMuMy45MCIsCiAgICAiMy4zLjkxIiwKICAgICIzLjMuOTIiLAogICAgIjMuNiIsCiAgICAiMy40LjEiLAogICAgIjMuMy45MyIsCiAgICAiMy4zLjk0IiwKICAgICIzLjgiLAogICAgIjMuMTAiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9ib256aW5pL2dub21lLXNoZWxsLXBlcm1hbmVudC1ub3RpZmljYXRpb25zIiwKICAidXVpZCI6ICJwZXJtYW5lbnQtbm90aWZpY2F0aW9uc0Bib256aW5pLmdudS5vcmciLAogICJ2ZXJzaW9uIjogNQp9"}}}
, {"uuid": "gnome-shell-trash-extension", "name": "Trash", "pname": "trash", "description": "A Trash button for the GNOME shell panel", "link": "https://extensions.gnome.org/extension/48/trash/", "shell_version_map": {"38": {"version": "18", "sha256": "1cysvxrgi7lnig7d8blhqqbxz0phd4fvn7nww6zpihjnw32rvm4z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgVHJhc2ggYnV0dG9uIGZvciB0aGUgR05PTUUgc2hlbGwgcGFuZWwiLAogICJsb2NhbGVkaXIiOiAibG9jYWxlIiwKICAibmFtZSI6ICJUcmFzaCIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiAiQXhlbCB2b24gQmVydG9sZGkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9iZXJ0b2xkaWEvZ25vbWUtc2hlbGwtdHJhc2gtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJnbm9tZS1zaGVsbC10cmFzaC1leHRlbnNpb24iLAogICJ2ZXJzaW9uIjogMTgKfQ=="}}}
+, {"uuid": "RecentItems@bananenfisch.net", "name": "Recent Items", "pname": "recent-items", "description": "Adds an icon for recently used items at the top panel; clear list by click; left click: open file, right click: open containing folder; Settings for: number of items, number of items under \"more\" and blacklisting options are defined at the top of extension.js (see https://github.com/bananenfisch/RecentItems for more infos).", "link": "https://extensions.gnome.org/extension/72/recent-items/", "shell_version_map": {"40": {"version": "20", "sha256": "0ys8lanv0xxbwfmqkfvdqvhbnv4vfjpzvpqjj11c1lxbv4wp2mbj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYW4gaWNvbiBmb3IgcmVjZW50bHkgdXNlZCBpdGVtcyBhdCB0aGUgdG9wIHBhbmVsOyBjbGVhciBsaXN0IGJ5IGNsaWNrOyBsZWZ0IGNsaWNrOiBvcGVuIGZpbGUsIHJpZ2h0IGNsaWNrOiBvcGVuIGNvbnRhaW5pbmcgZm9sZGVyOyBTZXR0aW5ncyBmb3I6IG51bWJlciBvZiBpdGVtcywgbnVtYmVyIG9mIGl0ZW1zIHVuZGVyIFwibW9yZVwiIGFuZCBibGFja2xpc3Rpbmcgb3B0aW9ucyBhcmUgZGVmaW5lZCBhdCB0aGUgdG9wIG9mIGV4dGVuc2lvbi5qcyAoc2VlIGh0dHBzOi8vZ2l0aHViLmNvbS9iYW5hbmVuZmlzY2gvUmVjZW50SXRlbXMgZm9yIG1vcmUgaW5mb3MpLiIsCiAgIm5hbWUiOiAiUmVjZW50IEl0ZW1zIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHA6Ly93d3cuYmFuYW5lbmZpc2NoLm5ldC9nbm9tZSIsCiAgInV1aWQiOiAiUmVjZW50SXRlbXNAYmFuYW5lbmZpc2NoLm5ldCIsCiAgInZlcnNpb24iOiAyMAp9"}}}
, {"uuid": "lockscreen@sri.ramkrishna.me", "name": "Lock Screen", "pname": "lock-screen", "description": "Add lock icon to the panel and lock the screen instead of using ctrl-alt-l", "link": "https://extensions.gnome.org/extension/83/lock-screen/", "shell_version_map": {"40": {"version": "13", "sha256": "1zlc6m3mai884mkgyg4mwvb7dc3wwpgysbkpfqw35cxpxf7chfk2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBsb2NrIGljb24gdG8gdGhlIHBhbmVsIGFuZCBsb2NrIHRoZSBzY3JlZW4gaW5zdGVhZCBvZiB1c2luZyBjdHJsLWFsdC1sIiwKICAibmFtZSI6ICJMb2NrIFNjcmVlbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xMCIsCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NyYW1rcmlzaG5hL2dub21lMy1leHRlbnNpb25zIiwKICAidXVpZCI6ICJsb2Nrc2NyZWVuQHNyaS5yYW1rcmlzaG5hLm1lIiwKICAidmVyc2lvbiI6IDEzCn0="}}}
-, {"uuid": "CoverflowAltTab@palatis.blogspot.com", "name": "Coverflow Alt-Tab", "pname": "coverflow-alt-tab", "description": "Replacement of Alt-Tab, iterates through windows in a cover-flow manner.", "link": "https://extensions.gnome.org/extension/97/coverflow-alt-tab/", "shell_version_map": {"38": {"version": "44", "sha256": "18qpriqi0h6la45bl584hglnni0ka2d5q4qv61wdcan28a7kywq4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNpbm5hbW9uLXZlcnNpb24iOiBbCiAgICAiMS4yIiwKICAgICIxLjQiLAogICAgIjEuNiIsCiAgICAiMS44IiwKICAgICIxLjkiLAogICAgIjIuMCIsCiAgICAiMi4xIiwKICAgICIyLjIiLAogICAgIjIuMyIsCiAgICAiMi40IiwKICAgICIyLjgiLAogICAgIjMuMCIKICBdLAogICJkZXNjcmlwdGlvbiI6ICJSZXBsYWNlbWVudCBvZiBBbHQtVGFiLCBpdGVyYXRlcyB0aHJvdWdoIHdpbmRvd3MgaW4gYSBjb3Zlci1mbG93IG1hbm5lci4iLAogICJuYW1lIjogIkNvdmVyZmxvdyBBbHQtVGFiIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZG1vNjAvQ292ZXJmbG93QWx0VGFiIiwKICAidXVpZCI6ICJDb3ZlcmZsb3dBbHRUYWJAcGFsYXRpcy5ibG9nc3BvdC5jb20iLAogICJ2ZXJzaW9uIjogNDQKfQ=="}, "40": {"version": "45", "sha256": "1csgcr90cdi7r3dlzmp1h9qg225ss4cws4pgzc4iqifjh6c8z2rx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNpbm5hbW9uLXZlcnNpb24iOiBbCiAgICAiMS4yIiwKICAgICIxLjQiLAogICAgIjEuNiIsCiAgICAiMS44IiwKICAgICIxLjkiLAogICAgIjIuMCIsCiAgICAiMi4xIiwKICAgICIyLjIiLAogICAgIjIuMyIsCiAgICAiMi40IiwKICAgICIyLjgiLAogICAgIjMuMCIKICBdLAogICJkZXNjcmlwdGlvbiI6ICJSZXBsYWNlbWVudCBvZiBBbHQtVGFiLCBpdGVyYXRlcyB0aHJvdWdoIHdpbmRvd3MgaW4gYSBjb3Zlci1mbG93IG1hbm5lci4iLAogICJuYW1lIjogIkNvdmVyZmxvdyBBbHQtVGFiIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2RtbzYwL0NvdmVyZmxvd0FsdFRhYiIsCiAgInV1aWQiOiAiQ292ZXJmbG93QWx0VGFiQHBhbGF0aXMuYmxvZ3Nwb3QuY29tIiwKICAidmVyc2lvbiI6IDQ1Cn0="}}}
+, {"uuid": "CoverflowAltTab@palatis.blogspot.com", "name": "Coverflow Alt-Tab", "pname": "coverflow-alt-tab", "description": "Replacement of Alt-Tab, iterates through windows in a cover-flow manner.", "link": "https://extensions.gnome.org/extension/97/coverflow-alt-tab/", "shell_version_map": {"38": {"version": "44", "sha256": "18qpriqi0h6la45bl584hglnni0ka2d5q4qv61wdcan28a7kywq4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNpbm5hbW9uLXZlcnNpb24iOiBbCiAgICAiMS4yIiwKICAgICIxLjQiLAogICAgIjEuNiIsCiAgICAiMS44IiwKICAgICIxLjkiLAogICAgIjIuMCIsCiAgICAiMi4xIiwKICAgICIyLjIiLAogICAgIjIuMyIsCiAgICAiMi40IiwKICAgICIyLjgiLAogICAgIjMuMCIKICBdLAogICJkZXNjcmlwdGlvbiI6ICJSZXBsYWNlbWVudCBvZiBBbHQtVGFiLCBpdGVyYXRlcyB0aHJvdWdoIHdpbmRvd3MgaW4gYSBjb3Zlci1mbG93IG1hbm5lci4iLAogICJuYW1lIjogIkNvdmVyZmxvdyBBbHQtVGFiIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZG1vNjAvQ292ZXJmbG93QWx0VGFiIiwKICAidXVpZCI6ICJDb3ZlcmZsb3dBbHRUYWJAcGFsYXRpcy5ibG9nc3BvdC5jb20iLAogICJ2ZXJzaW9uIjogNDQKfQ=="}, "40": {"version": "47", "sha256": "1kwswfipc7v4r980bzywsy3inmkdfkn10ck1wbz1m4w1rbda06g1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2VtZW50IG9mIEFsdC1UYWIsIGl0ZXJhdGVzIHRocm91Z2ggd2luZG93cyBpbiBhIGNvdmVyLWZsb3cgbWFubmVyLiIsCiAgIm5hbWUiOiAiQ292ZXJmbG93IEFsdC1UYWIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZG1vNjAvQ292ZXJmbG93QWx0VGFiIiwKICAidXVpZCI6ICJDb3ZlcmZsb3dBbHRUYWJAcGFsYXRpcy5ibG9nc3BvdC5jb20iLAogICJ2ZXJzaW9uIjogNDcKfQ=="}}}
+, {"uuid": "netspeed@hedayaty.gmail.com", "name": "NetSpeed", "pname": "netspeed", "description": "Displays Internet Speed", "link": "https://extensions.gnome.org/extension/104/netspeed/", "shell_version_map": {"40": {"version": "34", "sha256": "04137rwnnf2mbp228wl9qjcix6i7757cqsdamabdrjwclg147vql", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIEludGVybmV0IFNwZWVkIiwKICAibmFtZSI6ICJOZXRTcGVlZCIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJoZWRheWF0eUBnbWFpbC5jb20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hlZGF5YXR5L05ldFNwZWVkIiwKICAidXVpZCI6ICJuZXRzcGVlZEBoZWRheWF0eS5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzQKfQ=="}}}
, {"uuid": "favorites@cvine.org", "name": "Favorites Menu", "pname": "favorites-menu", "description": "Provide panel menu for favorites", "link": "https://extensions.gnome.org/extension/115/favorites-menu/", "shell_version_map": {"40": {"version": "15", "sha256": "02s0p33dcr6wrxivjd47rwb42whqc6qswr3qdvd7p6jym4zddbi2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlByb3ZpZGUgcGFuZWwgbWVudSBmb3IgZmF2b3JpdGVzIiwKICAibmFtZSI6ICJGYXZvcml0ZXMgTWVudSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHA6Ly93d3cuY3ZpbmUucGx1cy5jb20vZmF2b3JpdGVzL2luZGV4Lmh0bWwiLAogICJ1dWlkIjogImZhdm9yaXRlc0BjdmluZS5vcmciLAogICJ2ZXJzaW9uIjogMTUKfQ=="}}}
+, {"uuid": "system-monitor@paradoxxx.zero.gmail.com", "name": "system-monitor", "pname": "system-monitor", "description": "Display system information in GNOME Shell status bar, such as memory, CPU, disk and battery usages, network rates…", "link": "https://extensions.gnome.org/extension/120/system-monitor/", "shell_version_map": {"40": {"version": "40", "sha256": "05xmpbwwjzax5y7p7a492k6mmv9rjiyinnrfkzrzm16yncn3mbvj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgc3lzdGVtIGluZm9ybWF0aW9uIGluIEdOT01FIFNoZWxsIHN0YXR1cyBiYXIsIHN1Y2ggYXMgbWVtb3J5LCBDUFUsIGRpc2sgYW5kIGJhdHRlcnkgdXNhZ2VzLCBuZXR3b3JrIHJhdGVzXHUyMDI2IiwKICAiZ2V0dGV4dC1kb21haW4iOiAic3lzdGVtLW1vbml0b3IiLAogICJuYW1lIjogInN5c3RlbS1tb25pdG9yIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnN5c3RlbS1tb25pdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3BhcmFkb3h4eHplcm8vZ25vbWUtc2hlbGwtc3lzdGVtLW1vbml0b3ItYXBwbGV0IiwKICAidXVpZCI6ICJzeXN0ZW0tbW9uaXRvckBwYXJhZG94eHguemVyby5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNDAKfQ=="}}}
, {"uuid": "Fuzzy_Clock@dallagi", "name": "Fuzzy Clock", "pname": "fuzzy-clock", "description": "A human-readable clock for the gnome-shell panel", "link": "https://extensions.gnome.org/extension/202/fuzzy-clock/", "shell_version_map": {"38": {"version": "9", "sha256": "1cga3192balji63zmbbyixb4r53j48zhil4hnv57l3b25k4rmk0i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgaHVtYW4tcmVhZGFibGUgY2xvY2sgZm9yIHRoZSBnbm9tZS1zaGVsbCBwYW5lbCIsCiAgIm5hbWUiOiAiRnV6enkgQ2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kYWxsYWdpL2dub21lLXNoZWxsLWZ1enp5LWNsb2NrIiwKICAidXVpZCI6ICJGdXp6eV9DbG9ja0BkYWxsYWdpIiwKICAidmVyc2lvbiI6IDkKfQ=="}}}
-, {"uuid": "PersianCalendar@oxygenws.com", "name": "Persian Calendar", "pname": "persian-calendar", "description": "Shows Persian date in the top panel.\n\nIt shows:\n1- Persian calendar\n2- It can show, today is a holiday or not!\n3- Show notification onDayChanged!\n4- Date converter between Persian, Gregorian and Lunar Hijri\n5- Events:\n5-1- Official solar events.\n5-2- Official lunar events.\n5-3- Official international events.\n5-4- Traditional Persian events.\n5-5- Persian personages.\n\nPlease \"rate\" here and \"star\" the project in GitHub.\nPlease open an issue in GitHub if you've found something or have an idea!", "link": "https://extensions.gnome.org/extension/240/persian-calendar/", "shell_version_map": {"38": {"version": "73", "sha256": "14p27d2h58jam7h97y06safsc2c8rwmjy74nak5w9cv7s0wx4kar", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIFBlcnNpYW4gZGF0ZSBpbiB0aGUgdG9wIHBhbmVsLlxuXG5JdCBzaG93czpcbjEtIFBlcnNpYW4gY2FsZW5kYXJcbjItIEl0IGNhbiBzaG93LCB0b2RheSBpcyBhIGhvbGlkYXkgb3Igbm90IVxuMy0gU2hvdyBub3RpZmljYXRpb24gb25EYXlDaGFuZ2VkIVxuNC0gRGF0ZSBjb252ZXJ0ZXIgYmV0d2VlbiBQZXJzaWFuLCBHcmVnb3JpYW4gYW5kIEx1bmFyIEhpanJpXG41LSBFdmVudHM6XG41LTEtIE9mZmljaWFsIHNvbGFyIGV2ZW50cy5cbjUtMi0gT2ZmaWNpYWwgbHVuYXIgZXZlbnRzLlxuNS0zLSBPZmZpY2lhbCBpbnRlcm5hdGlvbmFsIGV2ZW50cy5cbjUtNC0gVHJhZGl0aW9uYWwgUGVyc2lhbiBldmVudHMuXG41LTUtIFBlcnNpYW4gcGVyc29uYWdlcy5cblxuUGxlYXNlIFwicmF0ZVwiIGhlcmUgYW5kIFwic3RhclwiIHRoZSBwcm9qZWN0IGluIEdpdEh1Yi5cblBsZWFzZSBvcGVuIGFuIGlzc3VlIGluIEdpdEh1YiBpZiB5b3UndmUgZm91bmQgc29tZXRoaW5nIG9yIGhhdmUgYW4gaWRlYSEiLAogICJuYW1lIjogIlBlcnNpYW4gQ2FsZW5kYXIiLAogICJvcmlnaW5hbC1hdXRob3JzIjogIk9taWQgTW90dGFnaGkgUmFkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vb21pZC9QZXJzaWFuLUNhbGVuZGFyLWZvci1Hbm9tZS1TaGVsbCIsCiAgInV1aWQiOiAiUGVyc2lhbkNhbGVuZGFyQG94eWdlbndzLmNvbSIsCiAgInZlcnNpb24iOiA3Mwp9"}, "40": {"version": "76", "sha256": "1m8lb3lb4fvb6hb3awbl5yz2lf6858fq122d8h89glhzw7d4jlpc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIFBlcnNpYW4gZGF0ZSBpbiB0aGUgdG9wIHBhbmVsLlxuXG5JdCBzaG93czpcbjEtIFBlcnNpYW4gY2FsZW5kYXJcbjItIEl0IGNhbiBzaG93LCB0b2RheSBpcyBhIGhvbGlkYXkgb3Igbm90IVxuMy0gU2hvdyBub3RpZmljYXRpb24gb25EYXlDaGFuZ2VkIVxuNC0gRGF0ZSBjb252ZXJ0ZXIgYmV0d2VlbiBQZXJzaWFuLCBHcmVnb3JpYW4gYW5kIEx1bmFyIEhpanJpXG41LSBFdmVudHM6XG41LTEtIE9mZmljaWFsIHNvbGFyIGV2ZW50cy5cbjUtMi0gT2ZmaWNpYWwgbHVuYXIgZXZlbnRzLlxuNS0zLSBPZmZpY2lhbCBpbnRlcm5hdGlvbmFsIGV2ZW50cy5cbjUtNC0gVHJhZGl0aW9uYWwgUGVyc2lhbiBldmVudHMuXG41LTUtIFBlcnNpYW4gcGVyc29uYWdlcy5cblxuUGxlYXNlIFwicmF0ZVwiIGhlcmUgYW5kIFwic3RhclwiIHRoZSBwcm9qZWN0IGluIEdpdEh1Yi5cblBsZWFzZSBvcGVuIGFuIGlzc3VlIGluIEdpdEh1YiBpZiB5b3UndmUgZm91bmQgc29tZXRoaW5nIG9yIGhhdmUgYW4gaWRlYSEiLAogICJuYW1lIjogIlBlcnNpYW4gQ2FsZW5kYXIiLAogICJvcmlnaW5hbC1hdXRob3JzIjogIk9taWQgTW90dGFnaGkgUmFkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vb21pZC9QZXJzaWFuLUNhbGVuZGFyLWZvci1Hbm9tZS1TaGVsbCIsCiAgInV1aWQiOiAiUGVyc2lhbkNhbGVuZGFyQG94eWdlbndzLmNvbSIsCiAgInZlcnNpb24iOiA3Ngp9"}}}
-, {"uuid": "kimpanel@kde.org", "name": "Input Method Panel", "pname": "kimpanel", "description": "Input Method Panel using KDE's kimpanel protocol for Gnome-Shell", "link": "https://extensions.gnome.org/extension/261/kimpanel/", "shell_version_map": {"38": {"version": "59", "sha256": "0rh2in9cm9khvmhhzyyw98z6bwvv95v59zcapkjpd7kbs38hqdw2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklucHV0IE1ldGhvZCBQYW5lbCB1c2luZyBLREUncyBraW1wYW5lbCBwcm90b2NvbCBmb3IgR25vbWUtU2hlbGwiLAogICJleHRlbnNpb24taWQiOiAia2ltcGFuZWwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zLWtpbXBhbmVsIiwKICAibG9jYWxlIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJJbnB1dCBNZXRob2QgUGFuZWwiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMua2ltcGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS93ZW5neHQvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWtpbXBhbmVsIiwKICAidXVpZCI6ICJraW1wYW5lbEBrZGUub3JnIiwKICAidmVyc2lvbiI6IDU5Cn0="}, "40": {"version": "62", "sha256": "18nl9m74849vgdljjcickwsa4anrwl1b1p58l7pqw9pv4m08myn7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklucHV0IE1ldGhvZCBQYW5lbCB1c2luZyBLREUncyBraW1wYW5lbCBwcm90b2NvbCBmb3IgR25vbWUtU2hlbGwiLAogICJleHRlbnNpb24taWQiOiAia2ltcGFuZWwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zLWtpbXBhbmVsIiwKICAibG9jYWxlIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJJbnB1dCBNZXRob2QgUGFuZWwiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMua2ltcGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwLjAiLAogICAgIjQwLmJldGEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS93ZW5neHQvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWtpbXBhbmVsIiwKICAidXVpZCI6ICJraW1wYW5lbEBrZGUub3JnIiwKICAidmVyc2lvbiI6IDYyCn0="}}}
-, {"uuid": "impatience@gfxmonk.net", "name": "Impatience", "pname": "impatience", "description": "Speed up the gnome-shell animation speed", "link": "https://extensions.gnome.org/extension/277/impatience/", "shell_version_map": {"40": {"version": "16", "sha256": "1h4x1a6i7b33xa7hlr5pd8llbnq0pin83c957y6r75sri0xwxr6c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNwZWVkIHVwIHRoZSBnbm9tZS1zaGVsbCBhbmltYXRpb24gc3BlZWQiLAogICJuYW1lIjogIkltcGF0aWVuY2UiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwOi8vZ2Z4bW9uay5uZXQvZGlzdC8waW5zdGFsbC9nbm9tZS1zaGVsbC1pbXBhdGllbmNlLnhtbCIsCiAgInV1aWQiOiAiaW1wYXRpZW5jZUBnZnhtb25rLm5ldCIsCiAgInZlcnNpb24iOiAxNgp9"}}}
+, {"uuid": "PersianCalendar@oxygenws.com", "name": "Persian Calendar", "pname": "persian-calendar", "description": "Shows Persian date in the top panel.\n\nIt shows:\n1- Persian calendar\n2- It can show, today is a holiday or not!\n3- Show notification onDayChanged!\n4- Date converter between Persian, Gregorian and Lunar Hijri\n5- Events:\n5-1- Official solar events.\n5-2- Official lunar events.\n5-3- Official international events.\n5-4- Traditional Persian events.\n5-5- Persian personages.\n\nPlease \"rate\" here and \"star\" the project in GitHub.\nPlease open an issue in GitHub if you've found something or have an idea!", "link": "https://extensions.gnome.org/extension/240/persian-calendar/", "shell_version_map": {"38": {"version": "73", "sha256": "14p27d2h58jam7h97y06safsc2c8rwmjy74nak5w9cv7s0wx4kar", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIFBlcnNpYW4gZGF0ZSBpbiB0aGUgdG9wIHBhbmVsLlxuXG5JdCBzaG93czpcbjEtIFBlcnNpYW4gY2FsZW5kYXJcbjItIEl0IGNhbiBzaG93LCB0b2RheSBpcyBhIGhvbGlkYXkgb3Igbm90IVxuMy0gU2hvdyBub3RpZmljYXRpb24gb25EYXlDaGFuZ2VkIVxuNC0gRGF0ZSBjb252ZXJ0ZXIgYmV0d2VlbiBQZXJzaWFuLCBHcmVnb3JpYW4gYW5kIEx1bmFyIEhpanJpXG41LSBFdmVudHM6XG41LTEtIE9mZmljaWFsIHNvbGFyIGV2ZW50cy5cbjUtMi0gT2ZmaWNpYWwgbHVuYXIgZXZlbnRzLlxuNS0zLSBPZmZpY2lhbCBpbnRlcm5hdGlvbmFsIGV2ZW50cy5cbjUtNC0gVHJhZGl0aW9uYWwgUGVyc2lhbiBldmVudHMuXG41LTUtIFBlcnNpYW4gcGVyc29uYWdlcy5cblxuUGxlYXNlIFwicmF0ZVwiIGhlcmUgYW5kIFwic3RhclwiIHRoZSBwcm9qZWN0IGluIEdpdEh1Yi5cblBsZWFzZSBvcGVuIGFuIGlzc3VlIGluIEdpdEh1YiBpZiB5b3UndmUgZm91bmQgc29tZXRoaW5nIG9yIGhhdmUgYW4gaWRlYSEiLAogICJuYW1lIjogIlBlcnNpYW4gQ2FsZW5kYXIiLAogICJvcmlnaW5hbC1hdXRob3JzIjogIk9taWQgTW90dGFnaGkgUmFkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vb21pZC9QZXJzaWFuLUNhbGVuZGFyLWZvci1Hbm9tZS1TaGVsbCIsCiAgInV1aWQiOiAiUGVyc2lhbkNhbGVuZGFyQG94eWdlbndzLmNvbSIsCiAgInZlcnNpb24iOiA3Mwp9"}, "40": {"version": "89", "sha256": "1szb28inm12glf7q79rxhm0qbpysdjq9vn0z329z9c7qv3qhndn6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIFBlcnNpYW4gZGF0ZSBpbiB0aGUgdG9wIHBhbmVsLlxuXG5JdCBzaG93czpcbjEtIFBlcnNpYW4gY2FsZW5kYXJcbjItIEl0IGNhbiBzaG93LCB0b2RheSBpcyBhIGhvbGlkYXkgb3Igbm90IVxuMy0gU2hvdyBub3RpZmljYXRpb24gb25EYXlDaGFuZ2VkIVxuNC0gRGF0ZSBjb252ZXJ0ZXIgYmV0d2VlbiBQZXJzaWFuLCBHcmVnb3JpYW4gYW5kIEx1bmFyIEhpanJpXG41LSBFdmVudHM6XG41LTEtIE9mZmljaWFsIHNvbGFyIGV2ZW50cy5cbjUtMi0gT2ZmaWNpYWwgbHVuYXIgZXZlbnRzLlxuNS0zLSBPZmZpY2lhbCBpbnRlcm5hdGlvbmFsIGV2ZW50cy5cbjUtNC0gVHJhZGl0aW9uYWwgUGVyc2lhbiBldmVudHMuXG41LTUtIFBlcnNpYW4gcGVyc29uYWdlcy5cblxuUGxlYXNlIFwicmF0ZVwiIGhlcmUgYW5kIFwic3RhclwiIHRoZSBwcm9qZWN0IGluIEdpdEh1Yi5cblBsZWFzZSBvcGVuIGFuIGlzc3VlIGluIEdpdEh1YiBpZiB5b3UndmUgZm91bmQgc29tZXRoaW5nIG9yIGhhdmUgYW4gaWRlYSEiLAogICJuYW1lIjogIlBlcnNpYW4gQ2FsZW5kYXIiLAogICJvcmlnaW5hbC1hdXRob3JzIjogIk9taWQgTW90dGFnaGkgUmFkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9vbWlkL1BlcnNpYW4tQ2FsZW5kYXItZm9yLUdub21lLVNoZWxsIiwKICAidXVpZCI6ICJQZXJzaWFuQ2FsZW5kYXJAb3h5Z2Vud3MuY29tIiwKICAidmVyc2lvbiI6IDg5Cn0="}}}
+, {"uuid": "kimpanel@kde.org", "name": "Input Method Panel", "pname": "kimpanel", "description": "Input Method Panel using KDE's kimpanel protocol for Gnome-Shell", "link": "https://extensions.gnome.org/extension/261/kimpanel/", "shell_version_map": {"38": {"version": "59", "sha256": "0rh2in9cm9khvmhhzyyw98z6bwvv95v59zcapkjpd7kbs38hqdw2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklucHV0IE1ldGhvZCBQYW5lbCB1c2luZyBLREUncyBraW1wYW5lbCBwcm90b2NvbCBmb3IgR25vbWUtU2hlbGwiLAogICJleHRlbnNpb24taWQiOiAia2ltcGFuZWwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zLWtpbXBhbmVsIiwKICAibG9jYWxlIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJJbnB1dCBNZXRob2QgUGFuZWwiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMua2ltcGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS93ZW5neHQvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWtpbXBhbmVsIiwKICAidXVpZCI6ICJraW1wYW5lbEBrZGUub3JnIiwKICAidmVyc2lvbiI6IDU5Cn0="}, "40": {"version": "67", "sha256": "0w6dirqr2apg95c8912a7qx5msfsqjrma2f6aq1k3a3dqzkavzm0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklucHV0IE1ldGhvZCBQYW5lbCB1c2luZyBLREUncyBraW1wYW5lbCBwcm90b2NvbCBmb3IgR25vbWUtU2hlbGwiLAogICJleHRlbnNpb24taWQiOiAia2ltcGFuZWwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zLWtpbXBhbmVsIiwKICAibG9jYWxlIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJJbnB1dCBNZXRob2QgUGFuZWwiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMua2ltcGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3dlbmd4dC9nbm9tZS1zaGVsbC1leHRlbnNpb24ta2ltcGFuZWwiLAogICJ1dWlkIjogImtpbXBhbmVsQGtkZS5vcmciLAogICJ2ZXJzaW9uIjogNjcKfQ=="}}}
+, {"uuid": "impatience@gfxmonk.net", "name": "Impatience", "pname": "impatience", "description": "Speed up the gnome-shell animation speed", "link": "https://extensions.gnome.org/extension/277/impatience/", "shell_version_map": {"40": {"version": "17", "sha256": "10zjxf8swp3n34q3mdid4ni109r1274c2iyd8nmwzyzsi11a14dj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNwZWVkIHVwIHRoZSBnbm9tZS1zaGVsbCBhbmltYXRpb24gc3BlZWQiLAogICJuYW1lIjogIkltcGF0aWVuY2UiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cDovL2dmeG1vbmsubmV0L2Rpc3QvMGluc3RhbGwvZ25vbWUtc2hlbGwtaW1wYXRpZW5jZS54bWwiLAogICJ1dWlkIjogImltcGF0aWVuY2VAZ2Z4bW9uay5uZXQiLAogICJ2ZXJzaW9uIjogMTcKfQ=="}}}
, {"uuid": "windowoverlay-icons@sustmidown.centrum.cz", "name": "WindowOverlay Icons", "pname": "windowoverlay-icons", "description": "Add application icons to window overview", "link": "https://extensions.gnome.org/extension/302/windowoverlay-icons/", "shell_version_map": {"38": {"version": "37", "sha256": "108a5i5v62a9i61av5pib3b0hcpmb6pw3np7c29jfngs25n14wd3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhcHBsaWNhdGlvbiBpY29ucyB0byB3aW5kb3cgb3ZlcnZpZXciLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ3aW5kb3dvdmVybGF5LWljb25zIiwKICAibmFtZSI6ICJXaW5kb3dPdmVybGF5IEljb25zIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndpbmRvd292ZXJsYXktaWNvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdXN0bWkvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXdpbmRvd292ZXJsYXktaWNvbnMiLAogICJ1dWlkIjogIndpbmRvd292ZXJsYXktaWNvbnNAc3VzdG1pZG93bi5jZW50cnVtLmN6IiwKICAidmVyc2lvbiI6IDM3Cn0="}}}
-, {"uuid": "dash-to-dock@micxgx.gmail.com", "name": "Dash to Dock", "pname": "dash-to-dock", "description": "A dock for the Gnome Shell. This extension moves the dash out of the overview transforming it in a dock for an easier launching of applications and a faster switching between windows and desktops. Side and bottom placement options are available.", "link": "https://extensions.gnome.org/extension/307/dash-to-dock/", "shell_version_map": {"38": {"version": "69", "sha256": "1nmqg875lxbxn8plwgmsrkhq126hcv56yl6iyq5wc4ljp98niaw0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZG9jayBmb3IgdGhlIEdub21lIFNoZWxsLiBUaGlzIGV4dGVuc2lvbiBtb3ZlcyB0aGUgZGFzaCBvdXQgb2YgdGhlIG92ZXJ2aWV3IHRyYW5zZm9ybWluZyBpdCBpbiBhIGRvY2sgZm9yIGFuIGVhc2llciBsYXVuY2hpbmcgb2YgYXBwbGljYXRpb25zIGFuZCBhIGZhc3RlciBzd2l0Y2hpbmcgYmV0d2VlbiB3aW5kb3dzIGFuZCBkZXNrdG9wcy4gU2lkZSBhbmQgYm90dG9tIHBsYWNlbWVudCBvcHRpb25zIGFyZSBhdmFpbGFibGUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGFzaHRvZG9jayIsCiAgIm5hbWUiOiAiRGFzaCB0byBEb2NrIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIm1pY3hneEBnbWFpbC5jb20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vbWljaGVsZWcuZ2l0aHViLmlvL2Rhc2gtdG8tZG9jay8iLAogICJ1dWlkIjogImRhc2gtdG8tZG9ja0BtaWN4Z3guZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDY5Cn0="}}}
+, {"uuid": "dash-to-dock@micxgx.gmail.com", "name": "Dash to Dock", "pname": "dash-to-dock", "description": "A dock for the Gnome Shell. This extension moves the dash out of the overview transforming it in a dock for an easier launching of applications and a faster switching between windows and desktops. Side and bottom placement options are available.", "link": "https://extensions.gnome.org/extension/307/dash-to-dock/", "shell_version_map": {"38": {"version": "69", "sha256": "1nmqg875lxbxn8plwgmsrkhq126hcv56yl6iyq5wc4ljp98niaw0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZG9jayBmb3IgdGhlIEdub21lIFNoZWxsLiBUaGlzIGV4dGVuc2lvbiBtb3ZlcyB0aGUgZGFzaCBvdXQgb2YgdGhlIG92ZXJ2aWV3IHRyYW5zZm9ybWluZyBpdCBpbiBhIGRvY2sgZm9yIGFuIGVhc2llciBsYXVuY2hpbmcgb2YgYXBwbGljYXRpb25zIGFuZCBhIGZhc3RlciBzd2l0Y2hpbmcgYmV0d2VlbiB3aW5kb3dzIGFuZCBkZXNrdG9wcy4gU2lkZSBhbmQgYm90dG9tIHBsYWNlbWVudCBvcHRpb25zIGFyZSBhdmFpbGFibGUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGFzaHRvZG9jayIsCiAgIm5hbWUiOiAiRGFzaCB0byBEb2NrIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIm1pY3hneEBnbWFpbC5jb20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vbWljaGVsZWcuZ2l0aHViLmlvL2Rhc2gtdG8tZG9jay8iLAogICJ1dWlkIjogImRhc2gtdG8tZG9ja0BtaWN4Z3guZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDY5Cn0="}, "40": {"version": "70", "sha256": "0i84pd1z69hvi5h63cnfm89j9vida86cnzfcl0khvzplm41cjchj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZG9jayBmb3IgdGhlIEdub21lIFNoZWxsLiBUaGlzIGV4dGVuc2lvbiBtb3ZlcyB0aGUgZGFzaCBvdXQgb2YgdGhlIG92ZXJ2aWV3IHRyYW5zZm9ybWluZyBpdCBpbiBhIGRvY2sgZm9yIGFuIGVhc2llciBsYXVuY2hpbmcgb2YgYXBwbGljYXRpb25zIGFuZCBhIGZhc3RlciBzd2l0Y2hpbmcgYmV0d2VlbiB3aW5kb3dzIGFuZCBkZXNrdG9wcy4gU2lkZSBhbmQgYm90dG9tIHBsYWNlbWVudCBvcHRpb25zIGFyZSBhdmFpbGFibGUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGFzaHRvZG9jayIsCiAgIm5hbWUiOiAiRGFzaCB0byBEb2NrIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIm1pY3hneEBnbWFpbC5jb20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL21pY2hlbGVnLmdpdGh1Yi5pby9kYXNoLXRvLWRvY2svIiwKICAidXVpZCI6ICJkYXNoLXRvLWRvY2tAbWljeGd4LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA3MAp9"}}}
, {"uuid": "mythtv-fnx@fnxweb.com", "name": "MythTV", "pname": "mythtv", "description": "Displays MythTV status (free space and upcoming recordings)", "link": "https://extensions.gnome.org/extension/321/mythtv/", "shell_version_map": {"38": {"version": "10", "sha256": "070h11gk5zpxn5xbc71skdz174hbb72l0isia2vp7d9wy4ackl0k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIE15dGhUViBzdGF0dXMgKGZyZWUgc3BhY2UgYW5kIHVwY29taW5nIHJlY29yZGluZ3MpIiwKICAibmFtZSI6ICJNeXRoVFYiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mbnh3ZWIvZ25vbWUtc2hlbGwtbXl0aHR2IiwKICAidXVpZCI6ICJteXRodHYtZm54QGZueHdlYi5jb20iLAogICJ2ZXJzaW9uIjogMTAKfQ=="}}}
, {"uuid": "middleclickclose@paolo.tranquilli.gmail.com", "name": "Quick Close in Overview", "pname": "middle-click-to-close-in-overview", "description": "Close windows with a button click (the middle one by default) when in overview mode", "link": "https://extensions.gnome.org/extension/352/middle-click-to-close-in-overview/", "shell_version_map": {"38": {"version": "17", "sha256": "1nv6cjyiz1i7fddh21h0zmrvzfi3y70y1f0xsv2zd0rfg6rf0r77", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNsb3NlIHdpbmRvd3Mgd2l0aCBhIGJ1dHRvbiBjbGljayAodGhlIG1pZGRsZSBvbmUgYnkgZGVmYXVsdCkgd2hlbiBpbiBvdmVydmlldyBtb2RlIiwKICAibG9jYWxlIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJRdWljayBDbG9zZSBpbiBPdmVydmlldyIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAiUGFvbG8gVHJhbnF1aWxsaSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubWlkZGxlY2xpY2tjbG9zZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3A5MXBhdWwvbWlkZGxlY2xpY2tjbG9zZSIsCiAgInV1aWQiOiAibWlkZGxlY2xpY2tjbG9zZUBwYW9sby50cmFucXVpbGxpLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAxNwp9"}, "40": {"version": "20", "sha256": "1nylf06jr8lllq1m92g5qhwvdc9vgagc4iszd6p38jmb27sbfgw6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNsb3NlIHdpbmRvd3Mgd2l0aCBhIGJ1dHRvbiBjbGljayAodGhlIG1pZGRsZSBvbmUgYnkgZGVmYXVsdCkgd2hlbiBpbiBvdmVydmlldyBtb2RlIiwKICAibG9jYWxlIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJRdWljayBDbG9zZSBpbiBPdmVydmlldyIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAiUGFvbG8gVHJhbnF1aWxsaSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubWlkZGxlY2xpY2tjbG9zZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9wOTFwYXVsL21pZGRsZWNsaWNrY2xvc2UiLAogICJ1dWlkIjogIm1pZGRsZWNsaWNrY2xvc2VAcGFvbG8udHJhbnF1aWxsaS5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMjAKfQ=="}}}
, {"uuid": "status-area-horizontal-spacing@mathematical.coffee.gmail.com", "name": "Status Area Horizontal Spacing", "pname": "status-area-horizontal-spacing", "description": "Reduce the horizontal spacing between icons in the top-right status area", "link": "https://extensions.gnome.org/extension/355/status-area-horizontal-spacing/", "shell_version_map": {"38": {"version": "16", "sha256": "05hhj10hlcpbgd9sbvq89vxzqj6ndf21syas8zidy6yfy613b6l3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlZHVjZSB0aGUgaG9yaXpvbnRhbCBzcGFjaW5nIGJldHdlZW4gaWNvbnMgaW4gdGhlIHRvcC1yaWdodCBzdGF0dXMgYXJlYSIsCiAgImRldi12ZXJzaW9uIjogIjIuMS40IiwKICAibmFtZSI6ICJTdGF0dXMgQXJlYSBIb3Jpem9udGFsIFNwYWNpbmciLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc3RhdHVzLWFyZWEtaG9yaXpvbnRhbC1zcGFjaW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjQiLAogICAgIjMuNiIsCiAgICAiMy44IiwKICAgICIzLjEwIiwKICAgICIzLjEyIiwKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vcDkxcGF1bC9zdGF0dXMtYXJlYS1ob3Jpem9udGFsLXNwYWNpbmctZ25vbWUtc2hlbGwtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJzdGF0dXMtYXJlYS1ob3Jpem9udGFsLXNwYWNpbmdAbWF0aGVtYXRpY2FsLmNvZmZlZS5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTYKfQ=="}, "40": {"version": "18", "sha256": "0r501b8frnrrxfl8l2j6xcjgw1w99h753n47zr8mwpbx5wpcbw5w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlZHVjZSB0aGUgaG9yaXpvbnRhbCBzcGFjaW5nIGJldHdlZW4gaWNvbnMgaW4gdGhlIHRvcC1yaWdodCBzdGF0dXMgYXJlYSIsCiAgImRldi12ZXJzaW9uIjogIjIuMS40IiwKICAibmFtZSI6ICJTdGF0dXMgQXJlYSBIb3Jpem9udGFsIFNwYWNpbmciLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc3RhdHVzLWFyZWEtaG9yaXpvbnRhbC1zcGFjaW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL3A5MXBhdWwvc3RhdHVzLWFyZWEtaG9yaXpvbnRhbC1zcGFjaW5nLWdub21lLXNoZWxsLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAic3RhdHVzLWFyZWEtaG9yaXpvbnRhbC1zcGFjaW5nQG1hdGhlbWF0aWNhbC5jb2ZmZWUuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDE4Cn0="}}}
, {"uuid": "activities-config@nls1729", "name": "Activities Configurator", "pname": "activities-configurator", "description": "Activities Configurator, activities-config@nls1729 - Effective March 29, 2021 the extension is NOT MAINTAINED. I give my permission to anyone who may want to become the maintainer. I do not have the free time or energy necessary to maintain the extension.\n\nConfigure the Activities Button and Top Panel. Select an icon. Change the text. Disable Hot Corner or set the Hot Corner Threshold. Set Panel Background color and transparency plus much more to enhance your desktop. Click the icon or text with the secondary mouse button to launch the GS Extension Prefs.", "link": "https://extensions.gnome.org/extension/358/activities-configurator/", "shell_version_map": {"38": {"version": "89", "sha256": "1z00smimg5fj6ri35g80bvfzzy5xxxrgwy4idsakphszdwryi8ny", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFjdGl2aXRpZXMgQ29uZmlndXJhdG9yLCBhY3Rpdml0aWVzLWNvbmZpZ0BubHMxNzI5IC0gIEVmZmVjdGl2ZSBNYXJjaCAyOSwgMjAyMSB0aGUgZXh0ZW5zaW9uIGlzIE5PVCBNQUlOVEFJTkVELiAgSSBnaXZlIG15IHBlcm1pc3Npb24gdG8gYW55b25lIHdobyBtYXkgd2FudCB0byBiZWNvbWUgdGhlIG1haW50YWluZXIuICBJIGRvIG5vdCBoYXZlIHRoZSBmcmVlIHRpbWUgb3IgZW5lcmd5IG5lY2Vzc2FyeSB0byBtYWludGFpbiB0aGUgZXh0ZW5zaW9uLlxuXG5Db25maWd1cmUgdGhlIEFjdGl2aXRpZXMgQnV0dG9uIGFuZCBUb3AgUGFuZWwuIFNlbGVjdCBhbiBpY29uLiBDaGFuZ2UgdGhlIHRleHQuIERpc2FibGUgSG90IENvcm5lciBvciBzZXQgdGhlIEhvdCBDb3JuZXIgVGhyZXNob2xkLiBTZXQgUGFuZWwgQmFja2dyb3VuZCBjb2xvciBhbmQgdHJhbnNwYXJlbmN5IHBsdXMgbXVjaCBtb3JlIHRvIGVuaGFuY2UgeW91ciBkZXNrdG9wLiAgQ2xpY2sgdGhlIGljb24gb3IgdGV4dCB3aXRoIHRoZSBzZWNvbmRhcnkgbW91c2UgYnV0dG9uIHRvIGxhdW5jaCB0aGUgR1MgRXh0ZW5zaW9uIFByZWZzLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJhY3Rpdml0aWVzLWNvbmZpZyIsCiAgImdldHRleHQtZG9tYWluIjogImFjdGl2aXRpZXMtY29uZmlnLWV4dGVuc2lvbiIsCiAgIm5hbWUiOiAiQWN0aXZpdGllcyBDb25maWd1cmF0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYWN0aXZpdGllcy1jb25maWciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vbmxzMTcyOS5naXRodWIuaW8vYWN0aXZpdGllc19jb25maWcuaHRtbCIsCiAgInV1aWQiOiAiYWN0aXZpdGllcy1jb25maWdAbmxzMTcyOSIsCiAgInZlcnNpb24iOiA4OQp9"}}}
, {"uuid": "remmina-search-provider@alexmurray.github.com", "name": "Remmina Search Provider", "pname": "remmina-search-provider", "description": "Search for Remmina Remote Desktop Connections\n\nEasily search for and launch connections to remote machines by name and protocol.", "link": "https://extensions.gnome.org/extension/473/remmina-search-provider/", "shell_version_map": {"40": {"version": "13", "sha256": "04wxydmbx0nm5ss2i10y4alxsr6fkia2is85ln23k25bqn8b4csi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNlYXJjaCBmb3IgUmVtbWluYSBSZW1vdGUgRGVza3RvcCBDb25uZWN0aW9uc1xuXG5FYXNpbHkgc2VhcmNoIGZvciBhbmQgbGF1bmNoIGNvbm5lY3Rpb25zIHRvIHJlbW90ZSBtYWNoaW5lcyBieSBuYW1lIGFuZCBwcm90b2NvbC4iLAogICJuYW1lIjogIlJlbW1pbmEgU2VhcmNoIFByb3ZpZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjMyIiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWxleG11cnJheS9yZW1taW5hLXNlYXJjaC1wcm92aWRlci8iLAogICJ1dWlkIjogInJlbW1pbmEtc2VhcmNoLXByb3ZpZGVyQGFsZXhtdXJyYXkuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMwp9"}}}
-, {"uuid": "caffeine@patapon.info", "name": "Caffeine", "pname": "caffeine", "description": "Disable the screensaver and auto suspend", "link": "https://extensions.gnome.org/extension/517/caffeine/", "shell_version_map": {"38": {"version": "37", "sha256": "05g1910jcwkjl9gmvnk57ip20sbzy09mk4v6q2fm0pg8398v0vhf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgdGhlIHNjcmVlbnNhdmVyIGFuZCBhdXRvIHN1c3BlbmQiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb24tY2FmZmVpbmUiLAogICJuYW1lIjogIkNhZmZlaW5lIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNhZmZlaW5lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZW9ucGF0YXBvbi9nbm9tZS1zaGVsbC1leHRlbnNpb24tY2FmZmVpbmUiLAogICJ1dWlkIjogImNhZmZlaW5lQHBhdGFwb24uaW5mbyIsCiAgInZlcnNpb24iOiAzNwp9"}, "40": {"version": "38", "sha256": "07pzr9cqnlvsqq6rhdib4n936dxnxl03qdb5hjbyl6zqbl6r69vj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgdGhlIHNjcmVlbnNhdmVyIGFuZCBhdXRvIHN1c3BlbmQiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb24tY2FmZmVpbmUiLAogICJuYW1lIjogIkNhZmZlaW5lIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNhZmZlaW5lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZW9ucGF0YXBvbi9nbm9tZS1zaGVsbC1leHRlbnNpb24tY2FmZmVpbmUiLAogICJ1dWlkIjogImNhZmZlaW5lQHBhdGFwb24uaW5mbyIsCiAgInZlcnNpb24iOiAzOAp9"}}}
+, {"uuid": "uptime-indicator@gniourfgniourf.gmail.com", "name": "Uptime Indicator", "pname": "uptime-indicator", "description": "Indicates uptime in status area. When clicked, a popup menu indicates the date when the system was started.", "link": "https://extensions.gnome.org/extension/508/uptime-indicator/", "shell_version_map": {"38": {"version": "18", "sha256": "1pzcbkc8a1f886wn7avpdw81vqch9zcyjr0pr961rfw3nykd073c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZGljYXRlcyB1cHRpbWUgaW4gc3RhdHVzIGFyZWEuIFdoZW4gY2xpY2tlZCwgYSBwb3B1cCBtZW51IGluZGljYXRlcyB0aGUgZGF0ZSB3aGVuIHRoZSBzeXN0ZW0gd2FzIHN0YXJ0ZWQuIiwKICAibmFtZSI6ICJVcHRpbWUgSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0duaW91cmYvVXB0aW1lLUluZGljYXRvciIsCiAgInV1aWQiOiAidXB0aW1lLWluZGljYXRvckBnbmlvdXJmZ25pb3VyZi5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTgKfQ=="}, "40": {"version": "18", "sha256": "1pzcbkc8a1f886wn7avpdw81vqch9zcyjr0pr961rfw3nykd073c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZGljYXRlcyB1cHRpbWUgaW4gc3RhdHVzIGFyZWEuIFdoZW4gY2xpY2tlZCwgYSBwb3B1cCBtZW51IGluZGljYXRlcyB0aGUgZGF0ZSB3aGVuIHRoZSBzeXN0ZW0gd2FzIHN0YXJ0ZWQuIiwKICAibmFtZSI6ICJVcHRpbWUgSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0duaW91cmYvVXB0aW1lLUluZGljYXRvciIsCiAgInV1aWQiOiAidXB0aW1lLWluZGljYXRvckBnbmlvdXJmZ25pb3VyZi5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTgKfQ=="}}}
+, {"uuid": "caffeine@patapon.info", "name": "Caffeine", "pname": "caffeine", "description": "Disable the screensaver and auto suspend", "link": "https://extensions.gnome.org/extension/517/caffeine/", "shell_version_map": {"38": {"version": "37", "sha256": "05g1910jcwkjl9gmvnk57ip20sbzy09mk4v6q2fm0pg8398v0vhf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgdGhlIHNjcmVlbnNhdmVyIGFuZCBhdXRvIHN1c3BlbmQiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb24tY2FmZmVpbmUiLAogICJuYW1lIjogIkNhZmZlaW5lIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNhZmZlaW5lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZW9ucGF0YXBvbi9nbm9tZS1zaGVsbC1leHRlbnNpb24tY2FmZmVpbmUiLAogICJ1dWlkIjogImNhZmZlaW5lQHBhdGFwb24uaW5mbyIsCiAgInZlcnNpb24iOiAzNwp9"}, "40": {"version": "40", "sha256": "1rza4ppzcimh6qmg14srawv16vas4lbcw4njxjigl29d9qf43sdr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgdGhlIHNjcmVlbnNhdmVyIGFuZCBhdXRvIHN1c3BlbmQiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb24tY2FmZmVpbmUiLAogICJuYW1lIjogIkNhZmZlaW5lIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNhZmZlaW5lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9lb25wYXRhcG9uL2dub21lLXNoZWxsLWV4dGVuc2lvbi1jYWZmZWluZSIsCiAgInV1aWQiOiAiY2FmZmVpbmVAcGF0YXBvbi5pbmZvIiwKICAidmVyc2lvbiI6IDQwCn0="}}}
, {"uuid": "backslide@codeisland.org", "name": "BackSlide", "pname": "backslide", "description": "Automatic background-image (wallpaper) slideshow for Gnome Shell", "link": "https://extensions.gnome.org/extension/543/backslide/", "shell_version_map": {"38": {"version": "18", "sha256": "1vm4w61cksj9ya5z4xcy7h96bk0wwi5njp0lyhnqa8j2fgsq5iin", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkF1dG9tYXRpYyBiYWNrZ3JvdW5kLWltYWdlICh3YWxscGFwZXIpIHNsaWRlc2hvdyBmb3IgR25vbWUgU2hlbGwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJiYWNrc2xpZGUiLAogICJuYW1lIjogIkJhY2tTbGlkZSIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJMdWthcyBLbnV0aCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9iaXRidWNrZXQub3JnL0x1a2FzS251dGgvYmFja3NsaWRlIiwKICAidXVpZCI6ICJiYWNrc2xpZGVAY29kZWlzbGFuZC5vcmciLAogICJ2ZXJzaW9uIjogMTgKfQ=="}, "40": {"version": "21", "sha256": "1qakl3wiwhx68yfqafd5241hfmin0v1x779ljs5bqj2hqld3dzz8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkF1dG9tYXRpYyBiYWNrZ3JvdW5kLWltYWdlICh3YWxscGFwZXIpIHNsaWRlc2hvdyBmb3IgR25vbWUgU2hlbGwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJiYWNrc2xpZGUiLAogICJuYW1lIjogIkJhY2tTbGlkZSIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJMdWthcyBLbnV0aCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vYml0YnVja2V0Lm9yZy9MdWthc0tudXRoL2JhY2tzbGlkZSIsCiAgInV1aWQiOiAiYmFja3NsaWRlQGNvZGVpc2xhbmQub3JnIiwKICAidmVyc2lvbiI6IDIxCn0="}}}
, {"uuid": "historymanager-prefix-search@sustmidown.centrum.cz", "name": "HistoryManager Prefix Search", "pname": "historymanager-prefix-search", "description": "Use PageUp and PageDown to move in HistoryManager (eg. RunCommand, Looking Glass) according to prefix", "link": "https://extensions.gnome.org/extension/544/historymanager-prefix-search/", "shell_version_map": {"40": {"version": "14", "sha256": "1n6gac80xrk6lhlj29zb03h62ia0a66va0i9pmjgqbg3bs74yds0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVzZSBQYWdlVXAgYW5kIFBhZ2VEb3duIHRvIG1vdmUgaW4gSGlzdG9yeU1hbmFnZXIgKGVnLiBSdW5Db21tYW5kLCBMb29raW5nIEdsYXNzKSBhY2NvcmRpbmcgdG8gcHJlZml4IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiaGlzdG9yeW1hbmFnZXItcHJlZml4LXNlYXJjaCIsCiAgIm5hbWUiOiAiSGlzdG9yeU1hbmFnZXIgUHJlZml4IFNlYXJjaCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5oaXN0b3J5bWFuYWdlci1wcmVmaXgtc2VhcmNoIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjYiLAogICAgIjMuOCIsCiAgICAiMy43LjMiLAogICAgIjMuMTAiLAogICAgIjMuMTIiLAogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc3VzdG1pL2dub21lLXNoZWxsLWV4dGVuc2lvbi1oaXN0b3J5bWFuYWdlci1wcmVmaXgtc2VhcmNoIiwKICAidXVpZCI6ICJoaXN0b3J5bWFuYWdlci1wcmVmaXgtc2VhcmNoQHN1c3RtaWRvd24uY2VudHJ1bS5jeiIsCiAgInZlcnNpb24iOiAxNAp9"}}}
-, {"uuid": "hidetopbar@mathieu.bidon.ca", "name": "Hide Top Bar", "pname": "hide-top-bar", "description": "Hides the top bar, except in overview. However, there is an option to show the panel whenever the mouse pointer approaches the edge of the screen. And if \"intellihide\" is enabled, the panel only hides when a window takes the space.\n\n- Press backspace to remove keyboard shortcut.\n- Log off and on again when there is an error after upgrading.", "link": "https://extensions.gnome.org/extension/545/hide-top-bar/", "shell_version_map": {"38": {"version": "92", "sha256": "1b3fkii4mnwvjghnyl6iwigvqqi30kahy05gympp54fm2jx68xhl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSB0b3AgYmFyLCBleGNlcHQgaW4gb3ZlcnZpZXcuIEhvd2V2ZXIsIHRoZXJlIGlzIGFuIG9wdGlvbiB0byBzaG93IHRoZSBwYW5lbCB3aGVuZXZlciB0aGUgbW91c2UgcG9pbnRlciBhcHByb2FjaGVzIHRoZSBlZGdlIG9mIHRoZSBzY3JlZW4uIEFuZCBpZiBcImludGVsbGloaWRlXCIgaXMgZW5hYmxlZCwgdGhlIHBhbmVsIG9ubHkgaGlkZXMgd2hlbiBhIHdpbmRvdyB0YWtlcyB0aGUgc3BhY2UuXG5cbi0gUHJlc3MgYmFja3NwYWNlIHRvIHJlbW92ZSBrZXlib2FyZCBzaG9ydGN1dC5cbi0gTG9nIG9mZiBhbmQgb24gYWdhaW4gd2hlbiB0aGVyZSBpcyBhbiBlcnJvciBhZnRlciB1cGdyYWRpbmcuIiwKICAibmFtZSI6ICJIaWRlIFRvcCBCYXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaGlkZXRvcGJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tbHV0ZnkvaGlkZXRvcGJhciIsCiAgInV1aWQiOiAiaGlkZXRvcGJhckBtYXRoaWV1LmJpZG9uLmNhIiwKICAidmVyc2lvbiI6IDkyCn0="}, "40": {"version": "92", "sha256": "1b3fkii4mnwvjghnyl6iwigvqqi30kahy05gympp54fm2jx68xhl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSB0b3AgYmFyLCBleGNlcHQgaW4gb3ZlcnZpZXcuIEhvd2V2ZXIsIHRoZXJlIGlzIGFuIG9wdGlvbiB0byBzaG93IHRoZSBwYW5lbCB3aGVuZXZlciB0aGUgbW91c2UgcG9pbnRlciBhcHByb2FjaGVzIHRoZSBlZGdlIG9mIHRoZSBzY3JlZW4uIEFuZCBpZiBcImludGVsbGloaWRlXCIgaXMgZW5hYmxlZCwgdGhlIHBhbmVsIG9ubHkgaGlkZXMgd2hlbiBhIHdpbmRvdyB0YWtlcyB0aGUgc3BhY2UuXG5cbi0gUHJlc3MgYmFja3NwYWNlIHRvIHJlbW92ZSBrZXlib2FyZCBzaG9ydGN1dC5cbi0gTG9nIG9mZiBhbmQgb24gYWdhaW4gd2hlbiB0aGVyZSBpcyBhbiBlcnJvciBhZnRlciB1cGdyYWRpbmcuIiwKICAibmFtZSI6ICJIaWRlIFRvcCBCYXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaGlkZXRvcGJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tbHV0ZnkvaGlkZXRvcGJhciIsCiAgInV1aWQiOiAiaGlkZXRvcGJhckBtYXRoaWV1LmJpZG9uLmNhIiwKICAidmVyc2lvbiI6IDkyCn0="}}}
+, {"uuid": "hidetopbar@mathieu.bidon.ca", "name": "Hide Top Bar", "pname": "hide-top-bar", "description": "Hides the top bar, except in overview. However, there is an option to show the panel whenever the mouse pointer approaches the edge of the screen. And if \"intellihide\" is enabled, the panel only hides when a window takes the space.\n\n- Press backspace to remove keyboard shortcut.\n- Log off and on again when there is an error after upgrading.", "link": "https://extensions.gnome.org/extension/545/hide-top-bar/", "shell_version_map": {"38": {"version": "99", "sha256": "0zdw99g9r3vgx8qy68815md4v4h9pndy6c5pg5x05959ayxr5rzz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSB0b3AgYmFyLCBleGNlcHQgaW4gb3ZlcnZpZXcuIEhvd2V2ZXIsIHRoZXJlIGlzIGFuIG9wdGlvbiB0byBzaG93IHRoZSBwYW5lbCB3aGVuZXZlciB0aGUgbW91c2UgcG9pbnRlciBhcHByb2FjaGVzIHRoZSBlZGdlIG9mIHRoZSBzY3JlZW4uIEFuZCBpZiBcImludGVsbGloaWRlXCIgaXMgZW5hYmxlZCwgdGhlIHBhbmVsIG9ubHkgaGlkZXMgd2hlbiBhIHdpbmRvdyB0YWtlcyB0aGUgc3BhY2UuXG5cbi0gUHJlc3MgYmFja3NwYWNlIHRvIHJlbW92ZSBrZXlib2FyZCBzaG9ydGN1dC5cbi0gTG9nIG9mZiBhbmQgb24gYWdhaW4gd2hlbiB0aGVyZSBpcyBhbiBlcnJvciBhZnRlciB1cGdyYWRpbmcuIiwKICAibmFtZSI6ICJIaWRlIFRvcCBCYXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaGlkZXRvcGJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWx1dGZ5L2hpZGV0b3BiYXIiLAogICJ1dWlkIjogImhpZGV0b3BiYXJAbWF0aGlldS5iaWRvbi5jYSIsCiAgInZlcnNpb24iOiA5OQp9"}, "40": {"version": "99", "sha256": "0zdw99g9r3vgx8qy68815md4v4h9pndy6c5pg5x05959ayxr5rzz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSB0b3AgYmFyLCBleGNlcHQgaW4gb3ZlcnZpZXcuIEhvd2V2ZXIsIHRoZXJlIGlzIGFuIG9wdGlvbiB0byBzaG93IHRoZSBwYW5lbCB3aGVuZXZlciB0aGUgbW91c2UgcG9pbnRlciBhcHByb2FjaGVzIHRoZSBlZGdlIG9mIHRoZSBzY3JlZW4uIEFuZCBpZiBcImludGVsbGloaWRlXCIgaXMgZW5hYmxlZCwgdGhlIHBhbmVsIG9ubHkgaGlkZXMgd2hlbiBhIHdpbmRvdyB0YWtlcyB0aGUgc3BhY2UuXG5cbi0gUHJlc3MgYmFja3NwYWNlIHRvIHJlbW92ZSBrZXlib2FyZCBzaG9ydGN1dC5cbi0gTG9nIG9mZiBhbmQgb24gYWdhaW4gd2hlbiB0aGVyZSBpcyBhbiBlcnJvciBhZnRlciB1cGdyYWRpbmcuIiwKICAibmFtZSI6ICJIaWRlIFRvcCBCYXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaGlkZXRvcGJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWx1dGZ5L2hpZGV0b3BiYXIiLAogICJ1dWlkIjogImhpZGV0b3BiYXJAbWF0aGlldS5iaWRvbi5jYSIsCiAgInZlcnNpb24iOiA5OQp9"}}}
, {"uuid": "hdate@hatul.info", "name": "Gnome HDate", "pname": "gnome-hdate", "description": "Show Hebrew Date in the Panel.\nRequires libhdate-glib", "link": "https://extensions.gnome.org/extension/554/gnome-hdate/", "shell_version_map": {"40": {"version": "16", "sha256": "127w08jkiy5dyxhavn735ywr7q9d7cd4bpcv8iyrh0dmxbd1rh1a", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgSGVicmV3IERhdGUgaW4gdGhlIFBhbmVsLlxuUmVxdWlyZXMgbGliaGRhdGUtZ2xpYiIsCiAgIm5hbWUiOiAiR25vbWUgSERhdGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMiIsCiAgICAiMy40IiwKICAgICIzLjYiLAogICAgIjMuOCIsCiAgICAiMy4xMCIsCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbWlhZC9nbm9tZS1oZGF0ZSIsCiAgInV1aWQiOiAiaGRhdGVAaGF0dWwuaW5mbyIsCiAgInZlcnNpb24iOiAxNgp9"}}}
-, {"uuid": "todo.txt@bart.libert.gmail.com", "name": "Todo.txt", "pname": "todotxt", "description": "A Gnome shell interface for todo.txt. \n\nTodo.txt is a future-proof syntax for tasks (not made by me), for more info: http://todotxt.com/\n\nSome examples:\nTask: Basic task\n(A) Task: High priority task\nTask @project +context: Task is part of project and has a certain context\nx 2013-08-22 Task: Task was completed on the 22nd of August\n\nFor more info about the syntax: https://github.com/ginatrapani/todo.txt-cli/wiki/The-Todo.txt-Format\n\nQuick start:\nWhen you first enable the extension, chances are high you'll see a [X] in your top panel. If you click the [X], you will be able to choose between creating the necessary files automatically or selecting your own existing files to be used with the extension.\n\nPlease use the issue tracker on the homepage to report bugs and/or file feature requests, this makes tracking easier for me. Thanks!\n\nSee the included CHANGELOG.md for info about changes between different versions, or see it online: https://gitlab.com/bartl/todo-txt-gnome-shell-extension/raw/master/CHANGELOG.md", "link": "https://extensions.gnome.org/extension/570/todotxt/", "shell_version_map": {"38": {"version": "33", "sha256": "1spq0i8w9xw8zgq3niqzs2dslg01l0gsbcys26p8lpydz0dsy7xa", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgc2hlbGwgaW50ZXJmYWNlIGZvciB0b2RvLnR4dC4gXG5cblRvZG8udHh0IGlzIGEgZnV0dXJlLXByb29mIHN5bnRheCBmb3IgdGFza3MgKG5vdCBtYWRlIGJ5IG1lKSwgZm9yIG1vcmUgaW5mbzogaHR0cDovL3RvZG90eHQuY29tL1xuXG5Tb21lIGV4YW1wbGVzOlxuVGFzazogQmFzaWMgdGFza1xuKEEpIFRhc2s6IEhpZ2ggcHJpb3JpdHkgdGFza1xuVGFzayBAcHJvamVjdCArY29udGV4dDogVGFzayBpcyBwYXJ0IG9mIHByb2plY3QgYW5kIGhhcyBhIGNlcnRhaW4gY29udGV4dFxueCAyMDEzLTA4LTIyIFRhc2s6IFRhc2sgd2FzIGNvbXBsZXRlZCBvbiB0aGUgMjJuZCBvZiBBdWd1c3RcblxuRm9yIG1vcmUgaW5mbyBhYm91dCB0aGUgc3ludGF4OiBodHRwczovL2dpdGh1Yi5jb20vZ2luYXRyYXBhbmkvdG9kby50eHQtY2xpL3dpa2kvVGhlLVRvZG8udHh0LUZvcm1hdFxuXG5RdWljayBzdGFydDpcbldoZW4geW91IGZpcnN0IGVuYWJsZSB0aGUgZXh0ZW5zaW9uLCBjaGFuY2VzIGFyZSBoaWdoIHlvdSdsbCBzZWUgYSBbWF0gaW4geW91ciB0b3AgcGFuZWwuIElmIHlvdSBjbGljayB0aGUgW1hdLCB5b3Ugd2lsbCBiZSBhYmxlIHRvIGNob29zZSBiZXR3ZWVuIGNyZWF0aW5nIHRoZSBuZWNlc3NhcnkgZmlsZXMgYXV0b21hdGljYWxseSBvciBzZWxlY3RpbmcgeW91ciBvd24gZXhpc3RpbmcgZmlsZXMgdG8gYmUgdXNlZCB3aXRoIHRoZSBleHRlbnNpb24uXG5cblBsZWFzZSB1c2UgdGhlIGlzc3VlIHRyYWNrZXIgb24gdGhlIGhvbWVwYWdlIHRvIHJlcG9ydCBidWdzIGFuZC9vciBmaWxlIGZlYXR1cmUgcmVxdWVzdHMsIHRoaXMgbWFrZXMgdHJhY2tpbmcgZWFzaWVyIGZvciBtZS4gVGhhbmtzIVxuXG5TZWUgdGhlIGluY2x1ZGVkIENIQU5HRUxPRy5tZCBmb3IgaW5mbyBhYm91dCBjaGFuZ2VzIGJldHdlZW4gZGlmZmVyZW50IHZlcnNpb25zLCBvciBzZWUgaXQgb25saW5lOiBodHRwczovL2dpdGxhYi5jb20vYmFydGwvdG9kby10eHQtZ25vbWUtc2hlbGwtZXh0ZW5zaW9uL3Jhdy9tYXN0ZXIvQ0hBTkdFTE9HLm1kIiwKICAibmFtZSI6ICJUb2RvLnR4dCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2JhcnRsL3RvZG8tdHh0LWdub21lLXNoZWxsLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAidG9kby50eHRAYmFydC5saWJlcnQuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDMzCn0="}, "40": {"version": "34", "sha256": "0blwddf362fdq160kagfzk2r6vvyabmyjisfpahnl88jj57b4l47", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgc2hlbGwgaW50ZXJmYWNlIGZvciB0b2RvLnR4dC4gXG5cblRvZG8udHh0IGlzIGEgZnV0dXJlLXByb29mIHN5bnRheCBmb3IgdGFza3MgKG5vdCBtYWRlIGJ5IG1lKSwgZm9yIG1vcmUgaW5mbzogaHR0cDovL3RvZG90eHQuY29tL1xuXG5Tb21lIGV4YW1wbGVzOlxuVGFzazogQmFzaWMgdGFza1xuKEEpIFRhc2s6IEhpZ2ggcHJpb3JpdHkgdGFza1xuVGFzayBAcHJvamVjdCArY29udGV4dDogVGFzayBpcyBwYXJ0IG9mIHByb2plY3QgYW5kIGhhcyBhIGNlcnRhaW4gY29udGV4dFxueCAyMDEzLTA4LTIyIFRhc2s6IFRhc2sgd2FzIGNvbXBsZXRlZCBvbiB0aGUgMjJuZCBvZiBBdWd1c3RcblxuRm9yIG1vcmUgaW5mbyBhYm91dCB0aGUgc3ludGF4OiBodHRwczovL2dpdGh1Yi5jb20vZ2luYXRyYXBhbmkvdG9kby50eHQtY2xpL3dpa2kvVGhlLVRvZG8udHh0LUZvcm1hdFxuXG5RdWljayBzdGFydDpcbldoZW4geW91IGZpcnN0IGVuYWJsZSB0aGUgZXh0ZW5zaW9uLCBjaGFuY2VzIGFyZSBoaWdoIHlvdSdsbCBzZWUgYSBbWF0gaW4geW91ciB0b3AgcGFuZWwuIElmIHlvdSBjbGljayB0aGUgW1hdLCB5b3Ugd2lsbCBiZSBhYmxlIHRvIGNob29zZSBiZXR3ZWVuIGNyZWF0aW5nIHRoZSBuZWNlc3NhcnkgZmlsZXMgYXV0b21hdGljYWxseSBvciBzZWxlY3RpbmcgeW91ciBvd24gZXhpc3RpbmcgZmlsZXMgdG8gYmUgdXNlZCB3aXRoIHRoZSBleHRlbnNpb24uXG5cblBsZWFzZSB1c2UgdGhlIGlzc3VlIHRyYWNrZXIgb24gdGhlIGhvbWVwYWdlIHRvIHJlcG9ydCBidWdzIGFuZC9vciBmaWxlIGZlYXR1cmUgcmVxdWVzdHMsIHRoaXMgbWFrZXMgdHJhY2tpbmcgZWFzaWVyIGZvciBtZS4gVGhhbmtzIVxuXG5TZWUgdGhlIGluY2x1ZGVkIENIQU5HRUxPRy5tZCBmb3IgaW5mbyBhYm91dCBjaGFuZ2VzIGJldHdlZW4gZGlmZmVyZW50IHZlcnNpb25zLCBvciBzZWUgaXQgb25saW5lOiBodHRwczovL2dpdGxhYi5jb20vYmFydGwvdG9kby10eHQtZ25vbWUtc2hlbGwtZXh0ZW5zaW9uL3Jhdy9tYXN0ZXIvQ0hBTkdFTE9HLm1kIiwKICAibmFtZSI6ICJUb2RvLnR4dCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2JhcnRsL3RvZG8tdHh0LWdub21lLXNoZWxsLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAidG9kby50eHRAYmFydC5saWJlcnQuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDM0Cn0="}}}
+, {"uuid": "todo.txt@bart.libert.gmail.com", "name": "Todo.txt", "pname": "todotxt", "description": "A Gnome shell interface for todo.txt. \n\nTodo.txt is a future-proof syntax for tasks (not made by me), for more info: http://todotxt.com/\n\nSome examples:\nTask: Basic task\n(A) Task: High priority task\nTask @project +context: Task is part of project and has a certain context\nx 2013-08-22 Task: Task was completed on the 22nd of August\n\nFor more info about the syntax: https://github.com/ginatrapani/todo.txt-cli/wiki/The-Todo.txt-Format\n\nQuick start:\nWhen you first enable the extension, chances are high you'll see a [X] in your top panel. If you click the [X], you will be able to choose between creating the necessary files automatically or selecting your own existing files to be used with the extension.\n\nPlease use the issue tracker on the homepage to report bugs and/or file feature requests, this makes tracking easier for me. Thanks!\n\nSee the included CHANGELOG.md for info about changes between different versions, or see it online: https://gitlab.com/bartl/todo-txt-gnome-shell-extension/raw/master/CHANGELOG.md", "link": "https://extensions.gnome.org/extension/570/todotxt/", "shell_version_map": {"38": {"version": "33", "sha256": "1spq0i8w9xw8zgq3niqzs2dslg01l0gsbcys26p8lpydz0dsy7xa", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgc2hlbGwgaW50ZXJmYWNlIGZvciB0b2RvLnR4dC4gXG5cblRvZG8udHh0IGlzIGEgZnV0dXJlLXByb29mIHN5bnRheCBmb3IgdGFza3MgKG5vdCBtYWRlIGJ5IG1lKSwgZm9yIG1vcmUgaW5mbzogaHR0cDovL3RvZG90eHQuY29tL1xuXG5Tb21lIGV4YW1wbGVzOlxuVGFzazogQmFzaWMgdGFza1xuKEEpIFRhc2s6IEhpZ2ggcHJpb3JpdHkgdGFza1xuVGFzayBAcHJvamVjdCArY29udGV4dDogVGFzayBpcyBwYXJ0IG9mIHByb2plY3QgYW5kIGhhcyBhIGNlcnRhaW4gY29udGV4dFxueCAyMDEzLTA4LTIyIFRhc2s6IFRhc2sgd2FzIGNvbXBsZXRlZCBvbiB0aGUgMjJuZCBvZiBBdWd1c3RcblxuRm9yIG1vcmUgaW5mbyBhYm91dCB0aGUgc3ludGF4OiBodHRwczovL2dpdGh1Yi5jb20vZ2luYXRyYXBhbmkvdG9kby50eHQtY2xpL3dpa2kvVGhlLVRvZG8udHh0LUZvcm1hdFxuXG5RdWljayBzdGFydDpcbldoZW4geW91IGZpcnN0IGVuYWJsZSB0aGUgZXh0ZW5zaW9uLCBjaGFuY2VzIGFyZSBoaWdoIHlvdSdsbCBzZWUgYSBbWF0gaW4geW91ciB0b3AgcGFuZWwuIElmIHlvdSBjbGljayB0aGUgW1hdLCB5b3Ugd2lsbCBiZSBhYmxlIHRvIGNob29zZSBiZXR3ZWVuIGNyZWF0aW5nIHRoZSBuZWNlc3NhcnkgZmlsZXMgYXV0b21hdGljYWxseSBvciBzZWxlY3RpbmcgeW91ciBvd24gZXhpc3RpbmcgZmlsZXMgdG8gYmUgdXNlZCB3aXRoIHRoZSBleHRlbnNpb24uXG5cblBsZWFzZSB1c2UgdGhlIGlzc3VlIHRyYWNrZXIgb24gdGhlIGhvbWVwYWdlIHRvIHJlcG9ydCBidWdzIGFuZC9vciBmaWxlIGZlYXR1cmUgcmVxdWVzdHMsIHRoaXMgbWFrZXMgdHJhY2tpbmcgZWFzaWVyIGZvciBtZS4gVGhhbmtzIVxuXG5TZWUgdGhlIGluY2x1ZGVkIENIQU5HRUxPRy5tZCBmb3IgaW5mbyBhYm91dCBjaGFuZ2VzIGJldHdlZW4gZGlmZmVyZW50IHZlcnNpb25zLCBvciBzZWUgaXQgb25saW5lOiBodHRwczovL2dpdGxhYi5jb20vYmFydGwvdG9kby10eHQtZ25vbWUtc2hlbGwtZXh0ZW5zaW9uL3Jhdy9tYXN0ZXIvQ0hBTkdFTE9HLm1kIiwKICAibmFtZSI6ICJUb2RvLnR4dCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2JhcnRsL3RvZG8tdHh0LWdub21lLXNoZWxsLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAidG9kby50eHRAYmFydC5saWJlcnQuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDMzCn0="}, "40": {"version": "35", "sha256": "18vc11nls6giskq94kw48kbcx399706rglaqsmla4yvix0jkd8lz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgc2hlbGwgaW50ZXJmYWNlIGZvciB0b2RvLnR4dC4gXG5cblRvZG8udHh0IGlzIGEgZnV0dXJlLXByb29mIHN5bnRheCBmb3IgdGFza3MgKG5vdCBtYWRlIGJ5IG1lKSwgZm9yIG1vcmUgaW5mbzogaHR0cDovL3RvZG90eHQuY29tL1xuXG5Tb21lIGV4YW1wbGVzOlxuVGFzazogQmFzaWMgdGFza1xuKEEpIFRhc2s6IEhpZ2ggcHJpb3JpdHkgdGFza1xuVGFzayBAcHJvamVjdCArY29udGV4dDogVGFzayBpcyBwYXJ0IG9mIHByb2plY3QgYW5kIGhhcyBhIGNlcnRhaW4gY29udGV4dFxueCAyMDEzLTA4LTIyIFRhc2s6IFRhc2sgd2FzIGNvbXBsZXRlZCBvbiB0aGUgMjJuZCBvZiBBdWd1c3RcblxuRm9yIG1vcmUgaW5mbyBhYm91dCB0aGUgc3ludGF4OiBodHRwczovL2dpdGh1Yi5jb20vZ2luYXRyYXBhbmkvdG9kby50eHQtY2xpL3dpa2kvVGhlLVRvZG8udHh0LUZvcm1hdFxuXG5RdWljayBzdGFydDpcbldoZW4geW91IGZpcnN0IGVuYWJsZSB0aGUgZXh0ZW5zaW9uLCBjaGFuY2VzIGFyZSBoaWdoIHlvdSdsbCBzZWUgYSBbWF0gaW4geW91ciB0b3AgcGFuZWwuIElmIHlvdSBjbGljayB0aGUgW1hdLCB5b3Ugd2lsbCBiZSBhYmxlIHRvIGNob29zZSBiZXR3ZWVuIGNyZWF0aW5nIHRoZSBuZWNlc3NhcnkgZmlsZXMgYXV0b21hdGljYWxseSBvciBzZWxlY3RpbmcgeW91ciBvd24gZXhpc3RpbmcgZmlsZXMgdG8gYmUgdXNlZCB3aXRoIHRoZSBleHRlbnNpb24uXG5cblBsZWFzZSB1c2UgdGhlIGlzc3VlIHRyYWNrZXIgb24gdGhlIGhvbWVwYWdlIHRvIHJlcG9ydCBidWdzIGFuZC9vciBmaWxlIGZlYXR1cmUgcmVxdWVzdHMsIHRoaXMgbWFrZXMgdHJhY2tpbmcgZWFzaWVyIGZvciBtZS4gVGhhbmtzIVxuXG5TZWUgdGhlIGluY2x1ZGVkIENIQU5HRUxPRy5tZCBmb3IgaW5mbyBhYm91dCBjaGFuZ2VzIGJldHdlZW4gZGlmZmVyZW50IHZlcnNpb25zLCBvciBzZWUgaXQgb25saW5lOiBodHRwczovL2dpdGxhYi5jb20vYmFydGwvdG9kby10eHQtZ25vbWUtc2hlbGwtZXh0ZW5zaW9uL3Jhdy9tYXN0ZXIvQ0hBTkdFTE9HLm1kIiwKICAibmFtZSI6ICJUb2RvLnR4dCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9iYXJ0bC90b2RvLXR4dC1nbm9tZS1zaGVsbC1leHRlbnNpb24iLAogICJ1dWlkIjogInRvZG8udHh0QGJhcnQubGliZXJ0LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAzNQp9"}}}
, {"uuid": "text_translator@awamper.gmail.com", "name": "Text Translator", "pname": "text-translator", "description": "** Needs the package translate-shell **\nTranslation of the text by different translators (currently Google.Translate, Yandex.Translate).\nShortcuts:\nSuper+T - open translator dialog.\nSuper+Shift+T - open translator dialog and translate text from clipboard.\nSuper+Alt+T - open translator dialog and translate from primary selection.\nCtrl+Enter+ - Translate text.\nCtrl+Shift+C - copy translated text to clipboard.\nCtrl+S - swap languages.\nCtrl+D - reset languages to default\nTab+ - toggle transliteration of result text.", "link": "https://extensions.gnome.org/extension/593/text-translator/", "shell_version_map": {"38": {"version": "36", "sha256": "1idzgg4vb791k5dryjvznr6mfwfx59vlgabw2n3spysbwvjv2a48", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIioqIE5lZWRzIHRoZSBwYWNrYWdlIHRyYW5zbGF0ZS1zaGVsbCAqKlxuVHJhbnNsYXRpb24gb2YgdGhlIHRleHQgYnkgZGlmZmVyZW50IHRyYW5zbGF0b3JzIChjdXJyZW50bHkgR29vZ2xlLlRyYW5zbGF0ZSwgWWFuZGV4LlRyYW5zbGF0ZSkuXG5TaG9ydGN1dHM6XG5TdXBlcitUIC0gb3BlbiB0cmFuc2xhdG9yIGRpYWxvZy5cblN1cGVyK1NoaWZ0K1QgLSBvcGVuIHRyYW5zbGF0b3IgZGlhbG9nIGFuZCB0cmFuc2xhdGUgdGV4dCBmcm9tIGNsaXBib2FyZC5cblN1cGVyK0FsdCtUIC0gb3BlbiB0cmFuc2xhdG9yIGRpYWxvZyBhbmQgdHJhbnNsYXRlIGZyb20gcHJpbWFyeSBzZWxlY3Rpb24uXG5DdHJsK0VudGVyKyAtIFRyYW5zbGF0ZSB0ZXh0LlxuQ3RybCtTaGlmdCtDIC0gY29weSB0cmFuc2xhdGVkIHRleHQgdG8gY2xpcGJvYXJkLlxuQ3RybCtTIC0gc3dhcCBsYW5ndWFnZXMuXG5DdHJsK0QgLSByZXNldCBsYW5ndWFnZXMgdG8gZGVmYXVsdFxuVGFiKyAtIHRvZ2dsZSB0cmFuc2xpdGVyYXRpb24gb2YgcmVzdWx0IHRleHQuIiwKICAibmFtZSI6ICJUZXh0IFRyYW5zbGF0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudGV4dC10cmFuc2xhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZ3Vmb2UvdGV4dC10cmFuc2xhdG9yIiwKICAidXVpZCI6ICJ0ZXh0X3RyYW5zbGF0b3JAYXdhbXBlci5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzYKfQ=="}}}
-, {"uuid": "autohide-battery@sitnik.ru", "name": "Autohide Battery", "pname": "autohide-battery", "description": "Hide battery icon in top panel, if battery is fully charged and AC is connected", "link": "https://extensions.gnome.org/extension/595/autohide-battery/", "shell_version_map": {"40": {"version": "18", "sha256": "17a90g639id7bnl6wr86w231s7f0sbvrnk1phrv3hmiaf5i77mx3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgYmF0dGVyeSBpY29uIGluIHRvcCBwYW5lbCwgaWYgYmF0dGVyeSBpcyBmdWxseSBjaGFyZ2VkIGFuZCBBQyBpcyBjb25uZWN0ZWQiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJhdXRvaGlkZS1iYXR0ZXJ5IiwKICAibmFtZSI6ICJBdXRvaGlkZSBCYXR0ZXJ5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FpL2F1dG9oaWRlLWJhdHRlcnkiLAogICJ1dWlkIjogImF1dG9oaWRlLWJhdHRlcnlAc2l0bmlrLnJ1IiwKICAidmVyc2lvbiI6IDE4Cn0="}}}
-, {"uuid": "launch-new-instance@gnome-shell-extensions.gcampax.github.com", "name": "Launch new instance", "pname": "launch-new-instance", "description": "Always launch a new instance when clicking in the dash or the application view.\nThis extension is part of Classic Mode and is officially supported by GNOME. Please do not report bugs using the form below, use GNOME's GitLab instance instead.", "link": "https://extensions.gnome.org/extension/600/launch-new-instance/", "shell_version_map": {"38": {"version": "29", "sha256": "0qb1ajjwm076zxsd314n7f5vl72ih7j4h9y84bqwb9cxa53mp4g4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsd2F5cyBsYXVuY2ggYSBuZXcgaW5zdGFuY2Ugd2hlbiBjbGlja2luZyBpbiB0aGUgZGFzaCBvciB0aGUgYXBwbGljYXRpb24gdmlldy5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogImxhdW5jaC1uZXctaW5zdGFuY2UiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJMYXVuY2ggbmV3IGluc3RhbmNlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmxhdW5jaC1uZXctaW5zdGFuY2UiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJsYXVuY2gtbmV3LWluc3RhbmNlQGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDI5Cn0="}, "40": {"version": "30", "sha256": "1cgljhw0j263b4mcdr2583q3j63a1g47gv8prcjdh03q35qwrrvk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsd2F5cyBsYXVuY2ggYSBuZXcgaW5zdGFuY2Ugd2hlbiBjbGlja2luZyBpbiB0aGUgZGFzaCBvciB0aGUgYXBwbGljYXRpb24gdmlldy5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogImxhdW5jaC1uZXctaW5zdGFuY2UiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJMYXVuY2ggbmV3IGluc3RhbmNlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmxhdW5jaC1uZXctaW5zdGFuY2UiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJsYXVuY2gtbmV3LWluc3RhbmNlQGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDMwCn0="}}}
-, {"uuid": "window-list@gnome-shell-extensions.gcampax.github.com", "name": "Window List", "pname": "window-list", "description": "Display a window list at the bottom of the screen.\nThis extension is part of Classic Mode and is officially supported by GNOME. Please do not report bugs using the form below, use GNOME's GitLab instance instead.", "link": "https://extensions.gnome.org/extension/602/window-list/", "shell_version_map": {"38": {"version": "34", "sha256": "06jww5sv3a32plbvnl1xch10y19q807dx6zn6z5gwpvq8n0nvnx3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSB3aW5kb3cgbGlzdCBhdCB0aGUgYm90dG9tIG9mIHRoZSBzY3JlZW4uXG5UaGlzIGV4dGVuc2lvbiBpcyBwYXJ0IG9mIENsYXNzaWMgTW9kZSBhbmQgaXMgb2ZmaWNpYWxseSBzdXBwb3J0ZWQgYnkgR05PTUUuIFBsZWFzZSBkbyBub3QgcmVwb3J0IGJ1Z3MgdXNpbmcgdGhlIGZvcm0gYmVsb3csIHVzZSBHTk9NRSdzIEdpdExhYiBpbnN0YW5jZSBpbnN0ZWFkLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJ3aW5kb3ctbGlzdCIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIldpbmRvdyBMaXN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndpbmRvdy1saXN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAid2luZG93LWxpc3RAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMzQKfQ=="}, "40": {"version": "36", "sha256": "090lpxf7zdwg1x9nyagf3riag5w5dn9jd0bm0qz2jb0jbv0dlndk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSB3aW5kb3cgbGlzdCBhdCB0aGUgYm90dG9tIG9mIHRoZSBzY3JlZW4uXG5UaGlzIGV4dGVuc2lvbiBpcyBwYXJ0IG9mIENsYXNzaWMgTW9kZSBhbmQgaXMgb2ZmaWNpYWxseSBzdXBwb3J0ZWQgYnkgR05PTUUuIFBsZWFzZSBkbyBub3QgcmVwb3J0IGJ1Z3MgdXNpbmcgdGhlIGZvcm0gYmVsb3csIHVzZSBHTk9NRSdzIEdpdExhYiBpbnN0YW5jZSBpbnN0ZWFkLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJ3aW5kb3ctbGlzdCIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIldpbmRvdyBMaXN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndpbmRvdy1saXN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAid2luZG93LWxpc3RAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMzYKfQ=="}}}
+, {"uuid": "autohide-battery@sitnik.ru", "name": "Autohide Battery", "pname": "autohide-battery", "description": "Hide battery icon in top panel, if battery is fully charged and AC is connected", "link": "https://extensions.gnome.org/extension/595/autohide-battery/", "shell_version_map": {"40": {"version": "23", "sha256": "1kxcb301ql1q3vyswnwgq8rmdyxj6zrngah2rwrbiy1wjz726xg0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgYmF0dGVyeSBpY29uIGluIHRvcCBwYW5lbCwgaWYgYmF0dGVyeSBpcyBmdWxseSBjaGFyZ2VkIGFuZCBBQyBpcyBjb25uZWN0ZWQiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJhdXRvaGlkZS1iYXR0ZXJ5IiwKICAibmFtZSI6ICJBdXRvaGlkZSBCYXR0ZXJ5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9haS9hdXRvaGlkZS1iYXR0ZXJ5IiwKICAidXVpZCI6ICJhdXRvaGlkZS1iYXR0ZXJ5QHNpdG5pay5ydSIsCiAgInZlcnNpb24iOiAyMwp9"}}}
+, {"uuid": "launch-new-instance@gnome-shell-extensions.gcampax.github.com", "name": "Launch new instance", "pname": "launch-new-instance", "description": "Always launch a new instance when clicking in the dash or the application view.\nThis extension is part of Classic Mode and is officially supported by GNOME. Please do not report bugs using the form below, use GNOME's GitLab instance instead.", "link": "https://extensions.gnome.org/extension/600/launch-new-instance/", "shell_version_map": {"38": {"version": "29", "sha256": "0qb1ajjwm076zxsd314n7f5vl72ih7j4h9y84bqwb9cxa53mp4g4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsd2F5cyBsYXVuY2ggYSBuZXcgaW5zdGFuY2Ugd2hlbiBjbGlja2luZyBpbiB0aGUgZGFzaCBvciB0aGUgYXBwbGljYXRpb24gdmlldy5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogImxhdW5jaC1uZXctaW5zdGFuY2UiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJMYXVuY2ggbmV3IGluc3RhbmNlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmxhdW5jaC1uZXctaW5zdGFuY2UiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJsYXVuY2gtbmV3LWluc3RhbmNlQGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDI5Cn0="}, "40": {"version": "31", "sha256": "0c667wdrpfd8bh2wygglzk1bp63z6xvknhj2rhw8v3vlmhpn8994", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsd2F5cyBsYXVuY2ggYSBuZXcgaW5zdGFuY2Ugd2hlbiBjbGlja2luZyBpbiB0aGUgZGFzaCBvciB0aGUgYXBwbGljYXRpb24gdmlldy5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogImxhdW5jaC1uZXctaW5zdGFuY2UiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJMYXVuY2ggbmV3IGluc3RhbmNlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmxhdW5jaC1uZXctaW5zdGFuY2UiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAibGF1bmNoLW5ldy1pbnN0YW5jZUBnbm9tZS1zaGVsbC1leHRlbnNpb25zLmdjYW1wYXguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAzMQp9"}}}
+, {"uuid": "window-list@gnome-shell-extensions.gcampax.github.com", "name": "Window List", "pname": "window-list", "description": "Display a window list at the bottom of the screen.\nThis extension is part of Classic Mode and is officially supported by GNOME. Please do not report bugs using the form below, use GNOME's GitLab instance instead.", "link": "https://extensions.gnome.org/extension/602/window-list/", "shell_version_map": {"38": {"version": "34", "sha256": "06jww5sv3a32plbvnl1xch10y19q807dx6zn6z5gwpvq8n0nvnx3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSB3aW5kb3cgbGlzdCBhdCB0aGUgYm90dG9tIG9mIHRoZSBzY3JlZW4uXG5UaGlzIGV4dGVuc2lvbiBpcyBwYXJ0IG9mIENsYXNzaWMgTW9kZSBhbmQgaXMgb2ZmaWNpYWxseSBzdXBwb3J0ZWQgYnkgR05PTUUuIFBsZWFzZSBkbyBub3QgcmVwb3J0IGJ1Z3MgdXNpbmcgdGhlIGZvcm0gYmVsb3csIHVzZSBHTk9NRSdzIEdpdExhYiBpbnN0YW5jZSBpbnN0ZWFkLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJ3aW5kb3ctbGlzdCIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIldpbmRvdyBMaXN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndpbmRvdy1saXN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAid2luZG93LWxpc3RAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMzQKfQ=="}, "40": {"version": "38", "sha256": "0r4mqs88vnz8qrd2qcfg5gs184rnqbb9sgnpl3vrdmj608k1mx1a", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSB3aW5kb3cgbGlzdCBhdCB0aGUgYm90dG9tIG9mIHRoZSBzY3JlZW4uXG5UaGlzIGV4dGVuc2lvbiBpcyBwYXJ0IG9mIENsYXNzaWMgTW9kZSBhbmQgaXMgb2ZmaWNpYWxseSBzdXBwb3J0ZWQgYnkgR05PTUUuIFBsZWFzZSBkbyBub3QgcmVwb3J0IGJ1Z3MgdXNpbmcgdGhlIGZvcm0gYmVsb3csIHVzZSBHTk9NRSdzIEdpdExhYiBpbnN0YW5jZSBpbnN0ZWFkLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJ3aW5kb3ctbGlzdCIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIldpbmRvdyBMaXN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndpbmRvdy1saXN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogIndpbmRvdy1saXN0QGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDM4Cn0="}}}
, {"uuid": "MultiClock@mibus.org", "name": "MultiClock", "pname": "multiclock", "description": "A clock for showing a second timezone in the panel.", "link": "https://extensions.gnome.org/extension/605/multiclock/", "shell_version_map": {"40": {"version": "8", "sha256": "1pp1cnmpix668mrywpv6mkyb45lw7f6cwibjl6bc7cgb01hkzd53", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgY2xvY2sgZm9yIHNob3dpbmcgYSBzZWNvbmQgdGltZXpvbmUgaW4gdGhlIHBhbmVsLiIsCiAgIm5hbWUiOiAiTXVsdGlDbG9jayIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5taWJ1c011bHRpQ2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9taWJ1cy9NdWx0aUNsb2NrIiwKICAidXVpZCI6ICJNdWx0aUNsb2NrQG1pYnVzLm9yZyIsCiAgInZlcnNpb24iOiA4Cn0="}}}
-, {"uuid": "appindicatorsupport@rgcjonas.gmail.com", "name": "KStatusNotifierItem/AppIndicator Support", "pname": "appindicator-support", "description": "Adds KStatusNotifierItem support to the Shell", "link": "https://extensions.gnome.org/extension/615/appindicator-support/", "shell_version_map": {"38": {"version": "37", "sha256": "1v7lsjpy6mkykkdkgz20xqdywf2a58rmqxfz2mrk07clxckzdlag", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgS1N0YXR1c05vdGlmaWVySXRlbSBzdXBwb3J0IHRvIHRoZSBTaGVsbCIsCiAgIm5hbWUiOiAiS1N0YXR1c05vdGlmaWVySXRlbS9BcHBJbmRpY2F0b3IgU3VwcG9ydCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS91YnVudHUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWFwcGluZGljYXRvciIsCiAgInV1aWQiOiAiYXBwaW5kaWNhdG9yc3VwcG9ydEByZ2Nqb25hcy5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzcKfQ=="}, "40": {"version": "37", "sha256": "1v7lsjpy6mkykkdkgz20xqdywf2a58rmqxfz2mrk07clxckzdlag", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgS1N0YXR1c05vdGlmaWVySXRlbSBzdXBwb3J0IHRvIHRoZSBTaGVsbCIsCiAgIm5hbWUiOiAiS1N0YXR1c05vdGlmaWVySXRlbS9BcHBJbmRpY2F0b3IgU3VwcG9ydCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS91YnVudHUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWFwcGluZGljYXRvciIsCiAgInV1aWQiOiAiYXBwaW5kaWNhdG9yc3VwcG9ydEByZ2Nqb25hcy5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzcKfQ=="}}}
+, {"uuid": "appindicatorsupport@rgcjonas.gmail.com", "name": "AppIndicator and KStatusNotifierItem Support", "pname": "appindicator-support", "description": "Adds AppIndicator, KStatusNotifierItem and legacy Tray icons support to the Shell", "link": "https://extensions.gnome.org/extension/615/appindicator-support/", "shell_version_map": {"38": {"version": "41", "sha256": "0d2pvcw13wr7jbwjds4byxnq3q9vqm2r6fs5plcgl2dp787kmxi2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgQXBwSW5kaWNhdG9yLCBLU3RhdHVzTm90aWZpZXJJdGVtIGFuZCBsZWdhY3kgVHJheSBpY29ucyBzdXBwb3J0IHRvIHRoZSBTaGVsbCIsCiAgImdldHRleHQtZG9tYWluIjogIkFwcEluZGljYXRvckV4dGVuc2lvbiIsCiAgIm5hbWUiOiAiQXBwSW5kaWNhdG9yIGFuZCBLU3RhdHVzTm90aWZpZXJJdGVtIFN1cHBvcnQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXBwaW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS91YnVudHUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWFwcGluZGljYXRvciIsCiAgInV1aWQiOiAiYXBwaW5kaWNhdG9yc3VwcG9ydEByZ2Nqb25hcy5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNDEKfQ=="}, "40": {"version": "41", "sha256": "0d2pvcw13wr7jbwjds4byxnq3q9vqm2r6fs5plcgl2dp787kmxi2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgQXBwSW5kaWNhdG9yLCBLU3RhdHVzTm90aWZpZXJJdGVtIGFuZCBsZWdhY3kgVHJheSBpY29ucyBzdXBwb3J0IHRvIHRoZSBTaGVsbCIsCiAgImdldHRleHQtZG9tYWluIjogIkFwcEluZGljYXRvckV4dGVuc2lvbiIsCiAgIm5hbWUiOiAiQXBwSW5kaWNhdG9yIGFuZCBLU3RhdHVzTm90aWZpZXJJdGVtIFN1cHBvcnQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXBwaW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS91YnVudHUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWFwcGluZGljYXRvciIsCiAgInV1aWQiOiAiYXBwaW5kaWNhdG9yc3VwcG9ydEByZ2Nqb25hcy5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNDEKfQ=="}}}
, {"uuid": "bitcoin-markets@ottoallmendinger.github.com", "name": "Bitcoin Markets", "pname": "bitcoin-markets", "description": "Display info on various crypto-currency exchanges.", "link": "https://extensions.gnome.org/extension/648/bitcoin-markets/", "shell_version_map": {"38": {"version": "57", "sha256": "1dbrkr49gi93nps610afvw2q68d1ialkhxsxd0waa8xgwjxwzyxd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgaW5mbyBvbiB2YXJpb3VzIGNyeXB0by1jdXJyZW5jeSBleGNoYW5nZXMuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtYml0Y29pbi1tYXJrZXRzIiwKICAiZ2l0LXZlcnNpb24iOiAidjU3IiwKICAibmFtZSI6ICJCaXRjb2luIE1hcmtldHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYml0Y29pbi1tYXJrZXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vT3R0b0FsbG1lbmRpbmdlci9nbm9tZS1zaGVsbC1iaXRjb2luLW1hcmtldHMvIiwKICAidXVpZCI6ICJiaXRjb2luLW1hcmtldHNAb3R0b2FsbG1lbmRpbmdlci5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDU3Cn0="}, "40": {"version": "58", "sha256": "1alsbyykqy2147ssx016ixqyj3phk3pmviw41dr0vakxfwr5f7g1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgaW5mbyBvbiB2YXJpb3VzIGNyeXB0by1jdXJyZW5jeSBleGNoYW5nZXMuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtYml0Y29pbi1tYXJrZXRzIiwKICAiZ2l0LXZlcnNpb24iOiAidjU4IiwKICAibmFtZSI6ICJCaXRjb2luIE1hcmtldHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYml0Y29pbi1tYXJrZXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL090dG9BbGxtZW5kaW5nZXIvZ25vbWUtc2hlbGwtYml0Y29pbi1tYXJrZXRzLyIsCiAgInV1aWQiOiAiYml0Y29pbi1tYXJrZXRzQG90dG9hbGxtZW5kaW5nZXIuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA1OAp9"}}}
, {"uuid": "ShellTile@emasab.it", "name": "ShellTile", "pname": "shelltile", "description": "A tiling window extension for GNOME Shell. Just move a window to the edges of the screen to create a tiling, otherwise move a window over another one, holding down the Control key. Grouped windows minimize, resize, raise and change workspace together. Move or maximize a window to remove it from the group.", "link": "https://extensions.gnome.org/extension/657/shelltile/", "shell_version_map": {"38": {"version": "65", "sha256": "0kb7crng8lmkcjjxzd7ma2x0x43rg4j5ygvvpiq5z2j15rx8bcg4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgdGlsaW5nIHdpbmRvdyBleHRlbnNpb24gZm9yIEdOT01FIFNoZWxsLiBKdXN0IG1vdmUgYSB3aW5kb3cgdG8gdGhlIGVkZ2VzIG9mIHRoZSBzY3JlZW4gdG8gY3JlYXRlIGEgdGlsaW5nLCBvdGhlcndpc2UgbW92ZSBhIHdpbmRvdyBvdmVyIGFub3RoZXIgb25lLCBob2xkaW5nIGRvd24gdGhlIENvbnRyb2wga2V5LiBHcm91cGVkIHdpbmRvd3MgbWluaW1pemUsIHJlc2l6ZSwgcmFpc2UgYW5kIGNoYW5nZSB3b3Jrc3BhY2UgdG9nZXRoZXIuIE1vdmUgb3IgbWF4aW1pemUgYSB3aW5kb3cgdG8gcmVtb3ZlIGl0IGZyb20gdGhlIGdyb3VwLiIsCiAgImdldHRleHQtZG9tYWluIjogInNoZWxsdGlsZSIsCiAgIm5hbWUiOiAiU2hlbGxUaWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNoZWxsdGlsZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy42IiwKICAgICIzLjgiLAogICAgIjMuMTAiLAogICAgIjMuMTIiLAogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9lbWFzYWIvc2hlbGx0aWxlIiwKICAidXVpZCI6ICJTaGVsbFRpbGVAZW1hc2FiLml0IiwKICAidmVyc2lvbiI6IDY1Cn0="}}}
-, {"uuid": "lunarcal@ailin.nemui", "name": "Lunar Calendar 农历", "pname": "lunar-calendar", "description": "Display Chinese Lunar Calendar in panel\n\n⚠⚠⚠ dependency: typelib-1_0-LunarDate-2_0 / gir1.2-lunar-date-2.0", "link": "https://extensions.gnome.org/extension/675/lunar-calendar/", "shell_version_map": {"38": {"version": "25", "sha256": "18v9gq879mp4f9ljah163zxdasy27a3acjjs728ylkz8phxka6yl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNyZWF0b3IiOiAiTmVpIiwKICAiZGVzY3JpcHRpb24iOiAiRGlzcGxheSBDaGluZXNlIEx1bmFyIENhbGVuZGFyIGluIHBhbmVsXG5cblx1MjZhMFx1MjZhMFx1MjZhMCBkZXBlbmRlbmN5OiB0eXBlbGliLTFfMC1MdW5hckRhdGUtMl8wIC8gZ2lyMS4yLWx1bmFyLWRhdGUtMi4wIiwKICAibmFtZSI6ICJMdW5hciBDYWxlbmRhciBcdTUxOWNcdTUzODYiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubHVuYXItY2FsZW5kYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAibHVuYXJjYWxAYWlsaW4ubmVtdWkiLAogICJ2ZXJzaW9uIjogMjUKfQ=="}, "40": {"version": "26", "sha256": "0kf4p8pkr9r7kzfvb5dwlifbxkn92mq773m92vfmyiv79rwj1qzb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNyZWF0b3IiOiAiTmVpIiwKICAiZGVzY3JpcHRpb24iOiAiRGlzcGxheSBDaGluZXNlIEx1bmFyIENhbGVuZGFyIGluIHBhbmVsXG5cblx1MjZhMFx1MjZhMFx1MjZhMCBkZXBlbmRlbmN5OiB0eXBlbGliLTFfMC1MdW5hckRhdGUtMl8wIC8gZ2lyMS4yLWx1bmFyLWRhdGUtMi4wIiwKICAibmFtZSI6ICJMdW5hciBDYWxlbmRhciBcdTUxOWNcdTUzODYiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubHVuYXItY2FsZW5kYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImx1bmFyY2FsQGFpbGluLm5lbXVpIiwKICAidmVyc2lvbiI6IDI2Cn0="}}}
+, {"uuid": "lunarcal@ailin.nemui", "name": "Lunar Calendar 农历", "pname": "lunar-calendar", "description": "Display Chinese Lunar Calendar in panel\n\n⚠⚠⚠ dependency: typelib-1_0-LunarDate-3_0 / gir1.2-lunar-date-2.0", "link": "https://extensions.gnome.org/extension/675/lunar-calendar/", "shell_version_map": {"38": {"version": "25", "sha256": "1pj439wdsqpxim6p4d0y09v40kdjga908hagxfyvq0fzjykc51rn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNyZWF0b3IiOiAiTmVpIiwKICAiZGVzY3JpcHRpb24iOiAiRGlzcGxheSBDaGluZXNlIEx1bmFyIENhbGVuZGFyIGluIHBhbmVsXG5cblx1MjZhMFx1MjZhMFx1MjZhMCBkZXBlbmRlbmN5OiB0eXBlbGliLTFfMC1MdW5hckRhdGUtM18wIC8gZ2lyMS4yLWx1bmFyLWRhdGUtMi4wIiwKICAibmFtZSI6ICJMdW5hciBDYWxlbmRhciBcdTUxOWNcdTUzODYiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubHVuYXItY2FsZW5kYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAibHVuYXJjYWxAYWlsaW4ubmVtdWkiLAogICJ2ZXJzaW9uIjogMjUKfQ=="}, "40": {"version": "27", "sha256": "159iiyw0sblq8jwkm1qfcakgdy3rvk07vzz8q6yj2davp64wpg5n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNyZWF0b3IiOiAiTmVpIiwKICAiZGVzY3JpcHRpb24iOiAiRGlzcGxheSBDaGluZXNlIEx1bmFyIENhbGVuZGFyIGluIHBhbmVsXG5cblx1MjZhMFx1MjZhMFx1MjZhMCBkZXBlbmRlbmN5OiB0eXBlbGliLTFfMC1MdW5hckRhdGUtM18wIC8gZ2lyMS4yLWx1bmFyLWRhdGUtMi4wIiwKICAibmFtZSI6ICJMdW5hciBDYWxlbmRhciBcdTUxOWNcdTUzODYiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubHVuYXItY2FsZW5kYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJsdW5hcmNhbEBhaWxpbi5uZW11aSIsCiAgInZlcnNpb24iOiAyNwp9"}}}
, {"uuid": "scroll-workspaces@gfxmonk.net", "name": "Top Panel Workspace Scroll", "pname": "top-panel-workspace-scroll", "description": "Change workspaces by scrolling over the top panel", "link": "https://extensions.gnome.org/extension/701/top-panel-workspace-scroll/", "shell_version_map": {"40": {"version": "24", "sha256": "1i7l26x22wzqplq42z2317ynq4s4vwwzb3ql9lhpkyn8d7g7xa4x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZSB3b3Jrc3BhY2VzIGJ5IHNjcm9sbGluZyBvdmVyIHRoZSB0b3AgcGFuZWwiLAogICJuYW1lIjogIlRvcCBQYW5lbCBXb3Jrc3BhY2UgU2Nyb2xsIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJ0aW1AZ2Z4bW9uay5uZXQiCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm5ldC5nZnhtb25rLnNjcm9sbC13b3Jrc3BhY2VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dmeG1vbmsvZ25vbWUtc2hlbGwtc2Nyb2xsLXdvcmtzcGFjZXMiLAogICJ1dWlkIjogInNjcm9sbC13b3Jrc3BhY2VzQGdmeG1vbmsubmV0IiwKICAidmVyc2lvbiI6IDI0Cn0="}}}
+, {"uuid": "all-windows@ezix.org", "name": "All Windows", "pname": "all-windows", "description": "List open windows of all workspaces", "link": "https://extensions.gnome.org/extension/704/all-windows/", "shell_version_map": {"40": {"version": "11", "sha256": "12d083bakv6l4dg6hmkh9c4q0cybfjq9y0dl3w85slsc7v60gj2w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpc3Qgb3BlbiB3aW5kb3dzIG9mIGFsbCB3b3Jrc3BhY2VzIiwKICAibmFtZSI6ICJBbGwgV2luZG93cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9seW9uZWwvYWxsLXdpbmRvd3MiLAogICJ1dWlkIjogImFsbC13aW5kb3dzQGV6aXgub3JnIiwKICAidmVyc2lvbiI6IDExCn0="}}}
, {"uuid": "panel-osd@berend.de.schouwer.gmail.com", "name": "Panel OSD", "pname": "panel-osd", "description": "Configuring where on the (main) screen notifications will appear, instead of just above the message tray", "link": "https://extensions.gnome.org/extension/708/panel-osd/", "shell_version_map": {"38": {"version": "39", "sha256": "109qlcckx5z8fwndpr86r2bfggyh4akmi6lqi0ki7gr9bfigdqnv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbmZpZ3VyaW5nIHdoZXJlIG9uIHRoZSAobWFpbikgc2NyZWVuIG5vdGlmaWNhdGlvbnMgd2lsbCBhcHBlYXIsIGluc3RlYWQgb2YganVzdCBhYm92ZSB0aGUgbWVzc2FnZSB0cmF5IiwKICAibG9jYWxlZGlyIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJQYW5lbCBPU0QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTAiLAogICAgIjMuMTIiLAogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9qZW5zbG9keS9nbm9tZS1zaGVsbC1leHRlbnNpb24tcGFuZWwtb3NkIiwKICAidXVpZCI6ICJwYW5lbC1vc2RAYmVyZW5kLmRlLnNjaG91d2VyLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAzOQp9"}, "40": {"version": "40", "sha256": "0hskvmdnqjihixaxhb7a7apsx0203zmymm5j6ncb2al928p795yx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbmZpZ3VyaW5nIHdoZXJlIG9uIHRoZSAobWFpbikgc2NyZWVuIG5vdGlmaWNhdGlvbnMgd2lsbCBhcHBlYXIsIGluc3RlYWQgb2YganVzdCBhYm92ZSB0aGUgbWVzc2FnZSB0cmF5IiwKICAibG9jYWxlZGlyIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJQYW5lbCBPU0QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vamVuc2xvZHkvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXBhbmVsLW9zZCIsCiAgInV1aWQiOiAicGFuZWwtb3NkQGJlcmVuZC5kZS5zY2hvdXdlci5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNDAKfQ=="}}}
, {"uuid": "pixel-saver@deadalnix.me", "name": "Pixel Saver", "pname": "pixel-saver", "description": "Pixel Saver is designed to save pixel by fusing activity bar and title bar in a natural way", "link": "https://extensions.gnome.org/extension/723/pixel-saver/", "shell_version_map": {"38": {"version": "24", "sha256": "1y511ixqwz97mx3ykrlzafjskxri94sf9hlhjwnha9p3bys155bl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlBpeGVsIFNhdmVyIGlzIGRlc2lnbmVkIHRvIHNhdmUgcGl4ZWwgYnkgZnVzaW5nIGFjdGl2aXR5IGJhciBhbmQgdGl0bGUgYmFyIGluIGEgbmF0dXJhbCB3YXkiLAogICJuYW1lIjogIlBpeGVsIFNhdmVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIiwKICAgICI0MC4xIiwKICAgICI0MC4yIiwKICAgICI0MC4zIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGVhZGFsbml4L3BpeGVsLXNhdmVyIiwKICAidXVpZCI6ICJwaXhlbC1zYXZlckBkZWFkYWxuaXgubWUiLAogICJ2ZXJzaW9uIjogMjQKfQ=="}, "40": {"version": "24", "sha256": "1y511ixqwz97mx3ykrlzafjskxri94sf9hlhjwnha9p3bys155bl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlBpeGVsIFNhdmVyIGlzIGRlc2lnbmVkIHRvIHNhdmUgcGl4ZWwgYnkgZnVzaW5nIGFjdGl2aXR5IGJhciBhbmQgdGl0bGUgYmFyIGluIGEgbmF0dXJhbCB3YXkiLAogICJuYW1lIjogIlBpeGVsIFNhdmVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIiwKICAgICI0MC4xIiwKICAgICI0MC4yIiwKICAgICI0MC4zIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGVhZGFsbml4L3BpeGVsLXNhdmVyIiwKICAidXVpZCI6ICJwaXhlbC1zYXZlckBkZWFkYWxuaXgubWUiLAogICJ2ZXJzaW9uIjogMjQKfQ=="}}}
, {"uuid": "breakreminder@danielfalk22.gmail.com", "name": "Break Reminder", "pname": "break-reminder", "description": "Get a reminder to take a break", "link": "https://extensions.gnome.org/extension/734/break-reminder/", "shell_version_map": {"38": {"version": "6", "sha256": "0k21wj98ldx52m7s8sgndqziqnn7n0g2j45lsi31kfjydhyj3dmk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdldCBhIHJlbWluZGVyIHRvIHRha2UgYSBicmVhayIsCiAgIm5hbWUiOiAiQnJlYWsgUmVtaW5kZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kYW5pZWxmYWxrL2dub21lM2JyZWFrcmVtaW5kZXIiLAogICJ1dWlkIjogImJyZWFrcmVtaW5kZXJAZGFuaWVsZmFsazIyLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA2Cn0="}}}
-, {"uuid": "Hide_Activities@shay.shayel.org", "name": "Hide Activities Button", "pname": "hide-activities-button", "description": "Hides the Activities button from the status bar (the hot corner and keyboard shortcut keeps working). To disable top left hot corner use 'No Topleft Hot Corner' extension — https://extensions.gnome.org/extension/118/no-topleft-hot-corner/ .", "link": "https://extensions.gnome.org/extension/744/hide-activities-button/", "shell_version_map": {"38": {"version": "11", "sha256": "0h04xkaad04nmh0hgcjaq8i7929j9cl79l5k4azrsrmbbz7181hb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSBBY3Rpdml0aWVzIGJ1dHRvbiBmcm9tIHRoZSBzdGF0dXMgYmFyICh0aGUgaG90IGNvcm5lciBhbmQga2V5Ym9hcmQgc2hvcnRjdXQga2VlcHMgd29ya2luZykuIFRvIGRpc2FibGUgdG9wIGxlZnQgaG90IGNvcm5lciB1c2UgJ05vIFRvcGxlZnQgSG90IENvcm5lcicgZXh0ZW5zaW9uIFx1MjAxNCBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi8xMTgvbm8tdG9wbGVmdC1ob3QtY29ybmVyLyAuIiwKICAibmFtZSI6ICJIaWRlIEFjdGl2aXRpZXMgQnV0dG9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjEwIiwKICAgICIzLjEyIiwKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIkhpZGVfQWN0aXZpdGllc0BzaGF5LnNoYXllbC5vcmciLAogICJ2ZXJzaW9uIjogMTEKfQ=="}, "40": {"version": "11", "sha256": "0h04xkaad04nmh0hgcjaq8i7929j9cl79l5k4azrsrmbbz7181hb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSBBY3Rpdml0aWVzIGJ1dHRvbiBmcm9tIHRoZSBzdGF0dXMgYmFyICh0aGUgaG90IGNvcm5lciBhbmQga2V5Ym9hcmQgc2hvcnRjdXQga2VlcHMgd29ya2luZykuIFRvIGRpc2FibGUgdG9wIGxlZnQgaG90IGNvcm5lciB1c2UgJ05vIFRvcGxlZnQgSG90IENvcm5lcicgZXh0ZW5zaW9uIFx1MjAxNCBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi8xMTgvbm8tdG9wbGVmdC1ob3QtY29ybmVyLyAuIiwKICAibmFtZSI6ICJIaWRlIEFjdGl2aXRpZXMgQnV0dG9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjEwIiwKICAgICIzLjEyIiwKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIkhpZGVfQWN0aXZpdGllc0BzaGF5LnNoYXllbC5vcmciLAogICJ2ZXJzaW9uIjogMTEKfQ=="}}}
+, {"uuid": "Hide_Activities@shay.shayel.org", "name": "Hide Activities Button", "pname": "hide-activities-button", "description": "Hides the Activities button from the status bar (the hot corner and keyboard shortcut keeps working). To disable top left hot corner use 'No Topleft Hot Corner' extension — https://extensions.gnome.org/extension/118/no-topleft-hot-corner/ .", "link": "https://extensions.gnome.org/extension/744/hide-activities-button/", "shell_version_map": {"38": {"version": "12", "sha256": "0z7p6xl6axckl6f0gyqaya3l5i4d9mnzkqdylpfhywswb5idabra", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSBBY3Rpdml0aWVzIGJ1dHRvbiBmcm9tIHRoZSBzdGF0dXMgYmFyICh0aGUgaG90IGNvcm5lciBhbmQga2V5Ym9hcmQgc2hvcnRjdXQga2VlcHMgd29ya2luZykuIFRvIGRpc2FibGUgdG9wIGxlZnQgaG90IGNvcm5lciB1c2UgJ05vIFRvcGxlZnQgSG90IENvcm5lcicgZXh0ZW5zaW9uIFx1MjAxNCBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi8xMTgvbm8tdG9wbGVmdC1ob3QtY29ybmVyLyAuIiwKICAibmFtZSI6ICJIaWRlIEFjdGl2aXRpZXMgQnV0dG9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjEwIiwKICAgICIzLjEyIiwKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAiSGlkZV9BY3Rpdml0aWVzQHNoYXkuc2hheWVsLm9yZyIsCiAgInZlcnNpb24iOiAxMgp9"}, "40": {"version": "12", "sha256": "0z7p6xl6axckl6f0gyqaya3l5i4d9mnzkqdylpfhywswb5idabra", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSBBY3Rpdml0aWVzIGJ1dHRvbiBmcm9tIHRoZSBzdGF0dXMgYmFyICh0aGUgaG90IGNvcm5lciBhbmQga2V5Ym9hcmQgc2hvcnRjdXQga2VlcHMgd29ya2luZykuIFRvIGRpc2FibGUgdG9wIGxlZnQgaG90IGNvcm5lciB1c2UgJ05vIFRvcGxlZnQgSG90IENvcm5lcicgZXh0ZW5zaW9uIFx1MjAxNCBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi8xMTgvbm8tdG9wbGVmdC1ob3QtY29ybmVyLyAuIiwKICAibmFtZSI6ICJIaWRlIEFjdGl2aXRpZXMgQnV0dG9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjEwIiwKICAgICIzLjEyIiwKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAiSGlkZV9BY3Rpdml0aWVzQHNoYXkuc2hheWVsLm9yZyIsCiAgInZlcnNpb24iOiAxMgp9"}}}
, {"uuid": "openweather-extension@jenslody.de", "name": "OpenWeather", "pname": "openweather", "description": "Weather extension to display weather information from https://openweathermap.org/ or https://darksky.net for almost all locations in the world.\nFor openweathermap.org, you can either use the extensions default-key or register at https://openweathermap.org/appid and set the appropriate switch in the preferences dialog to \"off\".\nFor Dark Sky you have to register at https://darksky.net/dev/register and get a personal API-key.\n\nSince version 29 this extensions uses coordinates to store the locations and makes the names editable to support multiple weather-providers!\nIf you update from versions prior to 29 to 29 or greater (with darksky.net - support) you have to recreate your locations.", "link": "https://extensions.gnome.org/extension/750/openweather/", "shell_version_map": {"38": {"version": "105", "sha256": "0xqz924fxy201b0d9l8xn4ykwbsvgazrbc85mbjcqk4laizyn82a", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldlYXRoZXIgZXh0ZW5zaW9uIHRvIGRpc3BsYXkgd2VhdGhlciBpbmZvcm1hdGlvbiBmcm9tIGh0dHBzOi8vb3BlbndlYXRoZXJtYXAub3JnLyBvciBodHRwczovL2Rhcmtza3kubmV0IGZvciBhbG1vc3QgYWxsIGxvY2F0aW9ucyBpbiB0aGUgd29ybGQuXG5Gb3Igb3BlbndlYXRoZXJtYXAub3JnLCB5b3UgY2FuIGVpdGhlciB1c2UgdGhlIGV4dGVuc2lvbnMgZGVmYXVsdC1rZXkgb3IgcmVnaXN0ZXIgYXQgaHR0cHM6Ly9vcGVud2VhdGhlcm1hcC5vcmcvYXBwaWQgYW5kIHNldCB0aGUgYXBwcm9wcmlhdGUgc3dpdGNoIGluIHRoZSBwcmVmZXJlbmNlcyBkaWFsb2cgdG8gXCJvZmZcIi5cbkZvciBEYXJrIFNreSB5b3UgaGF2ZSB0byByZWdpc3RlciBhdCBodHRwczovL2Rhcmtza3kubmV0L2Rldi9yZWdpc3RlciBhbmQgZ2V0IGEgcGVyc29uYWwgQVBJLWtleS5cblxuU2luY2UgdmVyc2lvbiAyOSB0aGlzIGV4dGVuc2lvbnMgdXNlcyBjb29yZGluYXRlcyB0byBzdG9yZSB0aGUgbG9jYXRpb25zIGFuZCBtYWtlcyB0aGUgbmFtZXMgZWRpdGFibGUgdG8gc3VwcG9ydCBtdWx0aXBsZSB3ZWF0aGVyLXByb3ZpZGVycyFcbklmIHlvdSB1cGRhdGUgZnJvbSB2ZXJzaW9ucyBwcmlvciB0byAyOSB0byAyOSBvciBncmVhdGVyICh3aXRoIGRhcmtza3kubmV0IC0gc3VwcG9ydCkgeW91IGhhdmUgdG8gcmVjcmVhdGUgeW91ciBsb2NhdGlvbnMuIiwKICAibG9jYWxlZGlyIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJPcGVuV2VhdGhlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2plbnNsb2R5L2dub21lLXNoZWxsLWV4dGVuc2lvbi1vcGVud2VhdGhlciIsCiAgInV1aWQiOiAib3BlbndlYXRoZXItZXh0ZW5zaW9uQGplbnNsb2R5LmRlIiwKICAidmVyc2lvbiI6IDEwNQp9"}, "40": {"version": "106", "sha256": "0xs7xd7rfy6lb4izmgsn9lwijbm93l3cpm6avy5jh19jlpq18nkv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldlYXRoZXIgZXh0ZW5zaW9uIHRvIGRpc3BsYXkgd2VhdGhlciBpbmZvcm1hdGlvbiBmcm9tIGh0dHBzOi8vb3BlbndlYXRoZXJtYXAub3JnLyBvciBodHRwczovL2Rhcmtza3kubmV0IGZvciBhbG1vc3QgYWxsIGxvY2F0aW9ucyBpbiB0aGUgd29ybGQuXG5Gb3Igb3BlbndlYXRoZXJtYXAub3JnLCB5b3UgY2FuIGVpdGhlciB1c2UgdGhlIGV4dGVuc2lvbnMgZGVmYXVsdC1rZXkgb3IgcmVnaXN0ZXIgYXQgaHR0cHM6Ly9vcGVud2VhdGhlcm1hcC5vcmcvYXBwaWQgYW5kIHNldCB0aGUgYXBwcm9wcmlhdGUgc3dpdGNoIGluIHRoZSBwcmVmZXJlbmNlcyBkaWFsb2cgdG8gXCJvZmZcIi5cbkZvciBEYXJrIFNreSB5b3UgaGF2ZSB0byByZWdpc3RlciBhdCBodHRwczovL2Rhcmtza3kubmV0L2Rldi9yZWdpc3RlciBhbmQgZ2V0IGEgcGVyc29uYWwgQVBJLWtleS5cblxuU2luY2UgdmVyc2lvbiAyOSB0aGlzIGV4dGVuc2lvbnMgdXNlcyBjb29yZGluYXRlcyB0byBzdG9yZSB0aGUgbG9jYXRpb25zIGFuZCBtYWtlcyB0aGUgbmFtZXMgZWRpdGFibGUgdG8gc3VwcG9ydCBtdWx0aXBsZSB3ZWF0aGVyLXByb3ZpZGVycyFcbklmIHlvdSB1cGRhdGUgZnJvbSB2ZXJzaW9ucyBwcmlvciB0byAyOSB0byAyOSBvciBncmVhdGVyICh3aXRoIGRhcmtza3kubmV0IC0gc3VwcG9ydCkgeW91IGhhdmUgdG8gcmVjcmVhdGUgeW91ciBsb2NhdGlvbnMuIiwKICAibG9jYWxlZGlyIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJPcGVuV2VhdGhlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9qZW5zbG9keS9nbm9tZS1zaGVsbC1leHRlbnNpb24tb3BlbndlYXRoZXIiLAogICJ1dWlkIjogIm9wZW53ZWF0aGVyLWV4dGVuc2lvbkBqZW5zbG9keS5kZSIsCiAgInZlcnNpb24iOiAxMDYKfQ=="}}}
, {"uuid": "audio-output-switcher@anduchs", "name": "Audio Output Switcher", "pname": "audio-output-switcher", "description": "Adds a switch for choosing audio output to the system menu.", "link": "https://extensions.gnome.org/extension/751/audio-output-switcher/", "shell_version_map": {"38": {"version": "16", "sha256": "0wmvkczzs87zanp37hbi8adv56r0r38jl2xck3wvzss0dkdv9vni", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBzd2l0Y2ggZm9yIGNob29zaW5nIGF1ZGlvIG91dHB1dCB0byB0aGUgc3lzdGVtIG1lbnUuIiwKICAibmFtZSI6ICJBdWRpbyBPdXRwdXQgU3dpdGNoZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXVkaW8tb3V0cHV0LXN3aXRjaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cDovL2dpdGh1Yi5jb20vYWRheGkvYXVkaW8tb3V0cHV0LXN3aXRjaGVyIiwKICAidXVpZCI6ICJhdWRpby1vdXRwdXQtc3dpdGNoZXJAYW5kdWNocyIsCiAgInZlcnNpb24iOiAxNgp9"}, "40": {"version": "16", "sha256": "0wmvkczzs87zanp37hbi8adv56r0r38jl2xck3wvzss0dkdv9vni", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBzd2l0Y2ggZm9yIGNob29zaW5nIGF1ZGlvIG91dHB1dCB0byB0aGUgc3lzdGVtIG1lbnUuIiwKICAibmFtZSI6ICJBdWRpbyBPdXRwdXQgU3dpdGNoZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXVkaW8tb3V0cHV0LXN3aXRjaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cDovL2dpdGh1Yi5jb20vYWRheGkvYXVkaW8tb3V0cHV0LXN3aXRjaGVyIiwKICAidXVpZCI6ICJhdWRpby1vdXRwdXQtc3dpdGNoZXJAYW5kdWNocyIsCiAgInZlcnNpb24iOiAxNgp9"}}}
-, {"uuid": "hibernate-status@dromi", "name": "Hibernate Status Button", "pname": "hibernate-status-button", "description": "Adds a Hibernate button in Status menu. Using Alt modifier, you can also select Hybrid Sleep instead.", "link": "https://extensions.gnome.org/extension/755/hibernate-status-button/", "shell_version_map": {"38": {"version": "27", "sha256": "0yqzg2nz040vsv0ilwkjkza03qxns18gq4055gq0c3k051jy6d4v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBIaWJlcm5hdGUgYnV0dG9uIGluIFN0YXR1cyBtZW51LiBVc2luZyBBbHQgbW9kaWZpZXIsIHlvdSBjYW4gYWxzbyBzZWxlY3QgSHlicmlkIFNsZWVwIGluc3RlYWQuIiwKICAibmFtZSI6ICJIaWJlcm5hdGUgU3RhdHVzIEJ1dHRvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FyZWxhbmdlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1oaWJlcm5hdGUtc3RhdHVzIiwKICAidXVpZCI6ICJoaWJlcm5hdGUtc3RhdHVzQGRyb21pIiwKICAidmVyc2lvbiI6IDI3Cn0="}, "40": {"version": "26", "sha256": "1avj5v9lyfs3hkl9msjvnbkp9nlxag64aw7s2rl5mpval27401k7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBIaWJlcm5hdGUgYnV0dG9uIGluIFN0YXR1cyBtZW51LiBVc2luZyBBbHQgbW9kaWZpZXIsIHlvdSBjYW4gYWxzbyBzZWxlY3QgSHlicmlkIFNsZWVwIGluc3RlYWQuIiwKICAibmFtZSI6ICJIaWJlcm5hdGUgU3RhdHVzIEJ1dHRvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hcmVsYW5nZS9nbm9tZS1zaGVsbC1leHRlbnNpb24taGliZXJuYXRlLXN0YXR1cyIsCiAgInV1aWQiOiAiaGliZXJuYXRlLXN0YXR1c0Bkcm9taSIsCiAgInZlcnNpb24iOiAyNgp9"}}}
-, {"uuid": "minimizeall@scharlessantos.org", "name": "Minimize All", "pname": "minimize-all", "description": "Minimize all windows in current workspace", "link": "https://extensions.gnome.org/extension/760/minimize-all/", "shell_version_map": {"38": {"version": "18", "sha256": "1n2bdr9qdgg1m1a8b88vasaw01iavnp1q6hs8yifs3l7yqqwpqwi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pbmltaXplIGFsbCB3aW5kb3dzIGluIGN1cnJlbnQgd29ya3NwYWNlIiwKICAibmFtZSI6ICJNaW5pbWl6ZSBBbGwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9zY2hhcmxlc3NhbnRvcy9taW5pbWl6ZWFsbCIsCiAgInV1aWQiOiAibWluaW1pemVhbGxAc2NoYXJsZXNzYW50b3Mub3JnIiwKICAidmVyc2lvbiI6IDE4Cn0="}}}
-, {"uuid": "fq@megh", "name": "Force Quit", "pname": "force-quit", "description": "Adds a force quit button which launches xkill.\nOn accidental click, right click to undo or click on the panel.\nCustomize position by tweaking line 48 of extension.js", "link": "https://extensions.gnome.org/extension/770/force-quit/", "shell_version_map": {"38": {"version": "16", "sha256": "1ms1sir1vq97iq1s2p9fisxcg0jw9nn0zadvr5fcjqf2vmkcwpyp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBmb3JjZSBxdWl0IGJ1dHRvbiB3aGljaCBsYXVuY2hlcyB4a2lsbC5cbk9uIGFjY2lkZW50YWwgY2xpY2ssIHJpZ2h0IGNsaWNrIHRvIHVuZG8gb3IgY2xpY2sgb24gdGhlIHBhbmVsLlxuQ3VzdG9taXplIHBvc2l0aW9uIGJ5IHR3ZWFraW5nIGxpbmUgNDggb2YgZXh0ZW5zaW9uLmpzIiwKICAibmFtZSI6ICJGb3JjZSBRdWl0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWVnaHBya2gvZm9yY2UtcXVpdC8iLAogICJ1dWlkIjogImZxQG1lZ2giLAogICJ2ZXJzaW9uIjogMTYKfQ=="}, "40": {"version": "16", "sha256": "1ms1sir1vq97iq1s2p9fisxcg0jw9nn0zadvr5fcjqf2vmkcwpyp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBmb3JjZSBxdWl0IGJ1dHRvbiB3aGljaCBsYXVuY2hlcyB4a2lsbC5cbk9uIGFjY2lkZW50YWwgY2xpY2ssIHJpZ2h0IGNsaWNrIHRvIHVuZG8gb3IgY2xpY2sgb24gdGhlIHBhbmVsLlxuQ3VzdG9taXplIHBvc2l0aW9uIGJ5IHR3ZWFraW5nIGxpbmUgNDggb2YgZXh0ZW5zaW9uLmpzIiwKICAibmFtZSI6ICJGb3JjZSBRdWl0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWVnaHBya2gvZm9yY2UtcXVpdC8iLAogICJ1dWlkIjogImZxQG1lZ2giLAogICJ2ZXJzaW9uIjogMTYKfQ=="}}}
+, {"uuid": "hibernate-status@dromi", "name": "Hibernate Status Button", "pname": "hibernate-status-button", "description": "Adds a Hibernate button in Status menu. Using Alt modifier, you can also select Hybrid Sleep instead.", "link": "https://extensions.gnome.org/extension/755/hibernate-status-button/", "shell_version_map": {"38": {"version": "27", "sha256": "0yqzg2nz040vsv0ilwkjkza03qxns18gq4055gq0c3k051jy6d4v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBIaWJlcm5hdGUgYnV0dG9uIGluIFN0YXR1cyBtZW51LiBVc2luZyBBbHQgbW9kaWZpZXIsIHlvdSBjYW4gYWxzbyBzZWxlY3QgSHlicmlkIFNsZWVwIGluc3RlYWQuIiwKICAibmFtZSI6ICJIaWJlcm5hdGUgU3RhdHVzIEJ1dHRvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FyZWxhbmdlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1oaWJlcm5hdGUtc3RhdHVzIiwKICAidXVpZCI6ICJoaWJlcm5hdGUtc3RhdHVzQGRyb21pIiwKICAidmVyc2lvbiI6IDI3Cn0="}, "40": {"version": "30", "sha256": "0jxg8cbhfkw7pblpdg7kv5058c8f9xzdav0w9hgl7xlq1hacmacv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBIaWJlcm5hdGUgYnV0dG9uIGluIFN0YXR1cyBtZW51LiBVc2luZyBBbHQgbW9kaWZpZXIsIHlvdSBjYW4gYWxzbyBzZWxlY3QgSHlicmlkIFNsZWVwIGluc3RlYWQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiaGliZXJuYXRlLXN0YXR1cy1idXR0b24iLAogICJuYW1lIjogIkhpYmVybmF0ZSBTdGF0dXMgQnV0dG9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FyZWxhbmdlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1oaWJlcm5hdGUtc3RhdHVzIiwKICAidXVpZCI6ICJoaWJlcm5hdGUtc3RhdHVzQGRyb21pIiwKICAidmVyc2lvbiI6IDMwCn0="}}}
+, {"uuid": "minimizeall@scharlessantos.org", "name": "Minimize All", "pname": "minimize-all", "description": "Minimize all windows in current workspace", "link": "https://extensions.gnome.org/extension/760/minimize-all/", "shell_version_map": {"38": {"version": "20", "sha256": "15v6h4wcznrylip57spjdkz0jk6y7hcp47607pj0yx5dmxjaws86", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pbmltaXplIGFsbCB3aW5kb3dzIGluIGN1cnJlbnQgd29ya3NwYWNlIiwKICAibmFtZSI6ICJNaW5pbWl6ZSBBbGwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vc2NoYXJsZXNzYW50b3MvbWluaW1pemVhbGwiLAogICJ1dWlkIjogIm1pbmltaXplYWxsQHNjaGFybGVzc2FudG9zLm9yZyIsCiAgInZlcnNpb24iOiAyMAp9"}, "40": {"version": "20", "sha256": "15v6h4wcznrylip57spjdkz0jk6y7hcp47607pj0yx5dmxjaws86", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pbmltaXplIGFsbCB3aW5kb3dzIGluIGN1cnJlbnQgd29ya3NwYWNlIiwKICAibmFtZSI6ICJNaW5pbWl6ZSBBbGwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vc2NoYXJsZXNzYW50b3MvbWluaW1pemVhbGwiLAogICJ1dWlkIjogIm1pbmltaXplYWxsQHNjaGFybGVzc2FudG9zLm9yZyIsCiAgInZlcnNpb24iOiAyMAp9"}}}
+, {"uuid": "fq@megh", "name": "Force Quit", "pname": "force-quit", "description": "Adds a force quit button which launches xkill.\nOn accidental click, right click to undo or click on the panel.\nCustomize position by tweaking line 47 of extension.js", "link": "https://extensions.gnome.org/extension/770/force-quit/", "shell_version_map": {"38": {"version": "18", "sha256": "1yww4xhww0lvfnxik8k5yfs9mhbsdd22g9sk26rf4qvqwyl7gjl4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBmb3JjZSBxdWl0IGJ1dHRvbiB3aGljaCBsYXVuY2hlcyB4a2lsbC5cbk9uIGFjY2lkZW50YWwgY2xpY2ssIHJpZ2h0IGNsaWNrIHRvIHVuZG8gb3IgY2xpY2sgb24gdGhlIHBhbmVsLlxuQ3VzdG9taXplIHBvc2l0aW9uIGJ5IHR3ZWFraW5nIGxpbmUgNDcgb2YgZXh0ZW5zaW9uLmpzIiwKICAibmFtZSI6ICJGb3JjZSBRdWl0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21lZ2hwcmtoL2ZvcmNlLXF1aXQvIiwKICAidXVpZCI6ICJmcUBtZWdoIiwKICAidmVyc2lvbiI6IDE4Cn0="}, "40": {"version": "19", "sha256": "1dmk4ilad5l22y6cnd6iwzc7zc6xr9yql3zj21cc7c0p4h1xrgca", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBmb3JjZSBxdWl0IGJ1dHRvbiB3aGljaCBsYXVuY2hlcyB4a2lsbC5cbk9uIGFjY2lkZW50YWwgY2xpY2ssIHJpZ2h0IGNsaWNrIHRvIHVuZG8gb3IgY2xpY2sgb24gdGhlIHBhbmVsLlxuQ3VzdG9taXplIHBvc2l0aW9uIGJ5IHR3ZWFraW5nIGxpbmUgNDcgb2YgZXh0ZW5zaW9uLmpzIiwKICAibmFtZSI6ICJGb3JjZSBRdWl0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21lZ2hwcmtoL2ZvcmNlLXF1aXQvIiwKICAidXVpZCI6ICJmcUBtZWdoIiwKICAidmVyc2lvbiI6IDE5Cn0="}}}
, {"uuid": "ProxySwitcher@flannaghan.com", "name": "Proxy Switcher", "pname": "proxy-switcher", "description": "Switches between the system proxy settings profiles defined in Network Settings.", "link": "https://extensions.gnome.org/extension/771/proxy-switcher/", "shell_version_map": {"40": {"version": "14", "sha256": "1m0mj5p41fbbgwkyhc2csbk4hh8yhq6q4cm90ad74w4hb4fnm847", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaGVzIGJldHdlZW4gdGhlIHN5c3RlbSBwcm94eSBzZXR0aW5ncyBwcm9maWxlcyBkZWZpbmVkIGluIE5ldHdvcmsgU2V0dGluZ3MuIiwKICAibmFtZSI6ICJQcm94eSBTd2l0Y2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xMCIsCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yMS45MSIsCiAgICAiMy4yMi4yIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3RvbWZsYW5uYWdoYW4vcHJveHktc3dpdGNoZXIiLAogICJ1dWlkIjogIlByb3h5U3dpdGNoZXJAZmxhbm5hZ2hhbi5jb20iLAogICJ2ZXJzaW9uIjogMTQKfQ=="}}}
, {"uuid": "clipboard-indicator@tudmotu.com", "name": "Clipboard Indicator", "pname": "clipboard-indicator", "description": "Clipboard Manager extension for Gnome-Shell - Adds a clipboard indicator to the top panel, and caches clipboard history.", "link": "https://extensions.gnome.org/extension/779/clipboard-indicator/", "shell_version_map": {"38": {"version": "37", "sha256": "1nd6ydp5wmd56zf3vwrsi2wflrw15krdbvqv2fkmla8rndallp1i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNsaXBib2FyZCBNYW5hZ2VyIGV4dGVuc2lvbiBmb3IgR25vbWUtU2hlbGwgLSBBZGRzIGEgY2xpcGJvYXJkIGluZGljYXRvciB0byB0aGUgdG9wIHBhbmVsLCBhbmQgY2FjaGVzIGNsaXBib2FyZCBoaXN0b3J5LiIsCiAgIm5hbWUiOiAiQ2xpcGJvYXJkIEluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1R1ZG1vdHUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWNsaXBib2FyZC1pbmRpY2F0b3IiLAogICJ1dWlkIjogImNsaXBib2FyZC1pbmRpY2F0b3JAdHVkbW90dS5jb20iLAogICJ2ZXJzaW9uIjogMzcKfQ=="}, "40": {"version": "38", "sha256": "1dw7x4x2assyqgzz9hcclkrpxpd1xkpvf31ll76573rg98n7h5kl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNsaXBib2FyZCBNYW5hZ2VyIGV4dGVuc2lvbiBmb3IgR25vbWUtU2hlbGwgLSBBZGRzIGEgY2xpcGJvYXJkIGluZGljYXRvciB0byB0aGUgdG9wIHBhbmVsLCBhbmQgY2FjaGVzIGNsaXBib2FyZCBoaXN0b3J5LiIsCiAgIm5hbWUiOiAiQ2xpcGJvYXJkIEluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9UdWRtb3R1L2dub21lLXNoZWxsLWV4dGVuc2lvbi1jbGlwYm9hcmQtaW5kaWNhdG9yIiwKICAidXVpZCI6ICJjbGlwYm9hcmQtaW5kaWNhdG9yQHR1ZG1vdHUuY29tIiwKICAidmVyc2lvbiI6IDM4Cn0="}}}
+, {"uuid": "pidgin@muffinmad", "name": "Pidgin IM integration", "pname": "pidgin-im-integration", "description": "Integrate Pidgin IMs in the Gnome Shell message tray", "link": "https://extensions.gnome.org/extension/782/pidgin-im-integration/", "shell_version_map": {"40": {"version": "40", "sha256": "1cac3ys8j6hfn8rlkia32x8d5fq6hwc4g0s61h4agpnaiarmfsh3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkludGVncmF0ZSBQaWRnaW4gSU1zIGluIHRoZSBHbm9tZSBTaGVsbCBtZXNzYWdlIHRyYXkiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb24tcGlkZ2luIiwKICAibmFtZSI6ICJQaWRnaW4gSU0gaW50ZWdyYXRpb24iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucGlkZ2luIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL211ZmZpbm1hZC9waWRnaW4taW0tZ25vbWUtc2hlbGwtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJwaWRnaW5AbXVmZmlubWFkIiwKICAidmVyc2lvbiI6IDQwCn0="}}}
+, {"uuid": "ShutdownTimer@neumann", "name": "ShutdownTimer", "pname": "shutdowntimer", "description": "Shutdown/suspend your device after a specific time.\n\nMaximum timer value und default slider position can be modified in the settings.", "link": "https://extensions.gnome.org/extension/792/shutdowntimer/", "shell_version_map": {"38": {"version": "30", "sha256": "0y2qb5c89khs6zcknh8w4f4zfpkwc99iwsb9hj5634waf57ybi6d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNodXRkb3duL3N1c3BlbmQgeW91ciBkZXZpY2UgYWZ0ZXIgYSBzcGVjaWZpYyB0aW1lLlxuXG5NYXhpbXVtIHRpbWVyIHZhbHVlIHVuZCBkZWZhdWx0IHNsaWRlciBwb3NpdGlvbiBjYW4gYmUgbW9kaWZpZWQgaW4gdGhlIHNldHRpbmdzLiIsCiAgImdldHRleHQtZG9tYWluIjogIlNodXRkb3duVGltZXIiLAogICJuYW1lIjogIlNodXRkb3duVGltZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc2h1dGRvd250aW1lci1uZXVtYW5uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjEwIiwKICAgICIzLjEyIiwKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL25ldW1hbm4tZC9TaHV0ZG93blRpbWVyIiwKICAidXVpZCI6ICJTaHV0ZG93blRpbWVyQG5ldW1hbm4iLAogICJ2ZXJzaW9uIjogMzAKfQ=="}, "40": {"version": "30", "sha256": "0y2qb5c89khs6zcknh8w4f4zfpkwc99iwsb9hj5634waf57ybi6d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNodXRkb3duL3N1c3BlbmQgeW91ciBkZXZpY2UgYWZ0ZXIgYSBzcGVjaWZpYyB0aW1lLlxuXG5NYXhpbXVtIHRpbWVyIHZhbHVlIHVuZCBkZWZhdWx0IHNsaWRlciBwb3NpdGlvbiBjYW4gYmUgbW9kaWZpZWQgaW4gdGhlIHNldHRpbmdzLiIsCiAgImdldHRleHQtZG9tYWluIjogIlNodXRkb3duVGltZXIiLAogICJuYW1lIjogIlNodXRkb3duVGltZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc2h1dGRvd250aW1lci1uZXVtYW5uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjEwIiwKICAgICIzLjEyIiwKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL25ldW1hbm4tZC9TaHV0ZG93blRpbWVyIiwKICAidXVpZCI6ICJTaHV0ZG93blRpbWVyQG5ldW1hbm4iLAogICJ2ZXJzaW9uIjogMzAKfQ=="}}}
, {"uuid": "hide-dash@xenatt.github.com", "name": "Hide Dash X", "pname": "hide-dash", "description": "Hide the dash from the activities overview.", "link": "https://extensions.gnome.org/extension/805/hide-dash/", "shell_version_map": {"38": {"version": "10", "sha256": "059cy18awzv9qyn803zjyxiznacnf6pai8px2mb9mrbyf98153xz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgdGhlIGRhc2ggZnJvbSB0aGUgYWN0aXZpdGllcyBvdmVydmlldy4iLAogICJuYW1lIjogIkhpZGUgRGFzaCBYIiwKICAib3JpZ2luYWwtYXV0aG9yIjogInphY2JhcnRvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xMCIsCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0VkZW5ob2Zlci9NaW5pbWFsaXNtLUdub21lLVNoZWxsIiwKICAidXVpZCI6ICJoaWRlLWRhc2hAeGVuYXR0LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTAKfQ=="}}}
-, {"uuid": "keyman@dpoetzsch.github.com", "name": "KeyMan", "pname": "keyman", "description": "Access passwords from the gnome keyring in a convenient way:\nSimply search for your password and copy it to clipboad by clicking it. After a certain amount of time it will be removed automatically (default is 5 seconds). As this only works if the keyrings are unlocked, this extension also provides easy access to lock/unlock keyrings.", "link": "https://extensions.gnome.org/extension/819/keyman/", "shell_version_map": {"40": {"version": "19", "sha256": "03ykk4jaha0cnl3xz8hdnksxwyw7l23d5fbf65kclwcvvg93mj9f", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFjY2VzcyBwYXNzd29yZHMgZnJvbSB0aGUgZ25vbWUga2V5cmluZyBpbiBhIGNvbnZlbmllbnQgd2F5OlxuU2ltcGx5IHNlYXJjaCBmb3IgeW91ciBwYXNzd29yZCBhbmQgY29weSBpdCB0byBjbGlwYm9hZCBieSBjbGlja2luZyBpdC4gQWZ0ZXIgYSBjZXJ0YWluIGFtb3VudCBvZiB0aW1lIGl0IHdpbGwgYmUgcmVtb3ZlZCBhdXRvbWF0aWNhbGx5IChkZWZhdWx0IGlzIDUgc2Vjb25kcykuIEFzIHRoaXMgb25seSB3b3JrcyBpZiB0aGUga2V5cmluZ3MgYXJlIHVubG9ja2VkLCB0aGlzIGV4dGVuc2lvbiBhbHNvIHByb3ZpZGVzIGVhc3kgYWNjZXNzIHRvIGxvY2svdW5sb2NrIGtleXJpbmdzLiIsCiAgIm5hbWUiOiAiS2V5TWFuIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJrZXltYW5AcG9laGUuZGUiCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmtleW1hbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kcG9ldHpzY2gva2V5bWFuIiwKICAidXVpZCI6ICJrZXltYW5AZHBvZXR6c2NoLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTkKfQ=="}}}
+, {"uuid": "hide-workspace@xenatt.github.com", "name": "Hide Workspace Thumbnails", "pname": "hide-workspace-thumbnails", "description": "Hide workspace thumbnails from the overview. But don't worry they are still present and one can switch between them like usual with e.g. shortcuts..", "link": "https://extensions.gnome.org/extension/808/hide-workspace-thumbnails/", "shell_version_map": {"40": {"version": "16", "sha256": "0443zyqr2hwq5fj3h8zch8iav83xzj4bqn1k6qd5f2z46kvzj611", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgd29ya3NwYWNlIHRodW1ibmFpbHMgZnJvbSB0aGUgb3ZlcnZpZXcuIEJ1dCBkb24ndCB3b3JyeSB0aGV5IGFyZSBzdGlsbCBwcmVzZW50IGFuZCBvbmUgY2FuIHN3aXRjaCBiZXR3ZWVuIHRoZW0gbGlrZSB1c3VhbCB3aXRoIGUuZy4gc2hvcnRjdXRzLi4iLAogICJuYW1lIjogIkhpZGUgV29ya3NwYWNlIFRodW1ibmFpbHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTAiLAogICAgIjMuMTIiLAogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRWRlbmhvZmVyL01pbmltYWxpc20tR25vbWUtU2hlbGwiLAogICJ1dWlkIjogImhpZGUtd29ya3NwYWNlQHhlbmF0dC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE2Cn0="}}}
+, {"uuid": "keyman@dpoetzsch.github.com", "name": "KeyMan", "pname": "keyman", "description": "Access passwords from the gnome keyring in a convenient way:\nSimply search for your password and copy it to clipboad by clicking it. After a certain amount of time it will be removed automatically (default is 5 seconds). As this only works if the keyrings are unlocked, this extension also provides easy access to lock/unlock keyrings.", "link": "https://extensions.gnome.org/extension/819/keyman/", "shell_version_map": {"40": {"version": "20", "sha256": "1wd76bdnzs7mxwwyvffw0fm8r8chsblz3dinpwiyc5d5kmlnyv5v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFjY2VzcyBwYXNzd29yZHMgZnJvbSB0aGUgZ25vbWUga2V5cmluZyBpbiBhIGNvbnZlbmllbnQgd2F5OlxuU2ltcGx5IHNlYXJjaCBmb3IgeW91ciBwYXNzd29yZCBhbmQgY29weSBpdCB0byBjbGlwYm9hZCBieSBjbGlja2luZyBpdC4gQWZ0ZXIgYSBjZXJ0YWluIGFtb3VudCBvZiB0aW1lIGl0IHdpbGwgYmUgcmVtb3ZlZCBhdXRvbWF0aWNhbGx5IChkZWZhdWx0IGlzIDUgc2Vjb25kcykuIEFzIHRoaXMgb25seSB3b3JrcyBpZiB0aGUga2V5cmluZ3MgYXJlIHVubG9ja2VkLCB0aGlzIGV4dGVuc2lvbiBhbHNvIHByb3ZpZGVzIGVhc3kgYWNjZXNzIHRvIGxvY2svdW5sb2NrIGtleXJpbmdzLiIsCiAgIm5hbWUiOiAiS2V5TWFuIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJrZXltYW5AcG9laGUuZGUiCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmtleW1hbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kcG9ldHpzY2gva2V5bWFuIiwKICAidXVpZCI6ICJrZXltYW5AZHBvZXR6c2NoLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjAKfQ=="}}}
+, {"uuid": "time_tracker_jsnjack@gmail.com", "name": "Time Tracker", "pname": "time-tracker", "description": "Helps track time", "link": "https://extensions.gnome.org/extension/823/time-tracker/", "shell_version_map": {"40": {"version": "22", "sha256": "07fp4zal4s91j0z6lr07xypr7yzmc7lnnh5pplxjnyvqa5aplagy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhlbHBzIHRyYWNrIHRpbWUiLAogICJuYW1lIjogIlRpbWUgVHJhY2tlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50aW1lLXRyYWNrZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vanNuamFjay90aW1lLXRyYWNrZXIvIiwKICAidXVpZCI6ICJ0aW1lX3RyYWNrZXJfanNuamFja0BnbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMjIKfQ=="}}}
+, {"uuid": "pwcalc@thilomaurer.de", "name": "Password Calculator", "pname": "password-calculator", "description": "This extension calculates strong passwords for each alias from your single secret. No need to remember dozens of passwords any longer. No need for a password manager any longer. Full freedom in choosing aliases and secret, e.g. alias: \"username@google.com#2014\", secret: \"saFe⚿in漢字\". Recent aliases are kept in a easily accessible drop-down. You may choose between HMAC_SHA1 and SHA1. The formula is as simple as \"[secret][alias]\" → SHA1 → BASE64 ", "link": "https://extensions.gnome.org/extension/825/password-calculator/", "shell_version_map": {"40": {"version": "24", "sha256": "1kcapf1hm7zibjjpfq1qas76xvgvf77snyxm0wy8f35h8g42wc20", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNhbGN1bGF0ZXMgc3Ryb25nIHBhc3N3b3JkcyBmb3IgZWFjaCBhbGlhcyBmcm9tIHlvdXIgc2luZ2xlIHNlY3JldC4gTm8gbmVlZCB0byByZW1lbWJlciBkb3plbnMgb2YgcGFzc3dvcmRzIGFueSBsb25nZXIuIE5vIG5lZWQgZm9yIGEgcGFzc3dvcmQgbWFuYWdlciBhbnkgbG9uZ2VyLiBGdWxsIGZyZWVkb20gaW4gY2hvb3NpbmcgYWxpYXNlcyBhbmQgc2VjcmV0LCBlLmcuIGFsaWFzOiBcInVzZXJuYW1lQGdvb2dsZS5jb20jMjAxNFwiLCBzZWNyZXQ6IFwic2FGZVx1MjZiZmluXHU2ZjIyXHU1YjU3XCIuIFJlY2VudCBhbGlhc2VzIGFyZSBrZXB0IGluIGEgZWFzaWx5IGFjY2Vzc2libGUgZHJvcC1kb3duLiBZb3UgbWF5IGNob29zZSBiZXR3ZWVuIEhNQUNfU0hBMSBhbmQgU0hBMS4gVGhlIGZvcm11bGEgaXMgYXMgc2ltcGxlIGFzIFwiW3NlY3JldF1bYWxpYXNdXCIgXHUyMTkyIFNIQTEgXHUyMTkyIEJBU0U2NCAiLAogICJuYW1lIjogIlBhc3N3b3JkIENhbGN1bGF0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucHdjYWxjIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3RoaWxvbWF1cmVyL3B3Y2FsYyIsCiAgInV1aWQiOiAicHdjYWxjQHRoaWxvbWF1cmVyLmRlIiwKICAidmVyc2lvbiI6IDI0Cn0="}}}
, {"uuid": "SwitchFocusType@romano.rgtti.com", "name": "Switch Focus Type", "pname": "switch-focus-type", "description": "Toggle between focus-follow-mouse and click-to-focus mode", "link": "https://extensions.gnome.org/extension/827/switch-focus-type/", "shell_version_map": {"38": {"version": "5", "sha256": "08vdwz4vdjdngaxizygkkgs693hnihy3wwnzw49pkdn6m006yr72", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZSBiZXR3ZWVuIGZvY3VzLWZvbGxvdy1tb3VzZSBhbmQgY2xpY2stdG8tZm9jdXMgbW9kZSIsCiAgIm5hbWUiOiAiU3dpdGNoIEZvY3VzIFR5cGUiLAogICJvcmlnaW5hbC1hdXRob3JzIjogIlJvbWFubyBHaWFubmV0dGkgPHJvbWFuby5naWFubmV0dGlAZ21haWwuY29tPiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1JtYW5vL2dzZS1zd2l0Y2gtZm9jdXMtbW9kZSIsCiAgInV1aWQiOiAiU3dpdGNoRm9jdXNUeXBlQHJvbWFuby5yZ3R0aS5jb20iLAogICJ2ZXJzaW9uIjogNQp9"}}}
, {"uuid": "radio@hslbck.gmail.com", "name": "Internet Radio", "pname": "internet-radio", "description": "Listen to an Internet Radio Stream", "link": "https://extensions.gnome.org/extension/836/internet-radio/", "shell_version_map": {"38": {"version": "14", "sha256": "013wbf3npz7f438i39cd41s6whs4lgaigv4i1zais994n9ybw5y9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpc3RlbiB0byBhbiBJbnRlcm5ldCBSYWRpbyBTdHJlYW0iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJyYWRpb0Boc2xiY2suZ21haWwuY29tIiwKICAibmFtZSI6ICJJbnRlcm5ldCBSYWRpbyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5yYWRpbyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hzbGJjay9nbm9tZS1zaGVsbC1leHRlbnNpb24tcmFkaW8iLAogICJ1dWlkIjogInJhZGlvQGhzbGJjay5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTQKfQ=="}, "40": {"version": "15", "sha256": "0l5wi3f78shh2p23mjpjazygbw8wxnmzmwmjs0ng317ya2hnzkh4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpc3RlbiB0byBhbiBJbnRlcm5ldCBSYWRpbyBTdHJlYW0iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJyYWRpb0Boc2xiY2suZ21haWwuY29tIiwKICAibmFtZSI6ICJJbnRlcm5ldCBSYWRpbyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5yYWRpbyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9oc2xiY2svZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXJhZGlvIiwKICAidXVpZCI6ICJyYWRpb0Boc2xiY2suZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDE1Cn0="}}}
-, {"uuid": "freon@UshakovVasilii_Github.yahoo.com", "name": "Freon", "pname": "freon", "description": "Shows CPU temperature, disk temperature, video card temperature (NVIDIA/Catalyst/Bumblebee&NVIDIA), voltage and fan RPM (forked from xtranophilist/gnome-shell-extension-sensors)", "link": "https://extensions.gnome.org/extension/841/freon/", "shell_version_map": {"38": {"version": "43", "sha256": "06jj01flj6iyx93aqz8ipzijnrammp6xqpgqwxfh0pf2clr6nwrq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIENQVSB0ZW1wZXJhdHVyZSwgZGlzayB0ZW1wZXJhdHVyZSwgdmlkZW8gY2FyZCB0ZW1wZXJhdHVyZSAoTlZJRElBL0NhdGFseXN0L0J1bWJsZWJlZSZOVklESUEpLCB2b2x0YWdlIGFuZCBmYW4gUlBNIChmb3JrZWQgZnJvbSB4dHJhbm9waGlsaXN0L2dub21lLXNoZWxsLWV4dGVuc2lvbi1zZW5zb3JzKSIsCiAgImdldHRleHQtZG9tYWluIjogImZyZW9uIiwKICAibmFtZSI6ICJGcmVvbiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mcmVvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1VzaGFrb3ZWYXNpbGlpL2dub21lLXNoZWxsLWV4dGVuc2lvbi1mcmVvbiIsCiAgInV1aWQiOiAiZnJlb25AVXNoYWtvdlZhc2lsaWlfR2l0aHViLnlhaG9vLmNvbSIsCiAgInZlcnNpb24iOiA0Mwp9"}, "40": {"version": "44", "sha256": "0w8knrx85wd9yfjrki6vvsjwljkdcnl5677aj05f7nhg26ipsqqz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIENQVSB0ZW1wZXJhdHVyZSwgZGlzayB0ZW1wZXJhdHVyZSwgdmlkZW8gY2FyZCB0ZW1wZXJhdHVyZSAoTlZJRElBL0NhdGFseXN0L0J1bWJsZWJlZSZOVklESUEpLCB2b2x0YWdlIGFuZCBmYW4gUlBNIChmb3JrZWQgZnJvbSB4dHJhbm9waGlsaXN0L2dub21lLXNoZWxsLWV4dGVuc2lvbi1zZW5zb3JzKSIsCiAgImdldHRleHQtZG9tYWluIjogImZyZW9uIiwKICAibmFtZSI6ICJGcmVvbiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mcmVvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1VzaGFrb3ZWYXNpbGlpL2dub21lLXNoZWxsLWV4dGVuc2lvbi1mcmVvbiIsCiAgInV1aWQiOiAiZnJlb25AVXNoYWtvdlZhc2lsaWlfR2l0aHViLnlhaG9vLmNvbSIsCiAgInZlcnNpb24iOiA0NAp9"}}}
-, {"uuid": "shell-volume-mixer@derhofbauer.at", "name": "Volume Mixer", "pname": "volume-mixer", "description": "Applet allowing separate configuration of PulseAudio mixers.\n\nShell Volume Mixer is an extension for GNOME Shell allowing separate configuration of PulseAudio devices and output switches. It features a profile switcher to quickly switch between pinned profiles and devices.\n\nMiddle mouse click on a slider mutes the selected stream.\n\nPlease file bugs and feature requests on the GitHub page.", "link": "https://extensions.gnome.org/extension/858/volume-mixer/", "shell_version_map": {"38": {"version": "39", "sha256": "1cnyapjvqri5k5m4nbcmbcx97b4akwv32h7ddav2ipahqh1lqqzj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGxldCBhbGxvd2luZyBzZXBhcmF0ZSBjb25maWd1cmF0aW9uIG9mIFB1bHNlQXVkaW8gbWl4ZXJzLlxuXG5TaGVsbCBWb2x1bWUgTWl4ZXIgaXMgYW4gZXh0ZW5zaW9uIGZvciBHTk9NRSBTaGVsbCBhbGxvd2luZyBzZXBhcmF0ZSBjb25maWd1cmF0aW9uIG9mIFB1bHNlQXVkaW8gZGV2aWNlcyBhbmQgb3V0cHV0IHN3aXRjaGVzLiBJdCBmZWF0dXJlcyBhIHByb2ZpbGUgc3dpdGNoZXIgdG8gcXVpY2tseSBzd2l0Y2ggYmV0d2VlbiBwaW5uZWQgcHJvZmlsZXMgYW5kIGRldmljZXMuXG5cbk1pZGRsZSBtb3VzZSBjbGljayBvbiBhIHNsaWRlciBtdXRlcyB0aGUgc2VsZWN0ZWQgc3RyZWFtLlxuXG5QbGVhc2UgZmlsZSBidWdzIGFuZCBmZWF0dXJlIHJlcXVlc3RzIG9uIHRoZSBHaXRIdWIgcGFnZS4iLAogICJuYW1lIjogIlZvbHVtZSBNaXhlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FsZWhvL2dub21lLXNoZWxsLXZvbHVtZS1taXhlciIsCiAgInV1aWQiOiAic2hlbGwtdm9sdW1lLW1peGVyQGRlcmhvZmJhdWVyLmF0IiwKICAidmVyc2lvbiI6IDM5Cn0="}}}
-, {"uuid": "screenshot-window-sizer@gnome-shell-extensions.gcampax.github.com", "name": "Screenshot Window Sizer", "pname": "screenshot-window-sizer", "description": "Resize windows for GNOME Software screenshots", "link": "https://extensions.gnome.org/extension/881/screenshot-window-sizer/", "shell_version_map": {"38": {"version": "22", "sha256": "18b8f2agv397pdyaicx2qirqfnm0swbnspw43kb2hr0jn8lkzz1v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlc2l6ZSB3aW5kb3dzIGZvciBHTk9NRSBTb2Z0d2FyZSBzY3JlZW5zaG90cyIsCiAgImV4dGVuc2lvbi1pZCI6ICJzY3JlZW5zaG90LXdpbmRvdy1zaXplciIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIlNjcmVlbnNob3QgV2luZG93IFNpemVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNjcmVlbnNob3Qtd2luZG93LXNpemVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAic2NyZWVuc2hvdC13aW5kb3ctc2l6ZXJAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjIKfQ=="}, "40": {"version": "24", "sha256": "0igv1lnnbdjyl2l3sbx32i10w2wk18mms3mvnjr7q737m8aly77p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlc2l6ZSB3aW5kb3dzIGZvciBHTk9NRSBTb2Z0d2FyZSBzY3JlZW5zaG90cyIsCiAgImV4dGVuc2lvbi1pZCI6ICJzY3JlZW5zaG90LXdpbmRvdy1zaXplciIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIlNjcmVlbnNob3QgV2luZG93IFNpemVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNjcmVlbnNob3Qtd2luZG93LXNpemVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAic2NyZWVuc2hvdC13aW5kb3ctc2l6ZXJAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjQKfQ=="}}}
+, {"uuid": "freon@UshakovVasilii_Github.yahoo.com", "name": "Freon", "pname": "freon", "description": "Shows CPU temperature, disk temperature, video card temperature (NVIDIA/Catalyst/Bumblebee&NVIDIA), voltage and fan RPM (forked from xtranophilist/gnome-shell-extension-sensors)", "link": "https://extensions.gnome.org/extension/841/freon/", "shell_version_map": {"38": {"version": "43", "sha256": "06jj01flj6iyx93aqz8ipzijnrammp6xqpgqwxfh0pf2clr6nwrq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIENQVSB0ZW1wZXJhdHVyZSwgZGlzayB0ZW1wZXJhdHVyZSwgdmlkZW8gY2FyZCB0ZW1wZXJhdHVyZSAoTlZJRElBL0NhdGFseXN0L0J1bWJsZWJlZSZOVklESUEpLCB2b2x0YWdlIGFuZCBmYW4gUlBNIChmb3JrZWQgZnJvbSB4dHJhbm9waGlsaXN0L2dub21lLXNoZWxsLWV4dGVuc2lvbi1zZW5zb3JzKSIsCiAgImdldHRleHQtZG9tYWluIjogImZyZW9uIiwKICAibmFtZSI6ICJGcmVvbiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mcmVvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1VzaGFrb3ZWYXNpbGlpL2dub21lLXNoZWxsLWV4dGVuc2lvbi1mcmVvbiIsCiAgInV1aWQiOiAiZnJlb25AVXNoYWtvdlZhc2lsaWlfR2l0aHViLnlhaG9vLmNvbSIsCiAgInZlcnNpb24iOiA0Mwp9"}, "40": {"version": "45", "sha256": "129gpr57qj8c8180hzzszvkvylgg1i6ql4hwf45v49s3q17lrilh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIENQVSB0ZW1wZXJhdHVyZSwgZGlzayB0ZW1wZXJhdHVyZSwgdmlkZW8gY2FyZCB0ZW1wZXJhdHVyZSAoTlZJRElBL0NhdGFseXN0L0J1bWJsZWJlZSZOVklESUEpLCB2b2x0YWdlIGFuZCBmYW4gUlBNIChmb3JrZWQgZnJvbSB4dHJhbm9waGlsaXN0L2dub21lLXNoZWxsLWV4dGVuc2lvbi1zZW5zb3JzKSIsCiAgImdldHRleHQtZG9tYWluIjogImZyZW9uIiwKICAibmFtZSI6ICJGcmVvbiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mcmVvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vVXNoYWtvdlZhc2lsaWkvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWZyZW9uIiwKICAidXVpZCI6ICJmcmVvbkBVc2hha292VmFzaWxpaV9HaXRodWIueWFob28uY29tIiwKICAidmVyc2lvbiI6IDQ1Cn0="}}}
+, {"uuid": "shell-volume-mixer@derhofbauer.at", "name": "Volume Mixer", "pname": "volume-mixer", "description": "Applet allowing separate configuration of PulseAudio mixers.\n\nShell Volume Mixer is an extension for GNOME Shell allowing separate configuration of PulseAudio devices and output switches. It features a profile switcher to quickly switch between pinned profiles and devices.\n\nMiddle mouse click on a slider mutes the selected stream.\n\nPlease file bugs and feature requests on the GitHub page.", "link": "https://extensions.gnome.org/extension/858/volume-mixer/", "shell_version_map": {"38": {"version": "39", "sha256": "1cnyapjvqri5k5m4nbcmbcx97b4akwv32h7ddav2ipahqh1lqqzj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGxldCBhbGxvd2luZyBzZXBhcmF0ZSBjb25maWd1cmF0aW9uIG9mIFB1bHNlQXVkaW8gbWl4ZXJzLlxuXG5TaGVsbCBWb2x1bWUgTWl4ZXIgaXMgYW4gZXh0ZW5zaW9uIGZvciBHTk9NRSBTaGVsbCBhbGxvd2luZyBzZXBhcmF0ZSBjb25maWd1cmF0aW9uIG9mIFB1bHNlQXVkaW8gZGV2aWNlcyBhbmQgb3V0cHV0IHN3aXRjaGVzLiBJdCBmZWF0dXJlcyBhIHByb2ZpbGUgc3dpdGNoZXIgdG8gcXVpY2tseSBzd2l0Y2ggYmV0d2VlbiBwaW5uZWQgcHJvZmlsZXMgYW5kIGRldmljZXMuXG5cbk1pZGRsZSBtb3VzZSBjbGljayBvbiBhIHNsaWRlciBtdXRlcyB0aGUgc2VsZWN0ZWQgc3RyZWFtLlxuXG5QbGVhc2UgZmlsZSBidWdzIGFuZCBmZWF0dXJlIHJlcXVlc3RzIG9uIHRoZSBHaXRIdWIgcGFnZS4iLAogICJuYW1lIjogIlZvbHVtZSBNaXhlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FsZWhvL2dub21lLXNoZWxsLXZvbHVtZS1taXhlciIsCiAgInV1aWQiOiAic2hlbGwtdm9sdW1lLW1peGVyQGRlcmhvZmJhdWVyLmF0IiwKICAidmVyc2lvbiI6IDM5Cn0="}, "40": {"version": "40", "sha256": "1s4jzq1iy006k3m0r28qiayb46135y71qqv583k1sn9sppda5b4d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGxldCBhbGxvd2luZyBzZXBhcmF0ZSBjb25maWd1cmF0aW9uIG9mIFB1bHNlQXVkaW8gbWl4ZXJzLlxuXG5TaGVsbCBWb2x1bWUgTWl4ZXIgaXMgYW4gZXh0ZW5zaW9uIGZvciBHTk9NRSBTaGVsbCBhbGxvd2luZyBzZXBhcmF0ZSBjb25maWd1cmF0aW9uIG9mIFB1bHNlQXVkaW8gZGV2aWNlcyBhbmQgb3V0cHV0IHN3aXRjaGVzLiBJdCBmZWF0dXJlcyBhIHByb2ZpbGUgc3dpdGNoZXIgdG8gcXVpY2tseSBzd2l0Y2ggYmV0d2VlbiBwaW5uZWQgcHJvZmlsZXMgYW5kIGRldmljZXMuXG5cbk1pZGRsZSBtb3VzZSBjbGljayBvbiBhIHNsaWRlciBtdXRlcyB0aGUgc2VsZWN0ZWQgc3RyZWFtLlxuXG5QbGVhc2UgZmlsZSBidWdzIGFuZCBmZWF0dXJlIHJlcXVlc3RzIG9uIHRoZSBHaXRIdWIgcGFnZS4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zLXNoZWxsLXZvbHVtZS1taXhlciIsCiAgIm5hbWUiOiAiVm9sdW1lIE1peGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNoZWxsLXZvbHVtZS1taXhlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbGVoby9nbm9tZS1zaGVsbC12b2x1bWUtbWl4ZXIiLAogICJ1dWlkIjogInNoZWxsLXZvbHVtZS1taXhlckBkZXJob2ZiYXVlci5hdCIsCiAgInZlcnNpb24iOiA0MAp9"}}}
+, {"uuid": "FRC@jcdubacq.dubacq.fr", "name": "French Republican Calendar", "pname": "french-republican-calendar", "description": "Displays the French Republican Calendar in the top panel", "link": "https://extensions.gnome.org/extension/874/french-republican-calendar/", "shell_version_map": {"38": {"version": "11", "sha256": "13mypljavdmy6rj00cphnkjiimdxw1hzj7mhzlq8m99x5h8d9ahg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIHRoZSBGcmVuY2ggUmVwdWJsaWNhbiBDYWxlbmRhciBpbiB0aGUgdG9wIHBhbmVsIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiRlJDIiwKICAibmFtZSI6ICJGcmVuY2ggUmVwdWJsaWNhbiBDYWxlbmRhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOC4xIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJGUkNAamNkdWJhY3EuZHViYWNxLmZyIiwKICAidmVyc2lvbiI6IDExCn0="}, "40": {"version": "11", "sha256": "13mypljavdmy6rj00cphnkjiimdxw1hzj7mhzlq8m99x5h8d9ahg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIHRoZSBGcmVuY2ggUmVwdWJsaWNhbiBDYWxlbmRhciBpbiB0aGUgdG9wIHBhbmVsIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiRlJDIiwKICAibmFtZSI6ICJGcmVuY2ggUmVwdWJsaWNhbiBDYWxlbmRhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOC4xIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJGUkNAamNkdWJhY3EuZHViYWNxLmZyIiwKICAidmVyc2lvbiI6IDExCn0="}}}
+, {"uuid": "screenshot-window-sizer@gnome-shell-extensions.gcampax.github.com", "name": "Screenshot Window Sizer", "pname": "screenshot-window-sizer", "description": "Resize windows for GNOME Software screenshots", "link": "https://extensions.gnome.org/extension/881/screenshot-window-sizer/", "shell_version_map": {"38": {"version": "22", "sha256": "18b8f2agv397pdyaicx2qirqfnm0swbnspw43kb2hr0jn8lkzz1v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlc2l6ZSB3aW5kb3dzIGZvciBHTk9NRSBTb2Z0d2FyZSBzY3JlZW5zaG90cyIsCiAgImV4dGVuc2lvbi1pZCI6ICJzY3JlZW5zaG90LXdpbmRvdy1zaXplciIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIlNjcmVlbnNob3QgV2luZG93IFNpemVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNjcmVlbnNob3Qtd2luZG93LXNpemVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAic2NyZWVuc2hvdC13aW5kb3ctc2l6ZXJAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjIKfQ=="}, "40": {"version": "25", "sha256": "0pdf76kf6z7m9wvqsy36v1r02zfk8pgkpf21im2cq9x4qwh9gkf2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlc2l6ZSB3aW5kb3dzIGZvciBHTk9NRSBTb2Z0d2FyZSBzY3JlZW5zaG90cyIsCiAgImV4dGVuc2lvbi1pZCI6ICJzY3JlZW5zaG90LXdpbmRvdy1zaXplciIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIlNjcmVlbnNob3QgV2luZG93IFNpemVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNjcmVlbnNob3Qtd2luZG93LXNpemVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogInNjcmVlbnNob3Qtd2luZG93LXNpemVyQGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDI1Cn0="}}}
, {"uuid": "mailnag@pulb.github.com", "name": "Mailnag", "pname": "mailnag", "description": "Mail indicator (GMail, IMAP, POP) for GNOME.\n\nPlease note that this extension requires the mailnag daemon.\nInstall it from your distros package repositories or get it here:\nhttps://github.com/pulb/mailnag\n\nPlease also note that this version of the extension does not support avatars (as shown in the screenshot).\nIf you like to have avatar support install this extension from your distros package repositories or get a package from here:\nhttps://github.com/pulb/mailnag-gnome-shell\n\nIMPORTANT:\nI do not get notifications for user comments. Please always report bugs here:\nhttps://github.com/pulb/mailnag-gnome-shell/issues", "link": "https://extensions.gnome.org/extension/886/mailnag/", "shell_version_map": {"38": {"version": "20", "sha256": "15n816y34qlc7va72q75ngzw1my3n5j7xhg9a6dc0g8q4dd0g2r9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1haWwgaW5kaWNhdG9yIChHTWFpbCwgSU1BUCwgUE9QKSBmb3IgR05PTUUuXG5cblBsZWFzZSBub3RlIHRoYXQgdGhpcyBleHRlbnNpb24gcmVxdWlyZXMgdGhlIG1haWxuYWcgZGFlbW9uLlxuSW5zdGFsbCBpdCBmcm9tIHlvdXIgZGlzdHJvcyBwYWNrYWdlIHJlcG9zaXRvcmllcyBvciBnZXQgaXQgaGVyZTpcbmh0dHBzOi8vZ2l0aHViLmNvbS9wdWxiL21haWxuYWdcblxuUGxlYXNlIGFsc28gbm90ZSB0aGF0IHRoaXMgdmVyc2lvbiBvZiB0aGUgZXh0ZW5zaW9uIGRvZXMgbm90IHN1cHBvcnQgYXZhdGFycyAoYXMgc2hvd24gaW4gdGhlIHNjcmVlbnNob3QpLlxuSWYgeW91IGxpa2UgdG8gaGF2ZSBhdmF0YXIgc3VwcG9ydCBpbnN0YWxsIHRoaXMgZXh0ZW5zaW9uIGZyb20geW91ciBkaXN0cm9zIHBhY2thZ2UgcmVwb3NpdG9yaWVzIG9yIGdldCBhIHBhY2thZ2UgZnJvbSBoZXJlOlxuaHR0cHM6Ly9naXRodWIuY29tL3B1bGIvbWFpbG5hZy1nbm9tZS1zaGVsbFxuXG5JTVBPUlRBTlQ6XG5JIGRvIG5vdCBnZXQgbm90aWZpY2F0aW9ucyBmb3IgdXNlciBjb21tZW50cy4gUGxlYXNlIGFsd2F5cyByZXBvcnQgYnVncyBoZXJlOlxuaHR0cHM6Ly9naXRodWIuY29tL3B1bGIvbWFpbG5hZy1nbm9tZS1zaGVsbC9pc3N1ZXMiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJtYWlsbmFnLWdub21lLXNoZWxsIiwKICAibmFtZSI6ICJNYWlsbmFnIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1haWxuYWciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAibWFpbG5hZ0BwdWxiLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjAKfQ=="}, "40": {"version": "21", "sha256": "060lmc6jacjv1p4a6n7c3l0kmfskq012pgrf2gar0kf49lqrp665", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1haWwgaW5kaWNhdG9yIChHTWFpbCwgSU1BUCwgUE9QKSBmb3IgR05PTUUuXG5cblBsZWFzZSBub3RlIHRoYXQgdGhpcyBleHRlbnNpb24gcmVxdWlyZXMgdGhlIG1haWxuYWcgZGFlbW9uLlxuSW5zdGFsbCBpdCBmcm9tIHlvdXIgZGlzdHJvcyBwYWNrYWdlIHJlcG9zaXRvcmllcyBvciBnZXQgaXQgaGVyZTpcbmh0dHBzOi8vZ2l0aHViLmNvbS9wdWxiL21haWxuYWdcblxuUGxlYXNlIGFsc28gbm90ZSB0aGF0IHRoaXMgdmVyc2lvbiBvZiB0aGUgZXh0ZW5zaW9uIGRvZXMgbm90IHN1cHBvcnQgYXZhdGFycyAoYXMgc2hvd24gaW4gdGhlIHNjcmVlbnNob3QpLlxuSWYgeW91IGxpa2UgdG8gaGF2ZSBhdmF0YXIgc3VwcG9ydCBpbnN0YWxsIHRoaXMgZXh0ZW5zaW9uIGZyb20geW91ciBkaXN0cm9zIHBhY2thZ2UgcmVwb3NpdG9yaWVzIG9yIGdldCBhIHBhY2thZ2UgZnJvbSBoZXJlOlxuaHR0cHM6Ly9naXRodWIuY29tL3B1bGIvbWFpbG5hZy1nbm9tZS1zaGVsbFxuXG5JTVBPUlRBTlQ6XG5JIGRvIG5vdCBnZXQgbm90aWZpY2F0aW9ucyBmb3IgdXNlciBjb21tZW50cy4gUGxlYXNlIGFsd2F5cyByZXBvcnQgYnVncyBoZXJlOlxuaHR0cHM6Ly9naXRodWIuY29tL3B1bGIvbWFpbG5hZy1nbm9tZS1zaGVsbC9pc3N1ZXMiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJtYWlsbmFnLWdub21lLXNoZWxsIiwKICAibmFtZSI6ICJNYWlsbmFnIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1haWxuYWciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIm1haWxuYWdAcHVsYi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIxCn0="}}}
, {"uuid": "mmod-panel@mmogp.com", "name": "MMOD Panel", "pname": "mmod-panel", "description": "Upgrades the Topbar in Gnome3, creating a customizable panel and providing options for fine-tuning your Desktop Experience.\n\nFeatures include:\n▸ Set comfort levels to provide theme support and fine-tune the overall look and feel of the panel.\n▸ Set the location/position of the panel (bottom by default).\n▸ Add a button to the panel in place of the activities link, using an icon of your preference.\n▸ Auto-hide the panel when not active/in-focus (makes use of pressure/gesture for showing the panel).\n▸ Display and manage your favorites/running apps directly on the panel.\n▸ Move the date menu to the aggregate/tray area.\n▸ Access and manage your extension preferences directly from the aggregate menu.\n▸ Customize behavior of the overview and panel(hot-corners/animations/effects) to suit your preferences.\n▸ More to come soon!\n\nThis project is loosely based on the Panel Settings extension:\nhttps://github.com/eddiefullmetal/gnome-shell-extensions/tree/master/panelSettings%40eddiefullmetal.gr\n\nSadly, Panel Settings has not seen any maintenance in years, though this is why I decided to create MMOD Panel.\n\nI also took inspiration from the following Gnome extensions: System-Monitor, Taskbar, and DashToDock.\n\nFor those of you who are wondering, the theme used in the screen shot is the Zukitwo-Dark-Shell Shell Theme; \neverything else is default Gnome on Debian Buster. However, the author of the aforementioned shell theme has \nchanged the name for various reasons to Ciliora-Prima-Shell - which can be found here:\n\n http://gnome-look.org/content/show.php?content=165096\n\nVersion 10 (1.2.1-10) for Gnome-Shell: 3.10, 3.12, 3.12.2, 3.14, 3.14.4, 3.16, 3.16.2, 3.18, 3.20, 3.22, 3.24, 3.26, 3.26.2, 3.28, 3.30, 3.32, 3.34, 3.35, 3.35.91, 3.36, 3.36.3, 3.36.4, 3.36.6, 3.38, 3.38.2\nRik \n\nGerman Translation(s) for MMOD-Panel courtesy of Jonius Zeidler \n\nSource Repository: https://gitlab.com/mmod/mmod-panel/\n", "link": "https://extensions.gnome.org/extension/898/mmod-panel/", "shell_version_map": {"38": {"version": "10", "sha256": "00c52ddg039hd0r6qkq8hmhcl6x6s8lbndn7g53cscjwd0yqxk7j", "metadata": "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"}}}
+, {"uuid": "modern-calc@kaer", "name": "Modern Calc", "pname": "modern-calc", "description": "A full featured calculator for gnome-shell.", "link": "https://extensions.gnome.org/extension/900/modern-calc/", "shell_version_map": {"38": {"version": "11", "sha256": "0f0fmldcr8ywghp8w61wvi2qb29yc82xmgkqb7khj14zgv4l6apw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZnVsbCBmZWF0dXJlZCBjYWxjdWxhdG9yIGZvciBnbm9tZS1zaGVsbC4iLAogICJuYW1lIjogIk1vZGVybiBDYWxjIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIkthZXIgKHRoZS50aGluLmtpbmcud2F5KzIwMTRAZ21haWwuY29tKSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5tb2Rlcm4tY2FsYyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2thZXIvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLW1vZGVybi1jYWxjIiwKICAidXVpZCI6ICJtb2Rlcm4tY2FsY0BrYWVyIiwKICAidmVyc2lvbiI6IDExCn0="}}}
, {"uuid": "disconnect-wifi@kgshank.net", "name": "Disconnect Wifi", "pname": "disconnect-wifi", "description": "Adds a Disconnect option for Wifi in status menu, when a network is connected. Shows a Reconnect option, after network is disconnected.", "link": "https://extensions.gnome.org/extension/904/disconnect-wifi/", "shell_version_map": {"38": {"version": "28", "sha256": "0j5f3ckx43zqdhr5iby36rhllgd9pvl8sshpzwfwdwff220yablr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBEaXNjb25uZWN0IG9wdGlvbiBmb3IgV2lmaSBpbiBzdGF0dXMgbWVudSwgd2hlbiBhIG5ldHdvcmsgaXMgY29ubmVjdGVkLiBTaG93cyBhIFJlY29ubmVjdCBvcHRpb24sIGFmdGVyIG5ldHdvcmsgaXMgZGlzY29ubmVjdGVkLiIsCiAgIm5hbWUiOiAiRGlzY29ubmVjdCBXaWZpIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIkdvcEkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20va2dzaGFuay9nc2UtZGlzY29ubmVjdC13aWZpIiwKICAidXVpZCI6ICJkaXNjb25uZWN0LXdpZmlAa2dzaGFuay5uZXQiLAogICJ2ZXJzaW9uIjogMjgKfQ=="}, "40": {"version": "28", "sha256": "0j5f3ckx43zqdhr5iby36rhllgd9pvl8sshpzwfwdwff220yablr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBEaXNjb25uZWN0IG9wdGlvbiBmb3IgV2lmaSBpbiBzdGF0dXMgbWVudSwgd2hlbiBhIG5ldHdvcmsgaXMgY29ubmVjdGVkLiBTaG93cyBhIFJlY29ubmVjdCBvcHRpb24sIGFmdGVyIG5ldHdvcmsgaXMgZGlzY29ubmVjdGVkLiIsCiAgIm5hbWUiOiAiRGlzY29ubmVjdCBXaWZpIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIkdvcEkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20va2dzaGFuay9nc2UtZGlzY29ubmVjdC13aWZpIiwKICAidXVpZCI6ICJkaXNjb25uZWN0LXdpZmlAa2dzaGFuay5uZXQiLAogICJ2ZXJzaW9uIjogMjgKfQ=="}}}
, {"uuid": "refresh-wifi@kgshank.net", "name": "Refresh Wifi Connections", "pname": "refresh-wifi-connections", "description": "This extension adds a refresh button to the Wi-Fi connection selection dialog to manually request for a network scan.\n\nNOTE: In GNOME Shell 3.32 and above, the available network list is automatically updated every 15 seconds, so most people probably don't need this extension anymore.", "link": "https://extensions.gnome.org/extension/905/refresh-wifi-connections/", "shell_version_map": {"38": {"version": "14", "sha256": "18jszbk9sszyjvjdh28s1ynj8s5f8y5xqh4mqy1msnbg68m228bc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFkZHMgYSByZWZyZXNoIGJ1dHRvbiB0byB0aGUgV2ktRmkgY29ubmVjdGlvbiBzZWxlY3Rpb24gZGlhbG9nIHRvIG1hbnVhbGx5IHJlcXVlc3QgZm9yIGEgbmV0d29yayBzY2FuLlxuXG5OT1RFOiBJbiBHTk9NRSBTaGVsbCAzLjMyIGFuZCBhYm92ZSwgdGhlIGF2YWlsYWJsZSBuZXR3b3JrIGxpc3QgaXMgYXV0b21hdGljYWxseSB1cGRhdGVkIGV2ZXJ5IDE1IHNlY29uZHMsIHNvIG1vc3QgcGVvcGxlIHByb2JhYmx5IGRvbid0IG5lZWQgdGhpcyBleHRlbnNpb24gYW55bW9yZS4iLAogICJuYW1lIjogIlJlZnJlc2ggV2lmaSBDb25uZWN0aW9ucyIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJHb3BJIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20va2dzaGFuay9nc2UtcmVmcmVzaC13aWZpIiwKICAidXVpZCI6ICJyZWZyZXNoLXdpZmlAa2dzaGFuay5uZXQiLAogICJ2ZXJzaW9uIjogMTQKfQ=="}}}
, {"uuid": "sound-output-device-chooser@kgshank.net", "name": "Sound Input & Output Device Chooser", "pname": "sound-output-device-chooser", "description": "Shows a list of sound output and input devices (similar to gnome sound settings) in the status menu below the volume slider. Various active ports like HDMI , Speakers etc. of the same device are also displayed for selection. V20+ needs python as dependency. If you want to continue with the old method without Python, use options to switch off New Port identification. But it works with only English", "link": "https://extensions.gnome.org/extension/906/sound-output-device-chooser/", "shell_version_map": {"38": {"version": "38", "sha256": "1dxn1cag03y9kak9pipniwazqai3mxizmhjm5gp86ifgaaaps5sc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGEgbGlzdCBvZiBzb3VuZCBvdXRwdXQgYW5kIGlucHV0IGRldmljZXMgKHNpbWlsYXIgdG8gZ25vbWUgc291bmQgc2V0dGluZ3MpIGluIHRoZSBzdGF0dXMgbWVudSBiZWxvdyB0aGUgdm9sdW1lIHNsaWRlci4gVmFyaW91cyBhY3RpdmUgcG9ydHMgbGlrZSBIRE1JICwgU3BlYWtlcnMgZXRjLiBvZiB0aGUgc2FtZSBkZXZpY2UgYXJlIGFsc28gZGlzcGxheWVkIGZvciBzZWxlY3Rpb24uIFYyMCsgbmVlZHMgcHl0aG9uIGFzIGRlcGVuZGVuY3kuIElmIHlvdSB3YW50IHRvIGNvbnRpbnVlIHdpdGggdGhlIG9sZCBtZXRob2Qgd2l0aG91dCBQeXRob24sIHVzZSBvcHRpb25zIHRvIHN3aXRjaCBvZmYgTmV3IFBvcnQgaWRlbnRpZmljYXRpb24uIEJ1dCBpdCB3b3JrcyB3aXRoIG9ubHkgRW5nbGlzaCIsCiAgIm5hbWUiOiAiU291bmQgSW5wdXQgJiBPdXRwdXQgRGV2aWNlIENob29zZXIiLAogICJvcmlnaW5hbC1hdXRob3IiOiAiR29wSSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9rZ3NoYW5rL2dzZS1zb3VuZC1vdXRwdXQtZGV2aWNlLWNob29zZXIiLAogICJ1dWlkIjogInNvdW5kLW91dHB1dC1kZXZpY2UtY2hvb3NlckBrZ3NoYW5rLm5ldCIsCiAgInZlcnNpb24iOiAzOAp9"}, "40": {"version": "38", "sha256": "1dxn1cag03y9kak9pipniwazqai3mxizmhjm5gp86ifgaaaps5sc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGEgbGlzdCBvZiBzb3VuZCBvdXRwdXQgYW5kIGlucHV0IGRldmljZXMgKHNpbWlsYXIgdG8gZ25vbWUgc291bmQgc2V0dGluZ3MpIGluIHRoZSBzdGF0dXMgbWVudSBiZWxvdyB0aGUgdm9sdW1lIHNsaWRlci4gVmFyaW91cyBhY3RpdmUgcG9ydHMgbGlrZSBIRE1JICwgU3BlYWtlcnMgZXRjLiBvZiB0aGUgc2FtZSBkZXZpY2UgYXJlIGFsc28gZGlzcGxheWVkIGZvciBzZWxlY3Rpb24uIFYyMCsgbmVlZHMgcHl0aG9uIGFzIGRlcGVuZGVuY3kuIElmIHlvdSB3YW50IHRvIGNvbnRpbnVlIHdpdGggdGhlIG9sZCBtZXRob2Qgd2l0aG91dCBQeXRob24sIHVzZSBvcHRpb25zIHRvIHN3aXRjaCBvZmYgTmV3IFBvcnQgaWRlbnRpZmljYXRpb24uIEJ1dCBpdCB3b3JrcyB3aXRoIG9ubHkgRW5nbGlzaCIsCiAgIm5hbWUiOiAiU291bmQgSW5wdXQgJiBPdXRwdXQgRGV2aWNlIENob29zZXIiLAogICJvcmlnaW5hbC1hdXRob3IiOiAiR29wSSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9rZ3NoYW5rL2dzZS1zb3VuZC1vdXRwdXQtZGV2aWNlLWNob29zZXIiLAogICJ1dWlkIjogInNvdW5kLW91dHB1dC1kZXZpY2UtY2hvb3NlckBrZ3NoYW5rLm5ldCIsCiAgInZlcnNpb24iOiAzOAp9"}}}
@@ -72,7 +85,7 @@
, {"uuid": "transcode-appsearch@k.kubusha@gmail.com", "name": "Transcode App Search", "pname": "transcodeappsearch", "description": "Searching apps both direct and transcoded name (English, Russian, Ukrainian langs)", "link": "https://extensions.gnome.org/extension/928/transcodeappsearch/", "shell_version_map": {"38": {"version": "8", "sha256": "1v6yzp4s0a0i5l9f4la1l9yr83pcpwmrpb5970x48i75mlmlnmjd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNlYXJjaGluZyBhcHBzIGJvdGggZGlyZWN0IGFuZCB0cmFuc2NvZGVkIG5hbWUgKEVuZ2xpc2gsIFJ1c3NpYW4sIFVrcmFpbmlhbiBsYW5ncykiLAogICJuYW1lIjogIlRyYW5zY29kZSBBcHAgU2VhcmNoIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjEwIiwKICAgICIzLjEyIiwKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0YXR5YW4vZ25vbWUtZXh0ZW5zaW9uLXRyYW5zY29kZS1zZWFyY2hhcHAiLAogICJ1dWlkIjogInRyYW5zY29kZS1hcHBzZWFyY2hAay5rdWJ1c2hhQGdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA4Cn0="}, "40": {"version": "8", "sha256": "1v6yzp4s0a0i5l9f4la1l9yr83pcpwmrpb5970x48i75mlmlnmjd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNlYXJjaGluZyBhcHBzIGJvdGggZGlyZWN0IGFuZCB0cmFuc2NvZGVkIG5hbWUgKEVuZ2xpc2gsIFJ1c3NpYW4sIFVrcmFpbmlhbiBsYW5ncykiLAogICJuYW1lIjogIlRyYW5zY29kZSBBcHAgU2VhcmNoIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjEwIiwKICAgICIzLjEyIiwKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0YXR5YW4vZ25vbWUtZXh0ZW5zaW9uLXRyYW5zY29kZS1zZWFyY2hhcHAiLAogICJ1dWlkIjogInRyYW5zY29kZS1hcHBzZWFyY2hAay5rdWJ1c2hhQGdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA4Cn0="}}}
, {"uuid": "synaptic-button@fthx", "name": "Synaptic Button", "pname": "synaptic-button", "description": "Button that directly starts Synaptic package manager.\n\n For snap management without Snap Store, consider Snap Manager extension.", "link": "https://extensions.gnome.org/extension/938/synaptic-button/", "shell_version_map": {"38": {"version": "4", "sha256": "0wdi35qz5rxs4fvcxlrvlyslrspsgfkryvh0na9vakbvhpmv7skv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJ1dHRvbiB0aGF0IGRpcmVjdGx5IHN0YXJ0cyBTeW5hcHRpYyBwYWNrYWdlIG1hbmFnZXIuXG5cbiBGb3Igc25hcCBtYW5hZ2VtZW50IHdpdGhvdXQgU25hcCBTdG9yZSwgY29uc2lkZXIgU25hcCBNYW5hZ2VyIGV4dGVuc2lvbi4iLAogICJuYW1lIjogIlN5bmFwdGljIEJ1dHRvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvc3luYXB0aWMtYnV0dG9uIiwKICAidXVpZCI6ICJzeW5hcHRpYy1idXR0b25AZnRoeCIsCiAgInZlcnNpb24iOiA0Cn0="}}}
, {"uuid": "cpupower@mko-sl.de", "name": "CPU Power Manager", "pname": "cpu-power-manager", "description": "Manage Intel_pstate CPU Frequency scaling driver", "link": "https://extensions.gnome.org/extension/945/cpu-power-manager/", "shell_version_map": {"38": {"version": "23", "sha256": "1iv23vr8zkarclll41h0h8hx7dhh3q84lsmpn8igib7x7d65mslx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hbmFnZSBJbnRlbF9wc3RhdGUgQ1BVIEZyZXF1ZW5jeSBzY2FsaW5nIGRyaXZlciIsCiAgImxvY2FsZWRpciI6ICIvdXNyL2xvY2FsL3NoYXJlL2xvY2FsZSIsCiAgIm5hbWUiOiAiQ1BVIFBvd2VyIE1hbmFnZXIiLAogICJzY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuY3B1cG93ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYXJ0aW4zMTgyMS9jcHVwb3dlciIsCiAgInV1aWQiOiAiY3B1cG93ZXJAbWtvLXNsLmRlIiwKICAidmVyc2lvbiI6IDIzCn0="}}}
-, {"uuid": "world_clock_lite@ailin.nemui", "name": "Panel World Clock (Lite)", "pname": "panel-world-clock-lite", "description": "A world clock for the panel\n\nInstall gnome-clocks to get started!\nHint: Eiðar has the same time as UTC\n\nGnome ≤3.14? https://extensions.gnome.org/extension/697/panel-world-clock/", "link": "https://extensions.gnome.org/extension/946/panel-world-clock-lite/", "shell_version_map": {"38": {"version": "11", "sha256": "0sg76mlvyy0m6yqv6qrmyizhjd54qp8knra3z0sna1xxg0i51d42", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgd29ybGQgY2xvY2sgZm9yIHRoZSBwYW5lbFxuXG5JbnN0YWxsIGdub21lLWNsb2NrcyB0byBnZXQgc3RhcnRlZCFcbkhpbnQ6IEVpXHUwMGYwYXIgaGFzIHRoZSBzYW1lIHRpbWUgYXMgVVRDXG5cbkdub21lIFx1MjI2NDMuMTQ/IGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzY5Ny9wYW5lbC13b3JsZC1jbG9jay8iLAogICJuYW1lIjogIlBhbmVsIFdvcmxkIENsb2NrIChMaXRlKSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3JsZC1jbG9jayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJ3b3JsZF9jbG9ja19saXRlQGFpbGluLm5lbXVpIiwKICAidmVyc2lvbiI6IDExCn0="}, "40": {"version": "12", "sha256": "1z5zzgxsagfb1w543idg81gwynzlnjavfv4s8phj9h305z04lhsn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgd29ybGQgY2xvY2sgZm9yIHRoZSBwYW5lbFxuXG5JbnN0YWxsIGdub21lLWNsb2NrcyB0byBnZXQgc3RhcnRlZCFcbkhpbnQ6IEVpXHUwMGYwYXIgaGFzIHRoZSBzYW1lIHRpbWUgYXMgVVRDXG5cbkdub21lIFx1MjI2NDMuMTQ/IGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzY5Ny9wYW5lbC13b3JsZC1jbG9jay8iLAogICJuYW1lIjogIlBhbmVsIFdvcmxkIENsb2NrIChMaXRlKSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3JsZC1jbG9jayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAid29ybGRfY2xvY2tfbGl0ZUBhaWxpbi5uZW11aSIsCiAgInZlcnNpb24iOiAxMgp9"}}}
+, {"uuid": "world_clock_lite@ailin.nemui", "name": "Panel World Clock (Lite)", "pname": "panel-world-clock-lite", "description": "A world clock for the panel\n\nInstall gnome-clocks to get started!\nHint: Eiðar has the same time as UTC\n\nGnome ≤3.14? https://extensions.gnome.org/extension/697/panel-world-clock/", "link": "https://extensions.gnome.org/extension/946/panel-world-clock-lite/", "shell_version_map": {"38": {"version": "11", "sha256": "0sg76mlvyy0m6yqv6qrmyizhjd54qp8knra3z0sna1xxg0i51d42", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgd29ybGQgY2xvY2sgZm9yIHRoZSBwYW5lbFxuXG5JbnN0YWxsIGdub21lLWNsb2NrcyB0byBnZXQgc3RhcnRlZCFcbkhpbnQ6IEVpXHUwMGYwYXIgaGFzIHRoZSBzYW1lIHRpbWUgYXMgVVRDXG5cbkdub21lIFx1MjI2NDMuMTQ/IGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzY5Ny9wYW5lbC13b3JsZC1jbG9jay8iLAogICJuYW1lIjogIlBhbmVsIFdvcmxkIENsb2NrIChMaXRlKSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3JsZC1jbG9jayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJ3b3JsZF9jbG9ja19saXRlQGFpbGluLm5lbXVpIiwKICAidmVyc2lvbiI6IDExCn0="}, "40": {"version": "14", "sha256": "0q4fz7n6k70wlbd314xpr5zfkv3l35z3hc5kz4fwg859q3yvrd55", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgd29ybGQgY2xvY2sgZm9yIHRoZSBwYW5lbFxuXG5JbnN0YWxsIGdub21lLWNsb2NrcyB0byBnZXQgc3RhcnRlZCFcbkhpbnQ6IEVpXHUwMGYwYXIgaGFzIHRoZSBzYW1lIHRpbWUgYXMgVVRDXG5cbkdub21lIFx1MjI2NDMuMTQ/IGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzY5Ny9wYW5lbC13b3JsZC1jbG9jay8iLAogICJuYW1lIjogIlBhbmVsIFdvcmxkIENsb2NrIChMaXRlKSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3JsZC1jbG9jayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIndvcmxkX2Nsb2NrX2xpdGVAYWlsaW4ubmVtdWkiLAogICJ2ZXJzaW9uIjogMTQKfQ=="}}}
, {"uuid": "dim-on-battery@nailfarmer.nailfarmer.com", "name": "Dim On Battery Power", "pname": "dim-on-battery-power", "description": "Automatically dims the screen when the machine is running on battery power.", "link": "https://extensions.gnome.org/extension/947/dim-on-battery-power/", "shell_version_map": {"38": {"version": "25", "sha256": "0aqcw2lbcya5x9xx2y8srfjjar9jww9hzsxqx44h65jdw1zdl1vl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkF1dG9tYXRpY2FsbHkgZGltcyB0aGUgc2NyZWVuIHdoZW4gdGhlIG1hY2hpbmUgaXMgcnVubmluZyBvbiBiYXR0ZXJ5IHBvd2VyLiIsCiAgIm5hbWUiOiAiRGltIE9uIEJhdHRlcnkgUG93ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vbmFpbGZhcm1lci9nbm9tZS1zaGVsbC1kaW0tb24tYmF0dGVyeS1leHRlbnNpb24iLAogICJ1dWlkIjogImRpbS1vbi1iYXR0ZXJ5QG5haWxmYXJtZXIubmFpbGZhcm1lci5jb20iLAogICJ2ZXJzaW9uIjogMjUKfQ=="}, "40": {"version": "25", "sha256": "0aqcw2lbcya5x9xx2y8srfjjar9jww9hzsxqx44h65jdw1zdl1vl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkF1dG9tYXRpY2FsbHkgZGltcyB0aGUgc2NyZWVuIHdoZW4gdGhlIG1hY2hpbmUgaXMgcnVubmluZyBvbiBiYXR0ZXJ5IHBvd2VyLiIsCiAgIm5hbWUiOiAiRGltIE9uIEJhdHRlcnkgUG93ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vbmFpbGZhcm1lci9nbm9tZS1zaGVsbC1kaW0tb24tYmF0dGVyeS1leHRlbnNpb24iLAogICJ1dWlkIjogImRpbS1vbi1iYXR0ZXJ5QG5haWxmYXJtZXIubmFpbGZhcm1lci5jb20iLAogICJ2ZXJzaW9uIjogMjUKfQ=="}}}
, {"uuid": "bottompanel@tmoer93", "name": "BottomPanel", "pname": "bottompanel", "description": "Moves the GNOME panel to the bottom of the screen\n\nSource here: https://github.com/Thoma5/gnome-shell-extension-bottompanel", "link": "https://extensions.gnome.org/extension/949/bottompanel/", "shell_version_map": {"38": {"version": "11", "sha256": "0r4z1pww6w8q0f7gzsmc363hd2178r40ifsppyigj67mwwik3mkq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmVzIHRoZSBHTk9NRSBwYW5lbCB0byB0aGUgYm90dG9tIG9mIHRoZSBzY3JlZW5cblxuU291cmNlIGhlcmU6IGh0dHBzOi8vZ2l0aHViLmNvbS9UaG9tYTUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWJvdHRvbXBhbmVsIiwKICAibmFtZSI6ICJCb3R0b21QYW5lbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJib3R0b21wYW5lbEB0bW9lcjkzIiwKICAidmVyc2lvbiI6IDExCn0="}}}
, {"uuid": "dejadup-backup@fthx", "name": "Déjà Dup Backup Button", "pname": "deja-dup-backup-button", "description": "Button that directly starts Déjà Dup backup, following Déjà Dup backup settings.\n\n It simply runs 'deja-dup --backup' and shows Déjà Dup window during backup.", "link": "https://extensions.gnome.org/extension/955/deja-dup-backup-button/", "shell_version_map": {"38": {"version": "7", "sha256": "1d5xl18297zlw6g6jqwvwf32yiiqbz7jqyb4pz691d8ngqvvlwpp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJ1dHRvbiB0aGF0IGRpcmVjdGx5IHN0YXJ0cyBEXHUwMGU5alx1MDBlMCBEdXAgYmFja3VwLCBmb2xsb3dpbmcgRFx1MDBlOWpcdTAwZTAgRHVwIGJhY2t1cCBzZXR0aW5ncy5cblxuIEl0IHNpbXBseSBydW5zICdkZWphLWR1cCAtLWJhY2t1cCcgYW5kIHNob3dzIERcdTAwZTlqXHUwMGUwIER1cCB3aW5kb3cgZHVyaW5nIGJhY2t1cC4iLAogICJuYW1lIjogIkRcdTAwZTlqXHUwMGUwIER1cCBCYWNrdXAgQnV0dG9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvZGVqYWR1cC1iYWNrdXAiLAogICJ1dWlkIjogImRlamFkdXAtYmFja3VwQGZ0aHgiLAogICJ2ZXJzaW9uIjogNwp9"}, "40": {"version": "7", "sha256": "1d5xl18297zlw6g6jqwvwf32yiiqbz7jqyb4pz691d8ngqvvlwpp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJ1dHRvbiB0aGF0IGRpcmVjdGx5IHN0YXJ0cyBEXHUwMGU5alx1MDBlMCBEdXAgYmFja3VwLCBmb2xsb3dpbmcgRFx1MDBlOWpcdTAwZTAgRHVwIGJhY2t1cCBzZXR0aW5ncy5cblxuIEl0IHNpbXBseSBydW5zICdkZWphLWR1cCAtLWJhY2t1cCcgYW5kIHNob3dzIERcdTAwZTlqXHUwMGUwIER1cCB3aW5kb3cgZHVyaW5nIGJhY2t1cC4iLAogICJuYW1lIjogIkRcdTAwZTlqXHUwMGUwIER1cCBCYWNrdXAgQnV0dG9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvZGVqYWR1cC1iYWNrdXAiLAogICJ1dWlkIjogImRlamFkdXAtYmFja3VwQGZ0aHgiLAogICJ2ZXJzaW9uIjogNwp9"}}}
@@ -86,70 +99,78 @@
, {"uuid": "dynamic-panel-transparency@rockon999.github.io", "name": "Dynamic Panel Transparency", "pname": "dynamic-panel-transparency", "description": "Miss dynamic panel transparency in 3.32 and up? Try the original dynamic panel with much more customization! This extension will fade your top panel to nothingness when there are no maximized windows present! Never again will the panel be abruptly darkened.\n\nMay be incompatible with some extensions that make extensive changes to the panel.\n\nIf your theme isn't working correctly with this extension enable 'Remove Excessive Panel Styling' in the Background section of preferences. This particularly impacts the default *Ubuntu* theme!", "link": "https://extensions.gnome.org/extension/1011/dynamic-panel-transparency/", "shell_version_map": {"38": {"version": "34", "sha256": "10w5kvmspy4rp4jnwx0rj2crbdyi1lxv81vhi8zx490fhwgmlkvl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pc3MgZHluYW1pYyBwYW5lbCB0cmFuc3BhcmVuY3kgaW4gMy4zMiBhbmQgdXA/IFRyeSB0aGUgb3JpZ2luYWwgZHluYW1pYyBwYW5lbCB3aXRoIG11Y2ggbW9yZSBjdXN0b21pemF0aW9uISBUaGlzIGV4dGVuc2lvbiB3aWxsIGZhZGUgeW91ciB0b3AgcGFuZWwgdG8gbm90aGluZ25lc3Mgd2hlbiB0aGVyZSBhcmUgbm8gbWF4aW1pemVkIHdpbmRvd3MgcHJlc2VudCEgTmV2ZXIgYWdhaW4gd2lsbCB0aGUgcGFuZWwgYmUgYWJydXB0bHkgZGFya2VuZWQuXG5cbk1heSBiZSBpbmNvbXBhdGlibGUgd2l0aCBzb21lIGV4dGVuc2lvbnMgdGhhdCBtYWtlIGV4dGVuc2l2ZSBjaGFuZ2VzIHRvIHRoZSBwYW5lbC5cblxuSWYgeW91ciB0aGVtZSBpc24ndCB3b3JraW5nIGNvcnJlY3RseSB3aXRoIHRoaXMgZXh0ZW5zaW9uIGVuYWJsZSAnUmVtb3ZlIEV4Y2Vzc2l2ZSBQYW5lbCBTdHlsaW5nJyBpbiB0aGUgQmFja2dyb3VuZCBzZWN0aW9uIG9mIHByZWZlcmVuY2VzLiBUaGlzIHBhcnRpY3VsYXJseSBpbXBhY3RzIHRoZSBkZWZhdWx0ICpVYnVudHUqIHRoZW1lISIsCiAgImdldHRleHQtZG9tYWluIjogImR5bmFtaWMtcGFuZWwtdHJhbnNwYXJlbmN5IiwKICAibmFtZSI6ICJEeW5hbWljIFBhbmVsIFRyYW5zcGFyZW5jeSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5keW5hbWljLXBhbmVsLXRyYW5zcGFyZW5jeSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2V3bHNoL2R5bmFtaWMtcGFuZWwtdHJhbnNwYXJlbmN5LyIsCiAgInV1aWQiOiAiZHluYW1pYy1wYW5lbC10cmFuc3BhcmVuY3lAcm9ja29uOTk5LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAzNAp9"}, "40": {"version": "35", "sha256": "1znc564xd7n4k2klfc0kkip6hmadqdsa50p0sq0717h407m9p0vx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pc3MgZHluYW1pYyBwYW5lbCB0cmFuc3BhcmVuY3kgaW4gMy4zMiBhbmQgdXA/IFRyeSB0aGUgb3JpZ2luYWwgZHluYW1pYyBwYW5lbCB3aXRoIG11Y2ggbW9yZSBjdXN0b21pemF0aW9uISBUaGlzIGV4dGVuc2lvbiB3aWxsIGZhZGUgeW91ciB0b3AgcGFuZWwgdG8gbm90aGluZ25lc3Mgd2hlbiB0aGVyZSBhcmUgbm8gbWF4aW1pemVkIHdpbmRvd3MgcHJlc2VudCEgTmV2ZXIgYWdhaW4gd2lsbCB0aGUgcGFuZWwgYmUgYWJydXB0bHkgZGFya2VuZWQuXG5cbk1heSBiZSBpbmNvbXBhdGlibGUgd2l0aCBzb21lIGV4dGVuc2lvbnMgdGhhdCBtYWtlIGV4dGVuc2l2ZSBjaGFuZ2VzIHRvIHRoZSBwYW5lbC5cblxuSWYgeW91ciB0aGVtZSBpc24ndCB3b3JraW5nIGNvcnJlY3RseSB3aXRoIHRoaXMgZXh0ZW5zaW9uIGVuYWJsZSAnUmVtb3ZlIEV4Y2Vzc2l2ZSBQYW5lbCBTdHlsaW5nJyBpbiB0aGUgQmFja2dyb3VuZCBzZWN0aW9uIG9mIHByZWZlcmVuY2VzLiBUaGlzIHBhcnRpY3VsYXJseSBpbXBhY3RzIHRoZSBkZWZhdWx0ICpVYnVudHUqIHRoZW1lISIsCiAgImdldHRleHQtZG9tYWluIjogImR5bmFtaWMtcGFuZWwtdHJhbnNwYXJlbmN5IiwKICAibmFtZSI6ICJEeW5hbWljIFBhbmVsIFRyYW5zcGFyZW5jeSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5keW5hbWljLXBhbmVsLXRyYW5zcGFyZW5jeSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9ld2xzaC9keW5hbWljLXBhbmVsLXRyYW5zcGFyZW5jeS8iLAogICJ1dWlkIjogImR5bmFtaWMtcGFuZWwtdHJhbnNwYXJlbmN5QHJvY2tvbjk5OS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMzUKfQ=="}}}
, {"uuid": "icinga-checker@sosulski.net", "name": "Icinga checker", "pname": "icinga-checker", "description": "Icinga/Nagios checker", "link": "https://extensions.gnome.org/extension/1029/icinga-checker/", "shell_version_map": {"38": {"version": "10", "sha256": "09835gdpq5ssx14dar2m0hgi97a2k0hias9ffb3vpwyqw2d5jm30", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkljaW5nYS9OYWdpb3MgY2hlY2tlciIsCiAgImV4dGVuc2lvbi1pZCI6ICJpY2luZ2EtY2hlY2tlciIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIkljaW5nYSBjaGVja2VyIiwKICAib3JpZ2luYWwtYXV0aG9yIjogInBhd2VsQHNvc3Vsc2tpLm5ldCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5pY2luZ2EtY2hlY2tlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJpY2luZ2EtY2hlY2tlckBzb3N1bHNraS5uZXQiLAogICJ2ZXJzaW9uIjogMTAKfQ=="}, "40": {"version": "10", "sha256": "09835gdpq5ssx14dar2m0hgi97a2k0hias9ffb3vpwyqw2d5jm30", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkljaW5nYS9OYWdpb3MgY2hlY2tlciIsCiAgImV4dGVuc2lvbi1pZCI6ICJpY2luZ2EtY2hlY2tlciIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIkljaW5nYSBjaGVja2VyIiwKICAib3JpZ2luYWwtYXV0aG9yIjogInBhd2VsQHNvc3Vsc2tpLm5ldCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5pY2luZ2EtY2hlY2tlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJpY2luZ2EtY2hlY2tlckBzb3N1bHNraS5uZXQiLAogICJ2ZXJzaW9uIjogMTAKfQ=="}}}
, {"uuid": "taskwhisperer-extension@infinicode.de", "name": "TaskWhisperer", "pname": "taskwhisperer", "description": "Taskwhisperer is a extension for TaskWarrior Application https://taskwarrior.org. It is to display upcoming tasks and task details as well as to create and modify them.\n", "link": "https://extensions.gnome.org/extension/1039/taskwhisperer/", "shell_version_map": {"38": {"version": "20", "sha256": "067z3j2px4rz5yspfjx2s6p5j4l1svxllclahnf3zmv1nlbhzq8a", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRhc2t3aGlzcGVyZXIgaXMgYSBleHRlbnNpb24gZm9yIFRhc2tXYXJyaW9yIEFwcGxpY2F0aW9uIGh0dHBzOi8vdGFza3dhcnJpb3Iub3JnLiBJdCBpcyB0byBkaXNwbGF5IHVwY29taW5nIHRhc2tzIGFuZCB0YXNrIGRldGFpbHMgYXMgd2VsbCBhcyB0byBjcmVhdGUgYW5kIG1vZGlmeSB0aGVtLlxuIiwKICAibG9jYWxlZGlyIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJUYXNrV2hpc3BlcmVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NpbmF0aWMvdGFza3doaXNwZXJlciIsCiAgInV1aWQiOiAidGFza3doaXNwZXJlci1leHRlbnNpb25AaW5maW5pY29kZS5kZSIsCiAgInZlcnNpb24iOiAyMAp9"}, "40": {"version": "20", "sha256": "067z3j2px4rz5yspfjx2s6p5j4l1svxllclahnf3zmv1nlbhzq8a", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRhc2t3aGlzcGVyZXIgaXMgYSBleHRlbnNpb24gZm9yIFRhc2tXYXJyaW9yIEFwcGxpY2F0aW9uIGh0dHBzOi8vdGFza3dhcnJpb3Iub3JnLiBJdCBpcyB0byBkaXNwbGF5IHVwY29taW5nIHRhc2tzIGFuZCB0YXNrIGRldGFpbHMgYXMgd2VsbCBhcyB0byBjcmVhdGUgYW5kIG1vZGlmeSB0aGVtLlxuIiwKICAibG9jYWxlZGlyIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJUYXNrV2hpc3BlcmVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NpbmF0aWMvdGFza3doaXNwZXJlciIsCiAgInV1aWQiOiAidGFza3doaXNwZXJlci1leHRlbnNpb25AaW5maW5pY29kZS5kZSIsCiAgInZlcnNpb24iOiAyMAp9"}}}
-, {"uuid": "randomwallpaper@iflow.space", "name": "Random Wallpaper", "pname": "random-wallpaper", "description": "Fetch a random wallpaper from an online source and set it as a desktop background. \nThe desktop background can be updated periodically or manually.\n\nFeatures:\nMany different online sources with filters:\n - Unsplash (https://unsplash.com/)\n - Wallhaven (https://alpha.wallhaven.cc/)\n - Reddit (https://reddit.com)\n - Basically any JSON API/File (see Examples on GitHub)\nHistory of previous images\nSet lock screen image\nAutomatic renewal (Auto-Fetching)", "link": "https://extensions.gnome.org/extension/1040/random-wallpaper/", "shell_version_map": {"38": {"version": "22", "sha256": "0gwcqd5wzjnp3r4mpcbacbqk9j9v4pxh2wlcl5ssinj8z4pxm5qj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZldGNoIGEgcmFuZG9tIHdhbGxwYXBlciBmcm9tIGFuIG9ubGluZSBzb3VyY2UgYW5kIHNldCBpdCBhcyBhIGRlc2t0b3AgYmFja2dyb3VuZC4gXG5UaGUgZGVza3RvcCBiYWNrZ3JvdW5kIGNhbiBiZSB1cGRhdGVkIHBlcmlvZGljYWxseSBvciBtYW51YWxseS5cblxuRmVhdHVyZXM6XG5NYW55IGRpZmZlcmVudCBvbmxpbmUgc291cmNlcyB3aXRoIGZpbHRlcnM6XG4gICAgICAgIC0gVW5zcGxhc2ggKGh0dHBzOi8vdW5zcGxhc2guY29tLylcbiAgICAgICAgLSBXYWxsaGF2ZW4gKGh0dHBzOi8vYWxwaGEud2FsbGhhdmVuLmNjLylcbiAgICAgICAgLSBSZWRkaXQgKGh0dHBzOi8vcmVkZGl0LmNvbSlcbiAgICAgICAgLSBCYXNpY2FsbHkgYW55IEpTT04gQVBJL0ZpbGUgKHNlZSBFeGFtcGxlcyBvbiBHaXRIdWIpXG5IaXN0b3J5IG9mIHByZXZpb3VzIGltYWdlc1xuU2V0IGxvY2sgc2NyZWVuIGltYWdlXG5BdXRvbWF0aWMgcmVuZXdhbCAoQXV0by1GZXRjaGluZykiLAogICJuYW1lIjogIlJhbmRvbSBXYWxscGFwZXIiLAogICJzZW1hbnRpYy12ZXJzaW9uIjogIjIuNC41IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNwYWNlLmlmbG93LnJhbmRvbXdhbGxwYXBlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2lmbDB3L1JhbmRvbVdhbGxwYXBlckdub21lMyIsCiAgInV1aWQiOiAicmFuZG9td2FsbHBhcGVyQGlmbG93LnNwYWNlIiwKICAidmVyc2lvbiI6IDIyCn0="}, "40": {"version": "25", "sha256": "1nqa901ilmdr9wxxs11mbcb96qwzwz6ckaimx8y0vpnrdzackmx4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZldGNoIGEgcmFuZG9tIHdhbGxwYXBlciBmcm9tIGFuIG9ubGluZSBzb3VyY2UgYW5kIHNldCBpdCBhcyBhIGRlc2t0b3AgYmFja2dyb3VuZC4gXG5UaGUgZGVza3RvcCBiYWNrZ3JvdW5kIGNhbiBiZSB1cGRhdGVkIHBlcmlvZGljYWxseSBvciBtYW51YWxseS5cblxuRmVhdHVyZXM6XG5NYW55IGRpZmZlcmVudCBvbmxpbmUgc291cmNlcyB3aXRoIGZpbHRlcnM6XG4gICAgICAgIC0gVW5zcGxhc2ggKGh0dHBzOi8vdW5zcGxhc2guY29tLylcbiAgICAgICAgLSBXYWxsaGF2ZW4gKGh0dHBzOi8vYWxwaGEud2FsbGhhdmVuLmNjLylcbiAgICAgICAgLSBSZWRkaXQgKGh0dHBzOi8vcmVkZGl0LmNvbSlcbiAgICAgICAgLSBCYXNpY2FsbHkgYW55IEpTT04gQVBJL0ZpbGUgKHNlZSBFeGFtcGxlcyBvbiBHaXRIdWIpXG5IaXN0b3J5IG9mIHByZXZpb3VzIGltYWdlc1xuU2V0IGxvY2sgc2NyZWVuIGltYWdlXG5BdXRvbWF0aWMgcmVuZXdhbCAoQXV0by1GZXRjaGluZykiLAogICJuYW1lIjogIlJhbmRvbSBXYWxscGFwZXIiLAogICJzZW1hbnRpYy12ZXJzaW9uIjogIjIuNS4wIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNwYWNlLmlmbG93LnJhbmRvbXdhbGxwYXBlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIsCiAgICAiNDAuMSIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9pZmwwdy9SYW5kb21XYWxscGFwZXJHbm9tZTMiLAogICJ1dWlkIjogInJhbmRvbXdhbGxwYXBlckBpZmxvdy5zcGFjZSIsCiAgInZlcnNpb24iOiAyNQp9"}}}
-, {"uuid": "gse-haguichi-indicator@ztefn.github.com", "name": "Haguichi Indicator", "pname": "haguichi-indicator", "description": "Lets you control Haguichi directly from the system status area in GNOME Shell.", "link": "https://extensions.gnome.org/extension/1045/haguichi-indicator/", "shell_version_map": {"38": {"version": "14", "sha256": "0irnb19sl893427ag9722w2dj55j7p4f2yy61bwqdbp4fpi8zjww", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxldHMgeW91IGNvbnRyb2wgSGFndWljaGkgZGlyZWN0bHkgZnJvbSB0aGUgc3lzdGVtIHN0YXR1cyBhcmVhIGluIEdOT01FIFNoZWxsLiIsCiAgIm5hbWUiOiAiSGFndWljaGkgSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20venRlZm4vZ3NlLWhhZ3VpY2hpLWluZGljYXRvciIsCiAgInV1aWQiOiAiZ3NlLWhhZ3VpY2hpLWluZGljYXRvckB6dGVmbi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE0Cn0="}, "40": {"version": "14", "sha256": "0irnb19sl893427ag9722w2dj55j7p4f2yy61bwqdbp4fpi8zjww", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxldHMgeW91IGNvbnRyb2wgSGFndWljaGkgZGlyZWN0bHkgZnJvbSB0aGUgc3lzdGVtIHN0YXR1cyBhcmVhIGluIEdOT01FIFNoZWxsLiIsCiAgIm5hbWUiOiAiSGFndWljaGkgSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20venRlZm4vZ3NlLWhhZ3VpY2hpLWluZGljYXRvciIsCiAgInV1aWQiOiAiZ3NlLWhhZ3VpY2hpLWluZGljYXRvckB6dGVmbi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE0Cn0="}}}
+, {"uuid": "randomwallpaper@iflow.space", "name": "Random Wallpaper", "pname": "random-wallpaper", "description": "Fetch a random wallpaper from an online source and set it as a desktop background. \nThe desktop background can be updated periodically or manually.\n\nFeatures:\nMany different online sources with filters:\n - Unsplash (https://unsplash.com/)\n - Wallhaven (https://alpha.wallhaven.cc/)\n - Reddit (https://reddit.com)\n - Basically any JSON API/File (see Examples on GitHub)\nHistory of previous images\nSet lock screen image\nAutomatic renewal (Auto-Fetching)", "link": "https://extensions.gnome.org/extension/1040/random-wallpaper/", "shell_version_map": {"38": {"version": "22", "sha256": "0gwcqd5wzjnp3r4mpcbacbqk9j9v4pxh2wlcl5ssinj8z4pxm5qj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZldGNoIGEgcmFuZG9tIHdhbGxwYXBlciBmcm9tIGFuIG9ubGluZSBzb3VyY2UgYW5kIHNldCBpdCBhcyBhIGRlc2t0b3AgYmFja2dyb3VuZC4gXG5UaGUgZGVza3RvcCBiYWNrZ3JvdW5kIGNhbiBiZSB1cGRhdGVkIHBlcmlvZGljYWxseSBvciBtYW51YWxseS5cblxuRmVhdHVyZXM6XG5NYW55IGRpZmZlcmVudCBvbmxpbmUgc291cmNlcyB3aXRoIGZpbHRlcnM6XG4gICAgICAgIC0gVW5zcGxhc2ggKGh0dHBzOi8vdW5zcGxhc2guY29tLylcbiAgICAgICAgLSBXYWxsaGF2ZW4gKGh0dHBzOi8vYWxwaGEud2FsbGhhdmVuLmNjLylcbiAgICAgICAgLSBSZWRkaXQgKGh0dHBzOi8vcmVkZGl0LmNvbSlcbiAgICAgICAgLSBCYXNpY2FsbHkgYW55IEpTT04gQVBJL0ZpbGUgKHNlZSBFeGFtcGxlcyBvbiBHaXRIdWIpXG5IaXN0b3J5IG9mIHByZXZpb3VzIGltYWdlc1xuU2V0IGxvY2sgc2NyZWVuIGltYWdlXG5BdXRvbWF0aWMgcmVuZXdhbCAoQXV0by1GZXRjaGluZykiLAogICJuYW1lIjogIlJhbmRvbSBXYWxscGFwZXIiLAogICJzZW1hbnRpYy12ZXJzaW9uIjogIjIuNC41IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNwYWNlLmlmbG93LnJhbmRvbXdhbGxwYXBlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2lmbDB3L1JhbmRvbVdhbGxwYXBlckdub21lMyIsCiAgInV1aWQiOiAicmFuZG9td2FsbHBhcGVyQGlmbG93LnNwYWNlIiwKICAidmVyc2lvbiI6IDIyCn0="}, "40": {"version": "26", "sha256": "0izjsa7h1x3yn67dxw1a1mp6qmn7xpp39ay3iha5i2pisznfgrni", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZldGNoIGEgcmFuZG9tIHdhbGxwYXBlciBmcm9tIGFuIG9ubGluZSBzb3VyY2UgYW5kIHNldCBpdCBhcyBhIGRlc2t0b3AgYmFja2dyb3VuZC4gXG5UaGUgZGVza3RvcCBiYWNrZ3JvdW5kIGNhbiBiZSB1cGRhdGVkIHBlcmlvZGljYWxseSBvciBtYW51YWxseS5cblxuRmVhdHVyZXM6XG5NYW55IGRpZmZlcmVudCBvbmxpbmUgc291cmNlcyB3aXRoIGZpbHRlcnM6XG4gICAgICAgIC0gVW5zcGxhc2ggKGh0dHBzOi8vdW5zcGxhc2guY29tLylcbiAgICAgICAgLSBXYWxsaGF2ZW4gKGh0dHBzOi8vYWxwaGEud2FsbGhhdmVuLmNjLylcbiAgICAgICAgLSBSZWRkaXQgKGh0dHBzOi8vcmVkZGl0LmNvbSlcbiAgICAgICAgLSBCYXNpY2FsbHkgYW55IEpTT04gQVBJL0ZpbGUgKHNlZSBFeGFtcGxlcyBvbiBHaXRIdWIpXG5IaXN0b3J5IG9mIHByZXZpb3VzIGltYWdlc1xuU2V0IGxvY2sgc2NyZWVuIGltYWdlXG5BdXRvbWF0aWMgcmVuZXdhbCAoQXV0by1GZXRjaGluZykiLAogICJuYW1lIjogIlJhbmRvbSBXYWxscGFwZXIiLAogICJzZW1hbnRpYy12ZXJzaW9uIjogIjIuNi4wIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNwYWNlLmlmbG93LnJhbmRvbXdhbGxwYXBlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIsCiAgICAiNDAuMSIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9pZmwwdy9SYW5kb21XYWxscGFwZXJHbm9tZTMiLAogICJ1dWlkIjogInJhbmRvbXdhbGxwYXBlckBpZmxvdy5zcGFjZSIsCiAgInZlcnNpb24iOiAyNgp9"}}}
+, {"uuid": "gse-haguichi-indicator@ztefn.github.com", "name": "Haguichi Indicator", "pname": "haguichi-indicator", "description": "Lets you control Haguichi directly from the system status area in GNOME Shell.", "link": "https://extensions.gnome.org/extension/1045/haguichi-indicator/", "shell_version_map": {"38": {"version": "16", "sha256": "1ag94vhwx67cpdc4ry307lxrj60nkkwwd9kv49k5mfwy9hbxpbf3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxldHMgeW91IGNvbnRyb2wgSGFndWljaGkgZGlyZWN0bHkgZnJvbSB0aGUgc3lzdGVtIHN0YXR1cyBhcmVhIGluIEdOT01FIFNoZWxsLiIsCiAgIm5hbWUiOiAiSGFndWljaGkgSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3p0ZWZuL2dzZS1oYWd1aWNoaS1pbmRpY2F0b3IiLAogICJ1dWlkIjogImdzZS1oYWd1aWNoaS1pbmRpY2F0b3JAenRlZm4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxNgp9"}, "40": {"version": "16", "sha256": "1ag94vhwx67cpdc4ry307lxrj60nkkwwd9kv49k5mfwy9hbxpbf3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxldHMgeW91IGNvbnRyb2wgSGFndWljaGkgZGlyZWN0bHkgZnJvbSB0aGUgc3lzdGVtIHN0YXR1cyBhcmVhIGluIEdOT01FIFNoZWxsLiIsCiAgIm5hbWUiOiAiSGFndWljaGkgSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3p0ZWZuL2dzZS1oYWd1aWNoaS1pbmRpY2F0b3IiLAogICJ1dWlkIjogImdzZS1oYWd1aWNoaS1pbmRpY2F0b3JAenRlZm4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxNgp9"}}}
+, {"uuid": "timezone@jwendell", "name": "Timezone", "pname": "timezone", "description": "See people with their timezones from the Shell", "link": "https://extensions.gnome.org/extension/1060/timezone/", "shell_version_map": {"40": {"version": "18", "sha256": "1ksb8pm2y4lxjcwn2l0yj6piz5cqgmzx6k4rygx1mbh5y76mwdji", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNlZSBwZW9wbGUgd2l0aCB0aGVpciB0aW1lem9uZXMgZnJvbSB0aGUgU2hlbGwiLAogICJuYW1lIjogIlRpbWV6b25lIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRpbWV6b25lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMyIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2p3ZW5kZWxsL2dub21lLXNoZWxsLWV4dGVuc2lvbi10aW1lem9uZSIsCiAgInV1aWQiOiAidGltZXpvbmVAandlbmRlbGwiLAogICJ2ZXJzaW9uIjogMTgKfQ=="}}}
, {"uuid": "On_Screen_Keyboard_Button@bradan.eu", "name": "On Screen Keyboard Button", "pname": "on-screen-keyboard-button", "description": "Shows or hides the OSK via top bar button. It works with X, not with wayland. Wayland has it's own technique: swipe the keyboard up from the bottom display edge.\n\nSource code: https://github.com/Bradan/Gnome-On-Screen-Keyboard-Button", "link": "https://extensions.gnome.org/extension/1061/on-screen-keyboard-button/", "shell_version_map": {"38": {"version": "5", "sha256": "0z3jcv5gzv1pwfla9ghp5kjljc5n80fcab0d2c2i2pw7y7kvpabr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIG9yIGhpZGVzIHRoZSBPU0sgdmlhIHRvcCBiYXIgYnV0dG9uLiBJdCB3b3JrcyB3aXRoIFgsIG5vdCB3aXRoIHdheWxhbmQuIFdheWxhbmQgaGFzIGl0J3Mgb3duIHRlY2huaXF1ZTogc3dpcGUgdGhlIGtleWJvYXJkIHVwIGZyb20gdGhlIGJvdHRvbSBkaXNwbGF5IGVkZ2UuXG5cblNvdXJjZSBjb2RlOiBodHRwczovL2dpdGh1Yi5jb20vQnJhZGFuL0dub21lLU9uLVNjcmVlbi1LZXlib2FyZC1CdXR0b24iLAogICJuYW1lIjogIk9uIFNjcmVlbiBLZXlib2FyZCBCdXR0b24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjgiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAiT25fU2NyZWVuX0tleWJvYXJkX0J1dHRvbkBicmFkYW4uZXUiLAogICJ2ZXJzaW9uIjogNQp9"}}}
, {"uuid": "System_Monitor@bghome.gmail.com", "name": "System Monitor", "pname": "system-monitor", "description": "Display resource usage.\n\nLinux distribution specific installation instructions can be found in the wiki at https://github.com/elvetemedve/gnome-shell-extension-system-monitor/wiki/Installation.\n\nPlease report bugs here: https://github.com/elvetemedve/gnome-shell-extension-system-monitor/issues", "link": "https://extensions.gnome.org/extension/1064/system-monitor/", "shell_version_map": {"38": {"version": "21", "sha256": "1kp4xqsglmj7likmln1x8nz2vmlz8m8vh13chnsn1rsn5a16s7v9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgcmVzb3VyY2UgdXNhZ2UuXG5cbkxpbnV4IGRpc3RyaWJ1dGlvbiBzcGVjaWZpYyBpbnN0YWxsYXRpb24gaW5zdHJ1Y3Rpb25zIGNhbiBiZSBmb3VuZCBpbiB0aGUgd2lraSBhdCBodHRwczovL2dpdGh1Yi5jb20vZWx2ZXRlbWVkdmUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXN5c3RlbS1tb25pdG9yL3dpa2kvSW5zdGFsbGF0aW9uLlxuXG5QbGVhc2UgcmVwb3J0IGJ1Z3MgaGVyZTogaHR0cHM6Ly9naXRodWIuY29tL2VsdmV0ZW1lZHZlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1zeXN0ZW0tbW9uaXRvci9pc3N1ZXMiLAogICJuYW1lIjogIlN5c3RlbSBNb25pdG9yIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnN5c3RlbS1tb25pdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZWx2ZXRlbWVkdmUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXN5c3RlbS1tb25pdG9yIiwKICAidXVpZCI6ICJTeXN0ZW1fTW9uaXRvckBiZ2hvbWUuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDIxCn0="}, "40": {"version": "21", "sha256": "1kp4xqsglmj7likmln1x8nz2vmlz8m8vh13chnsn1rsn5a16s7v9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgcmVzb3VyY2UgdXNhZ2UuXG5cbkxpbnV4IGRpc3RyaWJ1dGlvbiBzcGVjaWZpYyBpbnN0YWxsYXRpb24gaW5zdHJ1Y3Rpb25zIGNhbiBiZSBmb3VuZCBpbiB0aGUgd2lraSBhdCBodHRwczovL2dpdGh1Yi5jb20vZWx2ZXRlbWVkdmUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXN5c3RlbS1tb25pdG9yL3dpa2kvSW5zdGFsbGF0aW9uLlxuXG5QbGVhc2UgcmVwb3J0IGJ1Z3MgaGVyZTogaHR0cHM6Ly9naXRodWIuY29tL2VsdmV0ZW1lZHZlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1zeXN0ZW0tbW9uaXRvci9pc3N1ZXMiLAogICJuYW1lIjogIlN5c3RlbSBNb25pdG9yIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnN5c3RlbS1tb25pdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZWx2ZXRlbWVkdmUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXN5c3RlbS1tb25pdG9yIiwKICAidXVpZCI6ICJTeXN0ZW1fTW9uaXRvckBiZ2hvbWUuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDIxCn0="}}}
, {"uuid": "syncthing@gnome.2nv2u.com", "name": "Syncthing Indicator", "pname": "syncthing-indicator", "description": "Shell indicator for starting, monitoring and controlling the Syncthing daemon using SystemD", "link": "https://extensions.gnome.org/extension/1070/syncthing-indicator/", "shell_version_map": {"38": {"version": "20", "sha256": "11ljyy9glhlc2mrvmfz64cxngxamzri09wz8gkgs8dx5zwk31si8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNoZWxsIGluZGljYXRvciBmb3Igc3RhcnRpbmcsIG1vbml0b3JpbmcgYW5kIGNvbnRyb2xsaW5nIHRoZSBTeW5jdGhpbmcgZGFlbW9uIHVzaW5nIFN5c3RlbUQiLAogICJuYW1lIjogIlN5bmN0aGluZyBJbmRpY2F0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vMm52MnUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXN5bmN0aGluZy1pbmRpY2F0b3IiLAogICJ1dWlkIjogInN5bmN0aGluZ0Bnbm9tZS4ybnYydS5jb20iLAogICJ2ZXJzaW9uIjogMjAKfQ=="}, "40": {"version": "20", "sha256": "11ljyy9glhlc2mrvmfz64cxngxamzri09wz8gkgs8dx5zwk31si8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNoZWxsIGluZGljYXRvciBmb3Igc3RhcnRpbmcsIG1vbml0b3JpbmcgYW5kIGNvbnRyb2xsaW5nIHRoZSBTeW5jdGhpbmcgZGFlbW9uIHVzaW5nIFN5c3RlbUQiLAogICJuYW1lIjogIlN5bmN0aGluZyBJbmRpY2F0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vMm52MnUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXN5bmN0aGluZy1pbmRpY2F0b3IiLAogICJ1dWlkIjogInN5bmN0aGluZ0Bnbm9tZS4ybnYydS5jb20iLAogICJ2ZXJzaW9uIjogMjAKfQ=="}}}
, {"uuid": "applications-overview-tooltip@RaphaelRochet", "name": "Applications Overview Tooltip", "pname": "applications-overview-tooltip", "description": "Shows a tooltip over applications icons on applications overview with application name and/or description.", "link": "https://extensions.gnome.org/extension/1071/applications-overview-tooltip/", "shell_version_map": {"38": {"version": "11", "sha256": "0alvg0l46hls3jz3a5ic21fgbjbg0kv0nn0pkknzsgjfw5mmwz69", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGEgdG9vbHRpcCBvdmVyIGFwcGxpY2F0aW9ucyBpY29ucyBvbiBhcHBsaWNhdGlvbnMgb3ZlcnZpZXcgd2l0aCBhcHBsaWNhdGlvbiBuYW1lIGFuZC9vciBkZXNjcmlwdGlvbi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJhcHBsaWNhdGlvbnMtb3ZlcnZpZXctdG9vbHRpcCIsCiAgIm5hbWUiOiAiQXBwbGljYXRpb25zIE92ZXJ2aWV3IFRvb2x0aXAiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXBwbGljYXRpb25zLW92ZXJ2aWV3LXRvb2x0aXAiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9SYXBoYWVsUm9jaGV0L2FwcGxpY2F0aW9ucy1vdmVydmlldy10b29sdGlwIiwKICAidXVpZCI6ICJhcHBsaWNhdGlvbnMtb3ZlcnZpZXctdG9vbHRpcEBSYXBoYWVsUm9jaGV0IiwKICAidmVyc2lvbiI6IDExCn0="}, "40": {"version": "12", "sha256": "0m0wsgpx390qkx6csicsfdp5v9pa15xpyk57ym7hbr8947h77494", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGEgdG9vbHRpcCBvdmVyIGFwcGxpY2F0aW9ucyBpY29ucyBvbiBhcHBsaWNhdGlvbnMgb3ZlcnZpZXcgd2l0aCBhcHBsaWNhdGlvbiBuYW1lIGFuZC9vciBkZXNjcmlwdGlvbi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJhcHBsaWNhdGlvbnMtb3ZlcnZpZXctdG9vbHRpcCIsCiAgIm5hbWUiOiAiQXBwbGljYXRpb25zIE92ZXJ2aWV3IFRvb2x0aXAiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXBwbGljYXRpb25zLW92ZXJ2aWV3LXRvb2x0aXAiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUmFwaGFlbFJvY2hldC9hcHBsaWNhdGlvbnMtb3ZlcnZpZXctdG9vbHRpcCIsCiAgInV1aWQiOiAiYXBwbGljYXRpb25zLW92ZXJ2aWV3LXRvb2x0aXBAUmFwaGFlbFJvY2hldCIsCiAgInZlcnNpb24iOiAxMgp9"}}}
, {"uuid": "TwitchLive_Panel@extensions.maweki.de", "name": "TwitchLive Panel", "pname": "twitchlive-panel", "description": "A panel showing whether your favorite Twitch.tv streamers are streaming.\n\nCycles through the online streamers if multiples are configured. Click on the panel and then on streamer's name to launch the stream with a custom command (your browser or some other application).\n\nNeeds curl and mogrify to fully support streamer logos. For an extension version compatible with shell version 3.30 or earlier visit our github page.", "link": "https://extensions.gnome.org/extension/1078/twitchlive-panel/", "shell_version_map": {"40": {"version": "35", "sha256": "0arb73gv1y5krlqwpqwzynpd2ki8wlv47xx0zpjlal066pyyjgfw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgcGFuZWwgc2hvd2luZyB3aGV0aGVyIHlvdXIgZmF2b3JpdGUgVHdpdGNoLnR2IHN0cmVhbWVycyBhcmUgc3RyZWFtaW5nLlxuXG5DeWNsZXMgdGhyb3VnaCB0aGUgb25saW5lIHN0cmVhbWVycyBpZiBtdWx0aXBsZXMgYXJlIGNvbmZpZ3VyZWQuIENsaWNrIG9uIHRoZSBwYW5lbCBhbmQgdGhlbiBvbiBzdHJlYW1lcidzIG5hbWUgdG8gbGF1bmNoIHRoZSBzdHJlYW0gd2l0aCBhIGN1c3RvbSBjb21tYW5kICh5b3VyIGJyb3dzZXIgb3Igc29tZSBvdGhlciBhcHBsaWNhdGlvbikuXG5cbk5lZWRzIGN1cmwgYW5kIG1vZ3JpZnkgdG8gZnVsbHkgc3VwcG9ydCBzdHJlYW1lciBsb2dvcy4gRm9yIGFuIGV4dGVuc2lvbiB2ZXJzaW9uIGNvbXBhdGlibGUgd2l0aCBzaGVsbCB2ZXJzaW9uIDMuMzAgb3IgZWFybGllciB2aXNpdCBvdXIgZ2l0aHViIHBhZ2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidHdpdGNobGl2ZSIsCiAgIm5hbWUiOiAiVHdpdGNoTGl2ZSBQYW5lbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50d2l0Y2hsaXZlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21hd2VraS90d2l0Y2hsaXZlLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiVHdpdGNoTGl2ZV9QYW5lbEBleHRlbnNpb25zLm1hd2VraS5kZSIsCiAgInZlcnNpb24iOiAzNQp9"}}}
-, {"uuid": "cpufreq@konkor", "name": "cpufreq", "pname": "cpufreq", "description": "System Monitor and Power Manager.\n\nThis is a lightweight system monitor and power management tool. It needs root permission to able changing governors.\n\nFeatures:\n⚫ Compatible with many hardware architectures;\n⚫ CPU Frequency monitoring;\n⚫ CPU Governor management;\n⚫ CPU Frequency speed limits;\n⚫ CPU Boost supporting;\n⚫ CPU Core Power on/off;\n⚫ Saving/Restoring settings...\n\nFor more information and how-to see README.md", "link": "https://extensions.gnome.org/extension/1082/cpufreq/", "shell_version_map": {"38": {"version": "47", "sha256": "08snf0yqp85i4fnmspg7hg8m0xq16pjxh26x8yp5x7zk42hf462s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN5c3RlbSBNb25pdG9yIGFuZCBQb3dlciBNYW5hZ2VyLlxuXG5UaGlzIGlzIGEgbGlnaHR3ZWlnaHQgc3lzdGVtIG1vbml0b3IgYW5kIHBvd2VyIG1hbmFnZW1lbnQgdG9vbC4gSXQgbmVlZHMgcm9vdCBwZXJtaXNzaW9uIHRvIGFibGUgY2hhbmdpbmcgZ292ZXJub3JzLlxuXG5GZWF0dXJlczpcblx1MjZhYiBDb21wYXRpYmxlIHdpdGggbWFueSBoYXJkd2FyZSBhcmNoaXRlY3R1cmVzO1xuXHUyNmFiIENQVSBGcmVxdWVuY3kgbW9uaXRvcmluZztcblx1MjZhYiBDUFUgR292ZXJub3IgbWFuYWdlbWVudDtcblx1MjZhYiBDUFUgRnJlcXVlbmN5IHNwZWVkIGxpbWl0cztcblx1MjZhYiBDUFUgQm9vc3Qgc3VwcG9ydGluZztcblx1MjZhYiBDUFUgQ29yZSBQb3dlciBvbi9vZmY7XG5cdTI2YWIgU2F2aW5nL1Jlc3RvcmluZyBzZXR0aW5ncy4uLlxuXG5Gb3IgbW9yZSBpbmZvcm1hdGlvbiBhbmQgaG93LXRvIHNlZSBSRUFETUUubWQiLAogICJuYW1lIjogImNwdWZyZXEiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuY3B1ZnJlcSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2tvbmtvci9jcHVmcmVxIiwKICAidXVpZCI6ICJjcHVmcmVxQGtvbmtvciIsCiAgInZlcnNpb24iOiA0Nwp9"}, "40": {"version": "47", "sha256": "08snf0yqp85i4fnmspg7hg8m0xq16pjxh26x8yp5x7zk42hf462s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN5c3RlbSBNb25pdG9yIGFuZCBQb3dlciBNYW5hZ2VyLlxuXG5UaGlzIGlzIGEgbGlnaHR3ZWlnaHQgc3lzdGVtIG1vbml0b3IgYW5kIHBvd2VyIG1hbmFnZW1lbnQgdG9vbC4gSXQgbmVlZHMgcm9vdCBwZXJtaXNzaW9uIHRvIGFibGUgY2hhbmdpbmcgZ292ZXJub3JzLlxuXG5GZWF0dXJlczpcblx1MjZhYiBDb21wYXRpYmxlIHdpdGggbWFueSBoYXJkd2FyZSBhcmNoaXRlY3R1cmVzO1xuXHUyNmFiIENQVSBGcmVxdWVuY3kgbW9uaXRvcmluZztcblx1MjZhYiBDUFUgR292ZXJub3IgbWFuYWdlbWVudDtcblx1MjZhYiBDUFUgRnJlcXVlbmN5IHNwZWVkIGxpbWl0cztcblx1MjZhYiBDUFUgQm9vc3Qgc3VwcG9ydGluZztcblx1MjZhYiBDUFUgQ29yZSBQb3dlciBvbi9vZmY7XG5cdTI2YWIgU2F2aW5nL1Jlc3RvcmluZyBzZXR0aW5ncy4uLlxuXG5Gb3IgbW9yZSBpbmZvcm1hdGlvbiBhbmQgaG93LXRvIHNlZSBSRUFETUUubWQiLAogICJuYW1lIjogImNwdWZyZXEiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuY3B1ZnJlcSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2tvbmtvci9jcHVmcmVxIiwKICAidXVpZCI6ICJjcHVmcmVxQGtvbmtvciIsCiAgInZlcnNpb24iOiA0Nwp9"}}}
-, {"uuid": "simplenetspeed@biji.extension", "name": "Simple net speed", "pname": "simple-net-speed", "description": "Simply showing network speed. Left click to change modes:\n\n1. Total net speed in bits per second\n2. Total net speed in Bytes per second\n3. Up & down speed in bits per second\n4. Up & down speed in Bytes per second\n5. Total of downloaded in Bytes (Right click to reset counter)\n\nMiddle click to change font size", "link": "https://extensions.gnome.org/extension/1085/simple-net-speed/", "shell_version_map": {"38": {"version": "21", "sha256": "0s6m6k06sd9yagrxf5ny7qw613800qiy7yp60fnxb41vdls1s0mv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBseSBzaG93aW5nIG5ldHdvcmsgc3BlZWQuIExlZnQgY2xpY2sgdG8gY2hhbmdlIG1vZGVzOlxuXG4xLiBUb3RhbCBuZXQgc3BlZWQgaW4gYml0cyBwZXIgc2Vjb25kXG4yLiBUb3RhbCBuZXQgc3BlZWQgaW4gQnl0ZXMgcGVyIHNlY29uZFxuMy4gVXAgJmFtcDsgZG93biBzcGVlZCBpbiBiaXRzIHBlciBzZWNvbmRcbjQuIFVwICZhbXA7IGRvd24gc3BlZWQgaW4gQnl0ZXMgcGVyIHNlY29uZFxuNS4gVG90YWwgb2YgZG93bmxvYWRlZCBpbiBCeXRlcyAoUmlnaHQgY2xpY2sgdG8gcmVzZXQgY291bnRlcilcblxuTWlkZGxlIGNsaWNrIHRvIGNoYW5nZSBmb250IHNpemUiLAogICJuYW1lIjogIlNpbXBsZSBuZXQgc3BlZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIsCiAgICAiNDUiLAogICAgIjQ2IiwKICAgICI0NyIsCiAgICAiNDgiLAogICAgIjQ5IiwKICAgICI1MCIsCiAgICAiNTEiLAogICAgIjUyIiwKICAgICI1MyIsCiAgICAiNTQiLAogICAgIjU1IiwKICAgICI1NiIsCiAgICAiNTciLAogICAgIjU4IiwKICAgICI1OSIsCiAgICAiNjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9iaWppL3NpbXBsZW5ldHNwZWVkIiwKICAidXVpZCI6ICJzaW1wbGVuZXRzcGVlZEBiaWppLmV4dGVuc2lvbiIsCiAgInZlcnNpb24iOiAyMQp9"}, "40": {"version": "21", "sha256": "0s6m6k06sd9yagrxf5ny7qw613800qiy7yp60fnxb41vdls1s0mv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBseSBzaG93aW5nIG5ldHdvcmsgc3BlZWQuIExlZnQgY2xpY2sgdG8gY2hhbmdlIG1vZGVzOlxuXG4xLiBUb3RhbCBuZXQgc3BlZWQgaW4gYml0cyBwZXIgc2Vjb25kXG4yLiBUb3RhbCBuZXQgc3BlZWQgaW4gQnl0ZXMgcGVyIHNlY29uZFxuMy4gVXAgJmFtcDsgZG93biBzcGVlZCBpbiBiaXRzIHBlciBzZWNvbmRcbjQuIFVwICZhbXA7IGRvd24gc3BlZWQgaW4gQnl0ZXMgcGVyIHNlY29uZFxuNS4gVG90YWwgb2YgZG93bmxvYWRlZCBpbiBCeXRlcyAoUmlnaHQgY2xpY2sgdG8gcmVzZXQgY291bnRlcilcblxuTWlkZGxlIGNsaWNrIHRvIGNoYW5nZSBmb250IHNpemUiLAogICJuYW1lIjogIlNpbXBsZSBuZXQgc3BlZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIsCiAgICAiNDUiLAogICAgIjQ2IiwKICAgICI0NyIsCiAgICAiNDgiLAogICAgIjQ5IiwKICAgICI1MCIsCiAgICAiNTEiLAogICAgIjUyIiwKICAgICI1MyIsCiAgICAiNTQiLAogICAgIjU1IiwKICAgICI1NiIsCiAgICAiNTciLAogICAgIjU4IiwKICAgICI1OSIsCiAgICAiNjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9iaWppL3NpbXBsZW5ldHNwZWVkIiwKICAidXVpZCI6ICJzaW1wbGVuZXRzcGVlZEBiaWppLmV4dGVuc2lvbiIsCiAgInZlcnNpb24iOiAyMQp9"}}}
+, {"uuid": "cpufreq@konkor", "name": "cpufreq", "pname": "cpufreq", "description": "System Monitor and Power Manager.\n\nThis is a lightweight system monitor and power management tool. It needs root permission to able changing governors.\n\nFeatures:\n⚫ Compatible with many hardware architectures;\n⚫ CPU Frequency monitoring;\n⚫ CPU Governor management;\n⚫ CPU Frequency speed limits;\n⚫ CPU Boost supporting;\n⚫ CPU Core Power on/off;\n⚫ Saving/Restoring settings...\n\nFor more information and how-to see README.md", "link": "https://extensions.gnome.org/extension/1082/cpufreq/", "shell_version_map": {"38": {"version": "50", "sha256": "0s4hgdh4yg5dachns0zf3m7xyc7ycc6jjapg3b3352i0rgw8cfdw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN5c3RlbSBNb25pdG9yIGFuZCBQb3dlciBNYW5hZ2VyLlxuXG5UaGlzIGlzIGEgbGlnaHR3ZWlnaHQgc3lzdGVtIG1vbml0b3IgYW5kIHBvd2VyIG1hbmFnZW1lbnQgdG9vbC4gSXQgbmVlZHMgcm9vdCBwZXJtaXNzaW9uIHRvIGFibGUgY2hhbmdpbmcgZ292ZXJub3JzLlxuXG5GZWF0dXJlczpcblx1MjZhYiBDb21wYXRpYmxlIHdpdGggbWFueSBoYXJkd2FyZSBhcmNoaXRlY3R1cmVzO1xuXHUyNmFiIENQVSBGcmVxdWVuY3kgbW9uaXRvcmluZztcblx1MjZhYiBDUFUgR292ZXJub3IgbWFuYWdlbWVudDtcblx1MjZhYiBDUFUgRnJlcXVlbmN5IHNwZWVkIGxpbWl0cztcblx1MjZhYiBDUFUgQm9vc3Qgc3VwcG9ydGluZztcblx1MjZhYiBDUFUgQ29yZSBQb3dlciBvbi9vZmY7XG5cdTI2YWIgU2F2aW5nL1Jlc3RvcmluZyBzZXR0aW5ncy4uLlxuXG5Gb3IgbW9yZSBpbmZvcm1hdGlvbiBhbmQgaG93LXRvIHNlZSBSRUFETUUubWQiLAogICJuYW1lIjogImNwdWZyZXEiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuY3B1ZnJlcSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9rb25rb3IvY3B1ZnJlcSIsCiAgInV1aWQiOiAiY3B1ZnJlcUBrb25rb3IiLAogICJ2ZXJzaW9uIjogNTAKfQ=="}, "40": {"version": "50", "sha256": "0s4hgdh4yg5dachns0zf3m7xyc7ycc6jjapg3b3352i0rgw8cfdw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN5c3RlbSBNb25pdG9yIGFuZCBQb3dlciBNYW5hZ2VyLlxuXG5UaGlzIGlzIGEgbGlnaHR3ZWlnaHQgc3lzdGVtIG1vbml0b3IgYW5kIHBvd2VyIG1hbmFnZW1lbnQgdG9vbC4gSXQgbmVlZHMgcm9vdCBwZXJtaXNzaW9uIHRvIGFibGUgY2hhbmdpbmcgZ292ZXJub3JzLlxuXG5GZWF0dXJlczpcblx1MjZhYiBDb21wYXRpYmxlIHdpdGggbWFueSBoYXJkd2FyZSBhcmNoaXRlY3R1cmVzO1xuXHUyNmFiIENQVSBGcmVxdWVuY3kgbW9uaXRvcmluZztcblx1MjZhYiBDUFUgR292ZXJub3IgbWFuYWdlbWVudDtcblx1MjZhYiBDUFUgRnJlcXVlbmN5IHNwZWVkIGxpbWl0cztcblx1MjZhYiBDUFUgQm9vc3Qgc3VwcG9ydGluZztcblx1MjZhYiBDUFUgQ29yZSBQb3dlciBvbi9vZmY7XG5cdTI2YWIgU2F2aW5nL1Jlc3RvcmluZyBzZXR0aW5ncy4uLlxuXG5Gb3IgbW9yZSBpbmZvcm1hdGlvbiBhbmQgaG93LXRvIHNlZSBSRUFETUUubWQiLAogICJuYW1lIjogImNwdWZyZXEiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuY3B1ZnJlcSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9rb25rb3IvY3B1ZnJlcSIsCiAgInV1aWQiOiAiY3B1ZnJlcUBrb25rb3IiLAogICJ2ZXJzaW9uIjogNTAKfQ=="}}}
+, {"uuid": "simplenetspeed@biji.extension", "name": "Simple net speed", "pname": "simple-net-speed", "description": "Simply showing network speed. Left click to change modes:\n\n1. Total net speed in bits per second\n2. Total net speed in Bytes per second\n3. Up & down speed in bits per second\n4. Up & down speed in Bytes per second\n5. Total of downloaded in Bytes (Right click to reset counter)\n\nMiddle click to change font size", "link": "https://extensions.gnome.org/extension/1085/simple-net-speed/", "shell_version_map": {"38": {"version": "23", "sha256": "16g6203q6d8il018rf8zhh6gziybpczc6r5v5289kz0i343bmkfj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBseSBzaG93aW5nIG5ldHdvcmsgc3BlZWQuIExlZnQgY2xpY2sgdG8gY2hhbmdlIG1vZGVzOlxuXG4xLiBUb3RhbCBuZXQgc3BlZWQgaW4gYml0cyBwZXIgc2Vjb25kXG4yLiBUb3RhbCBuZXQgc3BlZWQgaW4gQnl0ZXMgcGVyIHNlY29uZFxuMy4gVXAgJiBkb3duIHNwZWVkIGluIGJpdHMgcGVyIHNlY29uZFxuNC4gVXAgJiBkb3duIHNwZWVkIGluIEJ5dGVzIHBlciBzZWNvbmRcbjUuIFRvdGFsIG9mIGRvd25sb2FkZWQgaW4gQnl0ZXMgKFJpZ2h0IGNsaWNrIHRvIHJlc2V0IGNvdW50ZXIpXG5cbk1pZGRsZSBjbGljayB0byBjaGFuZ2UgZm9udCBzaXplIiwKICAibmFtZSI6ICJTaW1wbGUgbmV0IHNwZWVkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9iaWppL3NpbXBsZW5ldHNwZWVkIiwKICAidXVpZCI6ICJzaW1wbGVuZXRzcGVlZEBiaWppLmV4dGVuc2lvbiIsCiAgInZlcnNpb24iOiAyMwp9"}, "40": {"version": "23", "sha256": "16g6203q6d8il018rf8zhh6gziybpczc6r5v5289kz0i343bmkfj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBseSBzaG93aW5nIG5ldHdvcmsgc3BlZWQuIExlZnQgY2xpY2sgdG8gY2hhbmdlIG1vZGVzOlxuXG4xLiBUb3RhbCBuZXQgc3BlZWQgaW4gYml0cyBwZXIgc2Vjb25kXG4yLiBUb3RhbCBuZXQgc3BlZWQgaW4gQnl0ZXMgcGVyIHNlY29uZFxuMy4gVXAgJiBkb3duIHNwZWVkIGluIGJpdHMgcGVyIHNlY29uZFxuNC4gVXAgJiBkb3duIHNwZWVkIGluIEJ5dGVzIHBlciBzZWNvbmRcbjUuIFRvdGFsIG9mIGRvd25sb2FkZWQgaW4gQnl0ZXMgKFJpZ2h0IGNsaWNrIHRvIHJlc2V0IGNvdW50ZXIpXG5cbk1pZGRsZSBjbGljayB0byBjaGFuZ2UgZm9udCBzaXplIiwKICAibmFtZSI6ICJTaW1wbGUgbmV0IHNwZWVkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9iaWppL3NpbXBsZW5ldHNwZWVkIiwKICAidXVpZCI6ICJzaW1wbGVuZXRzcGVlZEBiaWppLmV4dGVuc2lvbiIsCiAgInZlcnNpb24iOiAyMwp9"}}}
+, {"uuid": "gnome-shell-go-to-last-workspace@github.com", "name": "Go To Last Workspace", "pname": "go-to-last-workspace", "description": "Quickly toggle between two workspaces with one key", "link": "https://extensions.gnome.org/extension/1089/go-to-last-workspace/", "shell_version_map": {"38": {"version": "7", "sha256": "19jyrfhniz65xfrwzpph4i33mwpbfshy5bc79vxd5fy4qa0p6scp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrbHkgdG9nZ2xlIGJldHdlZW4gdHdvIHdvcmtzcGFjZXMgd2l0aCBvbmUga2V5IiwKICAibmFtZSI6ICJHbyBUbyBMYXN0IFdvcmtzcGFjZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5nby10by1sYXN0LXdvcmtzcGFjZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hcmphbi9nbm9tZS1zaGVsbC1nby10by1sYXN0LXdvcmtzcGFjZSIsCiAgInV1aWQiOiAiZ25vbWUtc2hlbGwtZ28tdG8tbGFzdC13b3Jrc3BhY2VAZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA3Cn0="}, "40": {"version": "7", "sha256": "19jyrfhniz65xfrwzpph4i33mwpbfshy5bc79vxd5fy4qa0p6scp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrbHkgdG9nZ2xlIGJldHdlZW4gdHdvIHdvcmtzcGFjZXMgd2l0aCBvbmUga2V5IiwKICAibmFtZSI6ICJHbyBUbyBMYXN0IFdvcmtzcGFjZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5nby10by1sYXN0LXdvcmtzcGFjZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hcmphbi9nbm9tZS1zaGVsbC1nby10by1sYXN0LXdvcmtzcGFjZSIsCiAgInV1aWQiOiAiZ25vbWUtc2hlbGwtZ28tdG8tbGFzdC13b3Jrc3BhY2VAZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA3Cn0="}}}
, {"uuid": "KeepAwake@jepfa.de", "name": "Keep awake!", "pname": "keep-awake", "description": "Keep your computer awake! Forbid your computer to activate sceensaver, turn off the screen or suspend when it is idle for a while. Click the indicator icon (in the taskbar) once to keep your computer awake for the session. Click again to enable persistance of this setting between restarts (indicated by a small lock icon on the indicator). Switch off by clicking again.", "link": "https://extensions.gnome.org/extension/1097/keep-awake/", "shell_version_map": {"38": {"version": "6", "sha256": "1lmwq4ng14jvpzd3fnwc8bilvyigya46d8il8m16g1596p3hikdk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIktlZXAgeW91ciBjb21wdXRlciBhd2FrZSEgRm9yYmlkIHlvdXIgY29tcHV0ZXIgdG8gYWN0aXZhdGUgc2NlZW5zYXZlciwgdHVybiBvZmYgdGhlIHNjcmVlbiBvciBzdXNwZW5kIHdoZW4gaXQgaXMgaWRsZSBmb3IgYSB3aGlsZS4gQ2xpY2sgdGhlIGluZGljYXRvciBpY29uIChpbiB0aGUgdGFza2Jhcikgb25jZSB0byBrZWVwIHlvdXIgY29tcHV0ZXIgYXdha2UgZm9yIHRoZSBzZXNzaW9uLiBDbGljayBhZ2FpbiB0byBlbmFibGUgcGVyc2lzdGFuY2Ugb2YgdGhpcyBzZXR0aW5nIGJldHdlZW4gcmVzdGFydHMgKGluZGljYXRlZCBieSBhIHNtYWxsIGxvY2sgaWNvbiBvbiB0aGUgaW5kaWNhdG9yKS4gU3dpdGNoIG9mZiBieSBjbGlja2luZyBhZ2Fpbi4iLAogICJuYW1lIjogIktlZXAgYXdha2UhIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLktlZXBBd2FrZUBqZXBmYS5kZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy40IiwKICAgICIzLjYiLAogICAgIjMuOCIsCiAgICAiMy4xMCIsCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2plbnNwZmFobC9LZWVwQXdha2UiLAogICJ1dWlkIjogIktlZXBBd2FrZUBqZXBmYS5kZSIsCiAgInZlcnNpb24iOiA2Cn0="}}}
, {"uuid": "todolist@tomMoral.org", "name": "Section Todo List", "pname": "section-todo-list", "description": "Manage todo list with an applet\n\n* Add and remove task on your list in different sections.\n* Click an item to rename it.\n* Access the extension using Hot-Key (default: Ctrl+Space)\n", "link": "https://extensions.gnome.org/extension/1104/section-todo-list/", "shell_version_map": {"38": {"version": "11", "sha256": "02b5gccsx6ifgv2jyrniwagdf09jg7lvwzwlgm11qbrv7w7bn0qp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hbmFnZSB0b2RvIGxpc3Qgd2l0aCBhbiBhcHBsZXRcblxuKiBBZGQgYW5kIHJlbW92ZSB0YXNrIG9uIHlvdXIgbGlzdCBpbiBkaWZmZXJlbnQgc2VjdGlvbnMuXG4qIENsaWNrIGFuIGl0ZW0gdG8gcmVuYW1lIGl0LlxuKiBBY2Nlc3MgdGhlIGV4dGVuc2lvbiB1c2luZyBIb3QtS2V5IChkZWZhdWx0OiBDdHJsK1NwYWNlKVxuIiwKICAibmFtZSI6ICJTZWN0aW9uIFRvZG8gTGlzdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90b21Nb3JhbC9Ub0RvTGlzdCIsCiAgInV1aWQiOiAidG9kb2xpc3RAdG9tTW9yYWwub3JnIiwKICAidmVyc2lvbiI6IDExCn0="}, "40": {"version": "11", "sha256": "02b5gccsx6ifgv2jyrniwagdf09jg7lvwzwlgm11qbrv7w7bn0qp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hbmFnZSB0b2RvIGxpc3Qgd2l0aCBhbiBhcHBsZXRcblxuKiBBZGQgYW5kIHJlbW92ZSB0YXNrIG9uIHlvdXIgbGlzdCBpbiBkaWZmZXJlbnQgc2VjdGlvbnMuXG4qIENsaWNrIGFuIGl0ZW0gdG8gcmVuYW1lIGl0LlxuKiBBY2Nlc3MgdGhlIGV4dGVuc2lvbiB1c2luZyBIb3QtS2V5IChkZWZhdWx0OiBDdHJsK1NwYWNlKVxuIiwKICAibmFtZSI6ICJTZWN0aW9uIFRvZG8gTGlzdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90b21Nb3JhbC9Ub0RvTGlzdCIsCiAgInV1aWQiOiAidG9kb2xpc3RAdG9tTW9yYWwub3JnIiwKICAidmVyc2lvbiI6IDExCn0="}}}
, {"uuid": "add-username-toppanel@brendaw.com", "name": "Add Username to Top Panel", "pname": "add-username-to-top-panel", "description": "Simply add your username to topbar panel aggregate menu", "link": "https://extensions.gnome.org/extension/1108/add-username-to-top-panel/", "shell_version_map": {"38": {"version": "3", "sha256": "0j5i5rcp2mz9s630wxcrk5pz7j052p5955y1hqnn475ywbw8fzwk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBseSBhZGQgeW91ciB1c2VybmFtZSB0byB0b3BiYXIgcGFuZWwgYWdncmVnYXRlIG1lbnUiLAogICJuYW1lIjogIkFkZCBVc2VybmFtZSB0byBUb3AgUGFuZWwiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgIndpbGxpYW1icmVuZGF3QHByb3Rvbm1haWwuY29tIgogIF0sCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4yMC40IiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIiwKICAgICI0MC4xIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JyZW5kYXcvYWRkLXVzZXJuYW1lLXRvcHBhbmVsIiwKICAidXVpZCI6ICJhZGQtdXNlcm5hbWUtdG9wcGFuZWxAYnJlbmRhdy5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "3", "sha256": "0j5i5rcp2mz9s630wxcrk5pz7j052p5955y1hqnn475ywbw8fzwk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBseSBhZGQgeW91ciB1c2VybmFtZSB0byB0b3BiYXIgcGFuZWwgYWdncmVnYXRlIG1lbnUiLAogICJuYW1lIjogIkFkZCBVc2VybmFtZSB0byBUb3AgUGFuZWwiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgIndpbGxpYW1icmVuZGF3QHByb3Rvbm1haWwuY29tIgogIF0sCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4yMC40IiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIiwKICAgICI0MC4xIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JyZW5kYXcvYWRkLXVzZXJuYW1lLXRvcHBhbmVsIiwKICAidXVpZCI6ICJhZGQtdXNlcm5hbWUtdG9wcGFuZWxAYnJlbmRhdy5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}}}
+, {"uuid": "Hide_Clock@grantmcwilliams.com", "name": "Hide Clock", "pname": "hide-clock", "description": "Hides the Gnome title bar clock. \nThis is useful for anyone recording their desktop as it allows you to edit the video without the titlebar clock jumping time.\nNow supports Gnome 40.", "link": "https://extensions.gnome.org/extension/1110/hide-clock/", "shell_version_map": {"38": {"version": "3", "sha256": "1niax4lw42nvp99wbxiydassgrzsx0pkkxrnbvim0n2b8bizg8rx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSBHbm9tZSB0aXRsZSBiYXIgY2xvY2suIFxuVGhpcyBpcyB1c2VmdWwgZm9yIGFueW9uZSByZWNvcmRpbmcgdGhlaXIgZGVza3RvcCBhcyBpdCBhbGxvd3MgeW91IHRvIGVkaXQgdGhlIHZpZGVvIHdpdGhvdXQgdGhlIHRpdGxlYmFyIGNsb2NrIGp1bXBpbmcgdGltZS5cbk5vdyBzdXBwb3J0cyBHbm9tZSA0MC4iLAogICJuYW1lIjogIkhpZGUgQ2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIkhpZGVfQ2xvY2tAZ3JhbnRtY3dpbGxpYW1zLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}, "40": {"version": "3", "sha256": "1niax4lw42nvp99wbxiydassgrzsx0pkkxrnbvim0n2b8bizg8rx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSBHbm9tZSB0aXRsZSBiYXIgY2xvY2suIFxuVGhpcyBpcyB1c2VmdWwgZm9yIGFueW9uZSByZWNvcmRpbmcgdGhlaXIgZGVza3RvcCBhcyBpdCBhbGxvd3MgeW91IHRvIGVkaXQgdGhlIHZpZGVvIHdpdGhvdXQgdGhlIHRpdGxlYmFyIGNsb2NrIGp1bXBpbmcgdGltZS5cbk5vdyBzdXBwb3J0cyBHbm9tZSA0MC4iLAogICJuYW1lIjogIkhpZGUgQ2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIkhpZGVfQ2xvY2tAZ3JhbnRtY3dpbGxpYW1zLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}}}
, {"uuid": "gnome-shell-screenshot@ttll.de", "name": "Screenshot Tool", "pname": "screenshot-tool", "description": "Conveniently create, copy, store and upload screenshots", "link": "https://extensions.gnome.org/extension/1112/screenshot-tool/", "shell_version_map": {"38": {"version": "56", "sha256": "07bg3fgg9k7wqyd746w75a12vzm93dn4wr3l1czd6864f4pmalpd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnZlbmllbnRseSBjcmVhdGUsIGNvcHksIHN0b3JlIGFuZCB1cGxvYWQgc2NyZWVuc2hvdHMiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1zY3JlZW5zaG90IiwKICAiZ2l0LXZlcnNpb24iOiAidjU2IiwKICAibmFtZSI6ICJTY3JlZW5zaG90IFRvb2wiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc2NyZWVuc2hvdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL090dG9BbGxtZW5kaW5nZXIvZ25vbWUtc2hlbGwtc2NyZWVuc2hvdC8iLAogICJ1dWlkIjogImdub21lLXNoZWxsLXNjcmVlbnNob3RAdHRsbC5kZSIsCiAgInZlcnNpb24iOiA1Ngp9"}, "40": {"version": "58", "sha256": "0rh86n98jmma7kkbhyfvw71rk1qa85nb7nx14dsb5r15pbdx6v5r", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnZlbmllbnRseSBjcmVhdGUsIGNvcHksIHN0b3JlIGFuZCB1cGxvYWQgc2NyZWVuc2hvdHMiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1zY3JlZW5zaG90IiwKICAiZ2l0LXZlcnNpb24iOiAidjU4IiwKICAibmFtZSI6ICJTY3JlZW5zaG90IFRvb2wiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc2NyZWVuc2hvdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9PdHRvQWxsbWVuZGluZ2VyL2dub21lLXNoZWxsLXNjcmVlbnNob3QvIiwKICAidXVpZCI6ICJnbm9tZS1zaGVsbC1zY3JlZW5zaG90QHR0bGwuZGUiLAogICJ2ZXJzaW9uIjogNTgKfQ=="}}}
, {"uuid": "nothing-to-say@extensions.gnome.wouter.bolsterl.ee", "name": "Nothing to say", "pname": "nothing-to-say", "description": "Unmute the microphone only when you have something to say.", "link": "https://extensions.gnome.org/extension/1113/nothing-to-say/", "shell_version_map": {"38": {"version": "8", "sha256": "12ngc4dv1ijbvihqn2rjn77bal0gdhdq4cxf1zv5lr2ckz0ishm4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVubXV0ZSB0aGUgbWljcm9waG9uZSBvbmx5IHdoZW4geW91IGhhdmUgc29tZXRoaW5nIHRvIHNheS4iLAogICJuYW1lIjogIk5vdGhpbmcgdG8gc2F5IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm5vdGhpbmctdG8tc2F5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vd2JvbHN0ZXIvbm90aGluZy10by1zYXkiLAogICJ1dWlkIjogIm5vdGhpbmctdG8tc2F5QGV4dGVuc2lvbnMuZ25vbWUud291dGVyLmJvbHN0ZXJsLmVlIiwKICAidmVyc2lvbiI6IDgKfQ=="}, "40": {"version": "9", "sha256": "0advxxg1bd9b8c1pi0yf4c08xrfbavwv97sg57lgc98mg3asgzh4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVubXV0ZSB0aGUgbWljcm9waG9uZSBvbmx5IHdoZW4geW91IGhhdmUgc29tZXRoaW5nIHRvIHNheS4iLAogICJuYW1lIjogIk5vdGhpbmcgdG8gc2F5IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm5vdGhpbmctdG8tc2F5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3dib2xzdGVyL25vdGhpbmctdG8tc2F5IiwKICAidXVpZCI6ICJub3RoaW5nLXRvLXNheUBleHRlbnNpb25zLmdub21lLndvdXRlci5ib2xzdGVybC5lZSIsCiAgInZlcnNpb24iOiA5Cn0="}}}
, {"uuid": "workspace-switch-wraparound@theychx.org", "name": "Workspace Switch Wraparound", "pname": "workspace-switch-wraparound", "description": "When switching workspaces, going down from the bottom workspace switches to the top workspace. Likewise, up from the top workspace goes to the bottom workspace.", "link": "https://extensions.gnome.org/extension/1116/workspace-switch-wraparound/", "shell_version_map": {"38": {"version": "7", "sha256": "1zc92s0pffsd6mwsmpy8s8gici0q1wzd5s1vwjld4y1cy34kp2ad", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldoZW4gc3dpdGNoaW5nIHdvcmtzcGFjZXMsIGdvaW5nIGRvd24gZnJvbSB0aGUgYm90dG9tIHdvcmtzcGFjZSBzd2l0Y2hlcyB0byB0aGUgdG9wIHdvcmtzcGFjZS4gTGlrZXdpc2UsIHVwIGZyb20gdGhlIHRvcCB3b3Jrc3BhY2UgZ29lcyB0byB0aGUgYm90dG9tIHdvcmtzcGFjZS4iLAogICJuYW1lIjogIldvcmtzcGFjZSBTd2l0Y2ggV3JhcGFyb3VuZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90aGV5Y2h4L1dvcmtzcGFjZVN3aXRjaGVyV3JhcEFyb3VuZCIsCiAgInV1aWQiOiAid29ya3NwYWNlLXN3aXRjaC13cmFwYXJvdW5kQHRoZXljaHgub3JnIiwKICAidmVyc2lvbiI6IDcKfQ=="}, "40": {"version": "7", "sha256": "1zc92s0pffsd6mwsmpy8s8gici0q1wzd5s1vwjld4y1cy34kp2ad", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldoZW4gc3dpdGNoaW5nIHdvcmtzcGFjZXMsIGdvaW5nIGRvd24gZnJvbSB0aGUgYm90dG9tIHdvcmtzcGFjZSBzd2l0Y2hlcyB0byB0aGUgdG9wIHdvcmtzcGFjZS4gTGlrZXdpc2UsIHVwIGZyb20gdGhlIHRvcCB3b3Jrc3BhY2UgZ29lcyB0byB0aGUgYm90dG9tIHdvcmtzcGFjZS4iLAogICJuYW1lIjogIldvcmtzcGFjZSBTd2l0Y2ggV3JhcGFyb3VuZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90aGV5Y2h4L1dvcmtzcGFjZVN3aXRjaGVyV3JhcEFyb3VuZCIsCiAgInV1aWQiOiAid29ya3NwYWNlLXN3aXRjaC13cmFwYXJvdW5kQHRoZXljaHgub3JnIiwKICAidmVyc2lvbiI6IDcKfQ=="}}}
, {"uuid": "ibus-font-setting@ibus.github.com", "name": "ibus font setting", "pname": "ibus-font-setting", "description": "use ibus font setting of ibus setup dialog to enhance the user experience", "link": "https://extensions.gnome.org/extension/1121/ibus-font-setting/", "shell_version_map": {"38": {"version": "9", "sha256": "163byvsc3dj2w9xq498py1xjziyi98icyki1cd6wv7vxaxfmk7y6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogInVzZSBpYnVzIGZvbnQgc2V0dGluZyBvZiBpYnVzIHNldHVwIGRpYWxvZyB0byBlbmhhbmNlIHRoZSB1c2VyIGV4cGVyaWVuY2UiLAogICJuYW1lIjogImlidXMgZm9udCBzZXR0aW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL3B3dS5mZWRvcmFwZW9wbGUub3JnL2lidXMvaWJ1cy1mb250LXNldHRpbmciLAogICJ1dWlkIjogImlidXMtZm9udC1zZXR0aW5nQGlidXMuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA5Cn0="}, "40": {"version": "10", "sha256": "1bgbnpab1vw5glbv15zrbxngb60dl9bmk1y2iadxq47f0zh1fgly", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogInVzZSBpYnVzIGZvbnQgc2V0dGluZyBvZiBpYnVzIHNldHVwIGRpYWxvZyB0byBlbmhhbmNlIHRoZSB1c2VyIGV4cGVyaWVuY2UiLAogICJuYW1lIjogImlidXMgZm9udCBzZXR0aW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9wd3UuZmVkb3JhcGVvcGxlLm9yZy9pYnVzL2lidXMtZm9udC1zZXR0aW5nIiwKICAidXVpZCI6ICJpYnVzLWZvbnQtc2V0dGluZ0BpYnVzLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTAKfQ=="}}}
, {"uuid": "github.notifications@alexandre.dufournet.gmail.com", "name": "Github Notifications", "pname": "github-notifications", "description": "Integrate github's notifications within the gnome desktop environment\nSource code is available here: https://github.com/alexduf/gnome-github-notifications", "link": "https://extensions.gnome.org/extension/1125/github-notifications/", "shell_version_map": {"38": {"version": "17", "sha256": "0lj41ngk4kmkz94hn9bi51w8dbhzhxbb3kaza1h68lcvv2cis4sq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkludGVncmF0ZSBnaXRodWIncyBub3RpZmljYXRpb25zIHdpdGhpbiB0aGUgZ25vbWUgZGVza3RvcCBlbnZpcm9ubWVudFxuU291cmNlIGNvZGUgaXMgYXZhaWxhYmxlIGhlcmU6IGh0dHBzOi8vZ2l0aHViLmNvbS9hbGV4ZHVmL2dub21lLWdpdGh1Yi1ub3RpZmljYXRpb25zIiwKICAibmFtZSI6ICJHaXRodWIgTm90aWZpY2F0aW9ucyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJnaXRodWIubm90aWZpY2F0aW9uc0BhbGV4YW5kcmUuZHVmb3VybmV0LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAxNwp9"}}}
-, {"uuid": "desk-changer@eric.gach.gmail.com", "name": "Desk Changer", "pname": "desk-changer", "description": "Simple wallpaper changer with multiple profile support. Supports integration into the system menu or its own panel icon. The daemon is written in Python and runs independently of the extension.", "link": "https://extensions.gnome.org/extension/1131/desk-changer/", "shell_version_map": {"38": {"version": "19", "sha256": "0w62m9k585j2d3in78x4irzmi6z1zmzzm443y3nm02cah5y9yp23", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSB3YWxscGFwZXIgY2hhbmdlciB3aXRoIG11bHRpcGxlIHByb2ZpbGUgc3VwcG9ydC4gU3VwcG9ydHMgaW50ZWdyYXRpb24gaW50byB0aGUgc3lzdGVtIG1lbnUgb3IgaXRzIG93biBwYW5lbCBpY29uLiBUaGUgZGFlbW9uIGlzIHdyaXR0ZW4gaW4gUHl0aG9uIGFuZCBydW5zIGluZGVwZW5kZW50bHkgb2YgdGhlIGV4dGVuc2lvbi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJkZXNrLWNoYW5nZXIiLAogICJuYW1lIjogIkRlc2sgQ2hhbmdlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5kZXNrLWNoYW5nZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9CaWdFL2Rlc2stY2hhbmdlci8iLAogICJ1dWlkIjogImRlc2stY2hhbmdlckBlcmljLmdhY2guZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDE5Cn0="}}}
+, {"uuid": "desk-changer@eric.gach.gmail.com", "name": "Desk Changer", "pname": "desk-changer", "description": "Simple wallpaper changer with multiple profile support. Integrates into the shell by providing it's own panel icon. The daemon is written using gjs and runs independently of the extension as a background process.", "link": "https://extensions.gnome.org/extension/1131/desk-changer/", "shell_version_map": {"38": {"version": "22", "sha256": "1da77qa05lcmn22kq055dh3k5qqav9lqh75ylkqsxvkpg5k5w8hg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSB3YWxscGFwZXIgY2hhbmdlciB3aXRoIG11bHRpcGxlIHByb2ZpbGUgc3VwcG9ydC4gSW50ZWdyYXRlcyBpbnRvIHRoZSBzaGVsbCBieSBwcm92aWRpbmcgaXQncyBvd24gcGFuZWwgaWNvbi4gVGhlIGRhZW1vbiBpcyB3cml0dGVuIHVzaW5nIGdqcyBhbmQgcnVucyBpbmRlcGVuZGVudGx5IG9mIHRoZSBleHRlbnNpb24gYXMgYSBiYWNrZ3JvdW5kIHByb2Nlc3MuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGVzay1jaGFuZ2VyIiwKICAibmFtZSI6ICJEZXNrIENoYW5nZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZGVzay1jaGFuZ2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0JpZ0UvZGVzay1jaGFuZ2VyLyIsCiAgInV1aWQiOiAiZGVzay1jaGFuZ2VyQGVyaWMuZ2FjaC5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMjIKfQ=="}, "40": {"version": "22", "sha256": "1da77qa05lcmn22kq055dh3k5qqav9lqh75ylkqsxvkpg5k5w8hg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSB3YWxscGFwZXIgY2hhbmdlciB3aXRoIG11bHRpcGxlIHByb2ZpbGUgc3VwcG9ydC4gSW50ZWdyYXRlcyBpbnRvIHRoZSBzaGVsbCBieSBwcm92aWRpbmcgaXQncyBvd24gcGFuZWwgaWNvbi4gVGhlIGRhZW1vbiBpcyB3cml0dGVuIHVzaW5nIGdqcyBhbmQgcnVucyBpbmRlcGVuZGVudGx5IG9mIHRoZSBleHRlbnNpb24gYXMgYSBiYWNrZ3JvdW5kIHByb2Nlc3MuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGVzay1jaGFuZ2VyIiwKICAibmFtZSI6ICJEZXNrIENoYW5nZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZGVzay1jaGFuZ2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0JpZ0UvZGVzay1jaGFuZ2VyLyIsCiAgInV1aWQiOiAiZGVzay1jaGFuZ2VyQGVyaWMuZ2FjaC5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMjIKfQ=="}}}
, {"uuid": "Shortcuts@kyle.aims.ac.za", "name": "Shortcuts", "pname": "shortcuts", "description": "This shows a pop-up of useful keyboard shortcuts when Super + S is pressed", "link": "https://extensions.gnome.org/extension/1144/shortcuts/", "shell_version_map": {"38": {"version": "6", "sha256": "1ma022dlhhk0ia7j8b1lm52arq9zz7in4x02hdvs0k29jcl4f9dd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgc2hvd3MgYSBwb3AtdXAgb2YgdXNlZnVsIGtleWJvYXJkIHNob3J0Y3V0cyB3aGVuIFN1cGVyICsgUyBpcyBwcmVzc2VkIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiU2hvcnRjdXRzIiwKICAibmFtZSI6ICJTaG9ydGN1dHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc2hvcnRjdXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vcGFkZGF0cmFwcGVyL3Nob3J0Y3V0cy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogIlNob3J0Y3V0c0BreWxlLmFpbXMuYWMuemEiLAogICJ2ZXJzaW9uIjogNgp9"}}}
, {"uuid": "sensory-perception@HarlemSquirrel.github.io", "name": "Sensory Perception", "pname": "sensory-perception", "description": "Requires lm-sensors (or lm_sensors). Shows CPU temperature, disk temperature, video card temperature, voltage and fan RPM.", "link": "https://extensions.gnome.org/extension/1145/sensory-perception/", "shell_version_map": {"38": {"version": "13", "sha256": "16wc49khyk5arsis8kzpjgl6nl8gccc2y5sspq8rwnab22jnzwjh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcXVpcmVzIGxtLXNlbnNvcnMgKG9yIGxtX3NlbnNvcnMpLiBTaG93cyBDUFUgdGVtcGVyYXR1cmUsIGRpc2sgdGVtcGVyYXR1cmUsIHZpZGVvIGNhcmQgdGVtcGVyYXR1cmUsIHZvbHRhZ2UgYW5kIGZhbiBSUE0uIiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2Vuc29yeS1wZXJjZXB0aW9uIiwKICAibmFtZSI6ICJTZW5zb3J5IFBlcmNlcHRpb24iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc2Vuc29yeS1wZXJjZXB0aW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vSGFybGVtU3F1aXJyZWwvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXNlbnNvcnktcGVyY2VwdGlvbiIsCiAgInV1aWQiOiAic2Vuc29yeS1wZXJjZXB0aW9uQEhhcmxlbVNxdWlycmVsLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxMwp9"}, "40": {"version": "14", "sha256": "1g3yvzpvvrpg90vhgqabp028mgxpsfy2xzqpbg5d4zriam94ply8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcXVpcmVzIGxtLXNlbnNvcnMgKG9yIGxtX3NlbnNvcnMpLiBTaG93cyBDUFUgdGVtcGVyYXR1cmUsIGRpc2sgdGVtcGVyYXR1cmUsIHZpZGVvIGNhcmQgdGVtcGVyYXR1cmUsIHZvbHRhZ2UgYW5kIGZhbiBSUE0uIiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2Vuc29yeS1wZXJjZXB0aW9uIiwKICAibmFtZSI6ICJTZW5zb3J5IFBlcmNlcHRpb24iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc2Vuc29yeS1wZXJjZXB0aW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0hhcmxlbVNxdWlycmVsL2dub21lLXNoZWxsLWV4dGVuc2lvbi1zZW5zb3J5LXBlcmNlcHRpb24iLAogICJ1dWlkIjogInNlbnNvcnktcGVyY2VwdGlvbkBIYXJsZW1TcXVpcnJlbC5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMTQKfQ=="}}}
-, {"uuid": "activityAppLauncher@rastersoft.com", "name": "Activity App Launcher", "pname": "activity-app-launcher", "description": "Integrates a category-based application launcher in the activities window. IMPORTANT: it needs the 'gnome-menus' and 'libgnome-menu-3-dev'; they must be installed in the system before installing this extension.", "link": "https://extensions.gnome.org/extension/1149/activity-app-launcher/", "shell_version_map": {"38": {"version": "23", "sha256": "01dvak9l2jay31y39kp5fgc58hjnav9rqglzl12zm6z50qgjnn4j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkludGVncmF0ZXMgYSBjYXRlZ29yeS1iYXNlZCBhcHBsaWNhdGlvbiBsYXVuY2hlciBpbiB0aGUgYWN0aXZpdGllcyB3aW5kb3cuIElNUE9SVEFOVDogaXQgbmVlZHMgdGhlICdnbm9tZS1tZW51cycgYW5kICdsaWJnbm9tZS1tZW51LTMtZGV2JzsgdGhleSBtdXN0IGJlIGluc3RhbGxlZCBpbiB0aGUgc3lzdGVtIGJlZm9yZSBpbnN0YWxsaW5nIHRoaXMgZXh0ZW5zaW9uLiIsCiAgIm5hbWUiOiAiQWN0aXZpdHkgQXBwIExhdW5jaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vcmFzdGVyc29mdC9hY3Rpdml0eUFwcExhdW5jaGVyIiwKICAidXVpZCI6ICJhY3Rpdml0eUFwcExhdW5jaGVyQHJhc3RlcnNvZnQuY29tIiwKICAidmVyc2lvbiI6IDIzCn0="}}}
-, {"uuid": "dash-to-panel@jderose9.github.com", "name": "Dash to Panel", "pname": "dash-to-panel", "description": "An icon taskbar for the Gnome Shell. This extension moves the dash into the gnome main panel so that the application launchers and system tray are combined into a single panel, similar to that found in KDE Plasma and Windows 7+. A separate dock is no longer needed for easy access to running and favorited applications.\n\nFor a more traditional experience, you may also want to use Tweak Tool to enable Windows > Titlebar Buttons > Minimize & Maximize.\n\nFor the best support, please report any issues on Github. Dash-to-panel is developed and maintained by @jderose9 and @charlesg99.", "link": "https://extensions.gnome.org/extension/1160/dash-to-panel/", "shell_version_map": {"38": {"version": "42", "sha256": "052adrf5dv1qfrpkp1wivp8a8vfi851japvx16gy779shfzq0n2a", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGljb24gdGFza2JhciBmb3IgdGhlIEdub21lIFNoZWxsLiBUaGlzIGV4dGVuc2lvbiBtb3ZlcyB0aGUgZGFzaCBpbnRvIHRoZSBnbm9tZSBtYWluIHBhbmVsIHNvIHRoYXQgdGhlIGFwcGxpY2F0aW9uIGxhdW5jaGVycyBhbmQgc3lzdGVtIHRyYXkgYXJlIGNvbWJpbmVkIGludG8gYSBzaW5nbGUgcGFuZWwsIHNpbWlsYXIgdG8gdGhhdCBmb3VuZCBpbiBLREUgUGxhc21hIGFuZCBXaW5kb3dzIDcrLiBBIHNlcGFyYXRlIGRvY2sgaXMgbm8gbG9uZ2VyIG5lZWRlZCBmb3IgZWFzeSBhY2Nlc3MgdG8gcnVubmluZyBhbmQgZmF2b3JpdGVkIGFwcGxpY2F0aW9ucy5cblxuRm9yIGEgbW9yZSB0cmFkaXRpb25hbCBleHBlcmllbmNlLCB5b3UgbWF5IGFsc28gd2FudCB0byB1c2UgVHdlYWsgVG9vbCB0byBlbmFibGUgV2luZG93cyA+IFRpdGxlYmFyIEJ1dHRvbnMgPiBNaW5pbWl6ZSAmIE1heGltaXplLlxuXG5Gb3IgdGhlIGJlc3Qgc3VwcG9ydCwgcGxlYXNlIHJlcG9ydCBhbnkgaXNzdWVzIG9uIEdpdGh1Yi4gRGFzaC10by1wYW5lbCBpcyBkZXZlbG9wZWQgYW5kIG1haW50YWluZWQgYnkgQGpkZXJvc2U5IGFuZCBAY2hhcmxlc2c5OS4iLAogICJleHRlbnNpb24taWQiOiAiZGFzaC10by1wYW5lbCIsCiAgImdldHRleHQtZG9tYWluIjogImRhc2gtdG8tcGFuZWwiLAogICJuYW1lIjogIkRhc2ggdG8gUGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9qZGVyb3NlOS9kYXNoLXRvLXBhbmVsIiwKICAidXVpZCI6ICJkYXNoLXRvLXBhbmVsQGpkZXJvc2U5LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNDIKfQ=="}}}
+, {"uuid": "activityAppLauncher@rastersoft.com", "name": "Activity App Launcher", "pname": "activity-app-launcher", "description": "Integrates a category-based application launcher in the activities window. IMPORTANT: it needs the 'gnome-menus' and 'libgnome-menu-3-dev'; they must be installed in the system before installing this extension.", "link": "https://extensions.gnome.org/extension/1149/activity-app-launcher/", "shell_version_map": {"38": {"version": "31", "sha256": "18jqnk4psdvdx1hydfss1870v0gnpxkmsm5yasnb0m5m484in0qv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkludGVncmF0ZXMgYSBjYXRlZ29yeS1iYXNlZCBhcHBsaWNhdGlvbiBsYXVuY2hlciBpbiB0aGUgYWN0aXZpdGllcyB3aW5kb3cuIElNUE9SVEFOVDogaXQgbmVlZHMgdGhlICdnbm9tZS1tZW51cycgYW5kICdsaWJnbm9tZS1tZW51LTMtZGV2JzsgdGhleSBtdXN0IGJlIGluc3RhbGxlZCBpbiB0aGUgc3lzdGVtIGJlZm9yZSBpbnN0YWxsaW5nIHRoaXMgZXh0ZW5zaW9uLiIsCiAgIm5hbWUiOiAiQWN0aXZpdHkgQXBwIExhdW5jaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vcmFzdGVyc29mdC9hY3Rpdml0eUFwcExhdW5jaGVyIiwKICAidXVpZCI6ICJhY3Rpdml0eUFwcExhdW5jaGVyQHJhc3RlcnNvZnQuY29tIiwKICAidmVyc2lvbiI6IDMxCn0="}, "40": {"version": "32", "sha256": "0h1pnv64jcyi0h7m8bk1rr0pfiz7pn6s08v10b2x3jmpdzahxxcy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkludGVncmF0ZXMgYSBjYXRlZ29yeS1iYXNlZCBhcHBsaWNhdGlvbiBsYXVuY2hlciBpbiB0aGUgYWN0aXZpdGllcyB3aW5kb3cuIElNUE9SVEFOVDogaXQgbmVlZHMgdGhlICdnbm9tZS1tZW51cycgYW5kICdsaWJnbm9tZS1tZW51LTMtZGV2JzsgdGhleSBtdXN0IGJlIGluc3RhbGxlZCBpbiB0aGUgc3lzdGVtIGJlZm9yZSBpbnN0YWxsaW5nIHRoaXMgZXh0ZW5zaW9uLiIsCiAgIm5hbWUiOiAiQWN0aXZpdHkgQXBwIExhdW5jaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9yYXN0ZXJzb2Z0L2FjdGl2aXR5QXBwTGF1bmNoZXIiLAogICJ1dWlkIjogImFjdGl2aXR5QXBwTGF1bmNoZXJAcmFzdGVyc29mdC5jb20iLAogICJ2ZXJzaW9uIjogMzIKfQ=="}}}
+, {"uuid": "shutdown-timer-gnome-shell-extension", "name": "ShutdownTimer", "pname": "shutdowntimer", "description": "Allows to shutdown, restart and suspend computer after selected amount of time or in selected time.", "link": "https://extensions.gnome.org/extension/1152/shutdowntimer/", "shell_version_map": {"40": {"version": "9", "sha256": "1y69lv3mq66xxfxabngnbb104d26i05cyhmx3dqf4kyf1kd6jqvx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93cyB0byBzaHV0ZG93biwgcmVzdGFydCBhbmQgc3VzcGVuZCBjb21wdXRlciBhZnRlciBzZWxlY3RlZCBhbW91bnQgb2YgdGltZSBvciBpbiBzZWxlY3RlZCB0aW1lLiIsCiAgImdldHRleHQtZG9tYWluIjogIkF1dG9tYXRpY1NodXRkb3duVGltZXIiLAogICJuYW1lIjogIlNodXRkb3duVGltZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXV0b21hdGljLXNodXRkb3duLXRpbWVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21rcmFqbmFrL3NodXRkb3duLXRpbWVyLWdub21lLXNoZWxsLWV4dGVuc2lvbi8iLAogICJ1dWlkIjogInNodXRkb3duLXRpbWVyLWdub21lLXNoZWxsLWV4dGVuc2lvbiIsCiAgInZlcnNpb24iOiA5Cn0="}}}
+, {"uuid": "dash-to-panel@jderose9.github.com", "name": "Dash to Panel", "pname": "dash-to-panel", "description": "An icon taskbar for the Gnome Shell. This extension moves the dash into the gnome main panel so that the application launchers and system tray are combined into a single panel, similar to that found in KDE Plasma and Windows 7+. A separate dock is no longer needed for easy access to running and favorited applications.\n\nFor a more traditional experience, you may also want to use Tweak Tool to enable Windows > Titlebar Buttons > Minimize & Maximize.\n\nFor the best support, please report any issues on Github. Dash-to-panel is developed and maintained by @jderose9 and @charlesg99.", "link": "https://extensions.gnome.org/extension/1160/dash-to-panel/", "shell_version_map": {"38": {"version": "42", "sha256": "18qgq3v50l6md7g6q1jsd7v1irbphxwk5p9qxm1wcpyhad34dd7c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGljb24gdGFza2JhciBmb3IgdGhlIEdub21lIFNoZWxsLiBUaGlzIGV4dGVuc2lvbiBtb3ZlcyB0aGUgZGFzaCBpbnRvIHRoZSBnbm9tZSBtYWluIHBhbmVsIHNvIHRoYXQgdGhlIGFwcGxpY2F0aW9uIGxhdW5jaGVycyBhbmQgc3lzdGVtIHRyYXkgYXJlIGNvbWJpbmVkIGludG8gYSBzaW5nbGUgcGFuZWwsIHNpbWlsYXIgdG8gdGhhdCBmb3VuZCBpbiBLREUgUGxhc21hIGFuZCBXaW5kb3dzIDcrLiBBIHNlcGFyYXRlIGRvY2sgaXMgbm8gbG9uZ2VyIG5lZWRlZCBmb3IgZWFzeSBhY2Nlc3MgdG8gcnVubmluZyBhbmQgZmF2b3JpdGVkIGFwcGxpY2F0aW9ucy5cblxuRm9yIGEgbW9yZSB0cmFkaXRpb25hbCBleHBlcmllbmNlLCB5b3UgbWF5IGFsc28gd2FudCB0byB1c2UgVHdlYWsgVG9vbCB0byBlbmFibGUgV2luZG93cyAmZ3Q7IFRpdGxlYmFyIEJ1dHRvbnMgJmd0OyBNaW5pbWl6ZSAmYW1wOyBNYXhpbWl6ZS5cblxuRm9yIHRoZSBiZXN0IHN1cHBvcnQsIHBsZWFzZSByZXBvcnQgYW55IGlzc3VlcyBvbiBHaXRodWIuIERhc2gtdG8tcGFuZWwgaXMgZGV2ZWxvcGVkIGFuZCBtYWludGFpbmVkIGJ5IEBqZGVyb3NlOSBhbmQgQGNoYXJsZXNnOTkuIiwKICAiZXh0ZW5zaW9uLWlkIjogImRhc2gtdG8tcGFuZWwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJkYXNoLXRvLXBhbmVsIiwKICAibmFtZSI6ICJEYXNoIHRvIFBhbmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vamRlcm9zZTkvZGFzaC10by1wYW5lbCIsCiAgInV1aWQiOiAiZGFzaC10by1wYW5lbEBqZGVyb3NlOS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQyCn0="}, "40": {"version": "43", "sha256": "1h44cfb7zcpw9vsl85ry9g232vfqg7hgnrxd19w5i2wxhrw7712j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGljb24gdGFza2JhciBmb3IgdGhlIEdub21lIFNoZWxsLiBUaGlzIGV4dGVuc2lvbiBtb3ZlcyB0aGUgZGFzaCBpbnRvIHRoZSBnbm9tZSBtYWluIHBhbmVsIHNvIHRoYXQgdGhlIGFwcGxpY2F0aW9uIGxhdW5jaGVycyBhbmQgc3lzdGVtIHRyYXkgYXJlIGNvbWJpbmVkIGludG8gYSBzaW5nbGUgcGFuZWwsIHNpbWlsYXIgdG8gdGhhdCBmb3VuZCBpbiBLREUgUGxhc21hIGFuZCBXaW5kb3dzIDcrLiBBIHNlcGFyYXRlIGRvY2sgaXMgbm8gbG9uZ2VyIG5lZWRlZCBmb3IgZWFzeSBhY2Nlc3MgdG8gcnVubmluZyBhbmQgZmF2b3JpdGVkIGFwcGxpY2F0aW9ucy5cblxuRm9yIGEgbW9yZSB0cmFkaXRpb25hbCBleHBlcmllbmNlLCB5b3UgbWF5IGFsc28gd2FudCB0byB1c2UgVHdlYWsgVG9vbCB0byBlbmFibGUgV2luZG93cyAmZ3Q7IFRpdGxlYmFyIEJ1dHRvbnMgJmd0OyBNaW5pbWl6ZSAmYW1wOyBNYXhpbWl6ZS5cblxuRm9yIHRoZSBiZXN0IHN1cHBvcnQsIHBsZWFzZSByZXBvcnQgYW55IGlzc3VlcyBvbiBHaXRodWIuIERhc2gtdG8tcGFuZWwgaXMgZGV2ZWxvcGVkIGFuZCBtYWludGFpbmVkIGJ5IEBqZGVyb3NlOSBhbmQgQGNoYXJsZXNnOTkuIiwKICAiZXh0ZW5zaW9uLWlkIjogImRhc2gtdG8tcGFuZWwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJkYXNoLXRvLXBhbmVsIiwKICAibmFtZSI6ICJEYXNoIHRvIFBhbmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2pkZXJvc2U5L2Rhc2gtdG8tcGFuZWwiLAogICJ1dWlkIjogImRhc2gtdG8tcGFuZWxAamRlcm9zZTkuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Mwp9"}}}
, {"uuid": "emoji-selector@maestroschan.fr", "name": "Emoji Selector", "pname": "emoji-selector", "description": "This extension provides a parametrable popup menu displaying most emojis, clicking on an emoji copies it to the clipboard. An appropriate font like 'Twitter Color Emoji' or 'JoyPixels Color' should be installed on your system for a better visual result.", "link": "https://extensions.gnome.org/extension/1162/emoji-selector/", "shell_version_map": {"38": {"version": "20", "sha256": "1i6py149m46xig5a0ry7y5v887nlzw644mw72gcr2hkfsn8b0gnd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIHByb3ZpZGVzIGEgcGFyYW1ldHJhYmxlIHBvcHVwIG1lbnUgZGlzcGxheWluZyBtb3N0IGVtb2ppcywgY2xpY2tpbmcgb24gYW4gZW1vamkgY29waWVzIGl0IHRvIHRoZSBjbGlwYm9hcmQuIEFuIGFwcHJvcHJpYXRlIGZvbnQgbGlrZSAnVHdpdHRlciBDb2xvciBFbW9qaScgb3IgJ0pveVBpeGVscyBDb2xvcicgc2hvdWxkIGJlIGluc3RhbGxlZCBvbiB5b3VyIHN5c3RlbSBmb3IgYSBiZXR0ZXIgdmlzdWFsIHJlc3VsdC4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJlbW9qaS1zZWxlY3RvciIsCiAgIm5hbWUiOiAiRW1vamkgU2VsZWN0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZW1vamktc2VsZWN0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYW9zY2hhbnovZW1vamktc2VsZWN0b3ItZm9yLWdub21lIiwKICAidXVpZCI6ICJlbW9qaS1zZWxlY3RvckBtYWVzdHJvc2NoYW4uZnIiLAogICJ2ZXJzaW9uIjogMjAKfQ=="}}}
, {"uuid": "gnome-kinit@bonzini.gnu.org", "name": "Kerberos login", "pname": "kerberos-login", "description": "Provide a system menu item to renew Kerberos tickets", "link": "https://extensions.gnome.org/extension/1165/kerberos-login/", "shell_version_map": {"40": {"version": "3", "sha256": "0b9yr3ixrlr1ngc8f68spddpk94nz367cvrklf02598jj48sgxbl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlByb3ZpZGUgYSBzeXN0ZW0gbWVudSBpdGVtIHRvIHJlbmV3IEtlcmJlcm9zIHRpY2tldHMiLAogICJuYW1lIjogIktlcmJlcm9zIGxvZ2luIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjIyLjIiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImdub21lLWtpbml0QGJvbnppbmkuZ251Lm9yZyIsCiAgInZlcnNpb24iOiAzCn0="}}}
, {"uuid": "calculator-button@amivaleo", "name": "Calculator Button", "pname": "calculator-button", "description": "A button to easily open gnome-calculator.\n Credits to extensions.gnome.org/extension/939/display-button/\n\nv10:\n1 - added support for gnome 3.38\n2 - added support for flatpak version of gnome-calculator", "link": "https://extensions.gnome.org/extension/1168/calculator-button/", "shell_version_map": {"38": {"version": "10", "sha256": "1c6b53im6xj4yaf4skvchvgipxfjs2yh3i5r75cw9avnw7imnwk6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgYnV0dG9uIHRvIGVhc2lseSBvcGVuIGdub21lLWNhbGN1bGF0b3IuXG4gQ3JlZGl0cyB0byBleHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vOTM5L2Rpc3BsYXktYnV0dG9uL1xuXG52MTA6XG4xIC0gYWRkZWQgc3VwcG9ydCBmb3IgZ25vbWUgMy4zOFxuMiAtIGFkZGVkIHN1cHBvcnQgZm9yIGZsYXRwYWsgdmVyc2lvbiBvZiBnbm9tZS1jYWxjdWxhdG9yIiwKICAibmFtZSI6ICJDYWxjdWxhdG9yIEJ1dHRvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FtaXZhbGVvL0NhbGN1bGF0b3ItQnV0dG9uIiwKICAidXVpZCI6ICJjYWxjdWxhdG9yLWJ1dHRvbkBhbWl2YWxlbyIsCiAgInZlcnNpb24iOiAxMAp9"}}}
, {"uuid": "screenshotlocations.timur@linux.com", "name": "Screenshot Locations", "pname": "screenshot-locations", "description": "Change the default GNOME screenshot directory", "link": "https://extensions.gnome.org/extension/1179/screenshot-locations/", "shell_version_map": {"38": {"version": "7", "sha256": "0ymb4m8fgxgi964fdfdqwgjw95qbcm906zgqmyqxgd4ggip3mn47", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRhdGEtZ3Jlc291cmNlIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNjcmVlbnNob3Rsb2NhdGlvbnMuZGF0YS5ncmVzb3VyY2UiLAogICJkZXNjcmlwdGlvbiI6ICJDaGFuZ2UgdGhlIGRlZmF1bHQgR05PTUUgc2NyZWVuc2hvdCBkaXJlY3RvcnkiLAogICJuYW1lIjogIlNjcmVlbnNob3QgTG9jYXRpb25zIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNjcmVlbnNob3Rsb2NhdGlvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vY29kZWJlcmcub3JnL2tpeXVpL2dub21lLXNoZWxsLXNjcmVlbnNob3Rsb2NhdGlvbnMtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJzY3JlZW5zaG90bG9jYXRpb25zLnRpbXVyQGxpbnV4LmNvbSIsCiAgInZlcnNpb24iOiA3Cn0="}, "40": {"version": "8", "sha256": "0cxsq1zzmgn6q32wbdfw9hai62li92dwvwnzq2pdbskp9qm6v7nd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZSB0aGUgZGVmYXVsdCBHTk9NRSBzY3JlZW5zaG90IGRpcmVjdG9yeSIsCiAgImdldHRleHQtZG9tYWluIjogInNjcmVlbnNob3Rsb2NhdGlvbnMudGltdXJAbGludXguY29tIiwKICAibmFtZSI6ICJTY3JlZW5zaG90IExvY2F0aW9ucyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zY3JlZW5zaG90bG9jYXRpb25zIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9jb2RlYmVyZy5vcmcva2l5dWkvZ25vbWUtc2hlbGwtc2NyZWVuc2hvdGxvY2F0aW9ucy1leHRlbnNpb24iLAogICJ1dWlkIjogInNjcmVlbnNob3Rsb2NhdGlvbnMudGltdXJAbGludXguY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
-, {"uuid": "utcclock@injcristianrojas.github.com", "name": "UTCClock", "pname": "utcclock", "description": "UTC clock for the top bar. Supports GNOME 3.32 and up (even GNOME 40).\n\nAny issues?, please send them to https://github.com/injcristianrojas/UTCClock/issues", "link": "https://extensions.gnome.org/extension/1183/utcclock/", "shell_version_map": {"38": {"version": "24", "sha256": "1i286vqll6wqm42w3kha5db2vw9d9gqmkwwlb3l4lsy5n4wzc1jx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVUQyBjbG9jayBmb3IgdGhlIHRvcCBiYXIuIFN1cHBvcnRzIEdOT01FIDMuMzIgYW5kIHVwIChldmVuIEdOT01FIDQwKS5cblxuQW55IGlzc3Vlcz8sIHBsZWFzZSBzZW5kIHRoZW0gdG8gaHR0cHM6Ly9naXRodWIuY29tL2luamNyaXN0aWFucm9qYXMvVVRDQ2xvY2svaXNzdWVzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiVVRDQ2xvY2siLAogICJuYW1lIjogIlVUQ0Nsb2NrIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnV0Y2Nsb2NrIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaW5qY3Jpc3RpYW5yb2phcy9VVENDbG9jayIsCiAgInV1aWQiOiAidXRjY2xvY2tAaW5qY3Jpc3RpYW5yb2phcy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDI0Cn0="}, "40": {"version": "24", "sha256": "1i286vqll6wqm42w3kha5db2vw9d9gqmkwwlb3l4lsy5n4wzc1jx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVUQyBjbG9jayBmb3IgdGhlIHRvcCBiYXIuIFN1cHBvcnRzIEdOT01FIDMuMzIgYW5kIHVwIChldmVuIEdOT01FIDQwKS5cblxuQW55IGlzc3Vlcz8sIHBsZWFzZSBzZW5kIHRoZW0gdG8gaHR0cHM6Ly9naXRodWIuY29tL2luamNyaXN0aWFucm9qYXMvVVRDQ2xvY2svaXNzdWVzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiVVRDQ2xvY2siLAogICJuYW1lIjogIlVUQ0Nsb2NrIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnV0Y2Nsb2NrIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaW5qY3Jpc3RpYW5yb2phcy9VVENDbG9jayIsCiAgInV1aWQiOiAidXRjY2xvY2tAaW5qY3Jpc3RpYW5yb2phcy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDI0Cn0="}}}
-, {"uuid": "show-desktop-button@amivaleo", "name": "Show Desktop Button", "pname": "show-desktop-button", "description": "Minimize/unminimize all open windows in a single click.", "link": "https://extensions.gnome.org/extension/1194/show-desktop-button/", "shell_version_map": {"38": {"version": "17", "sha256": "010zh65pxwx16pnvqblnyh3cfmpnpxmmz7hd2r07rbw8mcyhd62h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pbmltaXplL3VubWluaW1pemUgYWxsIG9wZW4gd2luZG93cyBpbiBhIHNpbmdsZSBjbGljay4iLAogICJleHRlbnNpb24taWQiOiAic2hvdy1kZXNrdG9wLWJ1dHRvbiIsCiAgImdldHRleHQtZG9tYWluIjogInNob3ctZGVza3RvcC1idXR0b24iLAogICJsb2NhbGVkaXIiOiAiL3Vzci9zaGFyZS9sb2NhbGUiLAogICJuYW1lIjogIlNob3cgRGVza3RvcCBCdXR0b24iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc2hvdy1kZXNrdG9wLWJ1dHRvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FtaXZhbGVvL1Nob3ctRGVza3RvcC1CdXR0b24iLAogICJ1dWlkIjogInNob3ctZGVza3RvcC1idXR0b25AYW1pdmFsZW8iLAogICJ2ZXJzaW9uIjogMTcKfQ=="}, "40": {"version": "18", "sha256": "0ncqnggvz2nkymm3bf0f7fs7gmnw61asa60kwrvda3ch71sqkcvl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pbmltaXplL3VubWluaW1pemUgYWxsIG9wZW4gd2luZG93cyBpbiBhIHNpbmdsZSBjbGljay4iLAogICJleHRlbnNpb24taWQiOiAic2hvdy1kZXNrdG9wLWJ1dHRvbiIsCiAgImdldHRleHQtZG9tYWluIjogInNob3ctZGVza3RvcC1idXR0b24iLAogICJsb2NhbGVkaXIiOiAiL3Vzci9zaGFyZS9sb2NhbGUiLAogICJuYW1lIjogIlNob3cgRGVza3RvcCBCdXR0b24iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc2hvdy1kZXNrdG9wLWJ1dHRvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbWl2YWxlby9TaG93LURlc2t0b3AtQnV0dG9uIiwKICAidXVpZCI6ICJzaG93LWRlc2t0b3AtYnV0dG9uQGFtaXZhbGVvIiwKICAidmVyc2lvbiI6IDE4Cn0="}}}
-, {"uuid": "nasa_apod@elinvention.ovh", "name": "NASA APOD Wallpaper Changer", "pname": "nasa-apod", "description": "Change your wallpaper daily to the NASA's astronomy picture of the day", "link": "https://extensions.gnome.org/extension/1202/nasa-apod/", "shell_version_map": {"40": {"version": "23", "sha256": "1qv78dfb3ybfqfn2j3wff33j3hyrp7s1lhca4khqxnk43wb19qvl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZSB5b3VyIHdhbGxwYXBlciBkYWlseSB0byB0aGUgTkFTQSdzIGFzdHJvbm9teSBwaWN0dXJlIG9mIHRoZSBkYXkiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJuYXNhLWFwb2QiLAogICJuYW1lIjogIk5BU0EgQVBPRCBXYWxscGFwZXIgQ2hhbmdlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5uYXNhLWFwb2QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRWxpbnZlbnRpb24vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLW5hc2EtYXBvZCIsCiAgInV1aWQiOiAibmFzYV9hcG9kQGVsaW52ZW50aW9uLm92aCIsCiAgInZlcnNpb24iOiAyMwp9"}}}
+, {"uuid": "utcclock@injcristianrojas.github.com", "name": "UTCClock", "pname": "utcclock", "description": "UTC clock for the top bar. For GNOME 40+", "link": "https://extensions.gnome.org/extension/1183/utcclock/", "shell_version_map": {"38": {"version": "24", "sha256": "1gmj8479a7yvxb3yh76yjs9n7bav3qp4fkr9hg7197qch0rirhlr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVUQyBjbG9jayBmb3IgdGhlIHRvcCBiYXIuIEZvciBHTk9NRSA0MCsiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJVVENDbG9jayIsCiAgIm5hbWUiOiAiVVRDQ2xvY2siLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudXRjY2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9pbmpjcmlzdGlhbnJvamFzL1VUQ0Nsb2NrIiwKICAidXVpZCI6ICJ1dGNjbG9ja0BpbmpjcmlzdGlhbnJvamFzLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjQKfQ=="}, "40": {"version": "27", "sha256": "0jgrbsn2aqq5zxm553v16ydyzmbwa4ymqfr93mgz1br52clnjj2k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVUQyBjbG9jayBmb3IgdGhlIHRvcCBiYXIuIEZvciBHTk9NRSA0MCsiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJVVENDbG9jayIsCiAgIm5hbWUiOiAiVVRDQ2xvY2siLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudXRjY2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2luamNyaXN0aWFucm9qYXMvVVRDQ2xvY2siLAogICJ1dWlkIjogInV0Y2Nsb2NrQGluamNyaXN0aWFucm9qYXMuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAyNwp9"}}}
+, {"uuid": "show-desktop-button@amivaleo", "name": "Show Desktop Button", "pname": "show-desktop-button", "description": "Minimize/unminimize all open windows with a single click.", "link": "https://extensions.gnome.org/extension/1194/show-desktop-button/", "shell_version_map": {"38": {"version": "17", "sha256": "15cym2gka8g10bvprnxf6s0jbwchscw81fh9pvjybqmb9kc8ivvw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pbmltaXplL3VubWluaW1pemUgYWxsIG9wZW4gd2luZG93cyB3aXRoIGEgc2luZ2xlIGNsaWNrLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJzaG93LWRlc2t0b3AtYnV0dG9uIiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2hvdy1kZXNrdG9wLWJ1dHRvbiIsCiAgImxvY2FsZWRpciI6ICIvdXNyL3NoYXJlL2xvY2FsZSIsCiAgIm5hbWUiOiAiU2hvdyBEZXNrdG9wIEJ1dHRvbiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaG93LWRlc2t0b3AtYnV0dG9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYW1pdmFsZW8vU2hvdy1EZXNrdG9wLUJ1dHRvbiIsCiAgInV1aWQiOiAic2hvdy1kZXNrdG9wLWJ1dHRvbkBhbWl2YWxlbyIsCiAgInZlcnNpb24iOiAxNwp9"}, "40": {"version": "19", "sha256": "0ykv6qvrhsmlmjd47cklnrqj9bqydi04v9m735lg211wwgz0rgc5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlYnVnIjogZmFsc2UsCiAgImRlc2NyaXB0aW9uIjogIk1pbmltaXplL3VubWluaW1pemUgYWxsIG9wZW4gd2luZG93cyB3aXRoIGEgc2luZ2xlIGNsaWNrLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJzaG93LWRlc2t0b3AtYnV0dG9uIiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2hvdy1kZXNrdG9wLWJ1dHRvbiIsCiAgImxvY2FsZWRpciI6ICIvdXNyL3NoYXJlL2xvY2FsZSIsCiAgIm5hbWUiOiAiU2hvdyBEZXNrdG9wIEJ1dHRvbiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaG93LWRlc2t0b3AtYnV0dG9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbWl2YWxlby9TaG93LURlc2t0b3AtQnV0dG9uIiwKICAidXVpZCI6ICJzaG93LWRlc2t0b3AtYnV0dG9uQGFtaXZhbGVvIiwKICAidmVyc2lvbiI6IDE5Cn0="}}}
+, {"uuid": "nasa_apod@elinvention.ovh", "name": "NASA APOD Wallpaper Changer", "pname": "nasa-apod", "description": "Change your wallpaper daily to the NASA's astronomy picture of the day", "link": "https://extensions.gnome.org/extension/1202/nasa-apod/", "shell_version_map": {"40": {"version": "26", "sha256": "18gm70y6qxgcmp0ly1d8rbnn5a21xcgzv0awnp85gsmj3cylyd6s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZSB5b3VyIHdhbGxwYXBlciBkYWlseSB0byB0aGUgTkFTQSdzIGFzdHJvbm9teSBwaWN0dXJlIG9mIHRoZSBkYXkiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJuYXNhLWFwb2QiLAogICJuYW1lIjogIk5BU0EgQVBPRCBXYWxscGFwZXIgQ2hhbmdlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5uYXNhLWFwb2QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRWxpbnZlbnRpb24vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLW5hc2EtYXBvZCIsCiAgInV1aWQiOiAibmFzYV9hcG9kQGVsaW52ZW50aW9uLm92aCIsCiAgInZlcnNpb24iOiAyNgp9"}}}
, {"uuid": "SystemMenu@jonnius.github.com", "name": "System Menu", "pname": "system-menu", "description": "System menu with usefull shortcuts", "link": "https://extensions.gnome.org/extension/1204/system-menu/", "shell_version_map": {"38": {"version": "5", "sha256": "10zfr3fhqvq0fxqjzqmnxmhmdw5xcw9m5k3jm1apcjqnm38r896w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN5c3RlbSBtZW51IHdpdGggdXNlZnVsbCBzaG9ydGN1dHMiLAogICJuYW1lIjogIlN5c3RlbSBNZW51IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLlN5c3RlbU1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHA6Ly9naXRodWIuY29tL2pvbm5pdXMvZ25vbWUtc3lzdGVtLW1lbnUiLAogICJ1dWlkIjogIlN5c3RlbU1lbnVAam9ubml1cy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
, {"uuid": "rcd@criztovyl.space", "name": "Right Click Down", "pname": "right-click-down", "description": "Moves windows one workspace down by right-clicking them in the overview.", "link": "https://extensions.gnome.org/extension/1210/right-click-down/", "shell_version_map": {"38": {"version": "3", "sha256": "1vh9capapzbbwg2zlw9iphdk48q6fqk0qsmaylvsvw59xc7f9bia", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmVzIHdpbmRvd3Mgb25lIHdvcmtzcGFjZSBkb3duIGJ5IHJpZ2h0LWNsaWNraW5nIHRoZW0gaW4gdGhlIG92ZXJ2aWV3LiIsCiAgImV4dGVuc2lvbi1pZCI6ICJyY2QiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbmlvbnMtcmlnaHQtY2xpY2stZG93biIsCiAgIm5hbWUiOiAiUmlnaHQgQ2xpY2sgRG93biIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5yaWdodC1jbGljay1kb3duIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4LjAiLAogICAgIjMuMzguMSIsCiAgICAiMy4zOC4yIiwKICAgICI0MC5hbHBoYSIsCiAgICAiNDAuYWxwaGEiLAogICAgIjMuMzguMyIsCiAgICAiMy4zNy45MiIsCiAgICAiMy4zNy45MSIsCiAgICAiMy4zNy45MCIsCiAgICAiMy4zNy4zIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vY3JpenRvdnlsL2dub21lLXJpZ2h0LWNsaWNrLWRvd24iLAogICJ1dWlkIjogInJjZEBjcml6dG92eWwuc3BhY2UiLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "3", "sha256": "1vh9capapzbbwg2zlw9iphdk48q6fqk0qsmaylvsvw59xc7f9bia", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmVzIHdpbmRvd3Mgb25lIHdvcmtzcGFjZSBkb3duIGJ5IHJpZ2h0LWNsaWNraW5nIHRoZW0gaW4gdGhlIG92ZXJ2aWV3LiIsCiAgImV4dGVuc2lvbi1pZCI6ICJyY2QiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbmlvbnMtcmlnaHQtY2xpY2stZG93biIsCiAgIm5hbWUiOiAiUmlnaHQgQ2xpY2sgRG93biIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5yaWdodC1jbGljay1kb3duIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4LjAiLAogICAgIjMuMzguMSIsCiAgICAiMy4zOC4yIiwKICAgICI0MC5hbHBoYSIsCiAgICAiNDAuYWxwaGEiLAogICAgIjMuMzguMyIsCiAgICAiMy4zNy45MiIsCiAgICAiMy4zNy45MSIsCiAgICAiMy4zNy45MCIsCiAgICAiMy4zNy4zIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vY3JpenRvdnlsL2dub21lLXJpZ2h0LWNsaWNrLWRvd24iLAogICJ1dWlkIjogInJjZEBjcml6dG92eWwuc3BhY2UiLAogICJ2ZXJzaW9uIjogMwp9"}}}
, {"uuid": "printers@linux-man.org", "name": "Printers", "pname": "printers", "description": "Manage Jobs and Printers", "link": "https://extensions.gnome.org/extension/1218/printers/", "shell_version_map": {"38": {"version": "12", "sha256": "0b5vfw13qdvy13crikp72h1m3ypjzhpxihzlfp0rk530cp031cmz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hbmFnZSBKb2JzIGFuZCBQcmludGVycyIsCiAgImV4dGVuc2lvbi1pZCI6ICJwcmludGVycyIsCiAgIm5hbWUiOiAiUHJpbnRlcnMiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgImpvYW8uY2FsZGFzLmxvcGVzQGdtYWlsLmNvbSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucHJpbnRlcnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9saW51eC1tYW4vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXByaW50ZXJzIiwKICAidXVpZCI6ICJwcmludGVyc0BsaW51eC1tYW4ub3JnIiwKICAidmVyc2lvbiI6IDEyCn0="}, "40": {"version": "13", "sha256": "016mqy0pifaxz37g43pag2w62b3dyf8n0fxhf43m0p9wphxa5zwf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hbmFnZSBKb2JzIGFuZCBQcmludGVycyIsCiAgImV4dGVuc2lvbi1pZCI6ICJwcmludGVycyIsCiAgIm5hbWUiOiAiUHJpbnRlcnMiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgImpvYW8uY2FsZGFzLmxvcGVzQGdtYWlsLmNvbSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucHJpbnRlcnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbGludXgtbWFuL2dub21lLXNoZWxsLWV4dGVuc2lvbi1wcmludGVycyIsCiAgInV1aWQiOiAicHJpbnRlcnNAbGludXgtbWFuLm9yZyIsCiAgInZlcnNpb24iOiAxMwp9"}}}
+, {"uuid": "move-osd-windows@maestroschan.fr", "name": "Move OSD Windows", "pname": "move-osd-windows", "description": "Change the position of OSD windows (sound & luminosity popups).", "link": "https://extensions.gnome.org/extension/1220/move-osd-windows/", "shell_version_map": {"38": {"version": "3", "sha256": "1nmvbx7qbr9a9al5wirnsfwn4qb1qbql2w9f7fpajipasill9hkd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZSB0aGUgcG9zaXRpb24gb2YgT1NEIHdpbmRvd3MgKHNvdW5kICYgbHVtaW5vc2l0eSBwb3B1cHMpLiIsCiAgImdldHRleHQtZG9tYWluIjogIm1vdmUtb3NkLXdpbmRvd3MiLAogICJuYW1lIjogIk1vdmUgT1NEIFdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9NYWVzdHJvc2NoYW4vTW92ZS1PU0QtV2luZG93cy1HTk9NRS1FeHRlbnNpb24iLAogICJ1dWlkIjogIm1vdmUtb3NkLXdpbmRvd3NAbWFlc3Ryb3NjaGFuLmZyIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
, {"uuid": "arc-menu@linxgem33.com", "name": "Arc Menu", "pname": "arc-menu", "description": "## UNMAINTAINED ##\n\nThe original version of Arc Menu and all attached repositories apart from the disaster recovery branch will no longer receive updates or further development.\n\nKind Regards - LinxGem33 (Andy C)", "link": "https://extensions.gnome.org/extension/1228/arc-menu/", "shell_version_map": {"38": {"version": "49", "sha256": "0x1kr339qarkviinf13s6ih01ssdh321nw8ncnnrq7ihqpzysf8z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiMjIFVOTUFJTlRBSU5FRCAjI1xuXG5UaGUgb3JpZ2luYWwgdmVyc2lvbiBvZiBBcmMgTWVudSBhbmQgYWxsIGF0dGFjaGVkIHJlcG9zaXRvcmllcyBhcGFydCBmcm9tIHRoZSBkaXNhc3RlciByZWNvdmVyeSBicmFuY2ggd2lsbCBubyBsb25nZXIgcmVjZWl2ZSB1cGRhdGVzIG9yIGZ1cnRoZXIgZGV2ZWxvcG1lbnQuXG5cbktpbmQgUmVnYXJkcyAtIExpbnhHZW0zMyAoQW5keSBDKSIsCiAgImV4dGVuc2lvbi1pZCI6ICJhcmMtbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImFyYy1tZW51IiwKICAibmFtZSI6ICJBcmMgTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hcmMtbWVudSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL0xpbnhHZW0zMy9BcmMtTWVudSIsCiAgInV1aWQiOiAiYXJjLW1lbnVAbGlueGdlbTMzLmNvbSIsCiAgInZlcnNpb24iOiA0OQp9"}}}
-, {"uuid": "GmailMessageTray@shuming0207.gmail.com", "name": "Gnome Email Notifications", "pname": "gmail-message-tray", "description": "Shows Gmail and Outlook notifications in Gnome Message Tray using Gnome Online Accounts\n", "link": "https://extensions.gnome.org/extension/1230/gmail-message-tray/", "shell_version_map": {"40": {"version": "21", "sha256": "1ad3nhxkc9n0b70nf0vgwgrjy2mh0ard57f53587zgsys6lbl3d8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIEdtYWlsIGFuZCBPdXRsb29rIG5vdGlmaWNhdGlvbnMgaW4gR25vbWUgTWVzc2FnZSBUcmF5IHVzaW5nIEdub21lIE9ubGluZSBBY2NvdW50c1xuIiwKICAibmFtZSI6ICJHbm9tZSBFbWFpbCBOb3RpZmljYXRpb25zIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NodW1pbmdjaC9nbm9tZS1lbWFpbC1ub3RpZmljYXRpb25zIiwKICAidXVpZCI6ICJHbWFpbE1lc3NhZ2VUcmF5QHNodW1pbmcwMjA3LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAyMQp9"}}}
-, {"uuid": "switchWorkSpace@sun.wxg@gmail.com", "name": "Switch Workspace", "pname": "switch-workspace", "description": "Switch workspace like using ALT+TAB key to switch windows \n\n Default shortcut key to switch workspace is Ctrl+Above_Tab .", "link": "https://extensions.gnome.org/extension/1231/switch-workspace/", "shell_version_map": {"38": {"version": "30", "sha256": "1z6dafy981y2kjbnk9dncnkkpgqk45njbh3k08s3jg385qvfryvg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaCB3b3Jrc3BhY2UgbGlrZSB1c2luZyBBTFQrVEFCIGtleSB0byBzd2l0Y2ggd2luZG93cyBcblxuIERlZmF1bHQgc2hvcnRjdXQga2V5IHRvIHN3aXRjaCB3b3Jrc3BhY2UgaXMgQ3RybCtBYm92ZV9UYWIgLiIsCiAgIm5hbWUiOiAiU3dpdGNoIFdvcmtzcGFjZSIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAic3VuLnd4Z0BnbWFpbC5jb20iCiAgXSwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc3Vud3hnL2dub21lLXNoZWxsLWV4dGVuc2lvbi1zd2l0Y2h3b3Jrc3BhY2UiLAogICJ1dWlkIjogInN3aXRjaFdvcmtTcGFjZUBzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAzMAp9"}, "40": {"version": "31", "sha256": "0gq3q0sh8088gp0mjp9xn8n5ff8yxbhrp3ik3dbavs12wm26qlbh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaCB3b3Jrc3BhY2UgbGlrZSB1c2luZyBBTFQrVEFCIGtleSB0byBzd2l0Y2ggd2luZG93cyBcblxuIERlZmF1bHQgc2hvcnRjdXQga2V5IHRvIHN3aXRjaCB3b3Jrc3BhY2UgaXMgQ3RybCtBYm92ZV9UYWIgLiIsCiAgIm5hbWUiOiAiU3dpdGNoIFdvcmtzcGFjZSIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAic3VuLnd4Z0BnbWFpbC5jb20iCiAgXSwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N1bnd4Zy9nbm9tZS1zaGVsbC1leHRlbnNpb24tc3dpdGNod29ya3NwYWNlIiwKICAidXVpZCI6ICJzd2l0Y2hXb3JrU3BhY2VAc3VuLnd4Z0BnbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzEKfQ=="}}}
+, {"uuid": "GmailMessageTray@shuming0207.gmail.com", "name": "Gnome Email Notifications", "pname": "gmail-message-tray", "description": "Shows Gmail and Outlook notifications in Gnome Message Tray using Gnome Online Accounts\n", "link": "https://extensions.gnome.org/extension/1230/gmail-message-tray/", "shell_version_map": {"40": {"version": "22", "sha256": "1hsjqhm0gb7iisg3drwyav166w8zvbfbsdwr47v997xf4mfaycbn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIEdtYWlsIGFuZCBPdXRsb29rIG5vdGlmaWNhdGlvbnMgaW4gR25vbWUgTWVzc2FnZSBUcmF5IHVzaW5nIEdub21lIE9ubGluZSBBY2NvdW50c1xuIiwKICAibmFtZSI6ICJHbm9tZSBFbWFpbCBOb3RpZmljYXRpb25zIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NodW1pbmdjaC9nbm9tZS1lbWFpbC1ub3RpZmljYXRpb25zIiwKICAidXVpZCI6ICJHbWFpbE1lc3NhZ2VUcmF5QHNodW1pbmcwMjA3LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAyMgp9"}}}
+, {"uuid": "switchWorkSpace@sun.wxg@gmail.com", "name": "Switch Workspace", "pname": "switch-workspace", "description": "Switch workspace like using ALT+TAB key to switch windows \n\n Default shortcut key to switch workspace is Ctrl+Above_Tab .", "link": "https://extensions.gnome.org/extension/1231/switch-workspace/", "shell_version_map": {"38": {"version": "30", "sha256": "1z6dafy981y2kjbnk9dncnkkpgqk45njbh3k08s3jg385qvfryvg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaCB3b3Jrc3BhY2UgbGlrZSB1c2luZyBBTFQrVEFCIGtleSB0byBzd2l0Y2ggd2luZG93cyBcblxuIERlZmF1bHQgc2hvcnRjdXQga2V5IHRvIHN3aXRjaCB3b3Jrc3BhY2UgaXMgQ3RybCtBYm92ZV9UYWIgLiIsCiAgIm5hbWUiOiAiU3dpdGNoIFdvcmtzcGFjZSIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAic3VuLnd4Z0BnbWFpbC5jb20iCiAgXSwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc3Vud3hnL2dub21lLXNoZWxsLWV4dGVuc2lvbi1zd2l0Y2h3b3Jrc3BhY2UiLAogICJ1dWlkIjogInN3aXRjaFdvcmtTcGFjZUBzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAzMAp9"}, "40": {"version": "32", "sha256": "1m9aca2vwq0krpvl0iq7x3fiq2yspjmlzw9i7phylija8lsw1cgp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaCB3b3Jrc3BhY2UgbGlrZSB1c2luZyBBTFQrVEFCIGtleSB0byBzd2l0Y2ggd2luZG93cyBcblxuIERlZmF1bHQgc2hvcnRjdXQga2V5IHRvIHN3aXRjaCB3b3Jrc3BhY2UgaXMgQ3RybCtBYm92ZV9UYWIgLiIsCiAgIm5hbWUiOiAiU3dpdGNoIFdvcmtzcGFjZSIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAic3VuLnd4Z0BnbWFpbC5jb20iCiAgXSwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdW53eGcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXN3aXRjaHdvcmtzcGFjZSIsCiAgInV1aWQiOiAic3dpdGNoV29ya1NwYWNlQHN1bi53eGdAZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDMyCn0="}}}
+, {"uuid": "noannoyance@sindex.com", "name": "NoAnnoyance", "pname": "noannoyance", "description": "Disable the “Window is ready” notification.", "link": "https://extensions.gnome.org/extension/1236/noannoyance/", "shell_version_map": {"38": {"version": "5", "sha256": "0x7p3i9qws8pgj3y2raw2vfgjwqm6rprrn3s7lck5bjx9ydri5b6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgdGhlIFx1MjAxY1dpbmRvdyBpcyByZWFkeVx1MjAxZCBub3RpZmljYXRpb24uIiwKICAibmFtZSI6ICJOb0Fubm95YW5jZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zaW5kZXgvbm8tYW5ub3lhbmNlIiwKICAidXVpZCI6ICJub2Fubm95YW5jZUBzaW5kZXguY29tIiwKICAidmVyc2lvbiI6IDUKfQ=="}, "40": {"version": "5", "sha256": "0x7p3i9qws8pgj3y2raw2vfgjwqm6rprrn3s7lck5bjx9ydri5b6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgdGhlIFx1MjAxY1dpbmRvdyBpcyByZWFkeVx1MjAxZCBub3RpZmljYXRpb24uIiwKICAibmFtZSI6ICJOb0Fubm95YW5jZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zaW5kZXgvbm8tYW5ub3lhbmNlIiwKICAidXVpZCI6ICJub2Fubm95YW5jZUBzaW5kZXguY29tIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
, {"uuid": "SomaFm-Radio@alireza6677.gmail.com", "name": "SomaFM internet radio", "pname": "somafm-internet-radio", "description": "Listen to SomaFm free internet radio in your GNOME desktop\n\n* Featues:\n- 32+ Channels\n- Volume slider\n- Favorites menu\n- Good sound quality\n- Supports most gnome-shell versions\n- Channel logos\n\n* Requirements:\n- Gstreamer and plugins:\nYou need to install 'gstreamer' and multimedia codecs/plugins for your distro.", "link": "https://extensions.gnome.org/extension/1237/somafm-internet-radio/", "shell_version_map": {"38": {"version": "29", "sha256": "07l6sa58azf3sav6858q48cbqazavq9bflfxdn0p9ys29h6mf50c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpc3RlbiB0byBTb21hRm0gZnJlZSBpbnRlcm5ldCByYWRpbyBpbiB5b3VyIEdOT01FIGRlc2t0b3BcblxuKiBGZWF0dWVzOlxuLSAzMisgQ2hhbm5lbHNcbi0gVm9sdW1lIHNsaWRlclxuLSBGYXZvcml0ZXMgbWVudVxuLSBHb29kIHNvdW5kIHF1YWxpdHlcbi0gU3VwcG9ydHMgbW9zdCBnbm9tZS1zaGVsbCB2ZXJzaW9uc1xuLSBDaGFubmVsIGxvZ29zXG5cbiogUmVxdWlyZW1lbnRzOlxuLSBHc3RyZWFtZXIgYW5kIHBsdWdpbnM6XG5Zb3UgbmVlZCB0byBpbnN0YWxsICdnc3RyZWFtZXInIGFuZCBtdWx0aW1lZGlhIGNvZGVjcy9wbHVnaW5zIGZvciB5b3VyIGRpc3Ryby4iLAogICJuYW1lIjogIlNvbWFGTSBpbnRlcm5ldCByYWRpbyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cDovL2dpdGh1Yi5jb20vVGhlV2VpcmREZXYvc29tYWZtLXJhZGlvLWdub21lLWV4dCIsCiAgInV1aWQiOiAiU29tYUZtLVJhZGlvQGFsaXJlemE2Njc3LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAyOQp9"}, "40": {"version": "29", "sha256": "07l6sa58azf3sav6858q48cbqazavq9bflfxdn0p9ys29h6mf50c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpc3RlbiB0byBTb21hRm0gZnJlZSBpbnRlcm5ldCByYWRpbyBpbiB5b3VyIEdOT01FIGRlc2t0b3BcblxuKiBGZWF0dWVzOlxuLSAzMisgQ2hhbm5lbHNcbi0gVm9sdW1lIHNsaWRlclxuLSBGYXZvcml0ZXMgbWVudVxuLSBHb29kIHNvdW5kIHF1YWxpdHlcbi0gU3VwcG9ydHMgbW9zdCBnbm9tZS1zaGVsbCB2ZXJzaW9uc1xuLSBDaGFubmVsIGxvZ29zXG5cbiogUmVxdWlyZW1lbnRzOlxuLSBHc3RyZWFtZXIgYW5kIHBsdWdpbnM6XG5Zb3UgbmVlZCB0byBpbnN0YWxsICdnc3RyZWFtZXInIGFuZCBtdWx0aW1lZGlhIGNvZGVjcy9wbHVnaW5zIGZvciB5b3VyIGRpc3Ryby4iLAogICJuYW1lIjogIlNvbWFGTSBpbnRlcm5ldCByYWRpbyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cDovL2dpdGh1Yi5jb20vVGhlV2VpcmREZXYvc29tYWZtLXJhZGlvLWdub21lLWV4dCIsCiAgInV1aWQiOiAiU29tYUZtLVJhZGlvQGFsaXJlemE2Njc3LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAyOQp9"}}}
-, {"uuid": "timepp@zagortenay333", "name": "Time ++", "pname": "time", "description": "A todo.txt manager, time tracker, timer, stopwatch, pomodoro, and alarm clock", "link": "https://extensions.gnome.org/extension/1238/time/", "shell_version_map": {"38": {"version": "156", "sha256": "125jfvslb2h77b3cnnrjbj0kc0qhv07aiir9b7na5fanr4cid97c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNhY2hlLWZpbGUtZm9ybWF0LXZlcnNpb24iOiB7CiAgICAiYWxhcm1zIjogMywKICAgICJwb21vZG9ybyI6IDMsCiAgICAic3RvcHdhdGNoIjogNCwKICAgICJ0aW1lciI6IDMsCiAgICAidG9kbyI6IDEwCiAgfSwKICAiZGVzY3JpcHRpb24iOiAiQSB0b2RvLnR4dCBtYW5hZ2VyLCB0aW1lIHRyYWNrZXIsIHRpbWVyLCBzdG9wd2F0Y2gsIHBvbW9kb3JvLCBhbmQgYWxhcm0gY2xvY2siLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ0aW1lcHAiLAogICJpc3N1ZXNfdXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS96YWdvcnRlbmF5MzMzL3RpbWVwcF9fZ25vbWUvaXNzdWVzIiwKICAibmFtZSI6ICJUaW1lICsrIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImh0dHBzOi8vZ2l0aHViLmNvbS96YWdvcnRlbmF5MzMzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ0cmFuc2xhdGlvbnNfdXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS96YWdvcnRlbmF5MzMzL3RpbWVwcF9fZ25vbWUvdHJlZS9tYXN0ZXIvZGF0YS9wb19maWxlcyIsCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vemFnb3J0ZW5heTMzMy90aW1lcHBfX2dub21lIiwKICAidXVpZCI6ICJ0aW1lcHBAemFnb3J0ZW5heTMzMyIsCiAgInZlcnNpb24iOiAxNTYKfQ=="}, "40": {"version": "156", "sha256": "125jfvslb2h77b3cnnrjbj0kc0qhv07aiir9b7na5fanr4cid97c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNhY2hlLWZpbGUtZm9ybWF0LXZlcnNpb24iOiB7CiAgICAiYWxhcm1zIjogMywKICAgICJwb21vZG9ybyI6IDMsCiAgICAic3RvcHdhdGNoIjogNCwKICAgICJ0aW1lciI6IDMsCiAgICAidG9kbyI6IDEwCiAgfSwKICAiZGVzY3JpcHRpb24iOiAiQSB0b2RvLnR4dCBtYW5hZ2VyLCB0aW1lIHRyYWNrZXIsIHRpbWVyLCBzdG9wd2F0Y2gsIHBvbW9kb3JvLCBhbmQgYWxhcm0gY2xvY2siLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ0aW1lcHAiLAogICJpc3N1ZXNfdXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS96YWdvcnRlbmF5MzMzL3RpbWVwcF9fZ25vbWUvaXNzdWVzIiwKICAibmFtZSI6ICJUaW1lICsrIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImh0dHBzOi8vZ2l0aHViLmNvbS96YWdvcnRlbmF5MzMzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ0cmFuc2xhdGlvbnNfdXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS96YWdvcnRlbmF5MzMzL3RpbWVwcF9fZ25vbWUvdHJlZS9tYXN0ZXIvZGF0YS9wb19maWxlcyIsCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vemFnb3J0ZW5heTMzMy90aW1lcHBfX2dub21lIiwKICAidXVpZCI6ICJ0aW1lcHBAemFnb3J0ZW5heTMzMyIsCiAgInZlcnNpb24iOiAxNTYKfQ=="}}}
-, {"uuid": "BingWallpaper@ineffable-gmail.com", "name": "Bing Wallpaper", "pname": "bing-wallpaper-changer", "description": "Lightweight GNOME shell extension to set your wallpaper to today's Microsoft Bing image of the day (the image you see when you visit Bing.com).\n\n *Disclaimer*: this extension is unofficial and not affiliated with Bing or Microsoft in any way. Images are protected by copyright and are licensed only for use as wallpapers.\n\nThis extension is based extensively on the NASA APOD extension by Elinvention (https://github.com/Elinvention) and inspired by Bing Desktop Wallpaper Changer by Utkarsh Gupta (https://github.com/UtkarshGpta).\n\nFeatures:\n* Fetches Bing wallpaper of the day and sets as both lock screen and desktop wallpaper (user selectable on GNOME versions that support it)\n* Optionally force a specific region (i.e. locale)\n* UHD supported resolutions\n* Optionally clean up Wallpaper directory after between 1 and 7 days (delete oldest first)\n* Only attempts to download wallpapers when they have been updated\n* Doesn't poll continuously - only once per day and on startup (schedules a refresh when Bing is due to update)\n* English (en), German (de), Dutch (nl), Italian (it), Polish (pl), Chinese (zh_CN), French (fr_FR), Portuguese (pt, pt_BR), Russian (ru_RU), Spanish (es), Korean (ko, ko_KR, ko_KP), Indonesian (id), Catalan (ca), Norwegian Bokmål (nb) & Nynorsk (ni), Swedish (sv), Arabic (ar), Hungarian (hu) and Finnish (fi_FI) - a HUGE thanks to the translators\n\nPlease report bugs to the GitHub page below:", "link": "https://extensions.gnome.org/extension/1262/bing-wallpaper-changer/", "shell_version_map": {"38": {"version": "32", "sha256": "0va1rykzfc1xajhd28wpqmwk4fq2jd1ddydbfvq5kf9zcbral5c3", "metadata": "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"}, "40": {"version": "32", "sha256": "0va1rykzfc1xajhd28wpqmwk4fq2jd1ddydbfvq5kf9zcbral5c3", "metadata": "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"}}}
+, {"uuid": "timepp@zagortenay333", "name": "Time ++", "pname": "time", "description": "A todo.txt manager, time tracker, timer, stopwatch, pomodoro, and alarm clock", "link": "https://extensions.gnome.org/extension/1238/time/", "shell_version_map": {"38": {"version": "155", "sha256": "1v71hlwrw9kbxfvka5w8cfqmvcjw3k9xjdwhwlk4i4q5k6kgjih3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNhY2hlLWZpbGUtZm9ybWF0LXZlcnNpb24iOiB7CiAgICAiYWxhcm1zIjogMywKICAgICJwb21vZG9ybyI6IDMsCiAgICAic3RvcHdhdGNoIjogNCwKICAgICJ0aW1lciI6IDMsCiAgICAidG9kbyI6IDEwCiAgfSwKICAiZGVzY3JpcHRpb24iOiAiQSB0b2RvLnR4dCBtYW5hZ2VyLCB0aW1lIHRyYWNrZXIsIHRpbWVyLCBzdG9wd2F0Y2gsIHBvbW9kb3JvLCBhbmQgYWxhcm0gY2xvY2siLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ0aW1lcHAiLAogICJpc3N1ZXNfdXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS96YWdvcnRlbmF5MzMzL3RpbWVwcF9fZ25vbWUvaXNzdWVzIiwKICAibmFtZSI6ICJUaW1lICsrIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImh0dHBzOi8vZ2l0aHViLmNvbS96YWdvcnRlbmF5MzMzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInRyYW5zbGF0aW9uc191cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3phZ29ydGVuYXkzMzMvdGltZXBwX19nbm9tZS90cmVlL21hc3Rlci9kYXRhL3BvX2ZpbGVzIiwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS96YWdvcnRlbmF5MzMzL3RpbWVwcF9fZ25vbWUiLAogICJ1dWlkIjogInRpbWVwcEB6YWdvcnRlbmF5MzMzIiwKICAidmVyc2lvbiI6IDE1NQp9"}, "40": {"version": "158", "sha256": "0cyydpidzrz4avc1i8gb5rp28pfyn5m3m1c20i11s5lb873diig7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNhY2hlLWZpbGUtZm9ybWF0LXZlcnNpb24iOiB7CiAgICAiYWxhcm1zIjogMywKICAgICJwb21vZG9ybyI6IDMsCiAgICAic3RvcHdhdGNoIjogNCwKICAgICJ0aW1lciI6IDMsCiAgICAidG9kbyI6IDEwCiAgfSwKICAiZGVzY3JpcHRpb24iOiAiQSB0b2RvLnR4dCBtYW5hZ2VyLCB0aW1lIHRyYWNrZXIsIHRpbWVyLCBzdG9wd2F0Y2gsIHBvbW9kb3JvLCBhbmQgYWxhcm0gY2xvY2siLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ0aW1lcHAiLAogICJpc3N1ZXNfdXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS96YWdvcnRlbmF5MzMzL3RpbWVwcF9fZ25vbWUvaXNzdWVzIiwKICAibmFtZSI6ICJUaW1lICsrIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImh0dHBzOi8vZ2l0aHViLmNvbS96YWdvcnRlbmF5MzMzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ0cmFuc2xhdGlvbnNfdXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS96YWdvcnRlbmF5MzMzL3RpbWVwcF9fZ25vbWUvdHJlZS9tYXN0ZXIvZGF0YS9wb19maWxlcyIsCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vemFnb3J0ZW5heTMzMy90aW1lcHBfX2dub21lIiwKICAidXVpZCI6ICJ0aW1lcHBAemFnb3J0ZW5heTMzMyIsCiAgInZlcnNpb24iOiAxNTgKfQ=="}}}
+, {"uuid": "obmin@konkor", "name": "Obmin", "pname": "obmin", "description": "One-Click File Sharing for your network.\nObmin is lightweight HTTP(S) File Server for GNU/Linux systems.\n\nFeatures:\n⚫ Easy installation.\n⚫ Easy setup just choose file(s) locations and tune Obmin on.\n⚫ Doesn't require ROOT privileges.\n⚫ Doesn't require any special client side installation.\n⚫ HTTP transfer protocol available everywhere Linux, OSX, Windows, Android, iOS so.\n⚫ More ...\n\nFor more information and how-to see README.md", "link": "https://extensions.gnome.org/extension/1254/obmin/", "shell_version_map": {"38": {"version": "22", "sha256": "1r7q5p600lqlkagz9gdxj5wi77i5066sgiwxfsj4w1ixd1g44cz5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk9uZS1DbGljayBGaWxlIFNoYXJpbmcgZm9yIHlvdXIgbmV0d29yay5cbk9ibWluIGlzIGxpZ2h0d2VpZ2h0IEhUVFAoUykgRmlsZSBTZXJ2ZXIgZm9yIEdOVS9MaW51eCBzeXN0ZW1zLlxuXG5GZWF0dXJlczpcblx1MjZhYiBFYXN5IGluc3RhbGxhdGlvbi5cblx1MjZhYiBFYXN5IHNldHVwIGp1c3QgY2hvb3NlIGZpbGUocykgbG9jYXRpb25zIGFuZCB0dW5lIE9ibWluIG9uLlxuXHUyNmFiIERvZXNuJ3QgcmVxdWlyZSBST09UIHByaXZpbGVnZXMuXG5cdTI2YWIgRG9lc24ndCByZXF1aXJlIGFueSBzcGVjaWFsIGNsaWVudCBzaWRlIGluc3RhbGxhdGlvbi5cblx1MjZhYiBIVFRQIHRyYW5zZmVyIHByb3RvY29sIGF2YWlsYWJsZSBldmVyeXdoZXJlIExpbnV4LCBPU1gsIFdpbmRvd3MsIEFuZHJvaWQsIGlPUyBzby5cblx1MjZhYiBNb3JlIC4uLlxuXG5Gb3IgbW9yZSBpbmZvcm1hdGlvbiBhbmQgaG93LXRvIHNlZSBSRUFETUUubWQiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zLW9ibWluIiwKICAibmFtZSI6ICJPYm1pbiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5vYm1pbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zMiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vb2JtaW4uZ2l0aHViLmlvIiwKICAidXVpZCI6ICJvYm1pbkBrb25rb3IiLAogICJ2ZXJzaW9uIjogMjIKfQ=="}, "40": {"version": "22", "sha256": "1r7q5p600lqlkagz9gdxj5wi77i5066sgiwxfsj4w1ixd1g44cz5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk9uZS1DbGljayBGaWxlIFNoYXJpbmcgZm9yIHlvdXIgbmV0d29yay5cbk9ibWluIGlzIGxpZ2h0d2VpZ2h0IEhUVFAoUykgRmlsZSBTZXJ2ZXIgZm9yIEdOVS9MaW51eCBzeXN0ZW1zLlxuXG5GZWF0dXJlczpcblx1MjZhYiBFYXN5IGluc3RhbGxhdGlvbi5cblx1MjZhYiBFYXN5IHNldHVwIGp1c3QgY2hvb3NlIGZpbGUocykgbG9jYXRpb25zIGFuZCB0dW5lIE9ibWluIG9uLlxuXHUyNmFiIERvZXNuJ3QgcmVxdWlyZSBST09UIHByaXZpbGVnZXMuXG5cdTI2YWIgRG9lc24ndCByZXF1aXJlIGFueSBzcGVjaWFsIGNsaWVudCBzaWRlIGluc3RhbGxhdGlvbi5cblx1MjZhYiBIVFRQIHRyYW5zZmVyIHByb3RvY29sIGF2YWlsYWJsZSBldmVyeXdoZXJlIExpbnV4LCBPU1gsIFdpbmRvd3MsIEFuZHJvaWQsIGlPUyBzby5cblx1MjZhYiBNb3JlIC4uLlxuXG5Gb3IgbW9yZSBpbmZvcm1hdGlvbiBhbmQgaG93LXRvIHNlZSBSRUFETUUubWQiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zLW9ibWluIiwKICAibmFtZSI6ICJPYm1pbiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5vYm1pbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zMiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vb2JtaW4uZ2l0aHViLmlvIiwKICAidXVpZCI6ICJvYm1pbkBrb25rb3IiLAogICJ2ZXJzaW9uIjogMjIKfQ=="}}}
+, {"uuid": "BingWallpaper@ineffable-gmail.com", "name": "Bing Wallpaper", "pname": "bing-wallpaper-changer", "description": "Lightweight GNOME shell extension to set your wallpaper to today's Microsoft Bing image of the day (the image you see when you visit Bing.com).\n\n *Disclaimer*: this extension is unofficial and not affiliated with Bing or Microsoft in any way. Images are protected by copyright and are licensed only for use as wallpapers.\n\nThis extension is based extensively on the NASA APOD extension by Elinvention (https://github.com/Elinvention) and inspired by Bing Desktop Wallpaper Changer by Utkarsh Gupta (https://github.com/UtkarshGpta).\n\nFeatures:\n* Fetches Bing wallpaper of the day and sets as both lock screen and desktop wallpaper (user selectable on GNOME versions that support it)\n* Optionally force a specific region (i.e. locale)\n* UHD supported resolutions\n* Only attempts to download wallpapers when they have been updated\n* Doesn't poll continuously - only once per day and on startup (schedules a refresh when Bing is due to update)\n *NEW: random mode\n *NEW: select wallpaper from previously downloaded images\n* English (en), German (de), Dutch (nl), Italian (it), Polish (pl), Chinese (zh_CN), French (fr_FR), Portuguese (pt, pt_BR), Russian (ru_RU), Spanish (es), Korean (ko, ko_KR, ko_KP), Indonesian (id), Catalan (ca), Norwegian Bokmål (nb) & Nynorsk (ni), Swedish (sv), Arabic (ar), Hungarian (hu) and Finnish (fi_FI) - a HUGE thanks to the translators\n\nAlways restart GNOME after manually updating extensions. Please report bugs to the GitHub page below:", "link": "https://extensions.gnome.org/extension/1262/bing-wallpaper-changer/", "shell_version_map": {"38": {"version": "36", "sha256": "0vxj93zbm7q6lvxfzzknkwl8r3gkn2kfq28rjcj4giphl0xn127k", "metadata": "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"}, "40": {"version": "36", "sha256": "0vxj93zbm7q6lvxfzzknkwl8r3gkn2kfq28rjcj4giphl0xn127k", "metadata": "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"}}}
, {"uuid": "gnomesome@chwick.github.com", "name": "Gnomesome", "pname": "gnomesome", "description": "Tiling window manager with awesome keybindings", "link": "https://extensions.gnome.org/extension/1268/gnomesome/", "shell_version_map": {"38": {"version": "15", "sha256": "1dn67is3qk80xxfkc2pd43jrsyylmsprd7v3axvl677wdjgaq83z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGluZyB3aW5kb3cgbWFuYWdlciB3aXRoIGF3ZXNvbWUga2V5YmluZGluZ3MiLAogICJuYW1lIjogIkdub21lc29tZSIsCiAgInNldHRpbmdzLWtleWJpbmRpbmdzIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmdub21lc29tZS5rZXliaW5kaW5ncyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Nod2ljay9nbm9tZXNvbWUiLAogICJ1dWlkIjogImdub21lc29tZUBjaHdpY2suZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxNQp9"}}}
-, {"uuid": "night-light-slider.timur@linux.com", "name": "Night Light Slider", "pname": "night-light-slider", "description": "A GNOME extension to manage the built-in night light temperature", "link": "https://extensions.gnome.org/extension/1276/night-light-slider/", "shell_version_map": {"38": {"version": "19", "sha256": "01vp7p3qwr51n4xqar55ak61a84wypbrla6kkmw0wdb6a0d119mc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgZXh0ZW5zaW9uIHRvIG1hbmFnZSB0aGUgYnVpbHQtaW4gbmlnaHQgbGlnaHQgdGVtcGVyYXR1cmUiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJuaWdodC1saWdodC1zbGlkZXIudGltdXJAbGludXguY29tIiwKICAiZ3Jlc291cmNlLWRhdGEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubmlnaHRsaWdodHNsaWRlci5kYXRhLmdyZXNvdXJjZSIsCiAgIm5hbWUiOiAiTmlnaHQgTGlnaHQgU2xpZGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm5pZ2h0bGlnaHRzbGlkZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vY29kZWJlcmcub3JnL2tpeXVpL2dub21lLXNoZWxsLW5pZ2h0LWxpZ2h0LXNsaWRlci1leHRlbnNpb24iLAogICJ1dWlkIjogIm5pZ2h0LWxpZ2h0LXNsaWRlci50aW11ckBsaW51eC5jb20iLAogICJ2ZXJzaW9uIjogMTkKfQ=="}, "40": {"version": "22", "sha256": "127wb0mp6nwnyk68mlkawa62f0fin8fqlclrazh92j3j8gpkrkqh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgZXh0ZW5zaW9uIHRvIG1hbmFnZSB0aGUgYnVpbHQtaW4gbmlnaHQgbGlnaHQgdGVtcGVyYXR1cmUiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJuaWdodC1saWdodC1zbGlkZXIudGltdXJAbGludXguY29tIiwKICAibmFtZSI6ICJOaWdodCBMaWdodCBTbGlkZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubmlnaHRsaWdodHNsaWRlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9jb2RlYmVyZy5vcmcva2l5dWkvZ25vbWUtc2hlbGwtbmlnaHQtbGlnaHQtc2xpZGVyLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAibmlnaHQtbGlnaHQtc2xpZGVyLnRpbXVyQGxpbnV4LmNvbSIsCiAgInZlcnNpb24iOiAyMgp9"}}}
+, {"uuid": "night-light-slider.timur@linux.com", "name": "Night Light Slider", "pname": "night-light-slider", "description": "A GNOME extension to manage the built-in night light temperature", "link": "https://extensions.gnome.org/extension/1276/night-light-slider/", "shell_version_map": {"38": {"version": "19", "sha256": "01vp7p3qwr51n4xqar55ak61a84wypbrla6kkmw0wdb6a0d119mc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgZXh0ZW5zaW9uIHRvIG1hbmFnZSB0aGUgYnVpbHQtaW4gbmlnaHQgbGlnaHQgdGVtcGVyYXR1cmUiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJuaWdodC1saWdodC1zbGlkZXIudGltdXJAbGludXguY29tIiwKICAiZ3Jlc291cmNlLWRhdGEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubmlnaHRsaWdodHNsaWRlci5kYXRhLmdyZXNvdXJjZSIsCiAgIm5hbWUiOiAiTmlnaHQgTGlnaHQgU2xpZGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm5pZ2h0bGlnaHRzbGlkZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vY29kZWJlcmcub3JnL2tpeXVpL2dub21lLXNoZWxsLW5pZ2h0LWxpZ2h0LXNsaWRlci1leHRlbnNpb24iLAogICJ1dWlkIjogIm5pZ2h0LWxpZ2h0LXNsaWRlci50aW11ckBsaW51eC5jb20iLAogICJ2ZXJzaW9uIjogMTkKfQ=="}, "40": {"version": "23", "sha256": "1yqawlnpv2hql90xgkz947930bb4xdk94mrnf5rib2qyp9a83111", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgZXh0ZW5zaW9uIHRvIG1hbmFnZSB0aGUgYnVpbHQtaW4gbmlnaHQgbGlnaHQgdGVtcGVyYXR1cmUiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJuaWdodC1saWdodC1zbGlkZXIudGltdXJAbGludXguY29tIiwKICAibmFtZSI6ICJOaWdodCBMaWdodCBTbGlkZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubmlnaHRsaWdodHNsaWRlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9jb2RlYmVyZy5vcmcva2l5dWkvZ25vbWUtc2hlbGwtbmlnaHQtbGlnaHQtc2xpZGVyLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAibmlnaHQtbGlnaHQtc2xpZGVyLnRpbXVyQGxpbnV4LmNvbSIsCiAgInZlcnNpb24iOiAyMwp9"}}}
, {"uuid": "fuzzy-clock@keepawayfromfire.co.uk", "name": "Fuzzy Clock", "pname": "fuzzy-clock", "description": "Make the top bar clock fuzzy", "link": "https://extensions.gnome.org/extension/1281/fuzzy-clock/", "shell_version_map": {"38": {"version": "3", "sha256": "0mgih6aq16z0q4dlbrvnlp8bamk6pmxydjnq6019agc5cjmqpr2l", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIHRvcCBiYXIgY2xvY2sgZnV6enkiLAogICJuYW1lIjogIkZ1enp5IENsb2NrIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQ2otTWFsb25lL0Z1enp5LUNsb2NrIiwKICAidXVpZCI6ICJmdXp6eS1jbG9ja0BrZWVwYXdheWZyb21maXJlLmNvLnVrIiwKICAidmVyc2lvbiI6IDMKfQ=="}, "40": {"version": "3", "sha256": "0mgih6aq16z0q4dlbrvnlp8bamk6pmxydjnq6019agc5cjmqpr2l", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIHRvcCBiYXIgY2xvY2sgZnV6enkiLAogICJuYW1lIjogIkZ1enp5IENsb2NrIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQ2otTWFsb25lL0Z1enp5LUNsb2NrIiwKICAidXVpZCI6ICJmdXp6eS1jbG9ja0BrZWVwYXdheWZyb21maXJlLmNvLnVrIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
, {"uuid": "ds4battery@slie.ru", "name": "Dual Shock 4 battery percentage", "pname": "dual-shock-4-battery-percentage", "description": "Show DS4/DS3 battery remaining power percentage at the top panel", "link": "https://extensions.gnome.org/extension/1283/dual-shock-4-battery-percentage/", "shell_version_map": {"38": {"version": "7", "sha256": "0yb1j3hlza96zbd82gjc7wyjqkj5vjzcaj8l5n8xhfrdi7icxf6j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgRFM0L0RTMyBiYXR0ZXJ5IHJlbWFpbmluZyBwb3dlciBwZXJjZW50YWdlIGF0IHRoZSB0b3AgcGFuZWwiLAogICJuYW1lIjogIkR1YWwgU2hvY2sgNCBiYXR0ZXJ5IHBlcmNlbnRhZ2UiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgIm1lQHNsaWUucnUiCiAgXSwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdGhhbmtqdXJhL2RzNGJhdHRlcnkiLAogICJ1dWlkIjogImRzNGJhdHRlcnlAc2xpZS5ydSIsCiAgInZlcnNpb24iOiA3Cn0="}, "40": {"version": "7", "sha256": "0yb1j3hlza96zbd82gjc7wyjqkj5vjzcaj8l5n8xhfrdi7icxf6j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgRFM0L0RTMyBiYXR0ZXJ5IHJlbWFpbmluZyBwb3dlciBwZXJjZW50YWdlIGF0IHRoZSB0b3AgcGFuZWwiLAogICJuYW1lIjogIkR1YWwgU2hvY2sgNCBiYXR0ZXJ5IHBlcmNlbnRhZ2UiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgIm1lQHNsaWUucnUiCiAgXSwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdGhhbmtqdXJhL2RzNGJhdHRlcnkiLAogICJ1dWlkIjogImRzNGJhdHRlcnlAc2xpZS5ydSIsCiAgInZlcnNpb24iOiA3Cn0="}}}
-, {"uuid": "hotel-manager@hardpixel.eu", "name": "Hotel Manager", "pname": "hotel-manager", "description": "Hotel Manager allows to start and stop the Hotel daemon and your development servers via a menu in the status area.", "link": "https://extensions.gnome.org/extension/1285/hotel-manager/", "shell_version_map": {"38": {"version": "13", "sha256": "0fl59kg2kc054c5lzim2wvb6d0cw4hcpgq9h8lf2p40q8smyrfmq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhvdGVsIE1hbmFnZXIgYWxsb3dzIHRvIHN0YXJ0IGFuZCBzdG9wIHRoZSBIb3RlbCBkYWVtb24gYW5kIHlvdXIgZGV2ZWxvcG1lbnQgc2VydmVycyB2aWEgYSBtZW51IGluIHRoZSBzdGF0dXMgYXJlYS4iLAogICJuYW1lIjogIkhvdGVsIE1hbmFnZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaGFyZHBpeGVsL2hvdGVsLW1hbmFnZXIiLAogICJ1dWlkIjogImhvdGVsLW1hbmFnZXJAaGFyZHBpeGVsLmV1IiwKICAidmVyc2lvbiI6IDEzCn0="}, "40": {"version": "13", "sha256": "0fl59kg2kc054c5lzim2wvb6d0cw4hcpgq9h8lf2p40q8smyrfmq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhvdGVsIE1hbmFnZXIgYWxsb3dzIHRvIHN0YXJ0IGFuZCBzdG9wIHRoZSBIb3RlbCBkYWVtb24gYW5kIHlvdXIgZGV2ZWxvcG1lbnQgc2VydmVycyB2aWEgYSBtZW51IGluIHRoZSBzdGF0dXMgYXJlYS4iLAogICJuYW1lIjogIkhvdGVsIE1hbmFnZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaGFyZHBpeGVsL2hvdGVsLW1hbmFnZXIiLAogICJ1dWlkIjogImhvdGVsLW1hbmFnZXJAaGFyZHBpeGVsLmV1IiwKICAidmVyc2lvbiI6IDEzCn0="}}}
-, {"uuid": "unite@hardpixel.eu", "name": "Unite", "pname": "unite", "description": "Unite is a GNOME Shell extension which makes a few layout tweaks to the top panel and removes window decorations to make it look like Ubuntu Unity Shell.\n\n- Adds window buttons to the top panel for maximized windows.\n- Shows current window title in the app menu for maximized windows.\n- Removes titlebars on maximized windows.\n- Hides window controls on maximized windows with headerbars.\n- Moves the date to the right, reduces panel spacing and removes dropdown arrows.\n- Moves legacy tray icons to the top panel.\n- Moves notifications to the right.\n- Hides activities button.\n- Adds desktop name to the top panel.\n\nThis extension depends on some Xorg utilities. To install them:\n- Debian/Ubuntu: apt install x11-utils\n- Fedora/RHEL: dnf install xorg-x11-utils\n- Arch: pacman -S xorg-xprop\n\n*Settings are provided to enable/disable or customize the available tweaks.\n* Since version 2 applications on wayland with client side decorations are supported using CSS.", "link": "https://extensions.gnome.org/extension/1287/unite/", "shell_version_map": {"38": {"version": "53", "sha256": "0vj4ca098x6ndajy8571zc8r7d6ap7wcyf4k9y1d0k4bynyy9372", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVuaXRlIGlzIGEgR05PTUUgU2hlbGwgZXh0ZW5zaW9uIHdoaWNoIG1ha2VzIGEgZmV3IGxheW91dCB0d2Vha3MgdG8gdGhlIHRvcCBwYW5lbCBhbmQgcmVtb3ZlcyB3aW5kb3cgZGVjb3JhdGlvbnMgdG8gbWFrZSBpdCBsb29rIGxpa2UgVWJ1bnR1IFVuaXR5IFNoZWxsLlxuXG4tIEFkZHMgd2luZG93IGJ1dHRvbnMgdG8gdGhlIHRvcCBwYW5lbCBmb3IgbWF4aW1pemVkIHdpbmRvd3MuXG4tIFNob3dzIGN1cnJlbnQgd2luZG93IHRpdGxlIGluIHRoZSBhcHAgbWVudSBmb3IgbWF4aW1pemVkIHdpbmRvd3MuXG4tIFJlbW92ZXMgdGl0bGViYXJzIG9uIG1heGltaXplZCB3aW5kb3dzLlxuLSBIaWRlcyB3aW5kb3cgY29udHJvbHMgb24gbWF4aW1pemVkIHdpbmRvd3Mgd2l0aCBoZWFkZXJiYXJzLlxuLSBNb3ZlcyB0aGUgZGF0ZSB0byB0aGUgcmlnaHQsIHJlZHVjZXMgcGFuZWwgc3BhY2luZyBhbmQgcmVtb3ZlcyBkcm9wZG93biBhcnJvd3MuXG4tIE1vdmVzIGxlZ2FjeSB0cmF5IGljb25zIHRvIHRoZSB0b3AgcGFuZWwuXG4tIE1vdmVzIG5vdGlmaWNhdGlvbnMgdG8gdGhlIHJpZ2h0LlxuLSBIaWRlcyBhY3Rpdml0aWVzIGJ1dHRvbi5cbi0gQWRkcyBkZXNrdG9wIG5hbWUgdG8gdGhlIHRvcCBwYW5lbC5cblxuVGhpcyBleHRlbnNpb24gZGVwZW5kcyBvbiBzb21lIFhvcmcgdXRpbGl0aWVzLiBUbyBpbnN0YWxsIHRoZW06XG4tIERlYmlhbi9VYnVudHU6IGFwdCBpbnN0YWxsIHgxMS11dGlsc1xuLSBGZWRvcmEvUkhFTDogZG5mIGluc3RhbGwgeG9yZy14MTEtdXRpbHNcbi0gQXJjaDogcGFjbWFuIC1TIHhvcmcteHByb3BcblxuKlNldHRpbmdzIGFyZSBwcm92aWRlZCB0byBlbmFibGUvZGlzYWJsZSBvciBjdXN0b21pemUgdGhlIGF2YWlsYWJsZSB0d2Vha3MuXG4qIFNpbmNlIHZlcnNpb24gMiBhcHBsaWNhdGlvbnMgb24gd2F5bGFuZCB3aXRoIGNsaWVudCBzaWRlIGRlY29yYXRpb25zIGFyZSBzdXBwb3J0ZWQgdXNpbmcgQ1NTLiIsCiAgImdldHRleHQtZG9tYWluIjogInVuaXRlIiwKICAibmFtZSI6ICJVbml0ZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy51bml0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9oYXJkcGl4ZWwvdW5pdGUtc2hlbGwiLAogICJ1dWlkIjogInVuaXRlQGhhcmRwaXhlbC5ldSIsCiAgInZlcnNpb24iOiA1Mwp9"}, "40": {"version": "53", "sha256": "0vj4ca098x6ndajy8571zc8r7d6ap7wcyf4k9y1d0k4bynyy9372", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVuaXRlIGlzIGEgR05PTUUgU2hlbGwgZXh0ZW5zaW9uIHdoaWNoIG1ha2VzIGEgZmV3IGxheW91dCB0d2Vha3MgdG8gdGhlIHRvcCBwYW5lbCBhbmQgcmVtb3ZlcyB3aW5kb3cgZGVjb3JhdGlvbnMgdG8gbWFrZSBpdCBsb29rIGxpa2UgVWJ1bnR1IFVuaXR5IFNoZWxsLlxuXG4tIEFkZHMgd2luZG93IGJ1dHRvbnMgdG8gdGhlIHRvcCBwYW5lbCBmb3IgbWF4aW1pemVkIHdpbmRvd3MuXG4tIFNob3dzIGN1cnJlbnQgd2luZG93IHRpdGxlIGluIHRoZSBhcHAgbWVudSBmb3IgbWF4aW1pemVkIHdpbmRvd3MuXG4tIFJlbW92ZXMgdGl0bGViYXJzIG9uIG1heGltaXplZCB3aW5kb3dzLlxuLSBIaWRlcyB3aW5kb3cgY29udHJvbHMgb24gbWF4aW1pemVkIHdpbmRvd3Mgd2l0aCBoZWFkZXJiYXJzLlxuLSBNb3ZlcyB0aGUgZGF0ZSB0byB0aGUgcmlnaHQsIHJlZHVjZXMgcGFuZWwgc3BhY2luZyBhbmQgcmVtb3ZlcyBkcm9wZG93biBhcnJvd3MuXG4tIE1vdmVzIGxlZ2FjeSB0cmF5IGljb25zIHRvIHRoZSB0b3AgcGFuZWwuXG4tIE1vdmVzIG5vdGlmaWNhdGlvbnMgdG8gdGhlIHJpZ2h0LlxuLSBIaWRlcyBhY3Rpdml0aWVzIGJ1dHRvbi5cbi0gQWRkcyBkZXNrdG9wIG5hbWUgdG8gdGhlIHRvcCBwYW5lbC5cblxuVGhpcyBleHRlbnNpb24gZGVwZW5kcyBvbiBzb21lIFhvcmcgdXRpbGl0aWVzLiBUbyBpbnN0YWxsIHRoZW06XG4tIERlYmlhbi9VYnVudHU6IGFwdCBpbnN0YWxsIHgxMS11dGlsc1xuLSBGZWRvcmEvUkhFTDogZG5mIGluc3RhbGwgeG9yZy14MTEtdXRpbHNcbi0gQXJjaDogcGFjbWFuIC1TIHhvcmcteHByb3BcblxuKlNldHRpbmdzIGFyZSBwcm92aWRlZCB0byBlbmFibGUvZGlzYWJsZSBvciBjdXN0b21pemUgdGhlIGF2YWlsYWJsZSB0d2Vha3MuXG4qIFNpbmNlIHZlcnNpb24gMiBhcHBsaWNhdGlvbnMgb24gd2F5bGFuZCB3aXRoIGNsaWVudCBzaWRlIGRlY29yYXRpb25zIGFyZSBzdXBwb3J0ZWQgdXNpbmcgQ1NTLiIsCiAgImdldHRleHQtZG9tYWluIjogInVuaXRlIiwKICAibmFtZSI6ICJVbml0ZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy51bml0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9oYXJkcGl4ZWwvdW5pdGUtc2hlbGwiLAogICJ1dWlkIjogInVuaXRlQGhhcmRwaXhlbC5ldSIsCiAgInZlcnNpb24iOiA1Mwp9"}}}
+, {"uuid": "hotel-manager@hardpixel.eu", "name": "Hotel Manager", "pname": "hotel-manager", "description": "Hotel Manager allows to start and stop the Hotel daemon and your development servers via a menu in the status area.", "link": "https://extensions.gnome.org/extension/1285/hotel-manager/", "shell_version_map": {"38": {"version": "14", "sha256": "0ag9rbfcaw2naa1qg92dl0pclb0d3lx1bsra83cdcx6m853mff6k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhvdGVsIE1hbmFnZXIgYWxsb3dzIHRvIHN0YXJ0IGFuZCBzdG9wIHRoZSBIb3RlbCBkYWVtb24gYW5kIHlvdXIgZGV2ZWxvcG1lbnQgc2VydmVycyB2aWEgYSBtZW51IGluIHRoZSBzdGF0dXMgYXJlYS4iLAogICJuYW1lIjogIkhvdGVsIE1hbmFnZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hhcmRwaXhlbC9ob3RlbC1tYW5hZ2VyIiwKICAidXVpZCI6ICJob3RlbC1tYW5hZ2VyQGhhcmRwaXhlbC5ldSIsCiAgInZlcnNpb24iOiAxNAp9"}, "40": {"version": "14", "sha256": "0ag9rbfcaw2naa1qg92dl0pclb0d3lx1bsra83cdcx6m853mff6k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhvdGVsIE1hbmFnZXIgYWxsb3dzIHRvIHN0YXJ0IGFuZCBzdG9wIHRoZSBIb3RlbCBkYWVtb24gYW5kIHlvdXIgZGV2ZWxvcG1lbnQgc2VydmVycyB2aWEgYSBtZW51IGluIHRoZSBzdGF0dXMgYXJlYS4iLAogICJuYW1lIjogIkhvdGVsIE1hbmFnZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hhcmRwaXhlbC9ob3RlbC1tYW5hZ2VyIiwKICAidXVpZCI6ICJob3RlbC1tYW5hZ2VyQGhhcmRwaXhlbC5ldSIsCiAgInZlcnNpb24iOiAxNAp9"}}}
+, {"uuid": "unite@hardpixel.eu", "name": "Unite", "pname": "unite", "description": "Unite is a GNOME Shell extension which makes a few layout tweaks to the top panel and removes window decorations to make it look like Ubuntu Unity Shell.\n\n- Adds window buttons to the top panel for maximized windows.\n- Shows current window title in the app menu for maximized windows.\n- Removes titlebars on maximized windows.\n- Hides window controls on maximized windows with headerbars.\n- Moves the date to the right, reduces panel spacing and removes dropdown arrows.\n- Moves legacy tray icons to the top panel.\n- Moves notifications to the right.\n- Hides activities button.\n- Adds desktop name to the top panel.\n\nThis extension depends on some Xorg utilities. To install them:\n- Debian/Ubuntu: apt install x11-utils\n- Fedora/RHEL: dnf install xorg-x11-utils\n- Arch: pacman -S xorg-xprop\n\n*Settings are provided to enable/disable or customize the available tweaks.\n* Since version 2 applications on wayland with client side decorations are supported using CSS.", "link": "https://extensions.gnome.org/extension/1287/unite/", "shell_version_map": {"38": {"version": "58", "sha256": "1w87mq3s4bpfg0k3s279yjl27nq7rl669a4k6kcdwbi9ii49iw93", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVuaXRlIGlzIGEgR05PTUUgU2hlbGwgZXh0ZW5zaW9uIHdoaWNoIG1ha2VzIGEgZmV3IGxheW91dCB0d2Vha3MgdG8gdGhlIHRvcCBwYW5lbCBhbmQgcmVtb3ZlcyB3aW5kb3cgZGVjb3JhdGlvbnMgdG8gbWFrZSBpdCBsb29rIGxpa2UgVWJ1bnR1IFVuaXR5IFNoZWxsLlxuXG4tIEFkZHMgd2luZG93IGJ1dHRvbnMgdG8gdGhlIHRvcCBwYW5lbCBmb3IgbWF4aW1pemVkIHdpbmRvd3MuXG4tIFNob3dzIGN1cnJlbnQgd2luZG93IHRpdGxlIGluIHRoZSBhcHAgbWVudSBmb3IgbWF4aW1pemVkIHdpbmRvd3MuXG4tIFJlbW92ZXMgdGl0bGViYXJzIG9uIG1heGltaXplZCB3aW5kb3dzLlxuLSBIaWRlcyB3aW5kb3cgY29udHJvbHMgb24gbWF4aW1pemVkIHdpbmRvd3Mgd2l0aCBoZWFkZXJiYXJzLlxuLSBNb3ZlcyB0aGUgZGF0ZSB0byB0aGUgcmlnaHQsIHJlZHVjZXMgcGFuZWwgc3BhY2luZyBhbmQgcmVtb3ZlcyBkcm9wZG93biBhcnJvd3MuXG4tIE1vdmVzIGxlZ2FjeSB0cmF5IGljb25zIHRvIHRoZSB0b3AgcGFuZWwuXG4tIE1vdmVzIG5vdGlmaWNhdGlvbnMgdG8gdGhlIHJpZ2h0LlxuLSBIaWRlcyBhY3Rpdml0aWVzIGJ1dHRvbi5cbi0gQWRkcyBkZXNrdG9wIG5hbWUgdG8gdGhlIHRvcCBwYW5lbC5cblxuVGhpcyBleHRlbnNpb24gZGVwZW5kcyBvbiBzb21lIFhvcmcgdXRpbGl0aWVzLiBUbyBpbnN0YWxsIHRoZW06XG4tIERlYmlhbi9VYnVudHU6IGFwdCBpbnN0YWxsIHgxMS11dGlsc1xuLSBGZWRvcmEvUkhFTDogZG5mIGluc3RhbGwgeG9yZy14MTEtdXRpbHNcbi0gQXJjaDogcGFjbWFuIC1TIHhvcmcteHByb3BcblxuKlNldHRpbmdzIGFyZSBwcm92aWRlZCB0byBlbmFibGUvZGlzYWJsZSBvciBjdXN0b21pemUgdGhlIGF2YWlsYWJsZSB0d2Vha3MuXG4qIFNpbmNlIHZlcnNpb24gMiBhcHBsaWNhdGlvbnMgb24gd2F5bGFuZCB3aXRoIGNsaWVudCBzaWRlIGRlY29yYXRpb25zIGFyZSBzdXBwb3J0ZWQgdXNpbmcgQ1NTLiIsCiAgImdldHRleHQtZG9tYWluIjogInVuaXRlIiwKICAibmFtZSI6ICJVbml0ZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy51bml0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaGFyZHBpeGVsL3VuaXRlLXNoZWxsIiwKICAidXVpZCI6ICJ1bml0ZUBoYXJkcGl4ZWwuZXUiLAogICJ2ZXJzaW9uIjogNTgKfQ=="}, "40": {"version": "58", "sha256": "1w87mq3s4bpfg0k3s279yjl27nq7rl669a4k6kcdwbi9ii49iw93", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVuaXRlIGlzIGEgR05PTUUgU2hlbGwgZXh0ZW5zaW9uIHdoaWNoIG1ha2VzIGEgZmV3IGxheW91dCB0d2Vha3MgdG8gdGhlIHRvcCBwYW5lbCBhbmQgcmVtb3ZlcyB3aW5kb3cgZGVjb3JhdGlvbnMgdG8gbWFrZSBpdCBsb29rIGxpa2UgVWJ1bnR1IFVuaXR5IFNoZWxsLlxuXG4tIEFkZHMgd2luZG93IGJ1dHRvbnMgdG8gdGhlIHRvcCBwYW5lbCBmb3IgbWF4aW1pemVkIHdpbmRvd3MuXG4tIFNob3dzIGN1cnJlbnQgd2luZG93IHRpdGxlIGluIHRoZSBhcHAgbWVudSBmb3IgbWF4aW1pemVkIHdpbmRvd3MuXG4tIFJlbW92ZXMgdGl0bGViYXJzIG9uIG1heGltaXplZCB3aW5kb3dzLlxuLSBIaWRlcyB3aW5kb3cgY29udHJvbHMgb24gbWF4aW1pemVkIHdpbmRvd3Mgd2l0aCBoZWFkZXJiYXJzLlxuLSBNb3ZlcyB0aGUgZGF0ZSB0byB0aGUgcmlnaHQsIHJlZHVjZXMgcGFuZWwgc3BhY2luZyBhbmQgcmVtb3ZlcyBkcm9wZG93biBhcnJvd3MuXG4tIE1vdmVzIGxlZ2FjeSB0cmF5IGljb25zIHRvIHRoZSB0b3AgcGFuZWwuXG4tIE1vdmVzIG5vdGlmaWNhdGlvbnMgdG8gdGhlIHJpZ2h0LlxuLSBIaWRlcyBhY3Rpdml0aWVzIGJ1dHRvbi5cbi0gQWRkcyBkZXNrdG9wIG5hbWUgdG8gdGhlIHRvcCBwYW5lbC5cblxuVGhpcyBleHRlbnNpb24gZGVwZW5kcyBvbiBzb21lIFhvcmcgdXRpbGl0aWVzLiBUbyBpbnN0YWxsIHRoZW06XG4tIERlYmlhbi9VYnVudHU6IGFwdCBpbnN0YWxsIHgxMS11dGlsc1xuLSBGZWRvcmEvUkhFTDogZG5mIGluc3RhbGwgeG9yZy14MTEtdXRpbHNcbi0gQXJjaDogcGFjbWFuIC1TIHhvcmcteHByb3BcblxuKlNldHRpbmdzIGFyZSBwcm92aWRlZCB0byBlbmFibGUvZGlzYWJsZSBvciBjdXN0b21pemUgdGhlIGF2YWlsYWJsZSB0d2Vha3MuXG4qIFNpbmNlIHZlcnNpb24gMiBhcHBsaWNhdGlvbnMgb24gd2F5bGFuZCB3aXRoIGNsaWVudCBzaWRlIGRlY29yYXRpb25zIGFyZSBzdXBwb3J0ZWQgdXNpbmcgQ1NTLiIsCiAgImdldHRleHQtZG9tYWluIjogInVuaXRlIiwKICAibmFtZSI6ICJVbml0ZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy51bml0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaGFyZHBpeGVsL3VuaXRlLXNoZWxsIiwKICAidXVpZCI6ICJ1bml0ZUBoYXJkcGl4ZWwuZXUiLAogICJ2ZXJzaW9uIjogNTgKfQ=="}}}
, {"uuid": "GoogleEarthWallpaper@neffo.github.com", "name": "Google Earth Wallpaper", "pname": "google-earth-wallpaper", "description": "Lightweight GNOME shell extension to set your wallpaper to a random photo from the curated Google Earth collection (1500 photos).\n\n*Disclaimer*: this extension is unofficial and not affiliated with Google in any way. Images are protected by copyright and are licensed only for use as wallpapers.\n\nSee also my other extension, Bing Wallpaper Changer (https://github.com/neffo/bing-wallpaper-gnome-extension) which was itself based on the NASA APOD extension by Elinvention (https://github.com/Elinvention).\n\nFeatures:\n* Fetches a random Google Earth wallpaper and sets as both lock screen and desktop wallpaper\n* User selectable refresh intervals (default is once per day)\n* Optional: keep images or clean up after (later is default)\n* View location on Google Maps, Bing Maps, Gnome Maps, OpenStreetMaps\n* In-extension map view\n* German, Dutch and Chinese translations\n\nPlease report any bugs or suggestions to extension GitHub page below.", "link": "https://extensions.gnome.org/extension/1295/google-earth-wallpaper/", "shell_version_map": {"38": {"version": "12", "sha256": "0h2fdx7n2wzmcxlj96lyyvmsa3mz72dxlqy5d7zw1p2zhiyn9zjx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpZ2h0d2VpZ2h0IEdOT01FIHNoZWxsIGV4dGVuc2lvbiB0byBzZXQgeW91ciB3YWxscGFwZXIgdG8gYSByYW5kb20gcGhvdG8gZnJvbSB0aGUgY3VyYXRlZCBHb29nbGUgRWFydGggY29sbGVjdGlvbiAoMTUwMCBwaG90b3MpLlxuXG4qRGlzY2xhaW1lcio6IHRoaXMgZXh0ZW5zaW9uIGlzIHVub2ZmaWNpYWwgYW5kIG5vdCBhZmZpbGlhdGVkIHdpdGggR29vZ2xlIGluIGFueSB3YXkuIEltYWdlcyBhcmUgcHJvdGVjdGVkIGJ5IGNvcHlyaWdodCBhbmQgYXJlIGxpY2Vuc2VkIG9ubHkgZm9yIHVzZSBhcyB3YWxscGFwZXJzLlxuXG5TZWUgYWxzbyBteSBvdGhlciBleHRlbnNpb24sIEJpbmcgV2FsbHBhcGVyIENoYW5nZXIgKGh0dHBzOi8vZ2l0aHViLmNvbS9uZWZmby9iaW5nLXdhbGxwYXBlci1nbm9tZS1leHRlbnNpb24pIHdoaWNoIHdhcyBpdHNlbGYgYmFzZWQgb24gdGhlIE5BU0EgQVBPRCBleHRlbnNpb24gYnkgRWxpbnZlbnRpb24gKGh0dHBzOi8vZ2l0aHViLmNvbS9FbGludmVudGlvbikuXG5cbkZlYXR1cmVzOlxuKiBGZXRjaGVzIGEgcmFuZG9tIEdvb2dsZSBFYXJ0aCB3YWxscGFwZXIgYW5kIHNldHMgYXMgYm90aCBsb2NrIHNjcmVlbiBhbmQgZGVza3RvcCB3YWxscGFwZXJcbiogVXNlciBzZWxlY3RhYmxlIHJlZnJlc2ggaW50ZXJ2YWxzIChkZWZhdWx0IGlzIG9uY2UgcGVyIGRheSlcbiogT3B0aW9uYWw6IGtlZXAgaW1hZ2VzIG9yIGNsZWFuIHVwIGFmdGVyIChsYXRlciBpcyBkZWZhdWx0KVxuKiBWaWV3IGxvY2F0aW9uIG9uIEdvb2dsZSBNYXBzLCBCaW5nIE1hcHMsIEdub21lIE1hcHMsIE9wZW5TdHJlZXRNYXBzXG4qIEluLWV4dGVuc2lvbiBtYXAgdmlld1xuKiAgR2VybWFuLCBEdXRjaCBhbmQgQ2hpbmVzZSB0cmFuc2xhdGlvbnNcblxuUGxlYXNlIHJlcG9ydCBhbnkgYnVncyBvciBzdWdnZXN0aW9ucyB0byBleHRlbnNpb24gR2l0SHViIHBhZ2UgYmVsb3cuIiwKICAibmFtZSI6ICJHb29nbGUgRWFydGggV2FsbHBhcGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmdvb2dsZWVhcnRod2FsbHBhcGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbmVmZm8vZWFydGgtdmlldy13YWxscGFwZXItZ25vbWUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJHb29nbGVFYXJ0aFdhbGxwYXBlckBuZWZmby5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEyCn0="}, "40": {"version": "12", "sha256": "0h2fdx7n2wzmcxlj96lyyvmsa3mz72dxlqy5d7zw1p2zhiyn9zjx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpZ2h0d2VpZ2h0IEdOT01FIHNoZWxsIGV4dGVuc2lvbiB0byBzZXQgeW91ciB3YWxscGFwZXIgdG8gYSByYW5kb20gcGhvdG8gZnJvbSB0aGUgY3VyYXRlZCBHb29nbGUgRWFydGggY29sbGVjdGlvbiAoMTUwMCBwaG90b3MpLlxuXG4qRGlzY2xhaW1lcio6IHRoaXMgZXh0ZW5zaW9uIGlzIHVub2ZmaWNpYWwgYW5kIG5vdCBhZmZpbGlhdGVkIHdpdGggR29vZ2xlIGluIGFueSB3YXkuIEltYWdlcyBhcmUgcHJvdGVjdGVkIGJ5IGNvcHlyaWdodCBhbmQgYXJlIGxpY2Vuc2VkIG9ubHkgZm9yIHVzZSBhcyB3YWxscGFwZXJzLlxuXG5TZWUgYWxzbyBteSBvdGhlciBleHRlbnNpb24sIEJpbmcgV2FsbHBhcGVyIENoYW5nZXIgKGh0dHBzOi8vZ2l0aHViLmNvbS9uZWZmby9iaW5nLXdhbGxwYXBlci1nbm9tZS1leHRlbnNpb24pIHdoaWNoIHdhcyBpdHNlbGYgYmFzZWQgb24gdGhlIE5BU0EgQVBPRCBleHRlbnNpb24gYnkgRWxpbnZlbnRpb24gKGh0dHBzOi8vZ2l0aHViLmNvbS9FbGludmVudGlvbikuXG5cbkZlYXR1cmVzOlxuKiBGZXRjaGVzIGEgcmFuZG9tIEdvb2dsZSBFYXJ0aCB3YWxscGFwZXIgYW5kIHNldHMgYXMgYm90aCBsb2NrIHNjcmVlbiBhbmQgZGVza3RvcCB3YWxscGFwZXJcbiogVXNlciBzZWxlY3RhYmxlIHJlZnJlc2ggaW50ZXJ2YWxzIChkZWZhdWx0IGlzIG9uY2UgcGVyIGRheSlcbiogT3B0aW9uYWw6IGtlZXAgaW1hZ2VzIG9yIGNsZWFuIHVwIGFmdGVyIChsYXRlciBpcyBkZWZhdWx0KVxuKiBWaWV3IGxvY2F0aW9uIG9uIEdvb2dsZSBNYXBzLCBCaW5nIE1hcHMsIEdub21lIE1hcHMsIE9wZW5TdHJlZXRNYXBzXG4qIEluLWV4dGVuc2lvbiBtYXAgdmlld1xuKiAgR2VybWFuLCBEdXRjaCBhbmQgQ2hpbmVzZSB0cmFuc2xhdGlvbnNcblxuUGxlYXNlIHJlcG9ydCBhbnkgYnVncyBvciBzdWdnZXN0aW9ucyB0byBleHRlbnNpb24gR2l0SHViIHBhZ2UgYmVsb3cuIiwKICAibmFtZSI6ICJHb29nbGUgRWFydGggV2FsbHBhcGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmdvb2dsZWVhcnRod2FsbHBhcGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbmVmZm8vZWFydGgtdmlldy13YWxscGFwZXItZ25vbWUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJHb29nbGVFYXJ0aFdhbGxwYXBlckBuZWZmby5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEyCn0="}}}
-, {"uuid": "gsconnect@andyholmes.github.io", "name": "GSConnect", "pname": "gsconnect", "description": "GSConnect is a complete implementation of KDE Connect especially for GNOME Shell with Nautilus, Chrome and Firefox integration. It does not rely on the KDE Connect desktop application and will not work with it installed.\n\nKDE Connect allows devices to securely share content like notifications or files and other features like SMS messaging and remote control. The KDE Connect team has applications for Linux, BSD, Android, Sailfish and Windows.\n\nPlease report issues on Github!", "link": "https://extensions.gnome.org/extension/1319/gsconnect/", "shell_version_map": {"38": {"version": "45", "sha256": "11hpgh2bhizdrgl4xc5iszsi6jxb9j80lph74226rnw6pi2nc19f", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdTQ29ubmVjdCBpcyBhIGNvbXBsZXRlIGltcGxlbWVudGF0aW9uIG9mIEtERSBDb25uZWN0IGVzcGVjaWFsbHkgZm9yIEdOT01FIFNoZWxsIHdpdGggTmF1dGlsdXMsIENocm9tZSBhbmQgRmlyZWZveCBpbnRlZ3JhdGlvbi4gSXQgZG9lcyBub3QgcmVseSBvbiB0aGUgS0RFIENvbm5lY3QgZGVza3RvcCBhcHBsaWNhdGlvbiBhbmQgd2lsbCBub3Qgd29yayB3aXRoIGl0IGluc3RhbGxlZC5cblxuS0RFIENvbm5lY3QgYWxsb3dzIGRldmljZXMgdG8gc2VjdXJlbHkgc2hhcmUgY29udGVudCBsaWtlIG5vdGlmaWNhdGlvbnMgb3IgZmlsZXMgYW5kIG90aGVyIGZlYXR1cmVzIGxpa2UgU01TIG1lc3NhZ2luZyBhbmQgcmVtb3RlIGNvbnRyb2wuIFRoZSBLREUgQ29ubmVjdCB0ZWFtIGhhcyBhcHBsaWNhdGlvbnMgZm9yIExpbnV4LCBCU0QsIEFuZHJvaWQsIFNhaWxmaXNoIGFuZCBXaW5kb3dzLlxuXG5QbGVhc2UgcmVwb3J0IGlzc3VlcyBvbiBHaXRodWIhIiwKICAibmFtZSI6ICJHU0Nvbm5lY3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbmR5aG9sbWVzL2dub21lLXNoZWxsLWV4dGVuc2lvbi1nc2Nvbm5lY3Qvd2lraSIsCiAgInV1aWQiOiAiZ3Njb25uZWN0QGFuZHlob2xtZXMuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDQ1Cn0="}, "40": {"version": "46", "sha256": "0gy27912xaiq7qzkk4zns9bjrjjwb7azn5y8wq5xkaxxrjys0i2b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdTQ29ubmVjdCBpcyBhIGNvbXBsZXRlIGltcGxlbWVudGF0aW9uIG9mIEtERSBDb25uZWN0IGVzcGVjaWFsbHkgZm9yIEdOT01FIFNoZWxsIHdpdGggTmF1dGlsdXMsIENocm9tZSBhbmQgRmlyZWZveCBpbnRlZ3JhdGlvbi4gSXQgZG9lcyBub3QgcmVseSBvbiB0aGUgS0RFIENvbm5lY3QgZGVza3RvcCBhcHBsaWNhdGlvbiBhbmQgd2lsbCBub3Qgd29yayB3aXRoIGl0IGluc3RhbGxlZC5cblxuS0RFIENvbm5lY3QgYWxsb3dzIGRldmljZXMgdG8gc2VjdXJlbHkgc2hhcmUgY29udGVudCBsaWtlIG5vdGlmaWNhdGlvbnMgb3IgZmlsZXMgYW5kIG90aGVyIGZlYXR1cmVzIGxpa2UgU01TIG1lc3NhZ2luZyBhbmQgcmVtb3RlIGNvbnRyb2wuIFRoZSBLREUgQ29ubmVjdCB0ZWFtIGhhcyBhcHBsaWNhdGlvbnMgZm9yIExpbnV4LCBCU0QsIEFuZHJvaWQsIFNhaWxmaXNoIGFuZCBXaW5kb3dzLlxuXG5QbGVhc2UgcmVwb3J0IGlzc3VlcyBvbiBHaXRodWIhIiwKICAibmFtZSI6ICJHU0Nvbm5lY3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYW5keWhvbG1lcy9nbm9tZS1zaGVsbC1leHRlbnNpb24tZ3Njb25uZWN0L3dpa2kiLAogICJ1dWlkIjogImdzY29ubmVjdEBhbmR5aG9sbWVzLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA0Ngp9"}}}
+, {"uuid": "gsconnect@andyholmes.github.io", "name": "GSConnect", "pname": "gsconnect", "description": "GSConnect is a complete implementation of KDE Connect especially for GNOME Shell with Nautilus, Chrome and Firefox integration. It does not rely on the KDE Connect desktop application and will not work with it installed.\n\nKDE Connect allows devices to securely share content like notifications or files and other features like SMS messaging and remote control. The KDE Connect team has applications for Linux, BSD, Android, Sailfish and Windows.\n\nPlease report issues on Github!", "link": "https://extensions.gnome.org/extension/1319/gsconnect/", "shell_version_map": {"38": {"version": "45", "sha256": "11hpgh2bhizdrgl4xc5iszsi6jxb9j80lph74226rnw6pi2nc19f", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdTQ29ubmVjdCBpcyBhIGNvbXBsZXRlIGltcGxlbWVudGF0aW9uIG9mIEtERSBDb25uZWN0IGVzcGVjaWFsbHkgZm9yIEdOT01FIFNoZWxsIHdpdGggTmF1dGlsdXMsIENocm9tZSBhbmQgRmlyZWZveCBpbnRlZ3JhdGlvbi4gSXQgZG9lcyBub3QgcmVseSBvbiB0aGUgS0RFIENvbm5lY3QgZGVza3RvcCBhcHBsaWNhdGlvbiBhbmQgd2lsbCBub3Qgd29yayB3aXRoIGl0IGluc3RhbGxlZC5cblxuS0RFIENvbm5lY3QgYWxsb3dzIGRldmljZXMgdG8gc2VjdXJlbHkgc2hhcmUgY29udGVudCBsaWtlIG5vdGlmaWNhdGlvbnMgb3IgZmlsZXMgYW5kIG90aGVyIGZlYXR1cmVzIGxpa2UgU01TIG1lc3NhZ2luZyBhbmQgcmVtb3RlIGNvbnRyb2wuIFRoZSBLREUgQ29ubmVjdCB0ZWFtIGhhcyBhcHBsaWNhdGlvbnMgZm9yIExpbnV4LCBCU0QsIEFuZHJvaWQsIFNhaWxmaXNoIGFuZCBXaW5kb3dzLlxuXG5QbGVhc2UgcmVwb3J0IGlzc3VlcyBvbiBHaXRodWIhIiwKICAibmFtZSI6ICJHU0Nvbm5lY3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbmR5aG9sbWVzL2dub21lLXNoZWxsLWV4dGVuc2lvbi1nc2Nvbm5lY3Qvd2lraSIsCiAgInV1aWQiOiAiZ3Njb25uZWN0QGFuZHlob2xtZXMuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDQ1Cn0="}, "40": {"version": "47", "sha256": "0s6a762n4r0fcvsi2a27qaxs0b4z12grqrq7sqj4vb498xyx14qv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdTQ29ubmVjdCBpcyBhIGNvbXBsZXRlIGltcGxlbWVudGF0aW9uIG9mIEtERSBDb25uZWN0IGVzcGVjaWFsbHkgZm9yIEdOT01FIFNoZWxsIHdpdGggTmF1dGlsdXMsIENocm9tZSBhbmQgRmlyZWZveCBpbnRlZ3JhdGlvbi4gSXQgZG9lcyBub3QgcmVseSBvbiB0aGUgS0RFIENvbm5lY3QgZGVza3RvcCBhcHBsaWNhdGlvbiBhbmQgd2lsbCBub3Qgd29yayB3aXRoIGl0IGluc3RhbGxlZC5cblxuS0RFIENvbm5lY3QgYWxsb3dzIGRldmljZXMgdG8gc2VjdXJlbHkgc2hhcmUgY29udGVudCBsaWtlIG5vdGlmaWNhdGlvbnMgb3IgZmlsZXMgYW5kIG90aGVyIGZlYXR1cmVzIGxpa2UgU01TIG1lc3NhZ2luZyBhbmQgcmVtb3RlIGNvbnRyb2wuIFRoZSBLREUgQ29ubmVjdCB0ZWFtIGhhcyBhcHBsaWNhdGlvbnMgZm9yIExpbnV4LCBCU0QsIEFuZHJvaWQsIFNhaWxmaXNoIGFuZCBXaW5kb3dzLlxuXG5QbGVhc2UgcmVwb3J0IGlzc3VlcyBvbiBHaXRodWIhIiwKICAibmFtZSI6ICJHU0Nvbm5lY3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYW5keWhvbG1lcy9nbm9tZS1zaGVsbC1leHRlbnNpb24tZ3Njb25uZWN0L3dpa2kiLAogICJ1dWlkIjogImdzY29ubmVjdEBhbmR5aG9sbWVzLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA0Nwp9"}}}
, {"uuid": "nvidiautil@ethanwharris", "name": "NVIDIA GPU Stats Tool", "pname": "nvidia-gpu-stats-tool", "description": "Shows NVIDIA GPU stats in the toolbar. Requires nvidia-settings or nvidia-smi. Includes Bumblebee support.", "link": "https://extensions.gnome.org/extension/1320/nvidia-gpu-stats-tool/", "shell_version_map": {"38": {"version": "8", "sha256": "12yi2kcq2rm1ddb8djjlffvk6dhpfd996wjhwdf4jch1r85r8a30", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIE5WSURJQSBHUFUgc3RhdHMgaW4gdGhlIHRvb2xiYXIuIFJlcXVpcmVzIG52aWRpYS1zZXR0aW5ncyBvciBudmlkaWEtc21pLiBJbmNsdWRlcyBCdW1ibGViZWUgc3VwcG9ydC4iLAogICJuYW1lIjogIk5WSURJQSBHUFUgU3RhdHMgVG9vbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5udmlkaWF1dGlsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZXRoYW53aGFycmlzL2dub21lLW52aWRpYS1leHRlbnNpb24iLAogICJ1dWlkIjogIm52aWRpYXV0aWxAZXRoYW53aGFycmlzIiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
, {"uuid": "lwsm@johannes.super-productivity.com", "name": "Window Session Manager", "pname": "window-session-manager", "description": "An indicator that let's you save and restore your open apps and the window positions and arrangements over multiple real and virtual displays. Requires lwsm and nodejs to be installed (`npm install -g linux-window-session-manager`).", "link": "https://extensions.gnome.org/extension/1323/window-session-manager/", "shell_version_map": {"38": {"version": "8", "sha256": "04vy6pyz7in248bx2micg7w0jimcqnrnkis6skacbdz9fm5nd699", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGluZGljYXRvciB0aGF0IGxldCdzIHlvdSBzYXZlIGFuZCByZXN0b3JlIHlvdXIgb3BlbiBhcHBzIGFuZCB0aGUgd2luZG93IHBvc2l0aW9ucyBhbmQgYXJyYW5nZW1lbnRzIG92ZXIgbXVsdGlwbGUgcmVhbCBhbmQgdmlydHVhbCBkaXNwbGF5cy4gUmVxdWlyZXMgbHdzbSBhbmQgbm9kZWpzIHRvIGJlIGluc3RhbGxlZCAoYG5wbSBpbnN0YWxsIC1nIGxpbnV4LXdpbmRvdy1zZXNzaW9uLW1hbmFnZXJgKS4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJsd3NtIiwKICAibmFtZSI6ICJXaW5kb3cgU2Vzc2lvbiBNYW5hZ2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmx3c20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9qb2hhbm5lc2pvL2dub21lLXNoZWxsLWV4dGVuc2lvbi13aW5kb3ctc2Vzc2lvbi1tYW5hZ2VyIiwKICAidXVpZCI6ICJsd3NtQGpvaGFubmVzLnN1cGVyLXByb2R1Y3Rpdml0eS5jb20iLAogICJ2ZXJzaW9uIjogOAp9"}}}
, {"uuid": "pause-night-light@tijnschuurmans.nl", "name": "Pause Night Light", "pname": "pause-night-light", "description": "Disable Night Light for 10 seconds. This short interruption allows you to scan a colour code (e.g. Crontosign) from your screen.", "link": "https://extensions.gnome.org/extension/1327/pause-night-light/", "shell_version_map": {"40": {"version": "8", "sha256": "1j4yywvmwplbyjjwp79rxmfgsf7kv67v0d9rhbg6bnqw04bq91ki", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgTmlnaHQgTGlnaHQgZm9yIDEwIHNlY29uZHMuIFRoaXMgc2hvcnQgaW50ZXJydXB0aW9uIGFsbG93cyB5b3UgdG8gc2NhbiBhIGNvbG91ciBjb2RlIChlLmcuIENyb250b3NpZ24pIGZyb20geW91ciBzY3JlZW4uIiwKICAibmFtZSI6ICJQYXVzZSBOaWdodCBMaWdodCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yNiIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3Rpam4vcGF1c2UtbmlnaHQtbGlnaHQiLAogICJ1dWlkIjogInBhdXNlLW5pZ2h0LWxpZ2h0QHRpam5zY2h1dXJtYW5zLm5sIiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
, {"uuid": "disableworkspaceanim@owilliams.mixxx.org", "name": "Disable Workspace Switch Animation", "pname": "disable-workspace-switch-animation", "description": "Makes switching between workspaces instant without disabling other animations.\n\n(Fixed for GNOME 3.38 and above, thanks for your patience)", "link": "https://extensions.gnome.org/extension/1328/disable-workspace-switch-animation/", "shell_version_map": {"38": {"version": "4", "sha256": "0bby20crlp7jhcw90hfysc5jsl1rdfrq6byv2xb6vq8qh1hylzpr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIHN3aXRjaGluZyBiZXR3ZWVuIHdvcmtzcGFjZXMgaW5zdGFudCB3aXRob3V0IGRpc2FibGluZyBvdGhlciBhbmltYXRpb25zLlxuXG4oRml4ZWQgZm9yIEdOT01FIDMuMzggYW5kIGFib3ZlLCB0aGFua3MgZm9yIHlvdXIgcGF0aWVuY2UpIiwKICAibmFtZSI6ICJEaXNhYmxlIFdvcmtzcGFjZSBTd2l0Y2ggQW5pbWF0aW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImRpc2FibGV3b3Jrc3BhY2VhbmltQG93aWxsaWFtcy5taXh4eC5vcmciLAogICJ2ZXJzaW9uIjogNAp9"}}}
, {"uuid": "app-switcher_current_workspace_first@fawtytoo", "name": "App-Switcher Current Workspace First", "pname": "app-switcher-current-workspace-first", "description": "App-Switcher modification that sorts applications by current workspace first. It separates apps into 2 separate icons if the app also has windows on other workspaces.", "link": "https://extensions.gnome.org/extension/1329/app-switcher-current-workspace-first/", "shell_version_map": {"38": {"version": "10", "sha256": "1anzb098a42anrgxgfnqmgbn7f3v4kflkh62lq95fgga2xqz3l09", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcC1Td2l0Y2hlciBtb2RpZmljYXRpb24gdGhhdCBzb3J0cyBhcHBsaWNhdGlvbnMgYnkgY3VycmVudCB3b3Jrc3BhY2UgZmlyc3QuIEl0IHNlcGFyYXRlcyBhcHBzIGludG8gMiBzZXBhcmF0ZSBpY29ucyBpZiB0aGUgYXBwIGFsc28gaGFzIHdpbmRvd3Mgb24gb3RoZXIgd29ya3NwYWNlcy4iLAogICJuYW1lIjogIkFwcC1Td2l0Y2hlciBDdXJyZW50IFdvcmtzcGFjZSBGaXJzdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAiYXBwLXN3aXRjaGVyX2N1cnJlbnRfd29ya3NwYWNlX2ZpcnN0QGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDEwCn0="}, "40": {"version": "10", "sha256": "1anzb098a42anrgxgfnqmgbn7f3v4kflkh62lq95fgga2xqz3l09", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcC1Td2l0Y2hlciBtb2RpZmljYXRpb24gdGhhdCBzb3J0cyBhcHBsaWNhdGlvbnMgYnkgY3VycmVudCB3b3Jrc3BhY2UgZmlyc3QuIEl0IHNlcGFyYXRlcyBhcHBzIGludG8gMiBzZXBhcmF0ZSBpY29ucyBpZiB0aGUgYXBwIGFsc28gaGFzIHdpbmRvd3Mgb24gb3RoZXIgd29ya3NwYWNlcy4iLAogICJuYW1lIjogIkFwcC1Td2l0Y2hlciBDdXJyZW50IFdvcmtzcGFjZSBGaXJzdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAiYXBwLXN3aXRjaGVyX2N1cnJlbnRfd29ya3NwYWNlX2ZpcnN0QGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDEwCn0="}}}
, {"uuid": "window-switcher_current_workspace_first@fawtytoo", "name": "Window-Switcher Current Workspace First", "pname": "window-switcher-current-workspace-first", "description": "Window-Switcher modification that sorts windows by current workspace first. The other windows are added according to their workspace.", "link": "https://extensions.gnome.org/extension/1330/window-switcher-current-workspace-first/", "shell_version_map": {"38": {"version": "12", "sha256": "1yvnfq52xygbsk6gz6d993p9sd44yqmw5dn582rlnfv6qmyr0r79", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldpbmRvdy1Td2l0Y2hlciBtb2RpZmljYXRpb24gdGhhdCBzb3J0cyB3aW5kb3dzIGJ5IGN1cnJlbnQgd29ya3NwYWNlIGZpcnN0LiBUaGUgb3RoZXIgd2luZG93cyBhcmUgYWRkZWQgYWNjb3JkaW5nIHRvIHRoZWlyIHdvcmtzcGFjZS4iLAogICJuYW1lIjogIldpbmRvdy1Td2l0Y2hlciBDdXJyZW50IFdvcmtzcGFjZSBGaXJzdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAid2luZG93LXN3aXRjaGVyX2N1cnJlbnRfd29ya3NwYWNlX2ZpcnN0QGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDEyCn0="}, "40": {"version": "12", "sha256": "1yvnfq52xygbsk6gz6d993p9sd44yqmw5dn582rlnfv6qmyr0r79", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldpbmRvdy1Td2l0Y2hlciBtb2RpZmljYXRpb24gdGhhdCBzb3J0cyB3aW5kb3dzIGJ5IGN1cnJlbnQgd29ya3NwYWNlIGZpcnN0LiBUaGUgb3RoZXIgd2luZG93cyBhcmUgYWRkZWQgYWNjb3JkaW5nIHRvIHRoZWlyIHdvcmtzcGFjZS4iLAogICJuYW1lIjogIldpbmRvdy1Td2l0Y2hlciBDdXJyZW50IFdvcmtzcGFjZSBGaXJzdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAid2luZG93LXN3aXRjaGVyX2N1cnJlbnRfd29ya3NwYWNlX2ZpcnN0QGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDEyCn0="}}}
-, {"uuid": "run-or-raise@edvard.cz", "name": "Run or raise", "pname": "run-or-raise", "description": "Launch or focus the window or define custom shortcuts in a text file", "link": "https://extensions.gnome.org/extension/1336/run-or-raise/", "shell_version_map": {"38": {"version": "11", "sha256": "1vp3riz1fdg31l3dj7yjv7c76g0dkrhl8q738qsxkcvqvgjinc8g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxhdW5jaCBvciBmb2N1cyB0aGUgd2luZG93IG9yIGRlZmluZSBjdXN0b20gc2hvcnRjdXRzIGluIGEgdGV4dCBmaWxlIiwKICAibmFtZSI6ICJSdW4gb3IgcmFpc2UiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucnVuLW9yLXJhaXNlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMyIiwKICAgICIzLjMyLjEiLAogICAgIjMuMzYiLAogICAgIjMuMzguMSIsCiAgICAiNDAuMSIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9DWi1OSUMvcnVuLW9yLXJhaXNlIiwKICAidXVpZCI6ICJydW4tb3ItcmFpc2VAZWR2YXJkLmN6IiwKICAidmVyc2lvbiI6IDExCn0="}, "40": {"version": "11", "sha256": "1vp3riz1fdg31l3dj7yjv7c76g0dkrhl8q738qsxkcvqvgjinc8g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxhdW5jaCBvciBmb2N1cyB0aGUgd2luZG93IG9yIGRlZmluZSBjdXN0b20gc2hvcnRjdXRzIGluIGEgdGV4dCBmaWxlIiwKICAibmFtZSI6ICJSdW4gb3IgcmFpc2UiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucnVuLW9yLXJhaXNlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMyIiwKICAgICIzLjMyLjEiLAogICAgIjMuMzYiLAogICAgIjMuMzguMSIsCiAgICAiNDAuMSIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9DWi1OSUMvcnVuLW9yLXJhaXNlIiwKICAidXVpZCI6ICJydW4tb3ItcmFpc2VAZWR2YXJkLmN6IiwKICAidmVyc2lvbiI6IDExCn0="}}}
+, {"uuid": "run-or-raise@edvard.cz", "name": "Run or raise", "pname": "run-or-raise", "description": "Launch or focus the window or define custom shortcuts in a text file", "link": "https://extensions.gnome.org/extension/1336/run-or-raise/", "shell_version_map": {"38": {"version": "12", "sha256": "07pn5ak471n9jqf8c8nflimmyb90d3brma3nd1958hpx5rz9rx46", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxhdW5jaCBvciBmb2N1cyB0aGUgd2luZG93IG9yIGRlZmluZSBjdXN0b20gc2hvcnRjdXRzIGluIGEgdGV4dCBmaWxlIiwKICAibmFtZSI6ICJSdW4gb3IgcmFpc2UiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucnVuLW9yLXJhaXNlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMyIiwKICAgICIzLjMyLjEiLAogICAgIjMuMzYiLAogICAgIjMuMzguMSIsCiAgICAiNDAuMSIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9DWi1OSUMvcnVuLW9yLXJhaXNlIiwKICAidXVpZCI6ICJydW4tb3ItcmFpc2VAZWR2YXJkLmN6IiwKICAidmVyc2lvbiI6IDEyCn0="}, "40": {"version": "12", "sha256": "07pn5ak471n9jqf8c8nflimmyb90d3brma3nd1958hpx5rz9rx46", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxhdW5jaCBvciBmb2N1cyB0aGUgd2luZG93IG9yIGRlZmluZSBjdXN0b20gc2hvcnRjdXRzIGluIGEgdGV4dCBmaWxlIiwKICAibmFtZSI6ICJSdW4gb3IgcmFpc2UiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucnVuLW9yLXJhaXNlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMyIiwKICAgICIzLjMyLjEiLAogICAgIjMuMzYiLAogICAgIjMuMzguMSIsCiAgICAiNDAuMSIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9DWi1OSUMvcnVuLW9yLXJhaXNlIiwKICAidXVpZCI6ICJydW4tb3ItcmFpc2VAZWR2YXJkLmN6IiwKICAidmVyc2lvbiI6IDEyCn0="}}}
, {"uuid": "show_applications_instead_of_overview@fawtytoo", "name": "Show Applications Instead Of Workspaces", "pname": "show-applications-instead-of-overview", "description": "The Overview will show Applications instead of Workspaces when invoked.", "link": "https://extensions.gnome.org/extension/1337/show-applications-instead-of-overview/", "shell_version_map": {"38": {"version": "6", "sha256": "1ap6aawz173r04x43h0vb4sycid9jc17amq8bbhs7dbsrk6x3wzz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBPdmVydmlldyB3aWxsIHNob3cgQXBwbGljYXRpb25zIGluc3RlYWQgb2YgV29ya3NwYWNlcyB3aGVuIGludm9rZWQuIiwKICAibmFtZSI6ICJTaG93IEFwcGxpY2F0aW9ucyBJbnN0ZWFkIE9mIFdvcmtzcGFjZXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInNob3dfYXBwbGljYXRpb25zX2luc3RlYWRfb2Zfb3ZlcnZpZXdAZmF3dHl0b28iLAogICJ2ZXJzaW9uIjogNgp9"}, "40": {"version": "6", "sha256": "1ap6aawz173r04x43h0vb4sycid9jc17amq8bbhs7dbsrk6x3wzz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBPdmVydmlldyB3aWxsIHNob3cgQXBwbGljYXRpb25zIGluc3RlYWQgb2YgV29ya3NwYWNlcyB3aGVuIGludm9rZWQuIiwKICAibmFtZSI6ICJTaG93IEFwcGxpY2F0aW9ucyBJbnN0ZWFkIE9mIFdvcmtzcGFjZXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInNob3dfYXBwbGljYXRpb25zX2luc3RlYWRfb2Zfb3ZlcnZpZXdAZmF3dHl0b28iLAogICJ2ZXJzaW9uIjogNgp9"}}}
, {"uuid": "hplip-menu@grizzlysmit.smit.id.au", "name": "Alternate Menu for Hplip", "pname": "hplip-menu", "description": "control your hp printers by calling the device manager hp-toolbox, also some useful links\nMotivation: the hp-systray doesn't work reliably under gnome shell\nyou need to have installed hplip in order to use this\nChoice of using a printer icon or a hp_logo.png if it's installed in the same place as mine on Ubuntu\nyou could use symbolic links to fake the path.", "link": "https://extensions.gnome.org/extension/1339/hplip-menu/", "shell_version_map": {"38": {"version": "11", "sha256": "1754xb6mn0jbkizm79kyjwbhyf1yld0jw8blddia9fn4s223j2rs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImNvbnRyb2wgeW91ciBocCBwcmludGVycyBieSBjYWxsaW5nIHRoZSBkZXZpY2UgbWFuYWdlciBocC10b29sYm94LCBhbHNvIHNvbWUgdXNlZnVsIGxpbmtzXG5Nb3RpdmF0aW9uOiB0aGUgaHAtc3lzdHJheSBkb2Vzbid0IHdvcmsgcmVsaWFibHkgdW5kZXIgZ25vbWUgc2hlbGxcbnlvdSBuZWVkIHRvIGhhdmUgaW5zdGFsbGVkIGhwbGlwIGluIG9yZGVyIHRvIHVzZSB0aGlzXG5DaG9pY2Ugb2YgdXNpbmcgYSBwcmludGVyIGljb24gb3IgYSBocF9sb2dvLnBuZyBpZiBpdCdzIGluc3RhbGxlZCBpbiB0aGUgc2FtZSBwbGFjZSBhcyBtaW5lIG9uIFVidW50dVxueW91IGNvdWxkIHVzZSBzeW1ib2xpYyBsaW5rcyB0byBmYWtlIHRoZSBwYXRoLiIsCiAgIm5hbWUiOiAiQWx0ZXJuYXRlIE1lbnUgZm9yIEhwbGlwIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmhwbGlwLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzguMSIsCiAgICAiMy4zOC4yIiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImhwbGlwLW1lbnVAZ3JpenpseXNtaXQuc21pdC5pZC5hdSIsCiAgInZlcnNpb24iOiAxMQp9"}, "40": {"version": "11", "sha256": "1754xb6mn0jbkizm79kyjwbhyf1yld0jw8blddia9fn4s223j2rs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImNvbnRyb2wgeW91ciBocCBwcmludGVycyBieSBjYWxsaW5nIHRoZSBkZXZpY2UgbWFuYWdlciBocC10b29sYm94LCBhbHNvIHNvbWUgdXNlZnVsIGxpbmtzXG5Nb3RpdmF0aW9uOiB0aGUgaHAtc3lzdHJheSBkb2Vzbid0IHdvcmsgcmVsaWFibHkgdW5kZXIgZ25vbWUgc2hlbGxcbnlvdSBuZWVkIHRvIGhhdmUgaW5zdGFsbGVkIGhwbGlwIGluIG9yZGVyIHRvIHVzZSB0aGlzXG5DaG9pY2Ugb2YgdXNpbmcgYSBwcmludGVyIGljb24gb3IgYSBocF9sb2dvLnBuZyBpZiBpdCdzIGluc3RhbGxlZCBpbiB0aGUgc2FtZSBwbGFjZSBhcyBtaW5lIG9uIFVidW50dVxueW91IGNvdWxkIHVzZSBzeW1ib2xpYyBsaW5rcyB0byBmYWtlIHRoZSBwYXRoLiIsCiAgIm5hbWUiOiAiQWx0ZXJuYXRlIE1lbnUgZm9yIEhwbGlwIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmhwbGlwLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzguMSIsCiAgICAiMy4zOC4yIiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImhwbGlwLW1lbnVAZ3JpenpseXNtaXQuc21pdC5pZC5hdSIsCiAgInZlcnNpb24iOiAxMQp9"}}}
, {"uuid": "notes@maestroschan.fr", "name": "Notes", "pname": "notes", "description": "Sticky notes for the GNOME Shell desktop.", "link": "https://extensions.gnome.org/extension/1357/notes/", "shell_version_map": {"38": {"version": "20", "sha256": "0arpk1rnjvc38xy8q3nsaxr0x691gznbqdd4mx3jzdd300j17q24", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN0aWNreSBub3RlcyBmb3IgdGhlIEdOT01FIFNoZWxsIGRlc2t0b3AuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAibm90ZXMtZXh0ZW5zaW9uIiwKICAibmFtZSI6ICJOb3RlcyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5ub3Rlcy1leHRlbnNpb24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYW9zY2hhbnovbm90ZXMtZXh0ZW5zaW9uLWdub21lIiwKICAidXVpZCI6ICJub3Rlc0BtYWVzdHJvc2NoYW4uZnIiLAogICJ2ZXJzaW9uIjogMjAKfQ=="}}}
, {"uuid": "slinger@gfxmonk.net", "name": "slinger", "pname": "slinger", "description": "Sling windows around efficiently", "link": "https://extensions.gnome.org/extension/1372/slinger/", "shell_version_map": {"40": {"version": "7", "sha256": "1hpzcslqln0yz4kv216z8qqq9639sh1awp6q8c33d7jp11ydwhlj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNsaW5nIHdpbmRvd3MgYXJvdW5kIGVmZmljaWVudGx5IiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2xpbmdlciIsCiAgIm5hbWUiOiAic2xpbmdlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90aW1iZXJ0c29uL3NsaW5nZXIiLAogICJ1dWlkIjogInNsaW5nZXJAZ2Z4bW9uay5uZXQiLAogICJ2ZXJzaW9uIjogNwp9"}}}
, {"uuid": "unix-timestamp-clock@se1exin.github.com", "name": "Unix Timestamp Clock", "pname": "unix-timestamp-clock", "description": "Show the current unix timestamp as a clock in the top bar.\n\nCopy-paste currently shown timestamp (left mouse button) or current timestamp (any other mouse button) to clipboard", "link": "https://extensions.gnome.org/extension/1375/unix-timestamp-clock/", "shell_version_map": {"38": {"version": "5", "sha256": "11awssml3n1kza1inny4j89bkmgpldzcglwa26pyr432i4la0jh6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgdGhlIGN1cnJlbnQgdW5peCB0aW1lc3RhbXAgYXMgYSBjbG9jayBpbiB0aGUgdG9wIGJhci5cblxuQ29weS1wYXN0ZSBjdXJyZW50bHkgc2hvd24gdGltZXN0YW1wIChsZWZ0IG1vdXNlIGJ1dHRvbikgb3IgY3VycmVudCB0aW1lc3RhbXAgKGFueSBvdGhlciBtb3VzZSBidXR0b24pIHRvIGNsaXBib2FyZCIsCiAgIm5hbWUiOiAiVW5peCBUaW1lc3RhbXAgQ2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZTFleGluL3VuaXgtdGltZXN0YW1wLWNsb2NrIiwKICAidXVpZCI6ICJ1bml4LXRpbWVzdGFtcC1jbG9ja0BzZTFleGluLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNQp9"}, "40": {"version": "5", "sha256": "11awssml3n1kza1inny4j89bkmgpldzcglwa26pyr432i4la0jh6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgdGhlIGN1cnJlbnQgdW5peCB0aW1lc3RhbXAgYXMgYSBjbG9jayBpbiB0aGUgdG9wIGJhci5cblxuQ29weS1wYXN0ZSBjdXJyZW50bHkgc2hvd24gdGltZXN0YW1wIChsZWZ0IG1vdXNlIGJ1dHRvbikgb3IgY3VycmVudCB0aW1lc3RhbXAgKGFueSBvdGhlciBtb3VzZSBidXR0b24pIHRvIGNsaXBib2FyZCIsCiAgIm5hbWUiOiAiVW5peCBUaW1lc3RhbXAgQ2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZTFleGluL3VuaXgtdGltZXN0YW1wLWNsb2NrIiwKICAidXVpZCI6ICJ1bml4LXRpbWVzdGFtcC1jbG9ja0BzZTFleGluLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNQp9"}}}
-, {"uuid": "mprisindicatorbutton@JasonLG1979.github.io", "name": "Mpris Indicator Button", "pname": "mpris-indicator-button", "description": "A full featured MPRIS indicator.", "link": "https://extensions.gnome.org/extension/1379/mpris-indicator-button/", "shell_version_map": {"38": {"version": "18", "sha256": "0fnibrxsy4as3w46vnj0jk6h0ymgn8k06j3m9jq7ygp5kf45fgsw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZnVsbCBmZWF0dXJlZCBNUFJJUyBpbmRpY2F0b3IuIiwKICAibmFtZSI6ICJNcHJpcyBJbmRpY2F0b3IgQnV0dG9uIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIkphc29uTEcxOTc5QGdpdGh1Yi5pbyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9KYXNvbkxHMTk3OS9nbm9tZS1zaGVsbC1leHRlbnNpb24tbXByaXMtaW5kaWNhdG9yLWJ1dHRvbi8iLAogICJ1dWlkIjogIm1wcmlzaW5kaWNhdG9yYnV0dG9uQEphc29uTEcxOTc5LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxOAp9"}, "40": {"version": "18", "sha256": "0fnibrxsy4as3w46vnj0jk6h0ymgn8k06j3m9jq7ygp5kf45fgsw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZnVsbCBmZWF0dXJlZCBNUFJJUyBpbmRpY2F0b3IuIiwKICAibmFtZSI6ICJNcHJpcyBJbmRpY2F0b3IgQnV0dG9uIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIkphc29uTEcxOTc5QGdpdGh1Yi5pbyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9KYXNvbkxHMTk3OS9nbm9tZS1zaGVsbC1leHRlbnNpb24tbXByaXMtaW5kaWNhdG9yLWJ1dHRvbi8iLAogICJ1dWlkIjogIm1wcmlzaW5kaWNhdG9yYnV0dG9uQEphc29uTEcxOTc5LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxOAp9"}}}
+, {"uuid": "mprisindicatorbutton@JasonLG1979.github.io", "name": "Mpris Indicator Button", "pname": "mpris-indicator-button", "description": "A full featured MPRIS indicator.", "link": "https://extensions.gnome.org/extension/1379/mpris-indicator-button/", "shell_version_map": {"38": {"version": "19", "sha256": "03fs6j4xznzn6p81fw476faxyf0bag0jalsri3imwmpw1mfg18di", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZnVsbCBmZWF0dXJlZCBNUFJJUyBpbmRpY2F0b3IuIiwKICAibmFtZSI6ICJNcHJpcyBJbmRpY2F0b3IgQnV0dG9uIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIkphc29uTEcxOTc5QGdpdGh1Yi5pbyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vSmFzb25MRzE5NzkvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLW1wcmlzLWluZGljYXRvci1idXR0b24vIiwKICAidXVpZCI6ICJtcHJpc2luZGljYXRvcmJ1dHRvbkBKYXNvbkxHMTk3OS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMTkKfQ=="}, "40": {"version": "19", "sha256": "03fs6j4xznzn6p81fw476faxyf0bag0jalsri3imwmpw1mfg18di", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZnVsbCBmZWF0dXJlZCBNUFJJUyBpbmRpY2F0b3IuIiwKICAibmFtZSI6ICJNcHJpcyBJbmRpY2F0b3IgQnV0dG9uIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIkphc29uTEcxOTc5QGdpdGh1Yi5pbyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vSmFzb25MRzE5NzkvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLW1wcmlzLWluZGljYXRvci1idXR0b24vIiwKICAidXVpZCI6ICJtcHJpc2luZGljYXRvcmJ1dHRvbkBKYXNvbkxHMTk3OS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMTkKfQ=="}}}
, {"uuid": "weatherintheclock@JasonLG1979.github.io", "name": "Weather In The Clock", "pname": "weather-in-the-clock", "description": "Display the current Weather in the Clock. GNOME Weather is required for this extension to function.", "link": "https://extensions.gnome.org/extension/1380/weather-in-the-clock/", "shell_version_map": {"38": {"version": "7", "sha256": "02aszdk2iswlqwkwi83gi69qzw0jwhill66qacrc7gg59mpfpq3b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgdGhlIGN1cnJlbnQgV2VhdGhlciBpbiB0aGUgQ2xvY2suIEdOT01FIFdlYXRoZXIgaXMgcmVxdWlyZWQgZm9yIHRoaXMgZXh0ZW5zaW9uIHRvIGZ1bmN0aW9uLiIsCiAgIm5hbWUiOiAiV2VhdGhlciBJbiBUaGUgQ2xvY2siLAogICJvcmlnaW5hbC1hdXRob3IiOiAiSmFzb25MRzE5NzlAZ2l0aHViLmlvIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0phc29uTEcxOTc5L2dub21lLXNoZWxsLWV4dGVuc2lvbi13ZWF0aGVyLWluLXRoZS1jbG9jay8iLAogICJ1dWlkIjogIndlYXRoZXJpbnRoZWNsb2NrQEphc29uTEcxOTc5LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA3Cn0="}, "40": {"version": "7", "sha256": "02aszdk2iswlqwkwi83gi69qzw0jwhill66qacrc7gg59mpfpq3b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgdGhlIGN1cnJlbnQgV2VhdGhlciBpbiB0aGUgQ2xvY2suIEdOT01FIFdlYXRoZXIgaXMgcmVxdWlyZWQgZm9yIHRoaXMgZXh0ZW5zaW9uIHRvIGZ1bmN0aW9uLiIsCiAgIm5hbWUiOiAiV2VhdGhlciBJbiBUaGUgQ2xvY2siLAogICJvcmlnaW5hbC1hdXRob3IiOiAiSmFzb25MRzE5NzlAZ2l0aHViLmlvIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0phc29uTEcxOTc5L2dub21lLXNoZWxsLWV4dGVuc2lvbi13ZWF0aGVyLWluLXRoZS1jbG9jay8iLAogICJ1dWlkIjogIndlYXRoZXJpbnRoZWNsb2NrQEphc29uTEcxOTc5LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA3Cn0="}}}
-, {"uuid": "LyricsFinder@alireza6677.gmail.com", "name": "Lyrics Finder", "pname": "lyrics-finder", "description": "Finding lyrics has never been easier\nJust play some music!\n\nIf you want to report a bug please don't forget to mention:\n- Gnome shell version\n- Your Linux distro\n- Extension version\n- Error messages (If you see any)\n\n(The DashToPanel extension might stop LyricsFinder to work properly. If you have any problem with extension not being shown, disable DashToPanel first.)", "link": "https://extensions.gnome.org/extension/1383/lyrics-finder/", "shell_version_map": {"40": {"version": "14", "sha256": "0nyc137pqg3mj6vb6nm12jba07hl0z733qpzlp72xkz9hcbrr5aw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZpbmRpbmcgbHlyaWNzIGhhcyBuZXZlciBiZWVuIGVhc2llclxuSnVzdCBwbGF5IHNvbWUgbXVzaWMhXG5cbklmIHlvdSB3YW50IHRvIHJlcG9ydCBhIGJ1ZyBwbGVhc2UgZG9uJ3QgZm9yZ2V0IHRvIG1lbnRpb246XG4tIEdub21lIHNoZWxsIHZlcnNpb25cbi0gWW91ciBMaW51eCBkaXN0cm9cbi0gRXh0ZW5zaW9uIHZlcnNpb25cbi0gRXJyb3IgbWVzc2FnZXMgKElmIHlvdSBzZWUgYW55KVxuXG4oVGhlIERhc2hUb1BhbmVsIGV4dGVuc2lvbiBtaWdodCBzdG9wIEx5cmljc0ZpbmRlciB0byB3b3JrIHByb3Blcmx5LiBJZiB5b3UgaGF2ZSBhbnkgcHJvYmxlbSB3aXRoIGV4dGVuc2lvbiBub3QgYmVpbmcgc2hvd24sIGRpc2FibGUgRGFzaFRvUGFuZWwgZmlyc3QuKSIsCiAgIm5hbWUiOiAiTHlyaWNzIEZpbmRlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5seXJpY3MtZmluZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwOi8vZ2l0aHViLmNvbS9UaGVXZWlyZERldi9seXJpY3MtZmluZGVyLWdub21lLWV4dCIsCiAgInV1aWQiOiAiTHlyaWNzRmluZGVyQGFsaXJlemE2Njc3LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAxNAp9"}}}
-, {"uuid": "you2ber@konkor", "name": "you2ber", "pname": "you2ber", "description": "Gnome Youtube Downloader\n\n Simple helper for youtube-dl project. It allows you to save locally desired media content without any browser extensions. Just copy URL address of a media content to the clipboard and select desired quality profile or custom format for the item in the extension menu to store it.\n * Required the installation of ffmpeg (youtube-dl dependency for media manipulation)\n\nFor more information and how-to see README.md", "link": "https://extensions.gnome.org/extension/1392/you2ber/", "shell_version_map": {"40": {"version": "9", "sha256": "0vqfzsh8ak0k8yzgcsv5g53wr3rh85acq9w8da23fablp5y160jp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIFlvdXR1YmUgRG93bmxvYWRlclxuXG4gU2ltcGxlIGhlbHBlciBmb3IgeW91dHViZS1kbCBwcm9qZWN0LiBJdCBhbGxvd3MgeW91IHRvIHNhdmUgbG9jYWxseSBkZXNpcmVkIG1lZGlhIGNvbnRlbnQgd2l0aG91dCBhbnkgYnJvd3NlciBleHRlbnNpb25zLiBKdXN0IGNvcHkgVVJMIGFkZHJlc3Mgb2YgYSBtZWRpYSBjb250ZW50IHRvIHRoZSBjbGlwYm9hcmQgYW5kIHNlbGVjdCBkZXNpcmVkIHF1YWxpdHkgcHJvZmlsZSBvciBjdXN0b20gZm9ybWF0IGZvciB0aGUgaXRlbSBpbiB0aGUgZXh0ZW5zaW9uIG1lbnUgdG8gc3RvcmUgaXQuXG4gKiBSZXF1aXJlZCB0aGUgaW5zdGFsbGF0aW9uIG9mIGZmbXBlZyAoeW91dHViZS1kbCBkZXBlbmRlbmN5IGZvciBtZWRpYSBtYW5pcHVsYXRpb24pXG5cbkZvciBtb3JlIGluZm9ybWF0aW9uIGFuZCBob3ctdG8gc2VlIFJFQURNRS5tZCIsCiAgIm5hbWUiOiAieW91MmJlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcua29ua29yLnlvdTJiZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzIiLAogICAgIjQwLjAiLAogICAgIjQwLmJldGEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9rb25rb3IveW91MmJlciIsCiAgInV1aWQiOiAieW91MmJlckBrb25rb3IiLAogICJ2ZXJzaW9uIjogOQp9"}}}
-, {"uuid": "files-view@argonauta.framagit.org", "name": "Files View", "pname": "files-view", "description": "A files view for GNOME Shell.\n\nThis extension displays a “Files” view similar to the Applications view, with the conveniences of a file manager. It is accessed through the combination SUPER + F.\n\nGNOME Shell 40 and later are not supported. No further developments planned.", "link": "https://extensions.gnome.org/extension/1395/files-view/", "shell_version_map": {"38": {"version": "11", "sha256": "1b63c4391jqhh6zcmd0la5mhmgx37f0ydq7mk1c0bzy07gql4x68", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZmlsZXMgdmlldyBmb3IgR05PTUUgU2hlbGwuXG5cblRoaXMgZXh0ZW5zaW9uIGRpc3BsYXlzIGEgXHUyMDFjRmlsZXNcdTIwMWQgdmlldyBzaW1pbGFyIHRvIHRoZSBBcHBsaWNhdGlvbnMgdmlldywgd2l0aCB0aGUgY29udmVuaWVuY2VzIG9mIGEgZmlsZSBtYW5hZ2VyLiBJdCBpcyBhY2Nlc3NlZCB0aHJvdWdoIHRoZSBjb21iaW5hdGlvbiBTVVBFUiArIEYuXG5cbkdOT01FIFNoZWxsIDQwIGFuZCBsYXRlciBhcmUgbm90IHN1cHBvcnRlZC4gTm8gZnVydGhlciBkZXZlbG9wbWVudHMgcGxhbm5lZC4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmaWxlcy12aWV3IiwKICAibmFtZSI6ICJGaWxlcyBWaWV3IiwKICAicmVjZW50bHktb3Blbi1wZXJzaXN0ZW50LWZpbGVuYW1lIjogInJlY2VudGx5LW9wZW4tZm9sZGVycy1maWxlcy12aWV3LWdub21lLXNoZWxsLWV4dGVuc2lvbi5qc29uIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmZpbGVzLXZpZXciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZnJhbWFnaXQub3JnL2FiYWtray9BcmdvbmF1dGEiLAogICJ1dWlkIjogImZpbGVzLXZpZXdAYXJnb25hdXRhLmZyYW1hZ2l0Lm9yZyIsCiAgInZlcnNpb24iOiAxMQp9"}}}
-, {"uuid": "bluetooth-quick-connect@bjarosze.gmail.com", "name": "Bluetooth quick connect", "pname": "bluetooth-quick-connect", "description": "Allow to connect to paired devices from gnome control panel.\n", "link": "https://extensions.gnome.org/extension/1401/bluetooth-quick-connect/", "shell_version_map": {"38": {"version": "16", "sha256": "0068kd11bbdqq79hh50dri7qj3x6sgyf46dfml8kmgzw8w7s5h61", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IHRvIGNvbm5lY3QgdG8gcGFpcmVkIGRldmljZXMgZnJvbSBnbm9tZSBjb250cm9sIHBhbmVsLlxuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJuYW1lIjogIkJsdWV0b290aCBxdWljayBjb25uZWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYmphcm9zemUvZ25vbWUtYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJ1dWlkIjogImJsdWV0b290aC1xdWljay1jb25uZWN0QGJqYXJvc3plLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAxNgp9"}, "40": {"version": "20", "sha256": "1g0r0km1ilb4wnv91q01lyycmzqvlyng105qkrnp26k26pf0hy5c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IHRvIGNvbm5lY3QgdG8gcGFpcmVkIGRldmljZXMgZnJvbSBnbm9tZSBjb250cm9sIHBhbmVsLlxuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJuYW1lIjogIkJsdWV0b290aCBxdWljayBjb25uZWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4wIiwKICAgICI0MC5iZXRhIiwKICAgICI0MC5yYyIsCiAgICAiNDAuMSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JqYXJvc3plL2dub21lLWJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAidXVpZCI6ICJibHVldG9vdGgtcXVpY2stY29ubmVjdEBiamFyb3N6ZS5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMjAKfQ=="}}}
+, {"uuid": "LyricsFinder@alireza6677.gmail.com", "name": "Lyrics Finder", "pname": "lyrics-finder", "description": "Finding lyrics has never been easier\nJust play some music!\n\nIf you want to report a bug please don't forget to mention:\n- Gnome shell version\n- Your Linux distro\n- Extension version\n- Error messages (If you see any)\n", "link": "https://extensions.gnome.org/extension/1383/lyrics-finder/", "shell_version_map": {"40": {"version": "14", "sha256": "1x9d3npil0wgf44p276dslw5adw4gziij03r0jlw174yy87mn2lw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZpbmRpbmcgbHlyaWNzIGhhcyBuZXZlciBiZWVuIGVhc2llclxuSnVzdCBwbGF5IHNvbWUgbXVzaWMhXG5cbklmIHlvdSB3YW50IHRvIHJlcG9ydCBhIGJ1ZyBwbGVhc2UgZG9uJ3QgZm9yZ2V0IHRvIG1lbnRpb246XG4tIEdub21lIHNoZWxsIHZlcnNpb25cbi0gWW91ciBMaW51eCBkaXN0cm9cbi0gRXh0ZW5zaW9uIHZlcnNpb25cbi0gRXJyb3IgbWVzc2FnZXMgKElmIHlvdSBzZWUgYW55KVxuIiwKICAibmFtZSI6ICJMeXJpY3MgRmluZGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmx5cmljcy1maW5kZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHA6Ly9naXRodWIuY29tL1RoZVdlaXJkRGV2L2x5cmljcy1maW5kZXItZ25vbWUtZXh0IiwKICAidXVpZCI6ICJMeXJpY3NGaW5kZXJAYWxpcmV6YTY2NzcuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDE0Cn0="}}}
+, {"uuid": "NotificationCounter@coolllsk", "name": "Notification Counter", "pname": "notification-counter", "description": "Shows number of notifications in queue.", "link": "https://extensions.gnome.org/extension/1386/notification-counter/", "shell_version_map": {"40": {"version": "4", "sha256": "0lld50jlnqgrm66030s6djy1gs5wd29l5l2is6mwqzxm8kypxpx7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIG51bWJlciBvZiBub3RpZmljYXRpb25zIGluIHF1ZXVlLiIsCiAgIm5hbWUiOiAiTm90aWZpY2F0aW9uIENvdW50ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdmtyaXphbi9Ob3RpZmljYXRpb25Db3VudGVyIiwKICAidXVpZCI6ICJOb3RpZmljYXRpb25Db3VudGVyQGNvb2xsbHNrIiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
+, {"uuid": "you2ber@konkor", "name": "you2ber", "pname": "you2ber", "description": "Gnome Youtube Downloader\n\n Simple helper for youtube-dl project. It allows you to save locally desired media content without any browser extensions. Just copy URL address of a media content to the clipboard and select desired quality profile or custom format for the item in the extension menu to store it.\n * Required the installation of ffmpeg (youtube-dl dependency for media manipulation)\n\nFor more information and how-to see README.md", "link": "https://extensions.gnome.org/extension/1392/you2ber/", "shell_version_map": {"40": {"version": "10", "sha256": "0dx5x22imwagx2j07xnayvd7zgsk7cyby7gh4jl935ndxmdp05fc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIFlvdXR1YmUgRG93bmxvYWRlclxuXG4gU2ltcGxlIGhlbHBlciBmb3IgeW91dHViZS1kbCBwcm9qZWN0LiBJdCBhbGxvd3MgeW91IHRvIHNhdmUgbG9jYWxseSBkZXNpcmVkIG1lZGlhIGNvbnRlbnQgd2l0aG91dCBhbnkgYnJvd3NlciBleHRlbnNpb25zLiBKdXN0IGNvcHkgVVJMIGFkZHJlc3Mgb2YgYSBtZWRpYSBjb250ZW50IHRvIHRoZSBjbGlwYm9hcmQgYW5kIHNlbGVjdCBkZXNpcmVkIHF1YWxpdHkgcHJvZmlsZSBvciBjdXN0b20gZm9ybWF0IGZvciB0aGUgaXRlbSBpbiB0aGUgZXh0ZW5zaW9uIG1lbnUgdG8gc3RvcmUgaXQuXG4gKiBSZXF1aXJlZCB0aGUgaW5zdGFsbGF0aW9uIG9mIGZmbXBlZyAoeW91dHViZS1kbCBkZXBlbmRlbmN5IGZvciBtZWRpYSBtYW5pcHVsYXRpb24pXG5cbkZvciBtb3JlIGluZm9ybWF0aW9uIGFuZCBob3ctdG8gc2VlIFJFQURNRS5tZCIsCiAgIm5hbWUiOiAieW91MmJlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcua29ua29yLnlvdTJiZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzIiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20va29ua29yL3lvdTJiZXIiLAogICJ1dWlkIjogInlvdTJiZXJAa29ua29yIiwKICAidmVyc2lvbiI6IDEwCn0="}}}
+, {"uuid": "files-view@argonauta.framagit.org", "name": "Files View", "pname": "files-view", "description": "", "link": "https://extensions.gnome.org/extension/1395/files-view/", "shell_version_map": {"38": {"version": "11", "sha256": "0367kzrpzbx1b2l1m68n2pmazlsy4207a1anvyr2n1a4xpq3vwb0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiIsCiAgImdldHRleHQtZG9tYWluIjogImZpbGVzLXZpZXciLAogICJuYW1lIjogIkZpbGVzIFZpZXciLAogICJyZWNlbnRseS1vcGVuLXBlcnNpc3RlbnQtZmlsZW5hbWUiOiAicmVjZW50bHktb3Blbi1mb2xkZXJzLWZpbGVzLXZpZXctZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLmpzb24iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZmlsZXMtdmlldyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJmaWxlcy12aWV3QGFyZ29uYXV0YS5mcmFtYWdpdC5vcmciLAogICJ2ZXJzaW9uIjogMTEKfQ=="}}}
+, {"uuid": "bluetooth-quick-connect@bjarosze.gmail.com", "name": "Bluetooth quick connect", "pname": "bluetooth-quick-connect", "description": "Allow to connect to paired devices from gnome control panel.\n", "link": "https://extensions.gnome.org/extension/1401/bluetooth-quick-connect/", "shell_version_map": {"38": {"version": "16", "sha256": "0068kd11bbdqq79hh50dri7qj3x6sgyf46dfml8kmgzw8w7s5h61", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IHRvIGNvbm5lY3QgdG8gcGFpcmVkIGRldmljZXMgZnJvbSBnbm9tZSBjb250cm9sIHBhbmVsLlxuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJuYW1lIjogIkJsdWV0b290aCBxdWljayBjb25uZWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYmphcm9zemUvZ25vbWUtYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJ1dWlkIjogImJsdWV0b290aC1xdWljay1jb25uZWN0QGJqYXJvc3plLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAxNgp9"}, "40": {"version": "23", "sha256": "16c3m7n72743n9pmh5z8rh8vn8vr067zjd9820xj8aidfdfwpflg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IHRvIGNvbm5lY3QgdG8gcGFpcmVkIGRldmljZXMgZnJvbSBnbm9tZSBjb250cm9sIHBhbmVsLlxuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJuYW1lIjogIkJsdWV0b290aCBxdWljayBjb25uZWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9iamFyb3N6ZS9nbm9tZS1ibHVldG9vdGgtcXVpY2stY29ubmVjdCIsCiAgInV1aWQiOiAiYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3RAYmphcm9zemUuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDIzCn0="}}}
, {"uuid": "syspeek-gs@gs.eros2.info", "name": "SysPeek-GS", "pname": "syspeek-gs", "description": "Simple CPU load monitor widget inspired by SysPeek indicator", "link": "https://extensions.gnome.org/extension/1409/syspeek-gs/", "shell_version_map": {"38": {"version": "7", "sha256": "04hj4mfrnviwiwsgrhnnh3sc0x9i1bvkgwnijqgwkwapy8mg3km4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBDUFUgbG9hZCBtb25pdG9yIHdpZGdldCBpbnNwaXJlZCBieSBTeXNQZWVrIGluZGljYXRvciIsCiAgIm5hbWUiOiAiU3lzUGVlay1HUyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2V1Z2VuZS1yb20vc3lzcGVlay1ncyIsCiAgInV1aWQiOiAic3lzcGVlay1nc0Bncy5lcm9zMi5pbmZvIiwKICAidmVyc2lvbiI6IDcKfQ=="}, "40": {"version": "7", "sha256": "04hj4mfrnviwiwsgrhnnh3sc0x9i1bvkgwnijqgwkwapy8mg3km4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBDUFUgbG9hZCBtb25pdG9yIHdpZGdldCBpbnNwaXJlZCBieSBTeXNQZWVrIGluZGljYXRvciIsCiAgIm5hbWUiOiAiU3lzUGVlay1HUyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2V1Z2VuZS1yb20vc3lzcGVlay1ncyIsCiAgInV1aWQiOiAic3lzcGVlay1nc0Bncy5lcm9zMi5pbmZvIiwKICAidmVyc2lvbiI6IDcKfQ=="}}}
, {"uuid": "discrete-brightness@gs.eros2.info", "name": "Discrete brightness", "pname": "discrete-brightness", "description": "Discrete brightness indicator change brightness in discrete steps, unlike default smooth brightness bar in Gnome Shell (for laptops/tablets only)", "link": "https://extensions.gnome.org/extension/1410/discrete-brightness/", "shell_version_map": {"38": {"version": "5", "sha256": "0qiv41b4hh4n2hzhmajq6rlc9yvj095z49ngvhsqp6vfa0xzaiw6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2NyZXRlIGJyaWdodG5lc3MgaW5kaWNhdG9yIGNoYW5nZSBicmlnaHRuZXNzIGluIGRpc2NyZXRlIHN0ZXBzLCB1bmxpa2UgZGVmYXVsdCBzbW9vdGggYnJpZ2h0bmVzcyBiYXIgaW4gR25vbWUgU2hlbGwgKGZvciBsYXB0b3BzL3RhYmxldHMgb25seSkiLAogICJuYW1lIjogIkRpc2NyZXRlIGJyaWdodG5lc3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9ldWdlbmUtcm9tL2Rpc2NyZXRlLWJyaWdodG5lc3MiLAogICJ1dWlkIjogImRpc2NyZXRlLWJyaWdodG5lc3NAZ3MuZXJvczIuaW5mbyIsCiAgInZlcnNpb24iOiA1Cn0="}, "40": {"version": "5", "sha256": "0qiv41b4hh4n2hzhmajq6rlc9yvj095z49ngvhsqp6vfa0xzaiw6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2NyZXRlIGJyaWdodG5lc3MgaW5kaWNhdG9yIGNoYW5nZSBicmlnaHRuZXNzIGluIGRpc2NyZXRlIHN0ZXBzLCB1bmxpa2UgZGVmYXVsdCBzbW9vdGggYnJpZ2h0bmVzcyBiYXIgaW4gR25vbWUgU2hlbGwgKGZvciBsYXB0b3BzL3RhYmxldHMgb25seSkiLAogICJuYW1lIjogIkRpc2NyZXRlIGJyaWdodG5lc3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9ldWdlbmUtcm9tL2Rpc2NyZXRlLWJyaWdodG5lc3MiLAogICJ1dWlkIjogImRpc2NyZXRlLWJyaWdodG5lc3NAZ3MuZXJvczIuaW5mbyIsCiAgInZlcnNpb24iOiA1Cn0="}}}
, {"uuid": "quake-mode@repsac-by.github.com", "name": "quake-mode", "pname": "quake-mode", "description": "Drop-down mode for any application", "link": "https://extensions.gnome.org/extension/1411/quake-mode/", "shell_version_map": {"38": {"version": "3", "sha256": "17498v2jw85fhrsldvgnj3x01h00wqarsp9zqp6ym4h7syzbjxrw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRyb3AtZG93biBtb2RlIGZvciBhbnkgYXBwbGljYXRpb24iLAogICJuYW1lIjogInF1YWtlLW1vZGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9yZXBzYWMtYnkvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXF1YWtlLW1vZGUiLAogICJ1dWlkIjogInF1YWtlLW1vZGVAcmVwc2FjLWJ5LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "4", "sha256": "0s1338hv9vhip4cpv2b7srp5c9fsv1rbxk95lrj6m5jzs2qb7mym", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRyb3AtZG93biBtb2RlIGZvciBhbnkgYXBwbGljYXRpb24iLAogICJuYW1lIjogInF1YWtlLW1vZGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcmVwc2FjLWJ5L2dub21lLXNoZWxsLWV4dGVuc2lvbi1xdWFrZS1tb2RlIiwKICAidXVpZCI6ICJxdWFrZS1tb2RlQHJlcHNhYy1ieS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
@@ -157,294 +178,390 @@
, {"uuid": "vbox-applet@gs.eros2.info", "name": "VirtualBox applet", "pname": "virtualbox-applet", "description": "Provide menu to run VirtualBox machines and switch between running VMs", "link": "https://extensions.gnome.org/extension/1415/virtualbox-applet/", "shell_version_map": {"38": {"version": "7", "sha256": "0vpsnysdb4wqjd6hb9vprz141293ra3pb8q0cnysnbyh9sh0mhjc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlByb3ZpZGUgbWVudSB0byBydW4gVmlydHVhbEJveCBtYWNoaW5lcyBhbmQgc3dpdGNoIGJldHdlZW4gcnVubmluZyBWTXMiLAogICJuYW1lIjogIlZpcnR1YWxCb3ggYXBwbGV0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnZib3gtYXBwbGV0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZXVnZW5lLXJvbS92Ym94LWFwcGxldCIsCiAgInV1aWQiOiAidmJveC1hcHBsZXRAZ3MuZXJvczIuaW5mbyIsCiAgInZlcnNpb24iOiA3Cn0="}, "40": {"version": "7", "sha256": "0vpsnysdb4wqjd6hb9vprz141293ra3pb8q0cnysnbyh9sh0mhjc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlByb3ZpZGUgbWVudSB0byBydW4gVmlydHVhbEJveCBtYWNoaW5lcyBhbmQgc3dpdGNoIGJldHdlZW4gcnVubmluZyBWTXMiLAogICJuYW1lIjogIlZpcnR1YWxCb3ggYXBwbGV0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnZib3gtYXBwbGV0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZXVnZW5lLXJvbS92Ym94LWFwcGxldCIsCiAgInV1aWQiOiAidmJveC1hcHBsZXRAZ3MuZXJvczIuaW5mbyIsCiAgInZlcnNpb24iOiA3Cn0="}}}
, {"uuid": "stocks@infinicode.de", "name": "Stocks Extension", "pname": "stocks-extension", "description": "Stocks Extension brings stock quotes to your GNOME Shell Panel", "link": "https://extensions.gnome.org/extension/1422/stocks-extension/", "shell_version_map": {"38": {"version": "19", "sha256": "1414cksayqpv0w0q632yi33ifqlwyfggwf684aci6qj81fs644y2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN0b2NrcyBFeHRlbnNpb24gYnJpbmdzIHN0b2NrIHF1b3RlcyB0byB5b3VyIEdOT01FIFNoZWxsIFBhbmVsIiwKICAibG9jYWxlZGlyIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJTdG9ja3MgRXh0ZW5zaW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NpbmF0aWMvc3RvY2tzLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAic3RvY2tzQGluZmluaWNvZGUuZGUiLAogICJ2ZXJzaW9uIjogMTkKfQ=="}, "40": {"version": "19", "sha256": "1414cksayqpv0w0q632yi33ifqlwyfggwf684aci6qj81fs644y2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN0b2NrcyBFeHRlbnNpb24gYnJpbmdzIHN0b2NrIHF1b3RlcyB0byB5b3VyIEdOT01FIFNoZWxsIFBhbmVsIiwKICAibG9jYWxlZGlyIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJTdG9ja3MgRXh0ZW5zaW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NpbmF0aWMvc3RvY2tzLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAic3RvY2tzQGluZmluaWNvZGUuZGUiLAogICJ2ZXJzaW9uIjogMTkKfQ=="}}}
, {"uuid": "showtime@xenlism.github.io", "name": "Showtime - Desktop Widget", "pname": "showtime", "description": "Date &amp;amp;amp;amp;amp; Clock Desktop Widget\n\nMove Widget by Press Super + Drag Widget\nhttps://github.com/xenlism/showtime", "link": "https://extensions.gnome.org/extension/1429/showtime/", "shell_version_map": {"38": {"version": "4", "sha256": "12k6spjhg2ykgh5x3mily0dps450pyj9vyv1bay5w919y9swplaf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRhdGUgJmFtcDthbXA7YW1wO2FtcDthbXA7YW1wO2FtcDsgQ2xvY2sgRGVza3RvcCBXaWRnZXRcblxuTW92ZSBXaWRnZXQgYnkgUHJlc3MgU3VwZXIgKyBEcmFnIFdpZGdldFxuaHR0cHM6Ly9naXRodWIuY29tL3hlbmxpc20vc2hvd3RpbWUiLAogICJuYW1lIjogIlNob3d0aW1lICAtIERlc2t0b3AgV2lkZ2V0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNob3d0aW1lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20veGVubGlzbS9zaG93dGltZSIsCiAgInV1aWQiOiAic2hvd3RpbWVAeGVubGlzbS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNAp9"}, "40": {"version": "5", "sha256": "0p10as2k6lkh3vj5860hvmj98by18ih8r2k7y36iqrxqpl3s8fd4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRhdGUgJmFtcDthbXA7YW1wO2FtcDthbXA7YW1wO2FtcDsgQ2xvY2sgRGVza3RvcCBXaWRnZXRcblxuTW92ZSBXaWRnZXQgYnkgUHJlc3MgU3VwZXIgKyBEcmFnIFdpZGdldFxuaHR0cHM6Ly9naXRodWIuY29tL3hlbmxpc20vc2hvd3RpbWUiLAogICJuYW1lIjogIlNob3d0aW1lICAtIERlc2t0b3AgV2lkZ2V0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNob3d0aW1lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3hlbmxpc20vc2hvd3RpbWUiLAogICJ1dWlkIjogInNob3d0aW1lQHhlbmxpc20uZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
-, {"uuid": "kube_config@vvbogdanov87.gmail.com", "name": "Kube Config", "pname": "kube-config", "description": "Switches kube config context", "link": "https://extensions.gnome.org/extension/1442/kube-config/", "shell_version_map": {"40": {"version": "10", "sha256": "06y036j49arkha0zjvgg2mcxgpvgpp9gzvjni09rdpvw3hpi75lc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaGVzIGt1YmUgY29uZmlnIGNvbnRleHQiLAogICJuYW1lIjogIkt1YmUgQ29uZmlnIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmt1YmUtY29uZmlnIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdnZib2dkYW5vdjg3L2dub21lLXNoZWxsLWV4dGVuc2lvbi1rdWJlY29uZmlnIiwKICAidXVpZCI6ICJrdWJlX2NvbmZpZ0B2dmJvZ2Rhbm92ODcuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDEwCn0="}}}
-, {"uuid": "transparent-window-moving@noobsai.github.com", "name": "Transparent Window Moving", "pname": "transparent-window-moving", "description": "Makes the window semi-transparent when moving or resizing", "link": "https://extensions.gnome.org/extension/1446/transparent-window-moving/", "shell_version_map": {"38": {"version": "6", "sha256": "0vllnrscjaqx77wb44803q6n3wk590dxacjfsw7ympbgqhikzc0p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIHRoZSB3aW5kb3cgc2VtaS10cmFuc3BhcmVudCB3aGVuIG1vdmluZyBvciByZXNpemluZyIsCiAgImV4dGVuc2lvbi1pZCI6ICJ0cmFuc3BhcmVudC13aW5kb3ctbW92aW5nIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiVHJhbnNwYXJlbnQgV2luZG93IE1vdmluZyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50cmFuc3BhcmVudC13aW5kb3ctbW92aW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTm9vYnNhaS90cmFuc3BhcmVudC13aW5kb3ctbW92aW5nIiwKICAidXVpZCI6ICJ0cmFuc3BhcmVudC13aW5kb3ctbW92aW5nQG5vb2JzYWkuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA2Cn0="}, "40": {"version": "7", "sha256": "0gjmbzc9vfbgdvgf2ghhnbla3arfgnfc44w6cczr26n7svhaqinv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIHRoZSB3aW5kb3cgc2VtaS10cmFuc3BhcmVudCB3aGVuIG1vdmluZyBvciByZXNpemluZyIsCiAgImV4dGVuc2lvbi1pZCI6ICJ0cmFuc3BhcmVudC13aW5kb3ctbW92aW5nIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiVHJhbnNwYXJlbnQgV2luZG93IE1vdmluZyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50cmFuc3BhcmVudC13aW5kb3ctbW92aW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL05vb2JzYWkvdHJhbnNwYXJlbnQtd2luZG93LW1vdmluZyIsCiAgInV1aWQiOiAidHJhbnNwYXJlbnQtd2luZG93LW1vdmluZ0Bub29ic2FpLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNwp9"}}}
-, {"uuid": "transparent-window@pbxqdown.github.com", "name": "Transparent Window", "pname": "transparent-window", "description": "Change the opacity of windows by compiz-style shortcut Alt+scroll.\nYou can customize hotkey in Preference page if Alt key doesn't work.", "link": "https://extensions.gnome.org/extension/1454/transparent-window/", "shell_version_map": {"38": {"version": "4", "sha256": "1x9k317d54cc6cqfi43acsb97xi0ah06h6iivhj04yzxmwmb012r", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZSB0aGUgb3BhY2l0eSBvZiB3aW5kb3dzIGJ5IGNvbXBpei1zdHlsZSBzaG9ydGN1dCBBbHQrc2Nyb2xsLlxuWW91IGNhbiBjdXN0b21pemUgaG90a2V5IGluIFByZWZlcmVuY2UgcGFnZSBpZiBBbHQga2V5IGRvZXNuJ3Qgd29yay4iLAogICJuYW1lIjogIlRyYW5zcGFyZW50IFdpbmRvdyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5UcmFuc3BhcmVudFdpbmRvdyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOC4xIiwKICAgICIzLjM2LjEiLAogICAgIjMuMzguMSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3BieHFkb3duL2dub21lLXNoZWxsLWV4dGVuc2lvbi10cmFuc3BhcmVudC13aW5kb3ciLAogICJ1dWlkIjogInRyYW5zcGFyZW50LXdpbmRvd0BwYnhxZG93bi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
-, {"uuid": "Vitals@CoreCoding.com", "name": "Vitals", "pname": "vitals", "description": "A glimpse into your computer's temperature, voltage, fan speed, memory usage, processor load, system resources, network speed and storage stats. This is a one stop shop to monitor all of your vital sensors. Uses asynchronous polling to provide a smooth user experience. Feature requests or bugs? Please use GitHub.", "link": "https://extensions.gnome.org/extension/1460/vitals/", "shell_version_map": {"38": {"version": "39", "sha256": "1wqsw7sy0xww6z1wkkmwpcfvb54kajanp6p50a3fv5gb8yvmhigl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZ2xpbXBzZSBpbnRvIHlvdXIgY29tcHV0ZXIncyB0ZW1wZXJhdHVyZSwgdm9sdGFnZSwgZmFuIHNwZWVkLCBtZW1vcnkgdXNhZ2UsIHByb2Nlc3NvciBsb2FkLCBzeXN0ZW0gcmVzb3VyY2VzLCBuZXR3b3JrIHNwZWVkIGFuZCBzdG9yYWdlIHN0YXRzLiBUaGlzIGlzIGEgb25lIHN0b3Agc2hvcCB0byBtb25pdG9yIGFsbCBvZiB5b3VyIHZpdGFsIHNlbnNvcnMuIFVzZXMgYXN5bmNocm9ub3VzIHBvbGxpbmcgdG8gcHJvdmlkZSBhIHNtb290aCB1c2VyIGV4cGVyaWVuY2UuIEZlYXR1cmUgcmVxdWVzdHMgb3IgYnVncz8gUGxlYXNlIHVzZSBHaXRIdWIuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidml0YWxzIiwKICAibmFtZSI6ICJWaXRhbHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudml0YWxzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY29yZWNvZGluZy9WaXRhbHMiLAogICJ1dWlkIjogIlZpdGFsc0BDb3JlQ29kaW5nLmNvbSIsCiAgInZlcnNpb24iOiAzOQp9"}, "40": {"version": "40", "sha256": "01vpagmwx9vgdd32nm1zf4zkddjm7rrl552w3c5pjcwdvs2yv3nk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZ2xpbXBzZSBpbnRvIHlvdXIgY29tcHV0ZXIncyB0ZW1wZXJhdHVyZSwgdm9sdGFnZSwgZmFuIHNwZWVkLCBtZW1vcnkgdXNhZ2UsIHByb2Nlc3NvciBsb2FkLCBzeXN0ZW0gcmVzb3VyY2VzLCBuZXR3b3JrIHNwZWVkIGFuZCBzdG9yYWdlIHN0YXRzLiBUaGlzIGlzIGEgb25lIHN0b3Agc2hvcCB0byBtb25pdG9yIGFsbCBvZiB5b3VyIHZpdGFsIHNlbnNvcnMuIFVzZXMgYXN5bmNocm9ub3VzIHBvbGxpbmcgdG8gcHJvdmlkZSBhIHNtb290aCB1c2VyIGV4cGVyaWVuY2UuIEZlYXR1cmUgcmVxdWVzdHMgb3IgYnVncz8gUGxlYXNlIHVzZSBHaXRIdWIuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidml0YWxzIiwKICAibmFtZSI6ICJWaXRhbHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudml0YWxzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NvcmVjb2RpbmcvVml0YWxzIiwKICAidXVpZCI6ICJWaXRhbHNAQ29yZUNvZGluZy5jb20iLAogICJ2ZXJzaW9uIjogNDAKfQ=="}}}
+, {"uuid": "kube_config@vvbogdanov87.gmail.com", "name": "Kube Config", "pname": "kube-config", "description": "Switches kube config context", "link": "https://extensions.gnome.org/extension/1442/kube-config/", "shell_version_map": {"40": {"version": "11", "sha256": "0ag9cq2cgxv9h8ic8dgdxm9n93ls47q9n1kzkh8k0g8brsi9nbk0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaGVzIGt1YmUgY29uZmlnIGNvbnRleHQiLAogICJuYW1lIjogIkt1YmUgQ29uZmlnIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmt1YmUtY29uZmlnIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3Z2Ym9nZGFub3Y4Ny9nbm9tZS1zaGVsbC1leHRlbnNpb24ta3ViZWNvbmZpZyIsCiAgInV1aWQiOiAia3ViZV9jb25maWdAdnZib2dkYW5vdjg3LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAxMQp9"}}}
+, {"uuid": "transparent-window-moving@noobsai.github.com", "name": "Transparent Window Moving", "pname": "transparent-window-moving", "description": "Makes the window semi-transparent when moving or resizing", "link": "https://extensions.gnome.org/extension/1446/transparent-window-moving/", "shell_version_map": {"38": {"version": "6", "sha256": "0vllnrscjaqx77wb44803q6n3wk590dxacjfsw7ympbgqhikzc0p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIHRoZSB3aW5kb3cgc2VtaS10cmFuc3BhcmVudCB3aGVuIG1vdmluZyBvciByZXNpemluZyIsCiAgImV4dGVuc2lvbi1pZCI6ICJ0cmFuc3BhcmVudC13aW5kb3ctbW92aW5nIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiVHJhbnNwYXJlbnQgV2luZG93IE1vdmluZyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50cmFuc3BhcmVudC13aW5kb3ctbW92aW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTm9vYnNhaS90cmFuc3BhcmVudC13aW5kb3ctbW92aW5nIiwKICAidXVpZCI6ICJ0cmFuc3BhcmVudC13aW5kb3ctbW92aW5nQG5vb2JzYWkuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA2Cn0="}, "40": {"version": "8", "sha256": "11f1663is2hamja5xg6sgrn2rpb3s96mqwq6alvn2swbqafn0cbn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIHRoZSB3aW5kb3cgc2VtaS10cmFuc3BhcmVudCB3aGVuIG1vdmluZyBvciByZXNpemluZyIsCiAgImV4dGVuc2lvbi1pZCI6ICJ0cmFuc3BhcmVudC13aW5kb3ctbW92aW5nIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiVHJhbnNwYXJlbnQgV2luZG93IE1vdmluZyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50cmFuc3BhcmVudC13aW5kb3ctbW92aW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL05vb2JzYWkvdHJhbnNwYXJlbnQtd2luZG93LW1vdmluZyIsCiAgInV1aWQiOiAidHJhbnNwYXJlbnQtd2luZG93LW1vdmluZ0Bub29ic2FpLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogOAp9"}}}
+, {"uuid": "transparent-window@pbxqdown.github.com", "name": "Transparent Window", "pname": "transparent-window", "description": "Change the opacity of windows by compiz-style shortcut Alt+scroll.\nYou can customize hotkey in Preference page if Alt key doesn't work.", "link": "https://extensions.gnome.org/extension/1454/transparent-window/", "shell_version_map": {"38": {"version": "7", "sha256": "09nhn8f7d8c1kp8hgw49y0d9165ckvgn6my339k0pzga02d277a6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZSB0aGUgb3BhY2l0eSBvZiB3aW5kb3dzIGJ5IGNvbXBpei1zdHlsZSBzaG9ydGN1dCBBbHQrc2Nyb2xsLlxuWW91IGNhbiBjdXN0b21pemUgaG90a2V5IGluIFByZWZlcmVuY2UgcGFnZSBpZiBBbHQga2V5IGRvZXNuJ3Qgd29yay4iLAogICJuYW1lIjogIlRyYW5zcGFyZW50IFdpbmRvdyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5UcmFuc3BhcmVudFdpbmRvdyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOC4xIiwKICAgICIzLjM2LjEiLAogICAgIjMuMzguMSIsCiAgICAiMy4zOC40IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcGJ4cWRvd24vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRyYW5zcGFyZW50LXdpbmRvdyIsCiAgInV1aWQiOiAidHJhbnNwYXJlbnQtd2luZG93QHBieHFkb3duLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNwp9"}}}
+, {"uuid": "miniview@thesecretaryofwar.com", "name": "Miniview", "pname": "miniview", "description": "Show window previews\n- Left-mouse drag: move preview window\n- Right-mouse drag (or ctrl + left mouse drag): resize preview window\n- Scroll wheel (or shift + left/right click): change target window\n- Double click: raise target window\n- Shift + F12: toggle preview window (this can be changed or disabled in preferences)\n- Ctrl + scroll wheel: adjust opacity", "link": "https://extensions.gnome.org/extension/1459/miniview/", "shell_version_map": {"40": {"version": "9", "sha256": "1287ps5da2sy7sr7n9kh56jnrfz7iilv6lq6cwfzyc2jjsx35f04", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgd2luZG93IHByZXZpZXdzXG4tIExlZnQtbW91c2UgZHJhZzogbW92ZSBwcmV2aWV3IHdpbmRvd1xuLSBSaWdodC1tb3VzZSBkcmFnIChvciBjdHJsICsgbGVmdCBtb3VzZSBkcmFnKTogcmVzaXplIHByZXZpZXcgd2luZG93XG4tIFNjcm9sbCB3aGVlbCAob3Igc2hpZnQgKyBsZWZ0L3JpZ2h0IGNsaWNrKTogY2hhbmdlIHRhcmdldCB3aW5kb3dcbi0gRG91YmxlIGNsaWNrOiByYWlzZSB0YXJnZXQgd2luZG93XG4tIFNoaWZ0ICsgRjEyOiB0b2dnbGUgcHJldmlldyB3aW5kb3cgKHRoaXMgY2FuIGJlIGNoYW5nZWQgb3IgZGlzYWJsZWQgaW4gcHJlZmVyZW5jZXMpXG4tIEN0cmwgKyBzY3JvbGwgd2hlZWw6IGFkanVzdCBvcGFjaXR5IiwKICAiZXh0ZW5zaW9uLWlkIjogIm1pbml2aWV3IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiTWluaXZpZXciLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgInRoZXNlY3JldGFyeW9md2FyQGdtYWlsLmNvbSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubWluaXZpZXciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaWFtbGVtZWMvbWluaXZpZXciLAogICJ1dWlkIjogIm1pbml2aWV3QHRoZXNlY3JldGFyeW9md2FyLmNvbSIsCiAgInZlcnNpb24iOiA5Cn0="}}}
+, {"uuid": "Vitals@CoreCoding.com", "name": "Vitals", "pname": "vitals", "description": "A glimpse into your computer's temperature, voltage, fan speed, memory usage, processor load, system resources, network speed and storage stats. This is a one stop shop to monitor all of your vital sensors. Uses asynchronous polling to provide a smooth user experience. Feature requests or bugs? Please use GitHub.", "link": "https://extensions.gnome.org/extension/1460/vitals/", "shell_version_map": {"38": {"version": "45", "sha256": "16rq3dsjkj93lyx7zsim86k6zaa735604di3dcacvbzpaxf5rj96", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZ2xpbXBzZSBpbnRvIHlvdXIgY29tcHV0ZXIncyB0ZW1wZXJhdHVyZSwgdm9sdGFnZSwgZmFuIHNwZWVkLCBtZW1vcnkgdXNhZ2UsIHByb2Nlc3NvciBsb2FkLCBzeXN0ZW0gcmVzb3VyY2VzLCBuZXR3b3JrIHNwZWVkIGFuZCBzdG9yYWdlIHN0YXRzLiBUaGlzIGlzIGEgb25lIHN0b3Agc2hvcCB0byBtb25pdG9yIGFsbCBvZiB5b3VyIHZpdGFsIHNlbnNvcnMuIFVzZXMgYXN5bmNocm9ub3VzIHBvbGxpbmcgdG8gcHJvdmlkZSBhIHNtb290aCB1c2VyIGV4cGVyaWVuY2UuIEZlYXR1cmUgcmVxdWVzdHMgb3IgYnVncz8gUGxlYXNlIHVzZSBHaXRIdWIuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidml0YWxzIiwKICAibmFtZSI6ICJWaXRhbHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudml0YWxzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9jb3JlY29kaW5nL1ZpdGFscyIsCiAgInV1aWQiOiAiVml0YWxzQENvcmVDb2RpbmcuY29tIiwKICAidmVyc2lvbiI6IDQ1Cn0="}, "40": {"version": "45", "sha256": "16rq3dsjkj93lyx7zsim86k6zaa735604di3dcacvbzpaxf5rj96", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZ2xpbXBzZSBpbnRvIHlvdXIgY29tcHV0ZXIncyB0ZW1wZXJhdHVyZSwgdm9sdGFnZSwgZmFuIHNwZWVkLCBtZW1vcnkgdXNhZ2UsIHByb2Nlc3NvciBsb2FkLCBzeXN0ZW0gcmVzb3VyY2VzLCBuZXR3b3JrIHNwZWVkIGFuZCBzdG9yYWdlIHN0YXRzLiBUaGlzIGlzIGEgb25lIHN0b3Agc2hvcCB0byBtb25pdG9yIGFsbCBvZiB5b3VyIHZpdGFsIHNlbnNvcnMuIFVzZXMgYXN5bmNocm9ub3VzIHBvbGxpbmcgdG8gcHJvdmlkZSBhIHNtb290aCB1c2VyIGV4cGVyaWVuY2UuIEZlYXR1cmUgcmVxdWVzdHMgb3IgYnVncz8gUGxlYXNlIHVzZSBHaXRIdWIuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidml0YWxzIiwKICAibmFtZSI6ICJWaXRhbHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudml0YWxzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9jb3JlY29kaW5nL1ZpdGFscyIsCiAgInV1aWQiOiAiVml0YWxzQENvcmVDb2RpbmcuY29tIiwKICAidmVyc2lvbiI6IDQ1Cn0="}}}
+, {"uuid": "panel-date-format@keiii.github.com", "name": "Panel Date Format", "pname": "panel-date-format", "description": "Allows to customize the date format on the panel.\nNOTE: No GUI. Just use dconf to change format follow up: https://github.com/KEIII/gnome-shell-panel-date-format#changing-format", "link": "https://extensions.gnome.org/extension/1462/panel-date-format/", "shell_version_map": {"40": {"version": "3", "sha256": "0yi67ia4imyq758d3kmhxhsxyd9966vkzds4a648qsxid8ww96gb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93cyB0byBjdXN0b21pemUgdGhlIGRhdGUgZm9ybWF0IG9uIHRoZSBwYW5lbC5cbk5PVEU6IE5vIEdVSS4gSnVzdCB1c2UgZGNvbmYgdG8gY2hhbmdlIGZvcm1hdCBmb2xsb3cgdXA6IGh0dHBzOi8vZ2l0aHViLmNvbS9LRUlJSS9nbm9tZS1zaGVsbC1wYW5lbC1kYXRlLWZvcm1hdCNjaGFuZ2luZy1mb3JtYXQiLAogICJuYW1lIjogIlBhbmVsIERhdGUgRm9ybWF0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnBhbmVsLWRhdGUtZm9ybWF0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0tFSUlJL2dub21lLXNoZWxsLXBhbmVsLWRhdGUtZm9ybWF0IiwKICAidXVpZCI6ICJwYW5lbC1kYXRlLWZvcm1hdEBrZWlpaS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
, {"uuid": "desktop-icons@csoriano", "name": "Desktop Icons", "pname": "desktop-icons", "description": "Add icons to the desktop", "link": "https://extensions.gnome.org/extension/1465/desktop-icons/", "shell_version_map": {"38": {"version": "19", "sha256": "01qdh1kigl3ck1mzgha1a9218lpam5b54ai72mpvr64gkaax2mcv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBpY29ucyB0byB0aGUgZGVza3RvcCIsCiAgIm5hbWUiOiAiRGVza3RvcCBJY29ucyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvV29ybGQvU2hlbGxFeHRlbnNpb25zL2Rlc2t0b3AtaWNvbnMiLAogICJ1dWlkIjogImRlc2t0b3AtaWNvbnNAY3Nvcmlhbm8iLAogICJ2ZXJzaW9uIjogMTkKfQ=="}}}
+, {"uuid": "fullbattery@categulario.tk", "name": "Full Battery indicator", "pname": "full-battery-indicator", "description": "Notifies when battery is full", "link": "https://extensions.gnome.org/extension/1466/full-battery-indicator/", "shell_version_map": {"38": {"version": "4", "sha256": "167d84phf68fi5bg9fvm4l7l8jq7k86a80adm0l56ngqygxqsyy8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5vdGlmaWVzIHdoZW4gYmF0dGVyeSBpcyBmdWxsIiwKICAibmFtZSI6ICJGdWxsIEJhdHRlcnkgaW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjMyIiwKICAgICIzLjI4LjMiLAogICAgIjMuMzQuNCIsCiAgICAiMy4zNi4wIiwKICAgICIzLjM4IiwKICAgICI0MC4zIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY2F0ZWd1bGFyaW8vZnVsbC1iYXR0ZXJ5LWdub21lLXNoZWxsLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiZnVsbGJhdHRlcnlAY2F0ZWd1bGFyaW8udGsiLAogICJ2ZXJzaW9uIjogNAp9"}, "40": {"version": "4", "sha256": "167d84phf68fi5bg9fvm4l7l8jq7k86a80adm0l56ngqygxqsyy8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5vdGlmaWVzIHdoZW4gYmF0dGVyeSBpcyBmdWxsIiwKICAibmFtZSI6ICJGdWxsIEJhdHRlcnkgaW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjMyIiwKICAgICIzLjI4LjMiLAogICAgIjMuMzQuNCIsCiAgICAiMy4zNi4wIiwKICAgICIzLjM4IiwKICAgICI0MC4zIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY2F0ZWd1bGFyaW8vZnVsbC1iYXR0ZXJ5LWdub21lLXNoZWxsLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiZnVsbGJhdHRlcnlAY2F0ZWd1bGFyaW8udGsiLAogICJ2ZXJzaW9uIjogNAp9"}}}
, {"uuid": "rdesktop-menu@bastien.git.geekwu.org", "name": "Rdesktop launcher", "pname": "rdesktop-launcher", "description": "Add a servers status menu for quickly running rdesktop", "link": "https://extensions.gnome.org/extension/1467/rdesktop-launcher/", "shell_version_map": {"38": {"version": "11", "sha256": "16dj3yyma4nwn9vvf85i9ws4w9s7z2bz0gapgm1xb3g4q74vckjw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIHNlcnZlcnMgc3RhdHVzIG1lbnUgZm9yIHF1aWNrbHkgcnVubmluZyByZGVza3RvcCIsCiAgImV4dGVuc2lvbi1pZCI6ICJyZGVza3RvcC1tZW51IiwKICAibmFtZSI6ICJSZGVza3RvcCBsYXVuY2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXQuZ2Vla3d1Lm9yZy9iYXN0aWVuL3JkZXNrdG9wLW1lbnUiLAogICJ1dWlkIjogInJkZXNrdG9wLW1lbnVAYmFzdGllbi5naXQuZ2Vla3d1Lm9yZyIsCiAgInZlcnNpb24iOiAxMQp9"}, "40": {"version": "11", "sha256": "16dj3yyma4nwn9vvf85i9ws4w9s7z2bz0gapgm1xb3g4q74vckjw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIHNlcnZlcnMgc3RhdHVzIG1lbnUgZm9yIHF1aWNrbHkgcnVubmluZyByZGVza3RvcCIsCiAgImV4dGVuc2lvbi1pZCI6ICJyZGVza3RvcC1tZW51IiwKICAibmFtZSI6ICJSZGVza3RvcCBsYXVuY2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXQuZ2Vla3d1Lm9yZy9iYXN0aWVuL3JkZXNrdG9wLW1lbnUiLAogICJ1dWlkIjogInJkZXNrdG9wLW1lbnVAYmFzdGllbi5naXQuZ2Vla3d1Lm9yZyIsCiAgInZlcnNpb24iOiAxMQp9"}}}
-, {"uuid": "batime@martin.zurowietz.de", "name": "Battery Time", "pname": "battery-time", "description": "Show the remaining time until fully charged/discharged instead of the battery charge in percent in the panel.", "link": "https://extensions.gnome.org/extension/1475/battery-time/", "shell_version_map": {"40": {"version": "7", "sha256": "0fnfilxj76xmxjhrv309195pqw9c400llmkvvr5vlk08yjky6ib1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgdGhlIHJlbWFpbmluZyB0aW1lIHVudGlsIGZ1bGx5IGNoYXJnZWQvZGlzY2hhcmdlZCBpbnN0ZWFkIG9mIHRoZSBiYXR0ZXJ5IGNoYXJnZSBpbiBwZXJjZW50IGluIHRoZSBwYW5lbC4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJiYXRpbWUiLAogICJuYW1lIjogIkJhdHRlcnkgVGltZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5iYXRpbWUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbXp1ci9nbm9tZS1zaGVsbC1iYXRpbWUiLAogICJ1dWlkIjogImJhdGltZUBtYXJ0aW4uenVyb3dpZXR6LmRlIiwKICAidmVyc2lvbiI6IDcKfQ=="}}}
+, {"uuid": "tint-all@amarovita.github.com", "name": "Tint All", "pname": "tint-all", "description": "Colorize the entire gnome workspace. Amber, green, cyan, sepia and grayscale - just keep clicking extension icon. Scroll over extension icon to change fx level.", "link": "https://extensions.gnome.org/extension/1471/tint-all/", "shell_version_map": {"38": {"version": "7", "sha256": "1lflpza6kbdh4ql338vidij9c2gh2r116gfgih7lk4inkj1hqmmz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbG9yaXplIHRoZSBlbnRpcmUgZ25vbWUgd29ya3NwYWNlLiBBbWJlciwgZ3JlZW4sIGN5YW4sIHNlcGlhIGFuZCBncmF5c2NhbGUgLSBqdXN0IGtlZXAgY2xpY2tpbmcgZXh0ZW5zaW9uIGljb24uIFNjcm9sbCBvdmVyIGV4dGVuc2lvbiBpY29uIHRvIGNoYW5nZSBmeCBsZXZlbC4iLAogICJuYW1lIjogIlRpbnQgQWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAidGludC1hbGxAYW1hcm92aXRhLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNwp9"}, "40": {"version": "7", "sha256": "1lflpza6kbdh4ql338vidij9c2gh2r116gfgih7lk4inkj1hqmmz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbG9yaXplIHRoZSBlbnRpcmUgZ25vbWUgd29ya3NwYWNlLiBBbWJlciwgZ3JlZW4sIGN5YW4sIHNlcGlhIGFuZCBncmF5c2NhbGUgLSBqdXN0IGtlZXAgY2xpY2tpbmcgZXh0ZW5zaW9uIGljb24uIFNjcm9sbCBvdmVyIGV4dGVuc2lvbiBpY29uIHRvIGNoYW5nZSBmeCBsZXZlbC4iLAogICJuYW1lIjogIlRpbnQgQWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAidGludC1hbGxAYW1hcm92aXRhLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNwp9"}}}
+, {"uuid": "batime@martin.zurowietz.de", "name": "Battery Time", "pname": "battery-time", "description": "Show the remaining time until fully charged/discharged instead of the battery charge in percent in the panel.", "link": "https://extensions.gnome.org/extension/1475/battery-time/", "shell_version_map": {"40": {"version": "9", "sha256": "1xhh65f8h4k41f3vc90k4wwkjg9bgrihbzi0asjjaf10hvwzmfn4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgdGhlIHJlbWFpbmluZyB0aW1lIHVudGlsIGZ1bGx5IGNoYXJnZWQvZGlzY2hhcmdlZCBpbnN0ZWFkIG9mIHRoZSBiYXR0ZXJ5IGNoYXJnZSBpbiBwZXJjZW50IGluIHRoZSBwYW5lbC4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJiYXRpbWUiLAogICJuYW1lIjogIkJhdHRlcnkgVGltZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5iYXRpbWUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL216dXIvZ25vbWUtc2hlbGwtYmF0aW1lIiwKICAidXVpZCI6ICJiYXRpbWVAbWFydGluLnp1cm93aWV0ei5kZSIsCiAgInZlcnNpb24iOiA5Cn0="}}}
, {"uuid": "unlockDialogBackground@sun.wxg@gmail.com", "name": "Lock screen background", "pname": "unlock-dialog-background", "description": "Change lock screen background.\nIf you use Ubuntu, install package gir1.2-clutter-1.0 first.", "link": "https://extensions.gnome.org/extension/1476/unlock-dialog-background/", "shell_version_map": {"38": {"version": "18", "sha256": "1j9m4qkd0i6l4nxwpxjwvp3nh0zifpzvp0xwp4cqqlr2flb6lwps", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZSBsb2NrIHNjcmVlbiBiYWNrZ3JvdW5kLlxuSWYgeW91IHVzZSBVYnVudHUsIGluc3RhbGwgcGFja2FnZSBnaXIxLjItY2x1dHRlci0xLjAgZmlyc3QuIiwKICAibmFtZSI6ICJMb2NrIHNjcmVlbiBiYWNrZ3JvdW5kIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6ICJzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiMy4zNi40IiwKICAgICIzLjM2LjUiLAogICAgIjMuMzYuNiIsCiAgICAiMy4zNi43IiwKICAgICIzLjM2LjgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdW53eGcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXVubG9ja0RpYWxvZ0JhY2tncm91bmQiLAogICJ1dWlkIjogInVubG9ja0RpYWxvZ0JhY2tncm91bmRAc3VuLnd4Z0BnbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTgKfQ=="}, "40": {"version": "20", "sha256": "1gin7mpafmx8h177alhhlrjqpq5354napc0jqaj4l4f7ynzn4843", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZSBsb2NrIHNjcmVlbiBiYWNrZ3JvdW5kLlxuSWYgeW91IHVzZSBVYnVudHUsIGluc3RhbGwgcGFja2FnZSBnaXIxLjItY2x1dHRlci0xLjAgZmlyc3QuIiwKICAibmFtZSI6ICJMb2NrIHNjcmVlbiBiYWNrZ3JvdW5kIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6ICJzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdW53eGcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXVubG9ja0RpYWxvZ0JhY2tncm91bmQiLAogICJ1dWlkIjogInVubG9ja0RpYWxvZ0JhY2tncm91bmRAc3VuLnd4Z0BnbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMjAKfQ=="}}}
-, {"uuid": "wsmatrix@martin.zurowietz.de", "name": "Workspace Matrix", "pname": "workspace-matrix", "description": "Arrange workspaces in a two dimensional grid with workspace thumbnails.", "link": "https://extensions.gnome.org/extension/1485/workspace-matrix/", "shell_version_map": {"38": {"version": "27", "sha256": "0b76x0li5a8x42l67ykbw34k4cfmbxqvz36zighhfw4qmxsc36cr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFycmFuZ2Ugd29ya3NwYWNlcyBpbiBhIHR3byBkaW1lbnNpb25hbCBncmlkIHdpdGggd29ya3NwYWNlIHRodW1ibmFpbHMuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAid3NtYXRyaXgiLAogICJrZXliaW5kaW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud3NtYXRyaXgta2V5YmluZGluZ3MiLAogICJuYW1lIjogIldvcmtzcGFjZSBNYXRyaXgiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud3NtYXRyaXgtc2V0dGluZ3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tenVyL2dub21lLXNoZWxsLXdzbWF0cml4IiwKICAidXVpZCI6ICJ3c21hdHJpeEBtYXJ0aW4uenVyb3dpZXR6LmRlIiwKICAidmVyc2lvbiI6IDI3Cn0="}}}
+, {"uuid": "wsmatrix@martin.zurowietz.de", "name": "Workspace Matrix", "pname": "workspace-matrix", "description": "Arrange workspaces in a two dimensional grid with workspace thumbnails.", "link": "https://extensions.gnome.org/extension/1485/workspace-matrix/", "shell_version_map": {"38": {"version": "27", "sha256": "0b76x0li5a8x42l67ykbw34k4cfmbxqvz36zighhfw4qmxsc36cr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFycmFuZ2Ugd29ya3NwYWNlcyBpbiBhIHR3byBkaW1lbnNpb25hbCBncmlkIHdpdGggd29ya3NwYWNlIHRodW1ibmFpbHMuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAid3NtYXRyaXgiLAogICJrZXliaW5kaW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud3NtYXRyaXgta2V5YmluZGluZ3MiLAogICJuYW1lIjogIldvcmtzcGFjZSBNYXRyaXgiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud3NtYXRyaXgtc2V0dGluZ3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tenVyL2dub21lLXNoZWxsLXdzbWF0cml4IiwKICAidXVpZCI6ICJ3c21hdHJpeEBtYXJ0aW4uenVyb3dpZXR6LmRlIiwKICAidmVyc2lvbiI6IDI3Cn0="}, "40": {"version": "29", "sha256": "1vih9b4g2xxfasf9f51br4wf2fmdks7nyh6pfdi347i3xkx96zpy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFycmFuZ2Ugd29ya3NwYWNlcyBpbiBhIHR3byBkaW1lbnNpb25hbCBncmlkIHdpdGggd29ya3NwYWNlIHRodW1ibmFpbHMuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAid3NtYXRyaXgiLAogICJrZXliaW5kaW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud3NtYXRyaXgta2V5YmluZGluZ3MiLAogICJuYW1lIjogIldvcmtzcGFjZSBNYXRyaXgiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud3NtYXRyaXgtc2V0dGluZ3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL216dXIvZ25vbWUtc2hlbGwtd3NtYXRyaXgiLAogICJ1dWlkIjogIndzbWF0cml4QG1hcnRpbi56dXJvd2lldHouZGUiLAogICJ2ZXJzaW9uIjogMjkKfQ=="}}}
, {"uuid": "extensions-sync@elhan.io", "name": "Extensions Sync", "pname": "extensions-sync", "description": "Sync all extensions and their configurations across all gnome instances", "link": "https://extensions.gnome.org/extension/1486/extensions-sync/", "shell_version_map": {"38": {"version": "12", "sha256": "0yb0brjnqvvlqpdyh841qwh3q2d02vi1an0s93gb2b6kagy1g7zd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN5bmMgYWxsIGV4dGVuc2lvbnMgYW5kIHRoZWlyIGNvbmZpZ3VyYXRpb25zIGFjcm9zcyBhbGwgZ25vbWUgaW5zdGFuY2VzIiwKICAibmFtZSI6ICJFeHRlbnNpb25zIFN5bmMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZXh0ZW5zaW9ucy1zeW5jIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vb2FlL2dub21lLXNoZWxsLWV4dGVuc2lvbnMtc3luYyIsCiAgInV1aWQiOiAiZXh0ZW5zaW9ucy1zeW5jQGVsaGFuLmlvIiwKICAidmVyc2lvbiI6IDEyCn0="}, "40": {"version": "14", "sha256": "0805li10x12jasjhpngp3p24xvxmbcvskvhxc11m5nv286s4gpx3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN5bmMgYWxsIGV4dGVuc2lvbnMgYW5kIHRoZWlyIGNvbmZpZ3VyYXRpb25zIGFjcm9zcyBhbGwgZ25vbWUgaW5zdGFuY2VzIiwKICAibmFtZSI6ICJFeHRlbnNpb25zIFN5bmMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZXh0ZW5zaW9ucy1zeW5jIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL29hZS9nbm9tZS1zaGVsbC1leHRlbnNpb25zLXN5bmMiLAogICJ1dWlkIjogImV4dGVuc2lvbnMtc3luY0BlbGhhbi5pbyIsCiAgInZlcnNpb24iOiAxNAp9"}}}
-, {"uuid": "containers@royg", "name": "Containers", "pname": "containers", "description": "Manage podman containers through a gnome-shell menu", "link": "https://extensions.gnome.org/extension/1500/containers/", "shell_version_map": {"40": {"version": "13", "sha256": "1hbjr5s5mx9dqdj3a12hmbz5fzcpm65pvifa86hwy2m852zj2nc5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hbmFnZSBwb2RtYW4gY29udGFpbmVycyB0aHJvdWdoIGEgZ25vbWUtc2hlbGwgbWVudSIsCiAgIm5hbWUiOiAiQ29udGFpbmVycyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9yZ29sYW5naC9nbm9tZS1zaGVsbC1leHRlbnNpb24tY29udGFpbmVycyIsCiAgInV1aWQiOiAiY29udGFpbmVyc0Byb3lnIiwKICAidmVyc2lvbiI6IDEzCn0="}}}
+, {"uuid": "containers@royg", "name": "Containers", "pname": "containers", "description": "Manage podman containers through a gnome-shell menu", "link": "https://extensions.gnome.org/extension/1500/containers/", "shell_version_map": {"40": {"version": "19", "sha256": "16z5978jwpf6cbasnfdi5w8s9dx929r8yh7a4dsrd5isnrnyi22x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hbmFnZSBwb2RtYW4gY29udGFpbmVycyB0aHJvdWdoIGEgZ25vbWUtc2hlbGwgbWVudSIsCiAgIm5hbWUiOiAiQ29udGFpbmVycyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcmdvbGFuZ2gvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWNvbnRhaW5lcnMiLAogICJ1dWlkIjogImNvbnRhaW5lcnNAcm95ZyIsCiAgInZlcnNpb24iOiAxOQp9"}}}
+, {"uuid": "fullscreenworkspace@satran.in", "name": "Fullscreen On New Workspace", "pname": "fullscreen-on-new-workspace", "description": "When you launch an application in fullscreen mode move it to a separate workspace.", "link": "https://extensions.gnome.org/extension/1502/fullscreen-on-new-workspace/", "shell_version_map": {"38": {"version": "2", "sha256": "0flpi1rwbzacibhf8j74v6vc5wa99d5149ydmwdfcij3r5qxh5br", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldoZW4geW91IGxhdW5jaCBhbiBhcHBsaWNhdGlvbiBpbiBmdWxsc2NyZWVuIG1vZGUgbW92ZSBpdCB0byBhIHNlcGFyYXRlIHdvcmtzcGFjZS4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmdWxsc2NyZWVud29ya3NwYWNlIiwKICAibmFtZSI6ICJGdWxsc2NyZWVuIE9uIE5ldyBXb3Jrc3BhY2UiLAogICJzY2hlbWEtaWQiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZnVsbHNjcmVlbndvcmtzcGFjZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zYXRyYW4vZnVsbHNjcmVlbndvcmtzcGFjZS1zYXRyYW4uaW4iLAogICJ1dWlkIjogImZ1bGxzY3JlZW53b3Jrc3BhY2VAc2F0cmFuLmluIiwKICAidmVyc2lvbiI6IDIKfQ=="}, "40": {"version": "2", "sha256": "0flpi1rwbzacibhf8j74v6vc5wa99d5149ydmwdfcij3r5qxh5br", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldoZW4geW91IGxhdW5jaCBhbiBhcHBsaWNhdGlvbiBpbiBmdWxsc2NyZWVuIG1vZGUgbW92ZSBpdCB0byBhIHNlcGFyYXRlIHdvcmtzcGFjZS4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmdWxsc2NyZWVud29ya3NwYWNlIiwKICAibmFtZSI6ICJGdWxsc2NyZWVuIE9uIE5ldyBXb3Jrc3BhY2UiLAogICJzY2hlbWEtaWQiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZnVsbHNjcmVlbndvcmtzcGFjZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zYXRyYW4vZnVsbHNjcmVlbndvcmtzcGFjZS1zYXRyYW4uaW4iLAogICJ1dWlkIjogImZ1bGxzY3JlZW53b3Jrc3BhY2VAc2F0cmFuLmluIiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
, {"uuid": "tray-icons@zhangkaizhao.com", "name": "Tray Icons", "pname": "tray-icons", "description": "Tray icons", "link": "https://extensions.gnome.org/extension/1503/tray-icons/", "shell_version_map": {"38": {"version": "6", "sha256": "0q5kk8yp7cg61d4hcimrxrghsq7v8qaxjbmzvkj5apbg4cmz3s7v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYXkgaWNvbnMiLAogICJuYW1lIjogIlRyYXkgSWNvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIuMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3poYW5na2Fpemhhby9nbm9tZS1zaGVsbC1leHRlbnNpb24tdHJheS1pY29ucyIsCiAgInV1aWQiOiAidHJheS1pY29uc0B6aGFuZ2thaXpoYW8uY29tIiwKICAidmVyc2lvbiI6IDYKfQ=="}, "40": {"version": "6", "sha256": "0q5kk8yp7cg61d4hcimrxrghsq7v8qaxjbmzvkj5apbg4cmz3s7v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYXkgaWNvbnMiLAogICJuYW1lIjogIlRyYXkgSWNvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIuMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3poYW5na2Fpemhhby9nbm9tZS1zaGVsbC1leHRlbnNpb24tdHJheS1pY29ucyIsCiAgInV1aWQiOiAidHJheS1pY29uc0B6aGFuZ2thaXpoYW8uY29tIiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
, {"uuid": "new-mail-indicator@fthx", "name": "New Mail Indicator", "pname": "new-mail-indicator", "description": "An icon beside the date in the topbar to show if there are unread emails when running your default email client.\n\n It checks the notifications in the message tray related to a new mail, no extra configuration needed, very light extension. Click on the icon does toggle your email client window.*** Do not disable the notifications of your default email client! *** It is not a standalone mail checker, you need your email client to be running. *** Please check that the xdg-utils package is installed.\n\n Email clients verified at the moment are: Thunderbird, Evolution, Geary, Mailspring. Please ask to add the client, including special packaging (snap/flatpak), that you need. If you report an error, please provide at least the distribution and the email client you use through the GitHub link.\n\n For persistent notifications only, see: https://extensions.gnome.org/extension/3951/persistent-email-notifications .", "link": "https://extensions.gnome.org/extension/1505/new-mail-indicator/", "shell_version_map": {"38": {"version": "32", "sha256": "1h4dxgpanvxs5y0k0zlghxrqp74igs3dhhmj9fkrzykqgm5qk99w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGljb24gYmVzaWRlIHRoZSBkYXRlIGluIHRoZSB0b3BiYXIgdG8gc2hvdyBpZiB0aGVyZSBhcmUgdW5yZWFkIGVtYWlscyB3aGVuIHJ1bm5pbmcgeW91ciBkZWZhdWx0IGVtYWlsIGNsaWVudC5cblxuIEl0IGNoZWNrcyB0aGUgbm90aWZpY2F0aW9ucyBpbiB0aGUgbWVzc2FnZSB0cmF5IHJlbGF0ZWQgdG8gYSBuZXcgbWFpbCwgbm8gZXh0cmEgY29uZmlndXJhdGlvbiBuZWVkZWQsIHZlcnkgbGlnaHQgZXh0ZW5zaW9uLiBDbGljayBvbiB0aGUgaWNvbiBkb2VzIHRvZ2dsZSB5b3VyIGVtYWlsIGNsaWVudCB3aW5kb3cuKioqIERvIG5vdCBkaXNhYmxlIHRoZSBub3RpZmljYXRpb25zIG9mIHlvdXIgZGVmYXVsdCBlbWFpbCBjbGllbnQhICoqKiBJdCBpcyBub3QgYSBzdGFuZGFsb25lIG1haWwgY2hlY2tlciwgeW91IG5lZWQgeW91ciBlbWFpbCBjbGllbnQgdG8gYmUgcnVubmluZy4gKioqIFBsZWFzZSBjaGVjayB0aGF0IHRoZSB4ZGctdXRpbHMgcGFja2FnZSBpcyBpbnN0YWxsZWQuXG5cbiBFbWFpbCBjbGllbnRzIHZlcmlmaWVkIGF0IHRoZSBtb21lbnQgYXJlOiBUaHVuZGVyYmlyZCwgRXZvbHV0aW9uLCBHZWFyeSwgTWFpbHNwcmluZy4gUGxlYXNlIGFzayB0byBhZGQgdGhlIGNsaWVudCwgaW5jbHVkaW5nIHNwZWNpYWwgcGFja2FnaW5nIChzbmFwL2ZsYXRwYWspLCB0aGF0IHlvdSBuZWVkLiBJZiB5b3UgcmVwb3J0IGFuIGVycm9yLCBwbGVhc2UgcHJvdmlkZSBhdCBsZWFzdCB0aGUgZGlzdHJpYnV0aW9uIGFuZCB0aGUgZW1haWwgY2xpZW50IHlvdSB1c2UgdGhyb3VnaCB0aGUgR2l0SHViIGxpbmsuXG5cbiBGb3IgcGVyc2lzdGVudCBub3RpZmljYXRpb25zIG9ubHksIHNlZTogaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vMzk1MS9wZXJzaXN0ZW50LWVtYWlsLW5vdGlmaWNhdGlvbnMgLiIsCiAgIm5hbWUiOiAiTmV3IE1haWwgSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvbmV3LW1haWwtaW5kaWNhdG9yIiwKICAidXVpZCI6ICJuZXctbWFpbC1pbmRpY2F0b3JAZnRoeCIsCiAgInZlcnNpb24iOiAzMgp9"}, "40": {"version": "32", "sha256": "1h4dxgpanvxs5y0k0zlghxrqp74igs3dhhmj9fkrzykqgm5qk99w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGljb24gYmVzaWRlIHRoZSBkYXRlIGluIHRoZSB0b3BiYXIgdG8gc2hvdyBpZiB0aGVyZSBhcmUgdW5yZWFkIGVtYWlscyB3aGVuIHJ1bm5pbmcgeW91ciBkZWZhdWx0IGVtYWlsIGNsaWVudC5cblxuIEl0IGNoZWNrcyB0aGUgbm90aWZpY2F0aW9ucyBpbiB0aGUgbWVzc2FnZSB0cmF5IHJlbGF0ZWQgdG8gYSBuZXcgbWFpbCwgbm8gZXh0cmEgY29uZmlndXJhdGlvbiBuZWVkZWQsIHZlcnkgbGlnaHQgZXh0ZW5zaW9uLiBDbGljayBvbiB0aGUgaWNvbiBkb2VzIHRvZ2dsZSB5b3VyIGVtYWlsIGNsaWVudCB3aW5kb3cuKioqIERvIG5vdCBkaXNhYmxlIHRoZSBub3RpZmljYXRpb25zIG9mIHlvdXIgZGVmYXVsdCBlbWFpbCBjbGllbnQhICoqKiBJdCBpcyBub3QgYSBzdGFuZGFsb25lIG1haWwgY2hlY2tlciwgeW91IG5lZWQgeW91ciBlbWFpbCBjbGllbnQgdG8gYmUgcnVubmluZy4gKioqIFBsZWFzZSBjaGVjayB0aGF0IHRoZSB4ZGctdXRpbHMgcGFja2FnZSBpcyBpbnN0YWxsZWQuXG5cbiBFbWFpbCBjbGllbnRzIHZlcmlmaWVkIGF0IHRoZSBtb21lbnQgYXJlOiBUaHVuZGVyYmlyZCwgRXZvbHV0aW9uLCBHZWFyeSwgTWFpbHNwcmluZy4gUGxlYXNlIGFzayB0byBhZGQgdGhlIGNsaWVudCwgaW5jbHVkaW5nIHNwZWNpYWwgcGFja2FnaW5nIChzbmFwL2ZsYXRwYWspLCB0aGF0IHlvdSBuZWVkLiBJZiB5b3UgcmVwb3J0IGFuIGVycm9yLCBwbGVhc2UgcHJvdmlkZSBhdCBsZWFzdCB0aGUgZGlzdHJpYnV0aW9uIGFuZCB0aGUgZW1haWwgY2xpZW50IHlvdSB1c2UgdGhyb3VnaCB0aGUgR2l0SHViIGxpbmsuXG5cbiBGb3IgcGVyc2lzdGVudCBub3RpZmljYXRpb25zIG9ubHksIHNlZTogaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vMzk1MS9wZXJzaXN0ZW50LWVtYWlsLW5vdGlmaWNhdGlvbnMgLiIsCiAgIm5hbWUiOiAiTmV3IE1haWwgSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvbmV3LW1haWwtaW5kaWNhdG9yIiwKICAidXVpZCI6ICJuZXctbWFpbC1pbmRpY2F0b3JAZnRoeCIsCiAgInZlcnNpb24iOiAzMgp9"}}}
, {"uuid": "drop-down-terminal-x@bigbn.pro", "name": "Drop Down Terminal X", "pname": "drop-down-terminal-x", "description": "THIS EXTENSION IS NO LONGER SUPPORTED.\n\nIf you are looking for an alternative please look at that https://extensions.gnome.org/extension/3780/ddterm \nawesome extension.\n\nA GNOME Shell drop down terminal with extra feautures.\n \nSpecial thanks to:\n- Osman Alperen Elhan (https://github.com/oae)\n- Balder Claassen (https://github.com/balderclaassen)\n- Adrien Pyke (https://github.com/kufii)\n- Alan J Carvajal (https://github.com/ajcarvajal)\n- Massimo Mund (https://github.com/masmu)\n- Jakub Żywiec (https://github.com/jakubzet)\n- Mattias Eriksson (https://github.com/snaggen)\n- Henry78 (https://github.com/Henry78)\n- Maxim Toropov (https://github.com/MaxMaxoff)\nfor active contribution.\n\nBased on original extension \"gs-extensions-drop-down-terminal\" from Stéphane Démurget (https://github.com/zzrough)", "link": "https://extensions.gnome.org/extension/1509/drop-down-terminal-x/", "shell_version_map": {"38": {"version": "17", "sha256": "1k90g6bndw1xr56k7lwlxyw89aqbl47spkfmj1lq224z83w6hzdw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRISVMgRVhURU5TSU9OIElTIE5PIExPTkdFUiBTVVBQT1JURUQuXG5cbklmIHlvdSBhcmUgbG9va2luZyBmb3IgYW4gYWx0ZXJuYXRpdmUgcGxlYXNlIGxvb2sgYXQgdGhhdCBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi8zNzgwL2RkdGVybSBcbmF3ZXNvbWUgZXh0ZW5zaW9uLlxuXG5BIEdOT01FIFNoZWxsIGRyb3AgZG93biB0ZXJtaW5hbCB3aXRoIGV4dHJhIGZlYXV0dXJlcy5cbiBcblNwZWNpYWwgdGhhbmtzIHRvOlxuLSBPc21hbiBBbHBlcmVuIEVsaGFuIChodHRwczovL2dpdGh1Yi5jb20vb2FlKVxuLSBCYWxkZXIgQ2xhYXNzZW4gKGh0dHBzOi8vZ2l0aHViLmNvbS9iYWxkZXJjbGFhc3Nlbilcbi0gQWRyaWVuIFB5a2UgKGh0dHBzOi8vZ2l0aHViLmNvbS9rdWZpaSlcbi0gQWxhbiBKIENhcnZhamFsIChodHRwczovL2dpdGh1Yi5jb20vYWpjYXJ2YWphbClcbi0gTWFzc2ltbyBNdW5kIChodHRwczovL2dpdGh1Yi5jb20vbWFzbXUpXG4tIEpha3ViIFx1MDE3Ynl3aWVjIChodHRwczovL2dpdGh1Yi5jb20vamFrdWJ6ZXQpXG4tIE1hdHRpYXMgRXJpa3Nzb24gKGh0dHBzOi8vZ2l0aHViLmNvbS9zbmFnZ2VuKVxuLSBIZW5yeTc4IChodHRwczovL2dpdGh1Yi5jb20vSGVucnk3OClcbi0gTWF4aW0gVG9yb3BvdiAoaHR0cHM6Ly9naXRodWIuY29tL01heE1heG9mZilcbmZvciBhY3RpdmUgY29udHJpYnV0aW9uLlxuXG5CYXNlZCBvbiBvcmlnaW5hbCBleHRlbnNpb24gXCJncy1leHRlbnNpb25zLWRyb3AtZG93bi10ZXJtaW5hbFwiIGZyb20gU3RcdTAwZTlwaGFuZSBEXHUwMGU5bXVyZ2V0IChodHRwczovL2dpdGh1Yi5jb20venpyb3VnaCkiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJkcm9wLWRvd24tdGVybWluYWwteCIsCiAgImlkIjogImRyb3AtZG93bi10ZXJtaW5hbC14IiwKICAibmFtZSI6ICJEcm9wIERvd24gVGVybWluYWwgWCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOC4wIiwKICAgICIzLjI4LjEiLAogICAgIjMuMjguMiIsCiAgICAiMy4zMC4wIiwKICAgICIzLjMwLjEiLAogICAgIjMuMzAuMiIsCiAgICAiMy4zMi4wIiwKICAgICIzLjMyLjEiLAogICAgIjMuMzIuMiIsCiAgICAiMy4zNC4wIiwKICAgICIzLjM0LjQiLAogICAgIjMuMzYuMCIsCiAgICAiMy4zNi4xIiwKICAgICIzLjM2LjIiLAogICAgIjMuMzguMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JpZ2JuL2dzLWV4dGVuc2lvbnMtZHJvcC1kb3duLXRlcm1pbmFsIiwKICAidXVpZCI6ICJkcm9wLWRvd24tdGVybWluYWwteEBiaWdibi5wcm8iLAogICJ2ZXJzaW9uIjogMTcKfQ=="}}}
-, {"uuid": "Rounded_Corners@lennart-k", "name": "Rounded Corners", "pname": "rounded-corners", "description": "Creates rounded corners for every monitor", "link": "https://extensions.gnome.org/extension/1514/rounded-corners/", "shell_version_map": {"38": {"version": "4", "sha256": "0pvbnv97g2mjbklszxvp6df50iw9kyr1ssfza8ia9779x5zq9bk9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNyZWF0ZXMgcm91bmRlZCBjb3JuZXJzIGZvciBldmVyeSBtb25pdG9yIiwKICAibmFtZSI6ICJSb3VuZGVkIENvcm5lcnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9sZW5uYXJ0LWsvZ25vbWUtcm91bmRlZC1jb3JuZXJzIiwKICAidXVpZCI6ICJSb3VuZGVkX0Nvcm5lcnNAbGVubmFydC1rIiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
+, {"uuid": "Rounded_Corners@lennart-k", "name": "Rounded Corners", "pname": "rounded-corners", "description": "Creates rounded corners for every monitor", "link": "https://extensions.gnome.org/extension/1514/rounded-corners/", "shell_version_map": {"38": {"version": "5", "sha256": "1lli47bjlrr5g3548jjvvvbbml6g0sg5mm6py9j7kjcgg84sscnc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNyZWF0ZXMgcm91bmRlZCBjb3JuZXJzIGZvciBldmVyeSBtb25pdG9yIiwKICAibmFtZSI6ICJSb3VuZGVkIENvcm5lcnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbGVubmFydC1rL2dub21lLXJvdW5kZWQtY29ybmVycyIsCiAgInV1aWQiOiAiUm91bmRlZF9Db3JuZXJzQGxlbm5hcnQtayIsCiAgInZlcnNpb24iOiA1Cn0="}, "40": {"version": "5", "sha256": "1lli47bjlrr5g3548jjvvvbbml6g0sg5mm6py9j7kjcgg84sscnc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNyZWF0ZXMgcm91bmRlZCBjb3JuZXJzIGZvciBldmVyeSBtb25pdG9yIiwKICAibmFtZSI6ICJSb3VuZGVkIENvcm5lcnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbGVubmFydC1rL2dub21lLXJvdW5kZWQtY29ybmVycyIsCiAgInV1aWQiOiAiUm91bmRlZF9Db3JuZXJzQGxlbm5hcnQtayIsCiAgInZlcnNpb24iOiA1Cn0="}}}
, {"uuid": "scrovol@andyholmes.github.io", "name": "Scrovol", "pname": "scrovol", "description": "Change the volume by scrolling anywhere on the System Tray.\n\nWith this extension, you can scroll over Night Light, WiFi, Volume, Battery or any other icon in the system status tray to change the volume, instead of just the Volume icon.", "link": "https://extensions.gnome.org/extension/1519/scrovol/", "shell_version_map": {"40": {"version": "4", "sha256": "1md52ygz481nvhq00bkq2ymby7f647cfvw4wx1wqkwp7b796d59c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZSB0aGUgdm9sdW1lIGJ5IHNjcm9sbGluZyBhbnl3aGVyZSBvbiB0aGUgU3lzdGVtIFRyYXkuXG5cbldpdGggdGhpcyBleHRlbnNpb24sIHlvdSBjYW4gc2Nyb2xsIG92ZXIgTmlnaHQgTGlnaHQsIFdpRmksIFZvbHVtZSwgQmF0dGVyeSBvciBhbnkgb3RoZXIgaWNvbiBpbiB0aGUgc3lzdGVtIHN0YXR1cyB0cmF5IHRvIGNoYW5nZSB0aGUgdm9sdW1lLCBpbnN0ZWFkIG9mIGp1c3QgdGhlIFZvbHVtZSBpY29uLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJzY3Jvdm9sIiwKICAibmFtZSI6ICJTY3Jvdm9sIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYW5keWhvbG1lcy9nbm9tZS1zaGVsbC1leHRlbnNpb24tc2Nyb3ZvbC8iLAogICJ1dWlkIjogInNjcm92b2xAYW5keWhvbG1lcy5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNAp9"}}}
-, {"uuid": "notification-center@Selenium-H", "name": "Notification Center", "pname": "notification-centerselenium-h", "description": "Detach notification center to top panel and customizations\n\nPress the Refresh button on the left of header bar to Reload the extension.", "link": "https://extensions.gnome.org/extension/1526/notification-centerselenium-h/", "shell_version_map": {"38": {"version": "23", "sha256": "12acmck46qpjgkcwjms4wi4gfnnyhz0yjh29ls2xz4xlkdzw71pd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNvbW1lbnQiOiAiRGV0YWNoIG5vdGlmaWNhdGlvbiBjZW50ZXIgdG8gdG9wIHBhbmVsIGFuZCBjdXN0b21pemF0aW9ucyIsCiAgImRlc2NyaXB0aW9uIjogIkRldGFjaCBub3RpZmljYXRpb24gY2VudGVyIHRvIHRvcCBwYW5lbCBhbmQgY3VzdG9taXphdGlvbnNcblxuUHJlc3MgdGhlIFJlZnJlc2ggYnV0dG9uIG9uIHRoZSBsZWZ0IG9mIGhlYWRlciBiYXIgdG8gUmVsb2FkIHRoZSBleHRlbnNpb24uIiwKICAibmFtZSI6ICJOb3RpZmljYXRpb24gQ2VudGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm5vdGlmaWNhdGlvbi1jZW50ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAic3RhdHVzIjogIiAiLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NlbGVuaXVtLUgvTm90aWZpY2F0aW9uLUNlbnRlciIsCiAgInV1aWQiOiAibm90aWZpY2F0aW9uLWNlbnRlckBTZWxlbml1bS1IIiwKICAidmVyc2lvbiI6IDIzCn0="}}}
+, {"uuid": "notification-center@Selenium-H", "name": "Notification Center", "pname": "notification-centerselenium-h", "description": "Detach notification center to top panel and customizations.Please reset the extension after updating.\nThe Extension will stop when upgraded to an incompatible version.\nIn that case an Update tab is created to easily reset the extension.\nA Reset button is also always present in Preferences option in the Top Right Application menu of the extension preferences window.", "link": "https://extensions.gnome.org/extension/1526/notification-centerselenium-h/", "shell_version_map": {"38": {"version": "24", "sha256": "1449kl7fss2fyj1ks8ra3qy60jvn5fcl1iyv813s8vdkkp5dv8a2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNvbW1lbnQiOiAiRGV0YWNoIG5vdGlmaWNhdGlvbiBjZW50ZXIgdG8gdG9wIHBhbmVsIGFuZCBjdXN0b21pemF0aW9ucy4iLAogICJkZXNjcmlwdGlvbiI6ICJEZXRhY2ggbm90aWZpY2F0aW9uIGNlbnRlciB0byB0b3AgcGFuZWwgYW5kIGN1c3RvbWl6YXRpb25zLlBsZWFzZSByZXNldCB0aGUgZXh0ZW5zaW9uIGFmdGVyIHVwZGF0aW5nLlxuVGhlIEV4dGVuc2lvbiB3aWxsIHN0b3Agd2hlbiB1cGdyYWRlZCB0byBhbiBpbmNvbXBhdGlibGUgdmVyc2lvbi5cbkluIHRoYXQgY2FzZSBhbiBVcGRhdGUgdGFiIGlzIGNyZWF0ZWQgdG8gZWFzaWx5IHJlc2V0IHRoZSBleHRlbnNpb24uXG5BIFJlc2V0IGJ1dHRvbiBpcyBhbHNvIGFsd2F5cyBwcmVzZW50IGluIFByZWZlcmVuY2VzIG9wdGlvbiBpbiB0aGUgVG9wIFJpZ2h0IEFwcGxpY2F0aW9uIG1lbnUgb2YgdGhlIGV4dGVuc2lvbiBwcmVmZXJlbmNlcyB3aW5kb3cuIiwKICAibmFtZSI6ICJOb3RpZmljYXRpb24gQ2VudGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm5vdGlmaWNhdGlvbi1jZW50ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInN0YXR1cyI6ICIiLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NlbGVuaXVtLUgvTm90aWZpY2F0aW9uLUNlbnRlciIsCiAgInV1aWQiOiAibm90aWZpY2F0aW9uLWNlbnRlckBTZWxlbml1bS1IIiwKICAidmVyc2lvbiI6IDI0Cn0="}, "40": {"version": "24", "sha256": "1449kl7fss2fyj1ks8ra3qy60jvn5fcl1iyv813s8vdkkp5dv8a2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNvbW1lbnQiOiAiRGV0YWNoIG5vdGlmaWNhdGlvbiBjZW50ZXIgdG8gdG9wIHBhbmVsIGFuZCBjdXN0b21pemF0aW9ucy4iLAogICJkZXNjcmlwdGlvbiI6ICJEZXRhY2ggbm90aWZpY2F0aW9uIGNlbnRlciB0byB0b3AgcGFuZWwgYW5kIGN1c3RvbWl6YXRpb25zLlBsZWFzZSByZXNldCB0aGUgZXh0ZW5zaW9uIGFmdGVyIHVwZGF0aW5nLlxuVGhlIEV4dGVuc2lvbiB3aWxsIHN0b3Agd2hlbiB1cGdyYWRlZCB0byBhbiBpbmNvbXBhdGlibGUgdmVyc2lvbi5cbkluIHRoYXQgY2FzZSBhbiBVcGRhdGUgdGFiIGlzIGNyZWF0ZWQgdG8gZWFzaWx5IHJlc2V0IHRoZSBleHRlbnNpb24uXG5BIFJlc2V0IGJ1dHRvbiBpcyBhbHNvIGFsd2F5cyBwcmVzZW50IGluIFByZWZlcmVuY2VzIG9wdGlvbiBpbiB0aGUgVG9wIFJpZ2h0IEFwcGxpY2F0aW9uIG1lbnUgb2YgdGhlIGV4dGVuc2lvbiBwcmVmZXJlbmNlcyB3aW5kb3cuIiwKICAibmFtZSI6ICJOb3RpZmljYXRpb24gQ2VudGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm5vdGlmaWNhdGlvbi1jZW50ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInN0YXR1cyI6ICIiLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NlbGVuaXVtLUgvTm90aWZpY2F0aW9uLUNlbnRlciIsCiAgInV1aWQiOiAibm90aWZpY2F0aW9uLWNlbnRlckBTZWxlbml1bS1IIiwKICAidmVyc2lvbiI6IDI0Cn0="}}}
, {"uuid": "lockkeys@fawtytoo", "name": "Lock Keys", "pname": "lock-keys", "description": "Numlock and Capslock status on the panel. Icons are auto hidden. Simplified with no menus, notifications or settings.\n\nWayland is supported for Gnome Shell 3.38 or newer.", "link": "https://extensions.gnome.org/extension/1532/lock-keys/", "shell_version_map": {"38": {"version": "12", "sha256": "0cnd71926fyxcq6gv0j6xiy8w9fw0lvsby4rmzamywg1rbb5i1jd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk51bWxvY2sgYW5kIENhcHNsb2NrIHN0YXR1cyBvbiB0aGUgcGFuZWwuIEljb25zIGFyZSBhdXRvIGhpZGRlbi4gU2ltcGxpZmllZCB3aXRoIG5vIG1lbnVzLCBub3RpZmljYXRpb25zIG9yIHNldHRpbmdzLlxuXG5XYXlsYW5kIGlzIHN1cHBvcnRlZCBmb3IgR25vbWUgU2hlbGwgMy4zOCBvciBuZXdlci4iLAogICJuYW1lIjogIkxvY2sgS2V5cyIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiAiU3RldmUgQ2xhcmssIEthemltaWVyYXMgVmFpbmEsIFBpZXJyZSBPc3NtYW4sIGVyZ3VpbGxlLCBqb25uaXVzLCBQaGlsaXBwIFdvbGZlciwgTWFyaXVzeiBMaXNvd3NraSwgQ3Jpc3RpYW4gQmVyb2l6YSwgd2FybXN1bjAyMjAsIFJhc211cyBLYWosIFBhYmxvIE1hcnRpbi1Hb21leiBCb3Vza2EiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImxvY2trZXlzQGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDEyCn0="}, "40": {"version": "12", "sha256": "0cnd71926fyxcq6gv0j6xiy8w9fw0lvsby4rmzamywg1rbb5i1jd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk51bWxvY2sgYW5kIENhcHNsb2NrIHN0YXR1cyBvbiB0aGUgcGFuZWwuIEljb25zIGFyZSBhdXRvIGhpZGRlbi4gU2ltcGxpZmllZCB3aXRoIG5vIG1lbnVzLCBub3RpZmljYXRpb25zIG9yIHNldHRpbmdzLlxuXG5XYXlsYW5kIGlzIHN1cHBvcnRlZCBmb3IgR25vbWUgU2hlbGwgMy4zOCBvciBuZXdlci4iLAogICJuYW1lIjogIkxvY2sgS2V5cyIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiAiU3RldmUgQ2xhcmssIEthemltaWVyYXMgVmFpbmEsIFBpZXJyZSBPc3NtYW4sIGVyZ3VpbGxlLCBqb25uaXVzLCBQaGlsaXBwIFdvbGZlciwgTWFyaXVzeiBMaXNvd3NraSwgQ3Jpc3RpYW4gQmVyb2l6YSwgd2FybXN1bjAyMjAsIFJhc211cyBLYWosIFBhYmxvIE1hcnRpbi1Hb21leiBCb3Vza2EiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImxvY2trZXlzQGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDEyCn0="}}}
-, {"uuid": "fullscreen-hot-corner@sorrow.about.alice.pm.me", "name": "Fullscreen Hot Corner", "pname": "fullscreen-hot-corner", "description": "Enables hot corner in fullscreen mode", "link": "https://extensions.gnome.org/extension/1562/fullscreen-hot-corner/", "shell_version_map": {"38": {"version": "6", "sha256": "1lcpw06aqja4q7qqfvds5nv2zvzrjh56lymj1al4kiql92gbwzp1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZXMgaG90IGNvcm5lciBpbiBmdWxsc2NyZWVuIG1vZGUiLAogICJuYW1lIjogIkZ1bGxzY3JlZW4gSG90IENvcm5lciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NvYWwvZ25vbWUtc2hlbGwtZnVsbHNjcmVlbi1ob3QtY29ybmVyIiwKICAidXVpZCI6ICJmdWxsc2NyZWVuLWhvdC1jb3JuZXJAc29ycm93LmFib3V0LmFsaWNlLnBtLm1lIiwKICAidmVyc2lvbiI6IDYKfQ=="}, "40": {"version": "8", "sha256": "07ly7kgjz15462d2xx53l4rmgydw72jm4f21vsil8fyynifv5smv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZXMgaG90IGNvcm5lciBpbiBmdWxsc2NyZWVuIG1vZGUiLAogICJuYW1lIjogIkZ1bGxzY3JlZW4gSG90IENvcm5lciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NvYWwvZ25vbWUtc2hlbGwtZnVsbHNjcmVlbi1ob3QtY29ybmVyIiwKICAidXVpZCI6ICJmdWxsc2NyZWVuLWhvdC1jb3JuZXJAc29ycm93LmFib3V0LmFsaWNlLnBtLm1lIiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
+, {"uuid": "fullscreen-hot-corner@sorrow.about.alice.pm.me", "name": "Fullscreen Hot Corner", "pname": "fullscreen-hot-corner", "description": "Enables hot corner in fullscreen mode", "link": "https://extensions.gnome.org/extension/1562/fullscreen-hot-corner/", "shell_version_map": {"38": {"version": "6", "sha256": "1lcpw06aqja4q7qqfvds5nv2zvzrjh56lymj1al4kiql92gbwzp1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZXMgaG90IGNvcm5lciBpbiBmdWxsc2NyZWVuIG1vZGUiLAogICJuYW1lIjogIkZ1bGxzY3JlZW4gSG90IENvcm5lciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NvYWwvZ25vbWUtc2hlbGwtZnVsbHNjcmVlbi1ob3QtY29ybmVyIiwKICAidXVpZCI6ICJmdWxsc2NyZWVuLWhvdC1jb3JuZXJAc29ycm93LmFib3V0LmFsaWNlLnBtLm1lIiwKICAidmVyc2lvbiI6IDYKfQ=="}, "40": {"version": "9", "sha256": "0zx11gpacjd259cxd80ggffixpyqprvzcx1971ysvm7jrayrm058", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZXMgaG90IGNvcm5lciBpbiBmdWxsc2NyZWVuIG1vZGUiLAogICJuYW1lIjogIkZ1bGxzY3JlZW4gSG90IENvcm5lciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc29hbC9nbm9tZS1zaGVsbC1mdWxsc2NyZWVuLWhvdC1jb3JuZXIiLAogICJ1dWlkIjogImZ1bGxzY3JlZW4taG90LWNvcm5lckBzb3Jyb3cuYWJvdXQuYWxpY2UucG0ubWUiLAogICJ2ZXJzaW9uIjogOQp9"}}}
, {"uuid": "worksets@blipk.xyz", "name": "Customised Workspaces", "pname": "worksets", "description": "Customised Workspaces enables creating isolated and customised workspaces.\n\n* Customise the dash to be unique for each workspace, each with their own favourites\n* Only show running applications on the dash in their respective workspace\n* Choose a custom background image for each workspace\n* Minor UI tweaks to the overview workspaces\n* Save each customisation, set them to autoload on specific workspaces\n* Hide the extension once you've configured your preferences to enjoy seamlessly upgraded workspaces in gnome shell\n\nCompatible for use with any of these extensions to complete your workspace upgrade:\n\n* Dash to Panel - https://extensions.gnome.org/extension/1160/dash-to-panel/\n* Dash to Dock - https://extensions.gnome.org/extension/307/dash-to-dock/\n* Auto Move Windows - https://extensions.gnome.org/extension/16/auto-move-windows/\n* Vertical Overview - https://github.com/RensAlthuis/vertical-overview", "link": "https://extensions.gnome.org/extension/1583/worksets/", "shell_version_map": {"38": {"version": "30", "sha256": "0mjah9nx66caqmfbz8wrvg6iyhxp7jmbgwx1gnp8k2a2z536n1iw", "metadata": "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"}, "40": {"version": "30", "sha256": "0mjah9nx66caqmfbz8wrvg6iyhxp7jmbgwx1gnp8k2a2z536n1iw", "metadata": "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"}}}
-, {"uuid": "NordVPN_Connect@poilrouge.fr", "name": "NordVPN Connect", "pname": "nordvpn-connect", "description": "Unofficial Gnome-Shell Extension to provide a GUI for the official NordVPN CLI Tool.", "link": "https://extensions.gnome.org/extension/1595/nordvpn-connect/", "shell_version_map": {"38": {"version": "15", "sha256": "0xccvy3kqgglhcjhixlxi65sa49lbm896lra2sks65arkx3fh63k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVub2ZmaWNpYWwgR25vbWUtU2hlbGwgRXh0ZW5zaW9uIHRvIHByb3ZpZGUgYSBHVUkgZm9yIHRoZSBvZmZpY2lhbCBOb3JkVlBOIENMSSBUb29sLiIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMtbnZwbmNvbm5lY3QiLAogICJuYW1lIjogIk5vcmRWUE4gQ29ubmVjdCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5udnBuY29ubmVjdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0FsZXhQb2lscm91Z2UvTm9yZFZQTi1jb25uZWN0IiwKICAidXVpZCI6ICJOb3JkVlBOX0Nvbm5lY3RAcG9pbHJvdWdlLmZyIiwKICAidmVyc2lvbiI6IDE1Cn0="}}}
-, {"uuid": "arrangeWindows@sun.wxg@gmail.com", "name": "Arrange Windows", "pname": "arrange-windows", "description": "Arrange windows on the monitors\n\nHotkeys:\n Ctrl+Alt+1 Cascade windows\n Ctrl+Alt+2 Tiling windows\n Ctrl+Alt+3 Side by side windows\n Ctrl+Alt+4 Stack windows", "link": "https://extensions.gnome.org/extension/1604/arrange-windows/", "shell_version_map": {"38": {"version": "20", "sha256": "1akrix6iji78bhwpvsbwf9lxyjgsv2496rdfadns4f8wpgs4d1n4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFycmFuZ2Ugd2luZG93cyBvbiB0aGUgbW9uaXRvcnNcblxuSG90a2V5czpcbiBDdHJsK0FsdCsxIENhc2NhZGUgd2luZG93c1xuIEN0cmwrQWx0KzIgVGlsaW5nIHdpbmRvd3NcbiBDdHJsK0FsdCszIFNpZGUgYnkgc2lkZSB3aW5kb3dzXG4gQ3RybCtBbHQrNCBTdGFjayB3aW5kb3dzIiwKICAibmFtZSI6ICJBcnJhbmdlIFdpbmRvd3MiLAogICJvcmlnaW5hbC1hdXRob3JzIjogInN1bi53eGdAZ21haWwuY29tIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N1bnd4Zy9nbm9tZS1zaGVsbC1leHRlbnNpb24tYXJyYW5nZVdpbmRvd3MiLAogICJ1dWlkIjogImFycmFuZ2VXaW5kb3dzQHN1bi53eGdAZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDIwCn0="}, "40": {"version": "20", "sha256": "1akrix6iji78bhwpvsbwf9lxyjgsv2496rdfadns4f8wpgs4d1n4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFycmFuZ2Ugd2luZG93cyBvbiB0aGUgbW9uaXRvcnNcblxuSG90a2V5czpcbiBDdHJsK0FsdCsxIENhc2NhZGUgd2luZG93c1xuIEN0cmwrQWx0KzIgVGlsaW5nIHdpbmRvd3NcbiBDdHJsK0FsdCszIFNpZGUgYnkgc2lkZSB3aW5kb3dzXG4gQ3RybCtBbHQrNCBTdGFjayB3aW5kb3dzIiwKICAibmFtZSI6ICJBcnJhbmdlIFdpbmRvd3MiLAogICJvcmlnaW5hbC1hdXRob3JzIjogInN1bi53eGdAZ21haWwuY29tIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N1bnd4Zy9nbm9tZS1zaGVsbC1leHRlbnNpb24tYXJyYW5nZVdpbmRvd3MiLAogICJ1dWlkIjogImFycmFuZ2VXaW5kb3dzQHN1bi53eGdAZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDIwCn0="}}}
-, {"uuid": "fullscreen-notifications@sorrow.about.alice.pm.me", "name": "Fullscreen Notifications", "pname": "fullscreen-notifications", "description": "Enables all notifications in fullscreen mode", "link": "https://extensions.gnome.org/extension/1610/fullscreen-notifications/", "shell_version_map": {"38": {"version": "3", "sha256": "1g1dgrhbif7qcxga7302bhhdjrr2v3vkp6dfavyclzsdkkrr2wwh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZXMgYWxsIG5vdGlmaWNhdGlvbnMgaW4gZnVsbHNjcmVlbiBtb2RlIiwKICAibmFtZSI6ICJGdWxsc2NyZWVuIE5vdGlmaWNhdGlvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzAuMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NvYWwvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWZ1bGxzY3JlZW4tbm90aWZpY2F0aW9ucyIsCiAgInV1aWQiOiAiZnVsbHNjcmVlbi1ub3RpZmljYXRpb25zQHNvcnJvdy5hYm91dC5hbGljZS5wbS5tZSIsCiAgInZlcnNpb24iOiAzCn0="}, "40": {"version": "4", "sha256": "107lii2hxfs6wa6a94yhfjmk1szpyia3rbhb7lf8nwj1gdra54zj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZXMgYWxsIG5vdGlmaWNhdGlvbnMgaW4gZnVsbHNjcmVlbiBtb2RlIiwKICAibmFtZSI6ICJGdWxsc2NyZWVuIE5vdGlmaWNhdGlvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zb2FsL2dub21lLXNoZWxsLWV4dGVuc2lvbi1mdWxsc2NyZWVuLW5vdGlmaWNhdGlvbnMiLAogICJ1dWlkIjogImZ1bGxzY3JlZW4tbm90aWZpY2F0aW9uc0Bzb3Jyb3cuYWJvdXQuYWxpY2UucG0ubWUiLAogICJ2ZXJzaW9uIjogNAp9"}}}
-, {"uuid": "panelScroll@sun.wxg@gmail.com", "name": "panel scroll", "pname": "panel-scroll", "description": "Switch windows or workspace by mouse scroll on the panel.\nPointer on left of panel, switch windows.\nPointer on right of panel, switch workspaces.", "link": "https://extensions.gnome.org/extension/1616/panel-scroll/", "shell_version_map": {"38": {"version": "10", "sha256": "1llw16wszrkrrzrlyd1ppw8kn1cqp2z4irzi9q7v2nr47hrk14kd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaCB3aW5kb3dzIG9yIHdvcmtzcGFjZSBieSBtb3VzZSBzY3JvbGwgb24gdGhlIHBhbmVsLlxuUG9pbnRlciBvbiBsZWZ0IG9mIHBhbmVsLCBzd2l0Y2ggd2luZG93cy5cblBvaW50ZXIgb24gcmlnaHQgb2YgcGFuZWwsIHN3aXRjaCB3b3Jrc3BhY2VzLiIsCiAgIm5hbWUiOiAicGFuZWwgc2Nyb2xsIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6ICJzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N1bnd4Zy9nbm9tZS1zaGVsbC1leHRlbnNpb24tcGFuZWxTY3JvbGwiLAogICJ1dWlkIjogInBhbmVsU2Nyb2xsQHN1bi53eGdAZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDEwCn0="}, "40": {"version": "13", "sha256": "1679cxazd2vl7bkhamq3hxw7r5wpb2plw3h346gvg1w0blc1b7ry", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaCB3aW5kb3dzIG9yIHdvcmtzcGFjZSBieSBtb3VzZSBzY3JvbGwgb24gdGhlIHBhbmVsLlxuUG9pbnRlciBvbiBsZWZ0IG9mIHBhbmVsLCBzd2l0Y2ggd2luZG93cy5cblBvaW50ZXIgb24gcmlnaHQgb2YgcGFuZWwsIHN3aXRjaCB3b3Jrc3BhY2VzLiIsCiAgIm5hbWUiOiAicGFuZWwgc2Nyb2xsIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6ICJzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdW53eGcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXBhbmVsU2Nyb2xsIiwKICAidXVpZCI6ICJwYW5lbFNjcm9sbEBzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAxMwp9"}}}
+, {"uuid": "NordVPN_Connect@poilrouge.fr", "name": "NordVPN Connect", "pname": "nordvpn-connect", "description": "Unofficial Gnome-Shell Extension to provide a GUI for the official NordVPN CLI Tool.", "link": "https://extensions.gnome.org/extension/1595/nordvpn-connect/", "shell_version_map": {"38": {"version": "15", "sha256": "0xccvy3kqgglhcjhixlxi65sa49lbm896lra2sks65arkx3fh63k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVub2ZmaWNpYWwgR25vbWUtU2hlbGwgRXh0ZW5zaW9uIHRvIHByb3ZpZGUgYSBHVUkgZm9yIHRoZSBvZmZpY2lhbCBOb3JkVlBOIENMSSBUb29sLiIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMtbnZwbmNvbm5lY3QiLAogICJuYW1lIjogIk5vcmRWUE4gQ29ubmVjdCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5udnBuY29ubmVjdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0FsZXhQb2lscm91Z2UvTm9yZFZQTi1jb25uZWN0IiwKICAidXVpZCI6ICJOb3JkVlBOX0Nvbm5lY3RAcG9pbHJvdWdlLmZyIiwKICAidmVyc2lvbiI6IDE1Cn0="}, "40": {"version": "16", "sha256": "1l2790w6r8ay150k57nh7imrg5fc6198rqzrp903bww59wwllz9v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVub2ZmaWNpYWwgR25vbWUtU2hlbGwgRXh0ZW5zaW9uIHRvIHByb3ZpZGUgYSBHVUkgZm9yIHRoZSBvZmZpY2lhbCBOb3JkVlBOIENMSSBUb29sLiIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMtbnZwbmNvbm5lY3QiLAogICJuYW1lIjogIk5vcmRWUE4gQ29ubmVjdCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5udnBuY29ubmVjdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9BbGV4UG9pbHJvdWdlL05vcmRWUE4tY29ubmVjdCIsCiAgInV1aWQiOiAiTm9yZFZQTl9Db25uZWN0QHBvaWxyb3VnZS5mciIsCiAgInZlcnNpb24iOiAxNgp9"}}}
+, {"uuid": "arrangeWindows@sun.wxg@gmail.com", "name": "Arrange Windows", "pname": "arrange-windows", "description": "Arrange windows on the monitors\n\nHotkeys:\n Ctrl+Alt+1 Cascade windows\n Ctrl+Alt+2 Tiling windows\n Ctrl+Alt+3 Side by side windows\n Ctrl+Alt+4 Stack windows", "link": "https://extensions.gnome.org/extension/1604/arrange-windows/", "shell_version_map": {"38": {"version": "21", "sha256": "0dhcawzc2ljxjc31jnk7ihbvngw9sbbcgk7qvhz5syjvsbwzc8c8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFycmFuZ2Ugd2luZG93cyBvbiB0aGUgbW9uaXRvcnNcblxuSG90a2V5czpcbiBDdHJsK0FsdCsxIENhc2NhZGUgd2luZG93c1xuIEN0cmwrQWx0KzIgVGlsaW5nIHdpbmRvd3NcbiBDdHJsK0FsdCszIFNpZGUgYnkgc2lkZSB3aW5kb3dzXG4gQ3RybCtBbHQrNCBTdGFjayB3aW5kb3dzIiwKICAibmFtZSI6ICJBcnJhbmdlIFdpbmRvd3MiLAogICJvcmlnaW5hbC1hdXRob3JzIjogInN1bi53eGdAZ21haWwuY29tIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdW53eGcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWFycmFuZ2VXaW5kb3dzIiwKICAidXVpZCI6ICJhcnJhbmdlV2luZG93c0BzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAyMQp9"}, "40": {"version": "21", "sha256": "0dhcawzc2ljxjc31jnk7ihbvngw9sbbcgk7qvhz5syjvsbwzc8c8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFycmFuZ2Ugd2luZG93cyBvbiB0aGUgbW9uaXRvcnNcblxuSG90a2V5czpcbiBDdHJsK0FsdCsxIENhc2NhZGUgd2luZG93c1xuIEN0cmwrQWx0KzIgVGlsaW5nIHdpbmRvd3NcbiBDdHJsK0FsdCszIFNpZGUgYnkgc2lkZSB3aW5kb3dzXG4gQ3RybCtBbHQrNCBTdGFjayB3aW5kb3dzIiwKICAibmFtZSI6ICJBcnJhbmdlIFdpbmRvd3MiLAogICJvcmlnaW5hbC1hdXRob3JzIjogInN1bi53eGdAZ21haWwuY29tIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdW53eGcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWFycmFuZ2VXaW5kb3dzIiwKICAidXVpZCI6ICJhcnJhbmdlV2luZG93c0BzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAyMQp9"}}}
+, {"uuid": "fullscreen-notifications@sorrow.about.alice.pm.me", "name": "Fullscreen Notifications", "pname": "fullscreen-notifications", "description": "Enables all notifications in fullscreen mode", "link": "https://extensions.gnome.org/extension/1610/fullscreen-notifications/", "shell_version_map": {"38": {"version": "3", "sha256": "1g1dgrhbif7qcxga7302bhhdjrr2v3vkp6dfavyclzsdkkrr2wwh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZXMgYWxsIG5vdGlmaWNhdGlvbnMgaW4gZnVsbHNjcmVlbiBtb2RlIiwKICAibmFtZSI6ICJGdWxsc2NyZWVuIE5vdGlmaWNhdGlvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzAuMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NvYWwvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWZ1bGxzY3JlZW4tbm90aWZpY2F0aW9ucyIsCiAgInV1aWQiOiAiZnVsbHNjcmVlbi1ub3RpZmljYXRpb25zQHNvcnJvdy5hYm91dC5hbGljZS5wbS5tZSIsCiAgInZlcnNpb24iOiAzCn0="}, "40": {"version": "5", "sha256": "1cxpgvkpm1r8d14wvl8pic7p6wd4im8cj3dklnzb7ni2ks2h0cps", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZXMgYWxsIG5vdGlmaWNhdGlvbnMgaW4gZnVsbHNjcmVlbiBtb2RlIiwKICAibmFtZSI6ICJGdWxsc2NyZWVuIE5vdGlmaWNhdGlvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NvYWwvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWZ1bGxzY3JlZW4tbm90aWZpY2F0aW9ucyIsCiAgInV1aWQiOiAiZnVsbHNjcmVlbi1ub3RpZmljYXRpb25zQHNvcnJvdy5hYm91dC5hbGljZS5wbS5tZSIsCiAgInZlcnNpb24iOiA1Cn0="}}}
+, {"uuid": "panelScroll@sun.wxg@gmail.com", "name": "panel scroll", "pname": "panel-scroll", "description": "Switch windows or workspace by mouse scroll on the panel.\nPointer on left of panel, switch windows.\nPointer on right of panel, switch workspaces.", "link": "https://extensions.gnome.org/extension/1616/panel-scroll/", "shell_version_map": {"38": {"version": "10", "sha256": "1llw16wszrkrrzrlyd1ppw8kn1cqp2z4irzi9q7v2nr47hrk14kd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaCB3aW5kb3dzIG9yIHdvcmtzcGFjZSBieSBtb3VzZSBzY3JvbGwgb24gdGhlIHBhbmVsLlxuUG9pbnRlciBvbiBsZWZ0IG9mIHBhbmVsLCBzd2l0Y2ggd2luZG93cy5cblBvaW50ZXIgb24gcmlnaHQgb2YgcGFuZWwsIHN3aXRjaCB3b3Jrc3BhY2VzLiIsCiAgIm5hbWUiOiAicGFuZWwgc2Nyb2xsIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6ICJzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N1bnd4Zy9nbm9tZS1zaGVsbC1leHRlbnNpb24tcGFuZWxTY3JvbGwiLAogICJ1dWlkIjogInBhbmVsU2Nyb2xsQHN1bi53eGdAZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDEwCn0="}, "40": {"version": "15", "sha256": "0q4yvmkri1wzhav1va25ffcpw8c4882dgifkwnzmg9fgv0i3vgh3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaCB3aW5kb3dzIG9yIHdvcmtzcGFjZSBieSBtb3VzZSBzY3JvbGwgb24gdGhlIHBhbmVsLlxuUG9pbnRlciBvbiBsZWZ0IG9mIHBhbmVsLCBzd2l0Y2ggd2luZG93cy5cblBvaW50ZXIgb24gcmlnaHQgb2YgcGFuZWwsIHN3aXRjaCB3b3Jrc3BhY2VzLiIsCiAgIm5hbWUiOiAicGFuZWwgc2Nyb2xsIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6ICJzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc3Vud3hnL2dub21lLXNoZWxsLWV4dGVuc2lvbi1wYW5lbFNjcm9sbCIsCiAgInV1aWQiOiAicGFuZWxTY3JvbGxAc3VuLnd4Z0BnbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTUKfQ=="}}}
, {"uuid": "soft-brightness@fifi.org", "name": "Soft brightness", "pname": "soft-brightness", "description": "Add or override the brightness slider to change the brightness via an alpha layer (and optionally stop using or cooperate with the exising backlight, if present).\nEither internal, external or all monitors can be dimmed.\nSee the GitHub page for details.\n\nNote that this extension will keep running on the lock screen, as you'd also want the brightness setting to apply to the lock screen as well. Please report on GitHub if this gives you any trouble.", "link": "https://extensions.gnome.org/extension/1625/soft-brightness/", "shell_version_map": {"38": {"version": "27", "sha256": "0caz4ff1wa9qq9f0zvl2sa2c8d2ff5icvcqyfv9n9aqrknkpn3pa", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBvciBvdmVycmlkZSB0aGUgYnJpZ2h0bmVzcyBzbGlkZXIgdG8gY2hhbmdlIHRoZSBicmlnaHRuZXNzIHZpYSBhbiBhbHBoYSBsYXllciAoYW5kIG9wdGlvbmFsbHkgc3RvcCB1c2luZyBvciBjb29wZXJhdGUgd2l0aCB0aGUgZXhpc2luZyBiYWNrbGlnaHQsIGlmIHByZXNlbnQpLlxuRWl0aGVyIGludGVybmFsLCBleHRlcm5hbCBvciBhbGwgbW9uaXRvcnMgY2FuIGJlIGRpbW1lZC5cblNlZSB0aGUgR2l0SHViIHBhZ2UgZm9yIGRldGFpbHMuXG5cbk5vdGUgdGhhdCB0aGlzIGV4dGVuc2lvbiB3aWxsIGtlZXAgcnVubmluZyBvbiB0aGUgbG9jayBzY3JlZW4sIGFzIHlvdSdkIGFsc28gd2FudCB0aGUgYnJpZ2h0bmVzcyBzZXR0aW5nIHRvIGFwcGx5IHRvIHRoZSBsb2NrIHNjcmVlbiBhcyB3ZWxsLiBQbGVhc2UgcmVwb3J0IG9uIEdpdEh1YiBpZiB0aGlzIGdpdmVzIHlvdSBhbnkgdHJvdWJsZS4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzb2Z0LWJyaWdodG5lc3MiLAogICJuYW1lIjogIlNvZnQgYnJpZ2h0bmVzcyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zb2Z0LWJyaWdodG5lc3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzMuOTAiLAogICAgIjMuMzYiLAogICAgIjMuMzUuMSIsCiAgICAiMy4zNS45MiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0YtaS1mL3NvZnQtYnJpZ2h0bmVzcyIsCiAgInV1aWQiOiAic29mdC1icmlnaHRuZXNzQGZpZmkub3JnIiwKICAidmNzX3JldmlzaW9uIjogInYyNy0wLWcyN2M0OTc4IiwKICAidmVyc2lvbiI6IDI3Cn0="}, "40": {"version": "27", "sha256": "0caz4ff1wa9qq9f0zvl2sa2c8d2ff5icvcqyfv9n9aqrknkpn3pa", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBvciBvdmVycmlkZSB0aGUgYnJpZ2h0bmVzcyBzbGlkZXIgdG8gY2hhbmdlIHRoZSBicmlnaHRuZXNzIHZpYSBhbiBhbHBoYSBsYXllciAoYW5kIG9wdGlvbmFsbHkgc3RvcCB1c2luZyBvciBjb29wZXJhdGUgd2l0aCB0aGUgZXhpc2luZyBiYWNrbGlnaHQsIGlmIHByZXNlbnQpLlxuRWl0aGVyIGludGVybmFsLCBleHRlcm5hbCBvciBhbGwgbW9uaXRvcnMgY2FuIGJlIGRpbW1lZC5cblNlZSB0aGUgR2l0SHViIHBhZ2UgZm9yIGRldGFpbHMuXG5cbk5vdGUgdGhhdCB0aGlzIGV4dGVuc2lvbiB3aWxsIGtlZXAgcnVubmluZyBvbiB0aGUgbG9jayBzY3JlZW4sIGFzIHlvdSdkIGFsc28gd2FudCB0aGUgYnJpZ2h0bmVzcyBzZXR0aW5nIHRvIGFwcGx5IHRvIHRoZSBsb2NrIHNjcmVlbiBhcyB3ZWxsLiBQbGVhc2UgcmVwb3J0IG9uIEdpdEh1YiBpZiB0aGlzIGdpdmVzIHlvdSBhbnkgdHJvdWJsZS4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzb2Z0LWJyaWdodG5lc3MiLAogICJuYW1lIjogIlNvZnQgYnJpZ2h0bmVzcyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zb2Z0LWJyaWdodG5lc3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzMuOTAiLAogICAgIjMuMzYiLAogICAgIjMuMzUuMSIsCiAgICAiMy4zNS45MiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0YtaS1mL3NvZnQtYnJpZ2h0bmVzcyIsCiAgInV1aWQiOiAic29mdC1icmlnaHRuZXNzQGZpZmkub3JnIiwKICAidmNzX3JldmlzaW9uIjogInYyNy0wLWcyN2M0OTc4IiwKICAidmVyc2lvbiI6IDI3Cn0="}}}
, {"uuid": "Resource_Monitor@Ory0n", "name": "Resource Monitor", "pname": "resource-monitor", "description": "Monitor the use of system resources like cpu, ram, disk, network and display them in gnome shell top bar.", "link": "https://extensions.gnome.org/extension/1634/resource-monitor/", "shell_version_map": {"38": {"version": "14", "sha256": "0mg6b54cinplc4i3kzn6p397a8flyivcyzg30pnfq7phhgpcnxwj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dGhvciI6ICJHaXVzZXBwZSBTaWx2ZXN0cm8iLAogICJkZXNjcmlwdGlvbiI6ICJNb25pdG9yIHRoZSB1c2Ugb2Ygc3lzdGVtIHJlc291cmNlcyBsaWtlIGNwdSwgcmFtLCBkaXNrLCBuZXR3b3JrIGFuZCBkaXNwbGF5IHRoZW0gaW4gZ25vbWUgc2hlbGwgdG9wIGJhci4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJjb20tZ2l0aHViLU9yeTBuLVJlc291cmNlX01vbml0b3IiLAogICJuYW1lIjogIlJlc291cmNlIE1vbml0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAiY29tLmdpdGh1Yi5Pcnkwbi5SZXNvdXJjZV9Nb25pdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vMHJ5MG4vUmVzb3VyY2VfTW9uaXRvci8iLAogICJ1dWlkIjogIlJlc291cmNlX01vbml0b3JAT3J5MG4iLAogICJ2ZXJzaW9uIjogMTQKfQ=="}, "40": {"version": "15", "sha256": "1q6scg003qyp84q7yd1f49kks0rr5k0wwlsq7m3ccnjk5dakqisa", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dGhvciI6ICJHaXVzZXBwZSBTaWx2ZXN0cm8iLAogICJkZXNjcmlwdGlvbiI6ICJNb25pdG9yIHRoZSB1c2Ugb2Ygc3lzdGVtIHJlc291cmNlcyBsaWtlIGNwdSwgcmFtLCBkaXNrLCBuZXR3b3JrIGFuZCBkaXNwbGF5IHRoZW0gaW4gZ25vbWUgc2hlbGwgdG9wIGJhci4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJjb20tZ2l0aHViLU9yeTBuLVJlc291cmNlX01vbml0b3IiLAogICJuYW1lIjogIlJlc291cmNlIE1vbml0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAiY29tLmdpdGh1Yi5Pcnkwbi5SZXNvdXJjZV9Nb25pdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vMHJ5MG4vUmVzb3VyY2VfTW9uaXRvci8iLAogICJ1dWlkIjogIlJlc291cmNlX01vbml0b3JAT3J5MG4iLAogICJ2ZXJzaW9uIjogMTUKfQ=="}}}
, {"uuid": "tweaks-system-menu@extensions.gnome-shell.fifi.org", "name": "Tweaks & Extensions in System Menu", "pname": "tweaks-in-system-menu", "description": "Put Gnome Tweaks and Extensions (on Shell 40 and later) in the System menu.", "link": "https://extensions.gnome.org/extension/1653/tweaks-in-system-menu/", "shell_version_map": {"38": {"version": "15", "sha256": "0i6bj4kd59wg1sm29a1yr9s1is2rkxnabn04hlj66y5n6lv6gxgq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlB1dCBHbm9tZSBUd2Vha3MgYW5kIEV4dGVuc2lvbnMgKG9uIFNoZWxsIDQwIGFuZCBsYXRlcikgaW4gdGhlIFN5c3RlbSBtZW51LiIsCiAgImdldHRleHQtZG9tYWluIjogInR3ZWFrcy1zeXN0ZW0tbWVudSIsCiAgIm5hbWUiOiAiVHdlYWtzICYgRXh0ZW5zaW9ucyBpbiBTeXN0ZW0gTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50d2Vha3Mtc3lzdGVtLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzUuOTIiLAogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9GLWktZi90d2Vha3Mtc3lzdGVtLW1lbnUiLAogICJ1dWlkIjogInR3ZWFrcy1zeXN0ZW0tbWVudUBleHRlbnNpb25zLmdub21lLXNoZWxsLmZpZmkub3JnIiwKICAidmNzX3JldmlzaW9uIjogInYxNS0wLWdlM2IwNGM3IiwKICAidmVyc2lvbiI6IDE1Cn0="}, "40": {"version": "15", "sha256": "0i6bj4kd59wg1sm29a1yr9s1is2rkxnabn04hlj66y5n6lv6gxgq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlB1dCBHbm9tZSBUd2Vha3MgYW5kIEV4dGVuc2lvbnMgKG9uIFNoZWxsIDQwIGFuZCBsYXRlcikgaW4gdGhlIFN5c3RlbSBtZW51LiIsCiAgImdldHRleHQtZG9tYWluIjogInR3ZWFrcy1zeXN0ZW0tbWVudSIsCiAgIm5hbWUiOiAiVHdlYWtzICYgRXh0ZW5zaW9ucyBpbiBTeXN0ZW0gTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50d2Vha3Mtc3lzdGVtLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzUuOTIiLAogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9GLWktZi90d2Vha3Mtc3lzdGVtLW1lbnUiLAogICJ1dWlkIjogInR3ZWFrcy1zeXN0ZW0tbWVudUBleHRlbnNpb25zLmdub21lLXNoZWxsLmZpZmkub3JnIiwKICAidmNzX3JldmlzaW9uIjogInYxNS0wLWdlM2IwNGM3IiwKICAidmVyc2lvbiI6IDE1Cn0="}}}
, {"uuid": "topiconsfix@aleskva@devnullmail.com", "name": "TopIconsFix", "pname": "topiconsfix", "description": "Shows legacy tray icons on top – the fixed version of https://extensions.gnome.org/extension/495/topicons/", "link": "https://extensions.gnome.org/extension/1674/topiconsfix/", "shell_version_map": {"38": {"version": "11", "sha256": "14czzkqs5my6ypg3ggxlcmxbf8aw1ing6nfgz26zkgbvnrfnvfqr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGxlZ2FjeSB0cmF5IGljb25zIG9uIHRvcCBcdTIwMTMgdGhlIGZpeGVkIHZlcnNpb24gb2YgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vNDk1L3RvcGljb25zLyIsCiAgIm5hbWUiOiAiVG9wSWNvbnNGaXgiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInRvcGljb25zZml4QGFsZXNrdmFAZGV2bnVsbG1haWwuY29tIiwKICAidmVyc2lvbiI6IDExCn0="}, "40": {"version": "11", "sha256": "14czzkqs5my6ypg3ggxlcmxbf8aw1ing6nfgz26zkgbvnrfnvfqr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGxlZ2FjeSB0cmF5IGljb25zIG9uIHRvcCBcdTIwMTMgdGhlIGZpeGVkIHZlcnNpb24gb2YgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vNDk1L3RvcGljb25zLyIsCiAgIm5hbWUiOiAiVG9wSWNvbnNGaXgiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInRvcGljb25zZml4QGFsZXNrdmFAZGV2bnVsbG1haWwuY29tIiwKICAidmVyc2lvbiI6IDExCn0="}}}
-, {"uuid": "animation-tweaks@Selenium-H", "name": "Animation Tweaks", "pname": "animation-tweaks", "description": "Add animations to different items and customize them.\n\nPlease reset the extension after updating. The Extension will stop when upgraded to an incompatible version. In that case an Update tab is created to easily reset the extension. A Reset button is also always present in preferences -> About Tab.\n A Default shortcut combination of Super Key + t is provided to temporarily disable the extension until GNOME Shell restarts. \n\nSome effects might not work properly on wayland, for which a workaround is provided on preferences -> Tweaks tab. \nHowever, some animations might not work properly.", "link": "https://extensions.gnome.org/extension/1680/animation-tweaks/", "shell_version_map": {"38": {"version": "12", "sha256": "0n3c2z4lgbzwx176s76v2p3nm1j8a53m136msjpcc6akq3nxvwkm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhbmltYXRpb25zIHRvIGRpZmZlcmVudCBpdGVtcyBhbmQgY3VzdG9taXplIHRoZW0uXG5cblBsZWFzZSByZXNldCB0aGUgZXh0ZW5zaW9uIGFmdGVyIHVwZGF0aW5nLiBUaGUgRXh0ZW5zaW9uIHdpbGwgc3RvcCB3aGVuIHVwZ3JhZGVkIHRvIGFuIGluY29tcGF0aWJsZSB2ZXJzaW9uLiBJbiB0aGF0IGNhc2UgYW4gVXBkYXRlIHRhYiBpcyBjcmVhdGVkIHRvIGVhc2lseSByZXNldCB0aGUgZXh0ZW5zaW9uLiBBIFJlc2V0IGJ1dHRvbiBpcyBhbHNvIGFsd2F5cyBwcmVzZW50IGluIHByZWZlcmVuY2VzIC0+IEFib3V0IFRhYi5cbiBBIERlZmF1bHQgc2hvcnRjdXQgY29tYmluYXRpb24gb2YgU3VwZXIgS2V5ICsgdCBpcyBwcm92aWRlZCB0byB0ZW1wb3JhcmlseSBkaXNhYmxlIHRoZSBleHRlbnNpb24gdW50aWwgR05PTUUgU2hlbGwgcmVzdGFydHMuIFxuXG5Tb21lIGVmZmVjdHMgbWlnaHQgbm90IHdvcmsgcHJvcGVybHkgb24gd2F5bGFuZCwgZm9yIHdoaWNoIGEgd29ya2Fyb3VuZCBpcyBwcm92aWRlZCBvbiBwcmVmZXJlbmNlcyAtPiBUd2Vha3MgdGFiLiBcbkhvd2V2ZXIsIHNvbWUgYW5pbWF0aW9ucyBtaWdodCBub3Qgd29yayBwcm9wZXJseS4iLAogICJuYW1lIjogIkFuaW1hdGlvbiBUd2Vha3MiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYW5pbWF0aW9uLXR3ZWFrcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJzdGF0dXMiOiAiICIsCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vU2VsZW5pdW0tSC9BbmltYXRpb24tVHdlYWtzIiwKICAidXVpZCI6ICJhbmltYXRpb24tdHdlYWtzQFNlbGVuaXVtLUgiLAogICJ2ZXJzaW9uIjogMTIKfQ=="}}}
-, {"uuid": "drawOnYourScreen@abakkk.framagit.org", "name": "Draw On You Screen", "pname": "draw-on-you-screen", "description": "Start drawing with Super+Alt+D and save your beautiful work by taking a screenshot\n\nFeatures :\n- Basic shapes (rectangle, circle, ellipse, line, curve, polygon, polyline, text, image, free)\n- Basic transformations (move, rotate, resize, stretch, mirror, inverse)\n- Smooth stroke\n- Draw over applications\n- Keep drawings on desktop background with persistence (notes, children's art ...)\n- Multi-monitor support\n- Export to SVG\n\nGNOME Shell 41 and later are not supported.", "link": "https://extensions.gnome.org/extension/1683/draw-on-you-screen/", "shell_version_map": {"38": {"version": "11", "sha256": "0bpf7x5fng1c2xj48mh27p5shfja0n79vs3bypmi5v6l4cgggq58", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRhdGEtZGlyIjogImRyYXdPbllvdXJTY3JlZW4iLAogICJkZXNjcmlwdGlvbiI6ICJTdGFydCBkcmF3aW5nIHdpdGggU3VwZXIrQWx0K0QgYW5kIHNhdmUgeW91ciBiZWF1dGlmdWwgd29yayBieSB0YWtpbmcgYSBzY3JlZW5zaG90XG5cbkZlYXR1cmVzIDpcbi0gQmFzaWMgc2hhcGVzIChyZWN0YW5nbGUsIGNpcmNsZSwgZWxsaXBzZSwgbGluZSwgY3VydmUsIHBvbHlnb24sIHBvbHlsaW5lLCB0ZXh0LCBpbWFnZSwgZnJlZSlcbi0gQmFzaWMgdHJhbnNmb3JtYXRpb25zIChtb3ZlLCByb3RhdGUsIHJlc2l6ZSwgc3RyZXRjaCwgbWlycm9yLCBpbnZlcnNlKVxuLSBTbW9vdGggc3Ryb2tlXG4tIERyYXcgb3ZlciBhcHBsaWNhdGlvbnNcbi0gS2VlcCBkcmF3aW5ncyBvbiBkZXNrdG9wIGJhY2tncm91bmQgd2l0aCBwZXJzaXN0ZW5jZSAobm90ZXMsIGNoaWxkcmVuJ3MgYXJ0IC4uLilcbi0gTXVsdGktbW9uaXRvciBzdXBwb3J0XG4tIEV4cG9ydCB0byBTVkdcblxuR05PTUUgU2hlbGwgNDEgYW5kIGxhdGVyIGFyZSBub3Qgc3VwcG9ydGVkLiIsCiAgImdldHRleHQtZG9tYWluIjogImRyYXctb24teW91ci1zY3JlZW4iLAogICJuYW1lIjogIkRyYXcgT24gWW91IFNjcmVlbiIsCiAgInBlcnNpc3RlbnQtZmlsZS1uYW1lIjogInBlcnNpc3RlbnQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZHJhdy1vbi15b3VyLXNjcmVlbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJzdmctZmlsZS1uYW1lIjogIkRyYXdPbllvdXJTY3JlZW4iLAogICJ1cmwiOiAiaHR0cHM6Ly9mcmFtYWdpdC5vcmcvYWJha2trL0RyYXdPbllvdXJTY3JlZW4iLAogICJ1dWlkIjogImRyYXdPbllvdXJTY3JlZW5AYWJha2trLmZyYW1hZ2l0Lm9yZyIsCiAgInZlcnNpb24iOiAxMQp9"}}}
-, {"uuid": "Always-Show-Titles-In-Overview@gmail.com", "name": "Always Show Titles In Overview", "pname": "always-show-titles-in-overview", "description": "This extension can be used to show titles of all thumbnails in the Gnome 3 overview.\n\nNOTE: The gnome 40 version does not support older gnome-shell", "link": "https://extensions.gnome.org/extension/1689/always-show-titles-in-overview/", "shell_version_map": {"38": {"version": "8", "sha256": "1gzf096a95sa8qga3azrvl6wnjnx58dhjj6i21ws9fndx4ildbf9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNhbiBiZSB1c2VkIHRvIHNob3cgdGl0bGVzIG9mIGFsbCB0aHVtYm5haWxzIGluIHRoZSBHbm9tZSAzIG92ZXJ2aWV3LlxuXG5OT1RFOiBUaGUgZ25vbWUgNDAgdmVyc2lvbiBkb2VzIG5vdCBzdXBwb3J0IG9sZGVyIGdub21lLXNoZWxsIiwKICAibmFtZSI6ICJBbHdheXMgU2hvdyBUaXRsZXMgSW4gT3ZlcnZpZXciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzAuMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL25scHN1Z2UvQWx3YXlzLVNob3ctVGl0bGVzLUluLU92ZXJ2aWV3IiwKICAidXVpZCI6ICJBbHdheXMtU2hvdy1UaXRsZXMtSW4tT3ZlcnZpZXdAZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="}, "40": {"version": "9", "sha256": "1h7zbxrh26ha26xhmhlhb9rzh0g400alkf59x232m3x64ykin2ys", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNhbiBiZSB1c2VkIHRvIHNob3cgdGl0bGVzIG9mIGFsbCB0aHVtYm5haWxzIGluIHRoZSBHbm9tZSAzIG92ZXJ2aWV3LlxuXG5OT1RFOiBUaGUgZ25vbWUgNDAgdmVyc2lvbiBkb2VzIG5vdCBzdXBwb3J0IG9sZGVyIGdub21lLXNoZWxsIiwKICAibmFtZSI6ICJBbHdheXMgU2hvdyBUaXRsZXMgSW4gT3ZlcnZpZXciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbmxwc3VnZS9BbHdheXMtU2hvdy1UaXRsZXMtSW4tT3ZlcnZpZXciLAogICJ1dWlkIjogIkFsd2F5cy1TaG93LVRpdGxlcy1Jbi1PdmVydmlld0BnbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogOQp9"}}}
+, {"uuid": "animation-tweaks@Selenium-H", "name": "Animation Tweaks", "pname": "animation-tweaks", "description": "Add animations to different items and customize them.\n\nPlease reset the extension after updating.\nThe Extension will stop when upgraded to an incompatible version.\nIn that case an Update tab is created to easily reset the extension.\nA Reset button is also always present in Preferences option in the Application menu of the extension preferences window.\nA Default shortcut combination of Super Key + t is provided to disable the extension.\n\nSome effects might not work properly on wayland, for which a workaround is provided on preferences -> Tweaks tab.\nHowever, some animations might not work properly.\n\nTo manage effect Delay time enable Show delay time in preferences option from Preferences -> Tweaks Tab\nand reopen preferences.", "link": "https://extensions.gnome.org/extension/1680/animation-tweaks/", "shell_version_map": {"38": {"version": "14", "sha256": "1vdid8mnbf8r7r7pw1a569rfib2jyvv6n630cd117cm130vsiivj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNvbW1lbnQiOiAiQWRkIGFuaW1hdGlvbnMgdG8gZGlmZmVyZW50IGl0ZW1zIGFuZCBjdXN0b21pemUgdGhlbS4iLAogICJkZXNjcmlwdGlvbiI6ICJBZGQgYW5pbWF0aW9ucyB0byBkaWZmZXJlbnQgaXRlbXMgYW5kIGN1c3RvbWl6ZSB0aGVtLlxuXG5QbGVhc2UgcmVzZXQgdGhlIGV4dGVuc2lvbiBhZnRlciB1cGRhdGluZy5cblRoZSBFeHRlbnNpb24gd2lsbCBzdG9wIHdoZW4gdXBncmFkZWQgdG8gYW4gaW5jb21wYXRpYmxlIHZlcnNpb24uXG5JbiB0aGF0IGNhc2UgYW4gVXBkYXRlIHRhYiBpcyBjcmVhdGVkIHRvIGVhc2lseSByZXNldCB0aGUgZXh0ZW5zaW9uLlxuQSBSZXNldCBidXR0b24gaXMgYWxzbyBhbHdheXMgcHJlc2VudCBpbiBQcmVmZXJlbmNlcyBvcHRpb24gaW4gdGhlIEFwcGxpY2F0aW9uIG1lbnUgb2YgdGhlIGV4dGVuc2lvbiBwcmVmZXJlbmNlcyB3aW5kb3cuXG5BIERlZmF1bHQgc2hvcnRjdXQgY29tYmluYXRpb24gb2YgU3VwZXIgS2V5ICsgdCBpcyBwcm92aWRlZCB0byBkaXNhYmxlIHRoZSBleHRlbnNpb24uXG5cblNvbWUgZWZmZWN0cyBtaWdodCBub3Qgd29yayBwcm9wZXJseSBvbiB3YXlsYW5kLCBmb3Igd2hpY2ggYSB3b3JrYXJvdW5kIGlzIHByb3ZpZGVkIG9uIHByZWZlcmVuY2VzIC0+IFR3ZWFrcyB0YWIuXG5Ib3dldmVyLCBzb21lIGFuaW1hdGlvbnMgbWlnaHQgbm90IHdvcmsgcHJvcGVybHkuXG5cblRvIG1hbmFnZSBlZmZlY3QgRGVsYXkgdGltZSBlbmFibGUgU2hvdyBkZWxheSB0aW1lIGluIHByZWZlcmVuY2VzIG9wdGlvbiBmcm9tIFByZWZlcmVuY2VzIC0+IFR3ZWFrcyBUYWJcbmFuZCByZW9wZW4gcHJlZmVyZW5jZXMuIiwKICAibmFtZSI6ICJBbmltYXRpb24gVHdlYWtzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJzdGF0dXMiOiAiIiwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9TZWxlbml1bS1IL0FuaW1hdGlvbi1Ud2Vha3MiLAogICJ1dWlkIjogImFuaW1hdGlvbi10d2Vha3NAU2VsZW5pdW0tSCIsCiAgInZlcnNpb24iOiAxNAp9"}, "40": {"version": "14", "sha256": "1vdid8mnbf8r7r7pw1a569rfib2jyvv6n630cd117cm130vsiivj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNvbW1lbnQiOiAiQWRkIGFuaW1hdGlvbnMgdG8gZGlmZmVyZW50IGl0ZW1zIGFuZCBjdXN0b21pemUgdGhlbS4iLAogICJkZXNjcmlwdGlvbiI6ICJBZGQgYW5pbWF0aW9ucyB0byBkaWZmZXJlbnQgaXRlbXMgYW5kIGN1c3RvbWl6ZSB0aGVtLlxuXG5QbGVhc2UgcmVzZXQgdGhlIGV4dGVuc2lvbiBhZnRlciB1cGRhdGluZy5cblRoZSBFeHRlbnNpb24gd2lsbCBzdG9wIHdoZW4gdXBncmFkZWQgdG8gYW4gaW5jb21wYXRpYmxlIHZlcnNpb24uXG5JbiB0aGF0IGNhc2UgYW4gVXBkYXRlIHRhYiBpcyBjcmVhdGVkIHRvIGVhc2lseSByZXNldCB0aGUgZXh0ZW5zaW9uLlxuQSBSZXNldCBidXR0b24gaXMgYWxzbyBhbHdheXMgcHJlc2VudCBpbiBQcmVmZXJlbmNlcyBvcHRpb24gaW4gdGhlIEFwcGxpY2F0aW9uIG1lbnUgb2YgdGhlIGV4dGVuc2lvbiBwcmVmZXJlbmNlcyB3aW5kb3cuXG5BIERlZmF1bHQgc2hvcnRjdXQgY29tYmluYXRpb24gb2YgU3VwZXIgS2V5ICsgdCBpcyBwcm92aWRlZCB0byBkaXNhYmxlIHRoZSBleHRlbnNpb24uXG5cblNvbWUgZWZmZWN0cyBtaWdodCBub3Qgd29yayBwcm9wZXJseSBvbiB3YXlsYW5kLCBmb3Igd2hpY2ggYSB3b3JrYXJvdW5kIGlzIHByb3ZpZGVkIG9uIHByZWZlcmVuY2VzIC0+IFR3ZWFrcyB0YWIuXG5Ib3dldmVyLCBzb21lIGFuaW1hdGlvbnMgbWlnaHQgbm90IHdvcmsgcHJvcGVybHkuXG5cblRvIG1hbmFnZSBlZmZlY3QgRGVsYXkgdGltZSBlbmFibGUgU2hvdyBkZWxheSB0aW1lIGluIHByZWZlcmVuY2VzIG9wdGlvbiBmcm9tIFByZWZlcmVuY2VzIC0+IFR3ZWFrcyBUYWJcbmFuZCByZW9wZW4gcHJlZmVyZW5jZXMuIiwKICAibmFtZSI6ICJBbmltYXRpb24gVHdlYWtzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJzdGF0dXMiOiAiIiwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9TZWxlbml1bS1IL0FuaW1hdGlvbi1Ud2Vha3MiLAogICJ1dWlkIjogImFuaW1hdGlvbi10d2Vha3NAU2VsZW5pdW0tSCIsCiAgInZlcnNpb24iOiAxNAp9"}}}
+, {"uuid": "drawOnYourScreen@abakkk.framagit.org", "name": "Draw On You Screen", "pname": "draw-on-you-screen", "description": "", "link": "https://extensions.gnome.org/extension/1683/draw-on-you-screen/", "shell_version_map": {"38": {"version": "11", "sha256": "0kbgngcb2n234snnavbm8giwi6zhvr48bs0qy4f511d52l2wyawy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRhdGEtZGlyIjogImRyYXdPbllvdXJTY3JlZW4iLAogICJkZXNjcmlwdGlvbiI6ICIiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJkcmF3LW9uLXlvdXItc2NyZWVuIiwKICAibmFtZSI6ICJEcmF3IE9uIFlvdSBTY3JlZW4iLAogICJwZXJzaXN0ZW50LWZpbGUtbmFtZSI6ICJwZXJzaXN0ZW50IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRyYXctb24teW91ci1zY3JlZW4iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAic3ZnLWZpbGUtbmFtZSI6ICJEcmF3T25Zb3VyU2NyZWVuIiwKICAidXJsIjogIiIsCiAgInV1aWQiOiAiZHJhd09uWW91clNjcmVlbkBhYmFra2suZnJhbWFnaXQub3JnIiwKICAidmVyc2lvbiI6IDExCn0="}}}
+, {"uuid": "Always-Show-Titles-In-Overview@gmail.com", "name": "Always Show Titles In Overview", "pname": "always-show-titles-in-overview", "description": "Show titles of all thumbnails in the Gnome 3 overview.", "link": "https://extensions.gnome.org/extension/1689/always-show-titles-in-overview/", "shell_version_map": {"38": {"version": "10", "sha256": "134xr99qsrz0z56nrgp5frjmzk3jk38sh6hix394a7mm8y5wx304", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgdGl0bGVzIG9mIGFsbCB0aHVtYm5haWxzIGluIHRoZSBHbm9tZSAzIG92ZXJ2aWV3LiIsCiAgIm5hbWUiOiAiQWx3YXlzIFNob3cgVGl0bGVzIEluIE92ZXJ2aWV3IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbmxwc3VnZS9BbHdheXMtU2hvdy1UaXRsZXMtSW4tT3ZlcnZpZXciLAogICJ1dWlkIjogIkFsd2F5cy1TaG93LVRpdGxlcy1Jbi1PdmVydmlld0BnbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTAKfQ=="}, "40": {"version": "9", "sha256": "0jan9fzfjkclm9m6ckngzp7j203vwbcn3m7njyl498zsqr81ar8g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgdGl0bGVzIG9mIGFsbCB0aHVtYm5haWxzIGluIHRoZSBHbm9tZSAzIG92ZXJ2aWV3LiIsCiAgIm5hbWUiOiAiQWx3YXlzIFNob3cgVGl0bGVzIEluIE92ZXJ2aWV3IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL25scHN1Z2UvQWx3YXlzLVNob3ctVGl0bGVzLUluLU92ZXJ2aWV3IiwKICAidXVpZCI6ICJBbHdheXMtU2hvdy1UaXRsZXMtSW4tT3ZlcnZpZXdAZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDkKfQ=="}}}
, {"uuid": "overview-navigation@nathanielsimard.github.com", "name": "Overview Navigation", "pname": "overview-navigation", "description": "This extension aims to make Gnome Shell easier to navigate using only the keyboard. It is inspired by the vim plugins of Firefox and Chrome.", "link": "https://extensions.gnome.org/extension/1702/overview-navigation/", "shell_version_map": {"38": {"version": "14", "sha256": "10i2j0klcyi22qakmw1f338ldc87w54a0jlg1bwq84ifflmnm8nq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFpbXMgdG8gbWFrZSBHbm9tZSBTaGVsbCBlYXNpZXIgdG8gbmF2aWdhdGUgdXNpbmcgb25seSB0aGUga2V5Ym9hcmQuIEl0IGlzIGluc3BpcmVkIGJ5IHRoZSB2aW0gcGx1Z2lucyBvZiBGaXJlZm94IGFuZCBDaHJvbWUuIiwKICAibmFtZSI6ICJPdmVydmlldyBOYXZpZ2F0aW9uIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm92ZXJ2aWV3LW5hdmlnYXRpb24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9uYXRoYW5pZWxzaW1hcmQvb3ZlcnZpZXctbmF2aWdhdGlvbiIsCiAgInV1aWQiOiAib3ZlcnZpZXctbmF2aWdhdGlvbkBuYXRoYW5pZWxzaW1hcmQuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxNAp9"}, "40": {"version": "15", "sha256": "03ah1cx6kjw7698b9wwhz7ag8pag15g8zkxzfrsrcmving9syynd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFpbXMgdG8gbWFrZSBHbm9tZSBTaGVsbCBlYXNpZXIgdG8gbmF2aWdhdGUgdXNpbmcgb25seSB0aGUga2V5Ym9hcmQuIEl0IGlzIGluc3BpcmVkIGJ5IHRoZSB2aW0gcGx1Z2lucyBvZiBGaXJlZm94IGFuZCBDaHJvbWUuIiwKICAibmFtZSI6ICJPdmVydmlldyBOYXZpZ2F0aW9uIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm92ZXJ2aWV3LW5hdmlnYXRpb24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbmF0aGFuaWVsc2ltYXJkL292ZXJ2aWV3LW5hdmlnYXRpb24iLAogICJ1dWlkIjogIm92ZXJ2aWV3LW5hdmlnYXRpb25AbmF0aGFuaWVsc2ltYXJkLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTUKfQ=="}}}
-, {"uuid": "transparent-top-bar@zhanghai.me", "name": "Transparent Top Bar", "pname": "transparent-top-bar", "description": "Bring back the transparent top bar when free-floating in GNOME Shell 3.32.\n\nThis basically comes from the feature implementation removed in GNOME Shell 3.32, and I modified the code a bit to make it an extension. Enjoy!", "link": "https://extensions.gnome.org/extension/1708/transparent-top-bar/", "shell_version_map": {"38": {"version": "9", "sha256": "0i34ns1rm2iis9mvbyjvckb0l1b0zzzczzan7591y8lqfjplsmzr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaW5nIGJhY2sgdGhlIHRyYW5zcGFyZW50IHRvcCBiYXIgd2hlbiBmcmVlLWZsb2F0aW5nIGluIEdOT01FIFNoZWxsIDMuMzIuXG5cblRoaXMgYmFzaWNhbGx5IGNvbWVzIGZyb20gdGhlIGZlYXR1cmUgaW1wbGVtZW50YXRpb24gcmVtb3ZlZCBpbiBHTk9NRSBTaGVsbCAzLjMyLCBhbmQgSSBtb2RpZmllZCB0aGUgY29kZSBhIGJpdCB0byBtYWtlIGl0IGFuIGV4dGVuc2lvbi4gRW5qb3khIiwKICAibmFtZSI6ICJUcmFuc3BhcmVudCBUb3AgQmFyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3poYW5naGFpL2dub21lLXNoZWxsLWV4dGVuc2lvbi10cmFuc3BhcmVudC10b3AtYmFyIiwKICAidXVpZCI6ICJ0cmFuc3BhcmVudC10b3AtYmFyQHpoYW5naGFpLm1lIiwKICAidmVyc2lvbiI6IDkKfQ=="}, "40": {"version": "11", "sha256": "1c7jwx4l1izn4rzv7jnz1gmgshcjn6xj8xdlfzkn0j7fnnjnw4q4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaW5nIGJhY2sgdGhlIHRyYW5zcGFyZW50IHRvcCBiYXIgd2hlbiBmcmVlLWZsb2F0aW5nIGluIEdOT01FIFNoZWxsIDMuMzIuXG5cblRoaXMgYmFzaWNhbGx5IGNvbWVzIGZyb20gdGhlIGZlYXR1cmUgaW1wbGVtZW50YXRpb24gcmVtb3ZlZCBpbiBHTk9NRSBTaGVsbCAzLjMyLCBhbmQgSSBtb2RpZmllZCB0aGUgY29kZSBhIGJpdCB0byBtYWtlIGl0IGFuIGV4dGVuc2lvbi4gRW5qb3khIiwKICAibmFtZSI6ICJUcmFuc3BhcmVudCBUb3AgQmFyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3poYW5naGFpL2dub21lLXNoZWxsLWV4dGVuc2lvbi10cmFuc3BhcmVudC10b3AtYmFyIiwKICAidXVpZCI6ICJ0cmFuc3BhcmVudC10b3AtYmFyQHpoYW5naGFpLm1lIiwKICAidmVyc2lvbiI6IDExCn0="}}}
+, {"uuid": "transparent-top-bar@zhanghai.me", "name": "Transparent Top Bar", "pname": "transparent-top-bar", "description": "Bring back the transparent top bar when free-floating in GNOME Shell 3.32.\n\nThis basically comes from the feature implementation removed in GNOME Shell 3.32, and I modified the code a bit to make it an extension. Enjoy!", "link": "https://extensions.gnome.org/extension/1708/transparent-top-bar/", "shell_version_map": {"38": {"version": "9", "sha256": "0i34ns1rm2iis9mvbyjvckb0l1b0zzzczzan7591y8lqfjplsmzr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaW5nIGJhY2sgdGhlIHRyYW5zcGFyZW50IHRvcCBiYXIgd2hlbiBmcmVlLWZsb2F0aW5nIGluIEdOT01FIFNoZWxsIDMuMzIuXG5cblRoaXMgYmFzaWNhbGx5IGNvbWVzIGZyb20gdGhlIGZlYXR1cmUgaW1wbGVtZW50YXRpb24gcmVtb3ZlZCBpbiBHTk9NRSBTaGVsbCAzLjMyLCBhbmQgSSBtb2RpZmllZCB0aGUgY29kZSBhIGJpdCB0byBtYWtlIGl0IGFuIGV4dGVuc2lvbi4gRW5qb3khIiwKICAibmFtZSI6ICJUcmFuc3BhcmVudCBUb3AgQmFyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3poYW5naGFpL2dub21lLXNoZWxsLWV4dGVuc2lvbi10cmFuc3BhcmVudC10b3AtYmFyIiwKICAidXVpZCI6ICJ0cmFuc3BhcmVudC10b3AtYmFyQHpoYW5naGFpLm1lIiwKICAidmVyc2lvbiI6IDkKfQ=="}, "40": {"version": "13", "sha256": "1ppsii29lv4jaqdrjrav3j19ynv7kwl7mf7pn27lsgiga25n4wyh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaW5nIGJhY2sgdGhlIHRyYW5zcGFyZW50IHRvcCBiYXIgd2hlbiBmcmVlLWZsb2F0aW5nIGluIEdOT01FIFNoZWxsIDMuMzIuXG5cblRoaXMgYmFzaWNhbGx5IGNvbWVzIGZyb20gdGhlIGZlYXR1cmUgaW1wbGVtZW50YXRpb24gcmVtb3ZlZCBpbiBHTk9NRSBTaGVsbCAzLjMyLCBhbmQgSSBtb2RpZmllZCB0aGUgY29kZSBhIGJpdCB0byBtYWtlIGl0IGFuIGV4dGVuc2lvbi4gRW5qb3khIiwKICAibmFtZSI6ICJUcmFuc3BhcmVudCBUb3AgQmFyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS96aGFuZ2hhaS9nbm9tZS1zaGVsbC1leHRlbnNpb24tdHJhbnNwYXJlbnQtdG9wLWJhciIsCiAgInV1aWQiOiAidHJhbnNwYXJlbnQtdG9wLWJhckB6aGFuZ2hhaS5tZSIsCiAgInZlcnNpb24iOiAxMwp9"}}}
, {"uuid": "ssh-search-provider@extensions.gnome-shell.fifi.org", "name": "SSH Search Provider Reborn", "pname": "ssh-search-provider-reborn", "description": "Provide SSH search results in overview.\n\nThis is a fork of the original \"SSH Search Provider\", updated to work with newer Gnome-Shells.", "link": "https://extensions.gnome.org/extension/1714/ssh-search-provider-reborn/", "shell_version_map": {"38": {"version": "8", "sha256": "1i55s7mdrsgfb5lmxyvpfnbn4d822miqwb1n8s8hq7nsh4v9xrha", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlByb3ZpZGUgU1NIIHNlYXJjaCByZXN1bHRzIGluIG92ZXJ2aWV3LlxuXG5UaGlzIGlzIGEgZm9yayBvZiB0aGUgb3JpZ2luYWwgXCJTU0ggU2VhcmNoIFByb3ZpZGVyXCIsIHVwZGF0ZWQgdG8gd29yayB3aXRoIG5ld2VyIEdub21lLVNoZWxscy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzc2gtc2VhcmNoLXByb3ZpZGVyIiwKICAibmFtZSI6ICJTU0ggU2VhcmNoIFByb3ZpZGVyIFJlYm9ybiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zc2gtc2VhcmNoLXByb3ZpZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjMzLjkwIiwKICAgICIzLjM2IiwKICAgICIzLjM1LjkyIiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRi1pLWYvc3NoLXNlYXJjaC1wcm92aWRlciIsCiAgInV1aWQiOiAic3NoLXNlYXJjaC1wcm92aWRlckBleHRlbnNpb25zLmdub21lLXNoZWxsLmZpZmkub3JnIiwKICAidmNzX3JldmlzaW9uIjogInY4LTAtZzM0YjYyYTEiLAogICJ2ZXJzaW9uIjogOAp9"}, "40": {"version": "8", "sha256": "1i55s7mdrsgfb5lmxyvpfnbn4d822miqwb1n8s8hq7nsh4v9xrha", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlByb3ZpZGUgU1NIIHNlYXJjaCByZXN1bHRzIGluIG92ZXJ2aWV3LlxuXG5UaGlzIGlzIGEgZm9yayBvZiB0aGUgb3JpZ2luYWwgXCJTU0ggU2VhcmNoIFByb3ZpZGVyXCIsIHVwZGF0ZWQgdG8gd29yayB3aXRoIG5ld2VyIEdub21lLVNoZWxscy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzc2gtc2VhcmNoLXByb3ZpZGVyIiwKICAibmFtZSI6ICJTU0ggU2VhcmNoIFByb3ZpZGVyIFJlYm9ybiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zc2gtc2VhcmNoLXByb3ZpZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjMzLjkwIiwKICAgICIzLjM2IiwKICAgICIzLjM1LjkyIiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRi1pLWYvc3NoLXNlYXJjaC1wcm92aWRlciIsCiAgInV1aWQiOiAic3NoLXNlYXJjaC1wcm92aWRlckBleHRlbnNpb25zLmdub21lLXNoZWxsLmZpZmkub3JnIiwKICAidmNzX3JldmlzaW9uIjogInY4LTAtZzM0YjYyYTEiLAogICJ2ZXJzaW9uIjogOAp9"}}}
, {"uuid": "weeks-start-on-monday@extensions.gnome-shell.fifi.org", "name": "Weeks Start on Monday Again...", "pname": "weeks-start-on-monday-again", "description": "... or maybe not, and that's why the start day is configurable in the preferences.\n\nThis is an updated version of the \"Weeks Start on Monday\" extension for newer Gnome Shells.", "link": "https://extensions.gnome.org/extension/1720/weeks-start-on-monday-again/", "shell_version_map": {"38": {"version": "8", "sha256": "0d84v6rn0k1rpkin0fnj5halfhk0z7mh36s8n3g7y653r0fn76vv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIi4uLiBvciBtYXliZSBub3QsIGFuZCB0aGF0J3Mgd2h5IHRoZSBzdGFydCBkYXkgaXMgY29uZmlndXJhYmxlIGluIHRoZSBwcmVmZXJlbmNlcy5cblxuVGhpcyBpcyBhbiB1cGRhdGVkIHZlcnNpb24gb2YgdGhlIFwiV2Vla3MgU3RhcnQgb24gTW9uZGF5XCIgZXh0ZW5zaW9uIGZvciBuZXdlciBHbm9tZSBTaGVsbHMuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAid2Vla3Mtc3RhcnQtb24tbW9uZGF5IiwKICAibmFtZSI6ICJXZWVrcyBTdGFydCBvbiBNb25kYXkgQWdhaW4uLi4iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud2Vla3Mtc3RhcnQtb24tbW9uZGF5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjMzLjkwIiwKICAgICIzLjM2IiwKICAgICIzLjM1LjkyIiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRi1pLWYvd2Vla3Mtc3RhcnQtb24tbW9uZGF5IiwKICAidXVpZCI6ICJ3ZWVrcy1zdGFydC1vbi1tb25kYXlAZXh0ZW5zaW9ucy5nbm9tZS1zaGVsbC5maWZpLm9yZyIsCiAgInZjc19yZXZpc2lvbiI6ICJ2OC0wLWc4M2RlNGE0IiwKICAidmVyc2lvbiI6IDgKfQ=="}, "40": {"version": "8", "sha256": "0d84v6rn0k1rpkin0fnj5halfhk0z7mh36s8n3g7y653r0fn76vv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIi4uLiBvciBtYXliZSBub3QsIGFuZCB0aGF0J3Mgd2h5IHRoZSBzdGFydCBkYXkgaXMgY29uZmlndXJhYmxlIGluIHRoZSBwcmVmZXJlbmNlcy5cblxuVGhpcyBpcyBhbiB1cGRhdGVkIHZlcnNpb24gb2YgdGhlIFwiV2Vla3MgU3RhcnQgb24gTW9uZGF5XCIgZXh0ZW5zaW9uIGZvciBuZXdlciBHbm9tZSBTaGVsbHMuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAid2Vla3Mtc3RhcnQtb24tbW9uZGF5IiwKICAibmFtZSI6ICJXZWVrcyBTdGFydCBvbiBNb25kYXkgQWdhaW4uLi4iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud2Vla3Mtc3RhcnQtb24tbW9uZGF5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjMzLjkwIiwKICAgICIzLjM2IiwKICAgICIzLjM1LjkyIiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRi1pLWYvd2Vla3Mtc3RhcnQtb24tbW9uZGF5IiwKICAidXVpZCI6ICJ3ZWVrcy1zdGFydC1vbi1tb25kYXlAZXh0ZW5zaW9ucy5nbm9tZS1zaGVsbC5maWZpLm9yZyIsCiAgInZjc19yZXZpc2lvbiI6ICJ2OC0wLWc4M2RlNGE0IiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
, {"uuid": "wintile@nowsci.com", "name": "WinTile: Windows 10 window tiling for GNOME", "pname": "wintile-windows-10-window-tiling-for-gnome", "description": "[NOTE] When upgrading to V7, you may get an ERROR. Log out and back in and V7 will begin to work.\n\nWinTile is a hotkey driven window tiling system for GNOME that imitates the standard Win-Arrow keys of Windows 10, allowing you to maximize, maximize to sides, or 1/4 sized to corner across a single or multiple monitors using just Super+Arrow.\n\nAs of v3, WinTile also supports:\n- 2, 3, or 4 columns for standard or ultrawide monitors\n- Top/bottom half support\n- Mouse preview and snapping for placing windows\n- Toggling of 'maximize' mode, which adds/removes GNOME animations\n\nAs of v6, supports installs in GNOME 3.38+\n\nAs of v7, supports installs in GNOME 40+", "link": "https://extensions.gnome.org/extension/1723/wintile-windows-10-window-tiling-for-gnome/", "shell_version_map": {"38": {"version": "7", "sha256": "1nx0psgaj33ipfsnxinvz2vjmdhhvms0bqdflhmkbh1003m6103x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIltOT1RFXSBXaGVuIHVwZ3JhZGluZyB0byBWNywgeW91IG1heSBnZXQgYW4gRVJST1IuIExvZyBvdXQgYW5kIGJhY2sgaW4gYW5kIFY3IHdpbGwgYmVnaW4gdG8gd29yay5cblxuV2luVGlsZSBpcyBhIGhvdGtleSBkcml2ZW4gd2luZG93IHRpbGluZyBzeXN0ZW0gZm9yIEdOT01FIHRoYXQgaW1pdGF0ZXMgdGhlIHN0YW5kYXJkIFdpbi1BcnJvdyBrZXlzIG9mIFdpbmRvd3MgMTAsIGFsbG93aW5nIHlvdSB0byBtYXhpbWl6ZSwgbWF4aW1pemUgdG8gc2lkZXMsIG9yIDEvNCBzaXplZCB0byBjb3JuZXIgYWNyb3NzIGEgc2luZ2xlIG9yIG11bHRpcGxlIG1vbml0b3JzIHVzaW5nIGp1c3QgU3VwZXIrQXJyb3cuXG5cbkFzIG9mIHYzLCBXaW5UaWxlIGFsc28gc3VwcG9ydHM6XG4tIDIsIDMsIG9yIDQgY29sdW1ucyBmb3Igc3RhbmRhcmQgb3IgdWx0cmF3aWRlIG1vbml0b3JzXG4tIFRvcC9ib3R0b20gaGFsZiBzdXBwb3J0XG4tIE1vdXNlIHByZXZpZXcgYW5kIHNuYXBwaW5nIGZvciBwbGFjaW5nIHdpbmRvd3Ncbi0gVG9nZ2xpbmcgb2YgJ21heGltaXplJyBtb2RlLCB3aGljaCBhZGRzL3JlbW92ZXMgR05PTUUgYW5pbWF0aW9uc1xuXG5BcyBvZiB2Niwgc3VwcG9ydHMgaW5zdGFsbHMgaW4gR05PTUUgMy4zOCtcblxuQXMgb2YgdjcsIHN1cHBvcnRzIGluc3RhbGxzIGluIEdOT01FIDQwKyIsCiAgIm5hbWUiOiAiV2luVGlsZTogV2luZG93cyAxMCB3aW5kb3cgdGlsaW5nIGZvciBHTk9NRSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Ztc3RyYXQvd2ludGlsZSIsCiAgInV1aWQiOiAid2ludGlsZUBub3dzY2kuY29tIiwKICAidmVyc2lvbiI6IDcKfQ=="}, "40": {"version": "7", "sha256": "1nx0psgaj33ipfsnxinvz2vjmdhhvms0bqdflhmkbh1003m6103x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIltOT1RFXSBXaGVuIHVwZ3JhZGluZyB0byBWNywgeW91IG1heSBnZXQgYW4gRVJST1IuIExvZyBvdXQgYW5kIGJhY2sgaW4gYW5kIFY3IHdpbGwgYmVnaW4gdG8gd29yay5cblxuV2luVGlsZSBpcyBhIGhvdGtleSBkcml2ZW4gd2luZG93IHRpbGluZyBzeXN0ZW0gZm9yIEdOT01FIHRoYXQgaW1pdGF0ZXMgdGhlIHN0YW5kYXJkIFdpbi1BcnJvdyBrZXlzIG9mIFdpbmRvd3MgMTAsIGFsbG93aW5nIHlvdSB0byBtYXhpbWl6ZSwgbWF4aW1pemUgdG8gc2lkZXMsIG9yIDEvNCBzaXplZCB0byBjb3JuZXIgYWNyb3NzIGEgc2luZ2xlIG9yIG11bHRpcGxlIG1vbml0b3JzIHVzaW5nIGp1c3QgU3VwZXIrQXJyb3cuXG5cbkFzIG9mIHYzLCBXaW5UaWxlIGFsc28gc3VwcG9ydHM6XG4tIDIsIDMsIG9yIDQgY29sdW1ucyBmb3Igc3RhbmRhcmQgb3IgdWx0cmF3aWRlIG1vbml0b3JzXG4tIFRvcC9ib3R0b20gaGFsZiBzdXBwb3J0XG4tIE1vdXNlIHByZXZpZXcgYW5kIHNuYXBwaW5nIGZvciBwbGFjaW5nIHdpbmRvd3Ncbi0gVG9nZ2xpbmcgb2YgJ21heGltaXplJyBtb2RlLCB3aGljaCBhZGRzL3JlbW92ZXMgR05PTUUgYW5pbWF0aW9uc1xuXG5BcyBvZiB2Niwgc3VwcG9ydHMgaW5zdGFsbHMgaW4gR05PTUUgMy4zOCtcblxuQXMgb2YgdjcsIHN1cHBvcnRzIGluc3RhbGxzIGluIEdOT01FIDQwKyIsCiAgIm5hbWUiOiAiV2luVGlsZTogV2luZG93cyAxMCB3aW5kb3cgdGlsaW5nIGZvciBHTk9NRSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Ztc3RyYXQvd2ludGlsZSIsCiAgInV1aWQiOiAid2ludGlsZUBub3dzY2kuY29tIiwKICAidmVyc2lvbiI6IDcKfQ=="}}}
-, {"uuid": "gtktitlebar@velitasali.github.io", "name": "GTK Title Bar", "pname": "gtk-title-bar", "description": "Remove title bars for non-GTK apps with minimal interference with the default workflow", "link": "https://extensions.gnome.org/extension/1732/gtk-title-bar/", "shell_version_map": {"40": {"version": "8", "sha256": "1lynrwjc6ps8s84y2zjf7rfc0shq84c7dz17p83h4yzd3hl9cqri", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSB0aXRsZSBiYXJzIGZvciBub24tR1RLIGFwcHMgd2l0aCBtaW5pbWFsIGludGVyZmVyZW5jZSB3aXRoIHRoZSBkZWZhdWx0IHdvcmtmbG93IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ3RrdGl0bGViYXIiLAogICJuYW1lIjogIkdUSyBUaXRsZSBCYXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZ3RrdGl0bGViYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdmVsaXRhc2FsaS9ndGt0aXRsZWJhciIsCiAgInV1aWQiOiAiZ3RrdGl0bGViYXJAdmVsaXRhc2FsaS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogOAp9"}}}
+, {"uuid": "focusli@armonge.info", "name": "Focusli", "pname": "focusli", "description": "Improve focus and increase your productive by listening to different sounds", "link": "https://extensions.gnome.org/extension/1726/focusli/", "shell_version_map": {"40": {"version": "7", "sha256": "0061krhxrp5wrqi4dflmd8anw3szqq335y2z2ka48pf662vkp7km", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkltcHJvdmUgZm9jdXMgYW5kIGluY3JlYXNlIHlvdXIgcHJvZHVjdGl2ZSBieSBsaXN0ZW5pbmcgdG8gZGlmZmVyZW50IHNvdW5kcyIsCiAgIm5hbWUiOiAiRm9jdXNsaSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hcm1vbmdlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1mb2N1c2xpIiwKICAidXVpZCI6ICJmb2N1c2xpQGFybW9uZ2UuaW5mbyIsCiAgInZlcnNpb24iOiA3Cn0="}}}
+, {"uuid": "gtktitlebar@velitasali.github.io", "name": "GTK Title Bar", "pname": "gtk-title-bar", "description": "Remove title bars for non-GTK apps with minimal interference with the default workflow", "link": "https://extensions.gnome.org/extension/1732/gtk-title-bar/", "shell_version_map": {"40": {"version": "9", "sha256": "0yv290i2jyxdzp96lmv06n52pb09lgpaaqfcrdlg89zj2wqmkhjv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSB0aXRsZSBiYXJzIGZvciBub24tR1RLIGFwcHMgd2l0aCBtaW5pbWFsIGludGVyZmVyZW5jZSB3aXRoIHRoZSBkZWZhdWx0IHdvcmtmbG93IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ3RrdGl0bGViYXIiLAogICJuYW1lIjogIkdUSyBUaXRsZSBCYXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZ3RrdGl0bGViYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3ZlbGl0YXNhbGkvZ3RrdGl0bGViYXIiLAogICJ1dWlkIjogImd0a3RpdGxlYmFyQHZlbGl0YXNhbGkuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDkKfQ=="}}}
, {"uuid": "quicklists@maestroschan.fr", "name": "Quicklists", "pname": "quicklists", "description": "Add dynamic quicklists to app icons, such as file manager bookmarks and recent files.", "link": "https://extensions.gnome.org/extension/1747/quicklists/", "shell_version_map": {"38": {"version": "7", "sha256": "1cck1k1kf116z85m5fby0hhaa7fplhryv0nv1pdc3h8200i39580", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBkeW5hbWljIHF1aWNrbGlzdHMgdG8gYXBwIGljb25zLCBzdWNoIGFzIGZpbGUgbWFuYWdlciBib29rbWFya3MgYW5kIHJlY2VudCBmaWxlcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJxdWlja2xpc3RzIiwKICAibmFtZSI6ICJRdWlja2xpc3RzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnF1aWNrbGlzdHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYW9zY2hhbnovcXVpY2tsaXN0cy1nbm9tZS1zaGVsbC1leHRlbnNpb24iLAogICJ1dWlkIjogInF1aWNrbGlzdHNAbWFlc3Ryb3NjaGFuLmZyIiwKICAidmVyc2lvbiI6IDcKfQ=="}}}
, {"uuid": "lan-ip-address@mrhuber.com", "name": "LAN IP Address", "pname": "lan-ip-address", "description": "Simple extension to show your LAN IP address on the GNOME panel. This is the address that other computers on your LAN would use to connect to your computer. \n\nDoes not show loopback addresses (127.0.0.0/8) or Docker bridge networks.\n\nDoes not show your Internet (public) IP address unless you are directly connected to the Internet with no intermediate NAT router (uncommon, except for devices with built-in LTE data connections).\n\nThis extension also respects your privacy and bandwidth, as it makes absolutely zero requests to the Internet and sends zero packets to the Internet. The plugin gets its information from your local routing table (output of `ip route`) and only displays the result in the GNOME panel, and this information never leaves your computer.", "link": "https://extensions.gnome.org/extension/1762/lan-ip-address/", "shell_version_map": {"38": {"version": "7", "sha256": "0yfh5xzh9msdhpx1cxxryzjb07p9rvgfvcnyf41mq5p73b2j4m22", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBleHRlbnNpb24gdG8gc2hvdyB5b3VyIExBTiBJUCBhZGRyZXNzIG9uIHRoZSBHTk9NRSBwYW5lbC4gIFRoaXMgaXMgdGhlIGFkZHJlc3MgdGhhdCBvdGhlciBjb21wdXRlcnMgb24geW91ciBMQU4gd291bGQgdXNlIHRvIGNvbm5lY3QgdG8geW91ciBjb21wdXRlci4gXG5cbkRvZXMgbm90IHNob3cgbG9vcGJhY2sgYWRkcmVzc2VzICgxMjcuMC4wLjAvOCkgb3IgRG9ja2VyIGJyaWRnZSBuZXR3b3Jrcy5cblxuRG9lcyBub3Qgc2hvdyB5b3VyIEludGVybmV0IChwdWJsaWMpIElQIGFkZHJlc3MgdW5sZXNzIHlvdSBhcmUgZGlyZWN0bHkgY29ubmVjdGVkIHRvIHRoZSBJbnRlcm5ldCB3aXRoIG5vIGludGVybWVkaWF0ZSBOQVQgcm91dGVyICh1bmNvbW1vbiwgZXhjZXB0IGZvciBkZXZpY2VzIHdpdGggYnVpbHQtaW4gTFRFIGRhdGEgY29ubmVjdGlvbnMpLlxuXG5UaGlzIGV4dGVuc2lvbiBhbHNvIHJlc3BlY3RzIHlvdXIgcHJpdmFjeSBhbmQgYmFuZHdpZHRoLCBhcyBpdCBtYWtlcyBhYnNvbHV0ZWx5IHplcm8gcmVxdWVzdHMgdG8gdGhlIEludGVybmV0IGFuZCBzZW5kcyB6ZXJvIHBhY2tldHMgdG8gdGhlIEludGVybmV0LiAgVGhlIHBsdWdpbiBnZXRzIGl0cyBpbmZvcm1hdGlvbiBmcm9tIHlvdXIgbG9jYWwgcm91dGluZyB0YWJsZSAob3V0cHV0IG9mIGBpcCByb3V0ZWApIGFuZCBvbmx5IGRpc3BsYXlzIHRoZSByZXN1bHQgaW4gdGhlIEdOT01FIHBhbmVsLCBhbmQgdGhpcyBpbmZvcm1hdGlvbiBuZXZlciBsZWF2ZXMgeW91ciBjb21wdXRlci4iLAogICJuYW1lIjogIkxBTiBJUCBBZGRyZXNzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0pvc2hvbGl0aC9nbm9tZS1leHRlbnNpb24tbGFuLWlwLWFkZHJlc3MiLAogICJ1dWlkIjogImxhbi1pcC1hZGRyZXNzQG1yaHViZXIuY29tIiwKICAidmVyc2lvbiI6IDcKfQ=="}, "40": {"version": "7", "sha256": "0yfh5xzh9msdhpx1cxxryzjb07p9rvgfvcnyf41mq5p73b2j4m22", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBleHRlbnNpb24gdG8gc2hvdyB5b3VyIExBTiBJUCBhZGRyZXNzIG9uIHRoZSBHTk9NRSBwYW5lbC4gIFRoaXMgaXMgdGhlIGFkZHJlc3MgdGhhdCBvdGhlciBjb21wdXRlcnMgb24geW91ciBMQU4gd291bGQgdXNlIHRvIGNvbm5lY3QgdG8geW91ciBjb21wdXRlci4gXG5cbkRvZXMgbm90IHNob3cgbG9vcGJhY2sgYWRkcmVzc2VzICgxMjcuMC4wLjAvOCkgb3IgRG9ja2VyIGJyaWRnZSBuZXR3b3Jrcy5cblxuRG9lcyBub3Qgc2hvdyB5b3VyIEludGVybmV0IChwdWJsaWMpIElQIGFkZHJlc3MgdW5sZXNzIHlvdSBhcmUgZGlyZWN0bHkgY29ubmVjdGVkIHRvIHRoZSBJbnRlcm5ldCB3aXRoIG5vIGludGVybWVkaWF0ZSBOQVQgcm91dGVyICh1bmNvbW1vbiwgZXhjZXB0IGZvciBkZXZpY2VzIHdpdGggYnVpbHQtaW4gTFRFIGRhdGEgY29ubmVjdGlvbnMpLlxuXG5UaGlzIGV4dGVuc2lvbiBhbHNvIHJlc3BlY3RzIHlvdXIgcHJpdmFjeSBhbmQgYmFuZHdpZHRoLCBhcyBpdCBtYWtlcyBhYnNvbHV0ZWx5IHplcm8gcmVxdWVzdHMgdG8gdGhlIEludGVybmV0IGFuZCBzZW5kcyB6ZXJvIHBhY2tldHMgdG8gdGhlIEludGVybmV0LiAgVGhlIHBsdWdpbiBnZXRzIGl0cyBpbmZvcm1hdGlvbiBmcm9tIHlvdXIgbG9jYWwgcm91dGluZyB0YWJsZSAob3V0cHV0IG9mIGBpcCByb3V0ZWApIGFuZCBvbmx5IGRpc3BsYXlzIHRoZSByZXN1bHQgaW4gdGhlIEdOT01FIHBhbmVsLCBhbmQgdGhpcyBpbmZvcm1hdGlvbiBuZXZlciBsZWF2ZXMgeW91ciBjb21wdXRlci4iLAogICJuYW1lIjogIkxBTiBJUCBBZGRyZXNzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0pvc2hvbGl0aC9nbm9tZS1leHRlbnNpb24tbGFuLWlwLWFkZHJlc3MiLAogICJ1dWlkIjogImxhbi1pcC1hZGRyZXNzQG1yaHViZXIuY29tIiwKICAidmVyc2lvbiI6IDcKfQ=="}}}
, {"uuid": "colortint@matt.serverus.co.uk", "name": "ColorTint", "pname": "colortint", "description": "Tint your desktop with a color of your choice to help with dyslexia, visual stress, scopic sensitivity, and related conditions.", "link": "https://extensions.gnome.org/extension/1789/colortint/", "shell_version_map": {"40": {"version": "7", "sha256": "1ggf4xlnyfxhl3fsl8ljdj0izipx2v8kxpnhhqz7w397a0r9q121", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbnQgeW91ciBkZXNrdG9wIHdpdGggYSBjb2xvciBvZiB5b3VyIGNob2ljZSB0byBoZWxwIHdpdGggZHlzbGV4aWEsIHZpc3VhbCBzdHJlc3MsIHNjb3BpYyBzZW5zaXRpdml0eSwgYW5kIHJlbGF0ZWQgY29uZGl0aW9ucy4iLAogICJuYW1lIjogIkNvbG9yVGludCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9NYXR0QnlOYW1lL2NvbG9yLXRpbnQiLAogICJ1dWlkIjogImNvbG9ydGludEBtYXR0LnNlcnZlcnVzLmNvLnVrIiwKICAidmVyc2lvbiI6IDcKfQ=="}}}
, {"uuid": "sermon@rovellipaolo-gmail.com", "name": "SerMon: Service Monitor", "pname": "sermon", "description": "SerMon: an extension for monitoring and managing systemd services, cron jobs, docker and podman containers", "link": "https://extensions.gnome.org/extension/1804/sermon/", "shell_version_map": {"38": {"version": "15", "sha256": "0g2m7gfdgbd1fcnxk6jldw50n4962wgcmwvmgqxdd7xna5a73mrh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNlck1vbjogYW4gZXh0ZW5zaW9uIGZvciBtb25pdG9yaW5nIGFuZCBtYW5hZ2luZyBzeXN0ZW1kIHNlcnZpY2VzLCBjcm9uIGpvYnMsIGRvY2tlciBhbmQgcG9kbWFuIGNvbnRhaW5lcnMiLAogICJuYW1lIjogIlNlck1vbjogU2VydmljZSBNb25pdG9yIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNlcm1vbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9yb3ZlbGxpcGFvbG8vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXNlcm1vbiIsCiAgInV1aWQiOiAic2VybW9uQHJvdmVsbGlwYW9sby1nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTUKfQ=="}, "40": {"version": "15", "sha256": "0g2m7gfdgbd1fcnxk6jldw50n4962wgcmwvmgqxdd7xna5a73mrh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNlck1vbjogYW4gZXh0ZW5zaW9uIGZvciBtb25pdG9yaW5nIGFuZCBtYW5hZ2luZyBzeXN0ZW1kIHNlcnZpY2VzLCBjcm9uIGpvYnMsIGRvY2tlciBhbmQgcG9kbWFuIGNvbnRhaW5lcnMiLAogICJuYW1lIjogIlNlck1vbjogU2VydmljZSBNb25pdG9yIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNlcm1vbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9yb3ZlbGxpcGFvbG8vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXNlcm1vbiIsCiAgInV1aWQiOiAic2VybW9uQHJvdmVsbGlwYW9sby1nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTUKfQ=="}}}
-, {"uuid": "dict@sun.wxg@gmail.com", "name": "Screen word translate", "pname": "screen-word-translate", "description": "Translate word on the screen.\nDefault web address is translate.google.com, you can add the web address for your own language. Also you can contribute your web address to my github repo.\nUse hotkey Ctrl+Alt+j to toggle the function.\nUse hotkey Ctrl+Alt+o to show popup window", "link": "https://extensions.gnome.org/extension/1849/screen-word-translate/", "shell_version_map": {"38": {"version": "32", "sha256": "0wh9d0siggr49bfcx1308xx8rxc58nadnhp3mjj53i6fvja3cx62", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYW5zbGF0ZSB3b3JkIG9uIHRoZSBzY3JlZW4uXG5EZWZhdWx0IHdlYiBhZGRyZXNzIGlzIHRyYW5zbGF0ZS5nb29nbGUuY29tLCB5b3UgY2FuIGFkZCB0aGUgd2ViIGFkZHJlc3MgZm9yIHlvdXIgb3duIGxhbmd1YWdlLiBBbHNvIHlvdSBjYW4gY29udHJpYnV0ZSB5b3VyIHdlYiBhZGRyZXNzIHRvIG15IGdpdGh1YiByZXBvLlxuVXNlIGhvdGtleSBDdHJsK0FsdCtqIHRvIHRvZ2dsZSB0aGUgZnVuY3Rpb24uXG5Vc2UgaG90a2V5IEN0cmwrQWx0K28gdG8gc2hvdyBwb3B1cCB3aW5kb3ciLAogICJuYW1lIjogIlNjcmVlbiB3b3JkIHRyYW5zbGF0ZSIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiAic3VuLnd4Z0BnbWFpbC5jb20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdW53eGcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWRpY3QiLAogICJ1dWlkIjogImRpY3RAc3VuLnd4Z0BnbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzIKfQ=="}, "40": {"version": "36", "sha256": "0xqvzzfixd6fpn1pzda7a6byn4ys1wii10ypa1rssf27kx3ii6l3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYW5zbGF0ZSB3b3JkIG9uIHRoZSBzY3JlZW4uXG5EZWZhdWx0IHdlYiBhZGRyZXNzIGlzIHRyYW5zbGF0ZS5nb29nbGUuY29tLCB5b3UgY2FuIGFkZCB0aGUgd2ViIGFkZHJlc3MgZm9yIHlvdXIgb3duIGxhbmd1YWdlLiBBbHNvIHlvdSBjYW4gY29udHJpYnV0ZSB5b3VyIHdlYiBhZGRyZXNzIHRvIG15IGdpdGh1YiByZXBvLlxuVXNlIGhvdGtleSBDdHJsK0FsdCtqIHRvIHRvZ2dsZSB0aGUgZnVuY3Rpb24uXG5Vc2UgaG90a2V5IEN0cmwrQWx0K28gdG8gc2hvdyBwb3B1cCB3aW5kb3ciLAogICJuYW1lIjogIlNjcmVlbiB3b3JkIHRyYW5zbGF0ZSIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiAic3VuLnd4Z0BnbWFpbC5jb20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc3Vud3hnL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kaWN0IiwKICAidXVpZCI6ICJkaWN0QHN1bi53eGdAZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDM2Cn0="}}}
+, {"uuid": "dict@sun.wxg@gmail.com", "name": "Screen word translate", "pname": "screen-word-translate", "description": "Translate word on the screen.\nDefault web address is translate.google.com, you can add the web address for your own language. Also you can contribute your web address to my github repo.\nUse hotkey Ctrl+Alt+j to toggle the function.\nUse hotkey Ctrl+Alt+o to show popup window", "link": "https://extensions.gnome.org/extension/1849/screen-word-translate/", "shell_version_map": {"38": {"version": "32", "sha256": "0wh9d0siggr49bfcx1308xx8rxc58nadnhp3mjj53i6fvja3cx62", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYW5zbGF0ZSB3b3JkIG9uIHRoZSBzY3JlZW4uXG5EZWZhdWx0IHdlYiBhZGRyZXNzIGlzIHRyYW5zbGF0ZS5nb29nbGUuY29tLCB5b3UgY2FuIGFkZCB0aGUgd2ViIGFkZHJlc3MgZm9yIHlvdXIgb3duIGxhbmd1YWdlLiBBbHNvIHlvdSBjYW4gY29udHJpYnV0ZSB5b3VyIHdlYiBhZGRyZXNzIHRvIG15IGdpdGh1YiByZXBvLlxuVXNlIGhvdGtleSBDdHJsK0FsdCtqIHRvIHRvZ2dsZSB0aGUgZnVuY3Rpb24uXG5Vc2UgaG90a2V5IEN0cmwrQWx0K28gdG8gc2hvdyBwb3B1cCB3aW5kb3ciLAogICJuYW1lIjogIlNjcmVlbiB3b3JkIHRyYW5zbGF0ZSIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiAic3VuLnd4Z0BnbWFpbC5jb20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdW53eGcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWRpY3QiLAogICJ1dWlkIjogImRpY3RAc3VuLnd4Z0BnbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzIKfQ=="}, "40": {"version": "38", "sha256": "19rpi2hqznwzngzw9zsar00mhmqav8v7wbij4r7cai4jgvmk8459", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYW5zbGF0ZSB3b3JkIG9uIHRoZSBzY3JlZW4uXG5EZWZhdWx0IHdlYiBhZGRyZXNzIGlzIHRyYW5zbGF0ZS5nb29nbGUuY29tLCB5b3UgY2FuIGFkZCB0aGUgd2ViIGFkZHJlc3MgZm9yIHlvdXIgb3duIGxhbmd1YWdlLiBBbHNvIHlvdSBjYW4gY29udHJpYnV0ZSB5b3VyIHdlYiBhZGRyZXNzIHRvIG15IGdpdGh1YiByZXBvLlxuVXNlIGhvdGtleSBDdHJsK0FsdCtqIHRvIHRvZ2dsZSB0aGUgZnVuY3Rpb24uXG5Vc2UgaG90a2V5IEN0cmwrQWx0K28gdG8gc2hvdyBwb3B1cCB3aW5kb3ciLAogICJuYW1lIjogIlNjcmVlbiB3b3JkIHRyYW5zbGF0ZSIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiAic3VuLnd4Z0BnbWFpbC5jb20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N1bnd4Zy9nbm9tZS1zaGVsbC1leHRlbnNpb24tZGljdCIsCiAgInV1aWQiOiAiZGljdEBzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAzOAp9"}}}
+, {"uuid": "gamemode@christian.kellner.me", "name": "GameMode", "pname": "gamemode", "description": "Status indicator for GameMode", "link": "https://extensions.gnome.org/extension/1852/gamemode/", "shell_version_map": {"38": {"version": "5", "sha256": "1nj4k7h872zmx4q44z9qzg8rxx1sqqbj8rd4am5xkn1zc8y65kg6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN0YXR1cyBpbmRpY2F0b3IgZm9yIEdhbWVNb2RlIiwKICAiZXh0ZW5zaW9uLWlkIjogImdhbWVtb2RlIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ2FtZW1vZGUtZXh0ZW5zaW9uIiwKICAibmFtZSI6ICJHYW1lTW9kZSIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJja2VsbG5lckByZWRoYXQuY29tIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmdhbWVtb2RlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dpY21vL2dhbWVtb2RlLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiZ2FtZW1vZGVAY2hyaXN0aWFuLmtlbGxuZXIubWUiLAogICJ2ZXJzaW9uIjogNQp9"}, "40": {"version": "5", "sha256": "1nj4k7h872zmx4q44z9qzg8rxx1sqqbj8rd4am5xkn1zc8y65kg6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN0YXR1cyBpbmRpY2F0b3IgZm9yIEdhbWVNb2RlIiwKICAiZXh0ZW5zaW9uLWlkIjogImdhbWVtb2RlIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ2FtZW1vZGUtZXh0ZW5zaW9uIiwKICAibmFtZSI6ICJHYW1lTW9kZSIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJja2VsbG5lckByZWRoYXQuY29tIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmdhbWVtb2RlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dpY21vL2dhbWVtb2RlLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiZ2FtZW1vZGVAY2hyaXN0aWFuLmtlbGxuZXIubWUiLAogICJ2ZXJzaW9uIjogNQp9"}}}
, {"uuid": "unredirect@vaina.lt", "name": "Disable unredirect fullscreen windows", "pname": "disable-unredirect-fullscreen-windows", "description": "Disables unredirect fullscreen windows in gnome-shell to workaround https://bugzilla.redhat.com/show_bug.cgi?id=767397 and https://bugzilla.gnome.org/show_bug.cgi?id=738719", "link": "https://extensions.gnome.org/extension/1873/disable-unredirect-fullscreen-windows/", "shell_version_map": {"38": {"version": "3", "sha256": "1xy3rdby56645028khwjp3ir6pkj1sany8sqysm94pb0pha7q3rm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGVzIHVucmVkaXJlY3QgZnVsbHNjcmVlbiB3aW5kb3dzIGluIGdub21lLXNoZWxsIHRvIHdvcmthcm91bmQgaHR0cHM6Ly9idWd6aWxsYS5yZWRoYXQuY29tL3Nob3dfYnVnLmNnaT9pZD03NjczOTcgYW5kIGh0dHBzOi8vYnVnemlsbGEuZ25vbWUub3JnL3Nob3dfYnVnLmNnaT9pZD03Mzg3MTkiLAogICJuYW1lIjogIkRpc2FibGUgdW5yZWRpcmVjdCBmdWxsc2NyZWVuIHdpbmRvd3MiLAogICJvcmlnaW5hbC1hdXRob3JzIjogIkthemltaWVyYXMgVmFpbmEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMiIsCiAgICAiMy40IiwKICAgICIzLjYiLAogICAgIjMuOCIsCiAgICAiMy4xMCIsCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4zMCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9rYXp5c21hc3Rlci9nbm9tZS1zaGVsbC1leHRlbnNpb24tZGlzYWJsZS11bnJlZGlyZWN0IiwKICAidXVpZCI6ICJ1bnJlZGlyZWN0QHZhaW5hLmx0IiwKICAidmVyc2lvbiI6IDMKfQ=="}, "40": {"version": "3", "sha256": "1xy3rdby56645028khwjp3ir6pkj1sany8sqysm94pb0pha7q3rm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGVzIHVucmVkaXJlY3QgZnVsbHNjcmVlbiB3aW5kb3dzIGluIGdub21lLXNoZWxsIHRvIHdvcmthcm91bmQgaHR0cHM6Ly9idWd6aWxsYS5yZWRoYXQuY29tL3Nob3dfYnVnLmNnaT9pZD03NjczOTcgYW5kIGh0dHBzOi8vYnVnemlsbGEuZ25vbWUub3JnL3Nob3dfYnVnLmNnaT9pZD03Mzg3MTkiLAogICJuYW1lIjogIkRpc2FibGUgdW5yZWRpcmVjdCBmdWxsc2NyZWVuIHdpbmRvd3MiLAogICJvcmlnaW5hbC1hdXRob3JzIjogIkthemltaWVyYXMgVmFpbmEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMiIsCiAgICAiMy40IiwKICAgICIzLjYiLAogICAgIjMuOCIsCiAgICAiMy4xMCIsCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4zMCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9rYXp5c21hc3Rlci9nbm9tZS1zaGVsbC1leHRlbnNpb24tZGlzYWJsZS11bnJlZGlyZWN0IiwKICAidXVpZCI6ICJ1bnJlZGlyZWN0QHZhaW5hLmx0IiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
-, {"uuid": "krypto@sereneblue", "name": "krypto", "pname": "krypto", "description": "Display cryptocurrency prices in top bar", "link": "https://extensions.gnome.org/extension/1913/krypto/", "shell_version_map": {"38": {"version": "5", "sha256": "0yn7ykknismw03lwi4m6a37c00cpbdysg9c437qrkvvq5givgwfs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgY3J5cHRvY3VycmVuY3kgcHJpY2VzIGluIHRvcCBiYXIiLAogICJuYW1lIjogImtyeXB0byIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NlcmVuZWJsdWUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWtyeXB0byIsCiAgInV1aWQiOiAia3J5cHRvQHNlcmVuZWJsdWUiLAogICJ2ZXJzaW9uIjogNQp9"}, "40": {"version": "6", "sha256": "03pxbcw9csbs9bv6avh8yaf1lhai6ij6997xw7b87frl272w2dac", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgY3J5cHRvY3VycmVuY3kgcHJpY2VzIGluIHRvcCBiYXIiLAogICJuYW1lIjogImtyeXB0byIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NlcmVuZWJsdWUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWtyeXB0byIsCiAgInV1aWQiOiAia3J5cHRvQHNlcmVuZWJsdWUiLAogICJ2ZXJzaW9uIjogNgp9"}}}
+, {"uuid": "krypto@sereneblue", "name": "krypto", "pname": "krypto", "description": "Cryptocurrency utility", "link": "https://extensions.gnome.org/extension/1913/krypto/", "shell_version_map": {"38": {"version": "5", "sha256": "0pmga4iqpm0i853lgqfhvnkxhji8m79pk9hgf24lvbpv8alkxzz3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNyeXB0b2N1cnJlbmN5IHV0aWxpdHkiLAogICJuYW1lIjogImtyeXB0byIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NlcmVuZWJsdWUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWtyeXB0byIsCiAgInV1aWQiOiAia3J5cHRvQHNlcmVuZWJsdWUiLAogICJ2ZXJzaW9uIjogNQp9"}, "40": {"version": "9", "sha256": "08r7w1mq573hy6vqllydvsrmc91bqmy9ih8li689v225drd95wk4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNyeXB0b2N1cnJlbmN5IHV0aWxpdHkiLAogICJuYW1lIjogImtyeXB0byIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc2VyZW5lYmx1ZS9nbm9tZS1zaGVsbC1leHRlbnNpb24ta3J5cHRvIiwKICAidXVpZCI6ICJrcnlwdG9Ac2VyZW5lYmx1ZSIsCiAgInZlcnNpb24iOiA5Cn0="}}}
, {"uuid": "cmus-status@yagreg7.gmail.com", "name": "cmus status", "pname": "cmus-status", "description": "Shows cmus status", "link": "https://extensions.gnome.org/extension/1934/cmus-status/", "shell_version_map": {"38": {"version": "8", "sha256": "1a6b10kirzbjlllcnffznjlljicah172kpvs0p8rmwhcpn88i8hx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGNtdXMgc3RhdHVzIiwKICAibmFtZSI6ICJjbXVzIHN0YXR1cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5jbXVzLXN0YXR1cy5nc2NoZW1hLnhtbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0dyZWdUaGVNYWRNb25rL2dub21lLWNtdXMtc3RhdHVzIiwKICAidXVpZCI6ICJjbXVzLXN0YXR1c0B5YWdyZWc3LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA4Cn0="}}}
-, {"uuid": "no-title-bar@jonaspoehler.de", "name": "No Title Bar - Forked", "pname": "no-title-bar-forked", "description": "No Title Bar removes the title bar from non-GTK applications and moves the window title and buttons to the top panel.\n\nTitlebars are also hidden for Wayland-native clients that don't use CSD. Some of the options may be incompatible with this. For issues on Wayland please visit github!\n\nThis is a fork of https://extensions.gnome.org/extension/1267/no-title-bar/ with added compatibility for Gnome 3.32 and higher (check version availability for details).\n\nThis extension depends on some Xorg utilities. To install them:\n\n⚫ Debian/Ubuntu: apt install x11-utils\n⚫ Fedora/RHEL: dnf install xorg-x11-utils\n⚫ Arch: pacman -S xorg-xprop", "link": "https://extensions.gnome.org/extension/2015/no-title-bar-forked/", "shell_version_map": {"38": {"version": "5", "sha256": "1c4i183nmhg1wd49a3jh33wb708qb168xg3b35d0idfxhiwcjc8p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5vIFRpdGxlIEJhciByZW1vdmVzIHRoZSB0aXRsZSBiYXIgZnJvbSBub24tR1RLIGFwcGxpY2F0aW9ucyBhbmQgbW92ZXMgdGhlIHdpbmRvdyB0aXRsZSBhbmQgYnV0dG9ucyB0byB0aGUgdG9wIHBhbmVsLlxuXG5UaXRsZWJhcnMgYXJlIGFsc28gaGlkZGVuIGZvciBXYXlsYW5kLW5hdGl2ZSBjbGllbnRzIHRoYXQgZG9uJ3QgdXNlIENTRC4gU29tZSBvZiB0aGUgb3B0aW9ucyBtYXkgYmUgaW5jb21wYXRpYmxlIHdpdGggdGhpcy4gRm9yIGlzc3VlcyBvbiBXYXlsYW5kIHBsZWFzZSB2aXNpdCBnaXRodWIhXG5cblRoaXMgaXMgYSBmb3JrIG9mIGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzEyNjcvbm8tdGl0bGUtYmFyLyB3aXRoIGFkZGVkIGNvbXBhdGliaWxpdHkgZm9yIEdub21lIDMuMzIgYW5kIGhpZ2hlciAoY2hlY2sgdmVyc2lvbiBhdmFpbGFiaWxpdHkgZm9yIGRldGFpbHMpLlxuXG5UaGlzIGV4dGVuc2lvbiBkZXBlbmRzIG9uIHNvbWUgWG9yZyB1dGlsaXRpZXMuIFRvIGluc3RhbGwgdGhlbTpcblxuXHUyNmFiIERlYmlhbi9VYnVudHU6IGFwdCBpbnN0YWxsIHgxMS11dGlsc1xuXHUyNmFiIEZlZG9yYS9SSEVMOiBkbmYgaW5zdGFsbCB4b3JnLXgxMS11dGlsc1xuXHUyNmFiIEFyY2g6IHBhY21hbiAtUyB4b3JnLXhwcm9wIiwKICAibmFtZSI6ICJObyBUaXRsZSBCYXIgLSBGb3JrZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9wb2VobGVyai9uby10aXRsZS1iYXIiLAogICJ1dWlkIjogIm5vLXRpdGxlLWJhckBqb25hc3BvZWhsZXIuZGUiLAogICJ2ZXJzaW9uIjogNQp9"}}}
-, {"uuid": "application_view_when_empty@fawtytoo", "name": "Show Application View When Workspace Empty", "pname": "show-application-view-when-workspace-empty", "description": "Shows the application view when the workspace is or becomes empty, such as switching to an empty workspace, when all windows on a workspace are closed, or after login. Starting applications or switching to a workspace with open windows will hide the overview if it's showing.", "link": "https://extensions.gnome.org/extension/2036/show-application-view-when-workspace-empty/", "shell_version_map": {"38": {"version": "16", "sha256": "11l8p1f62kf73jwq1idhqanjhmml6cwkffy1brqihczvg88pdzdy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIHRoZSBhcHBsaWNhdGlvbiB2aWV3IHdoZW4gdGhlIHdvcmtzcGFjZSBpcyBvciBiZWNvbWVzIGVtcHR5LCBzdWNoIGFzIHN3aXRjaGluZyB0byBhbiBlbXB0eSB3b3Jrc3BhY2UsIHdoZW4gYWxsIHdpbmRvd3Mgb24gYSB3b3Jrc3BhY2UgYXJlIGNsb3NlZCwgb3IgYWZ0ZXIgbG9naW4uIFN0YXJ0aW5nIGFwcGxpY2F0aW9ucyBvciBzd2l0Y2hpbmcgdG8gYSB3b3Jrc3BhY2Ugd2l0aCBvcGVuIHdpbmRvd3Mgd2lsbCBoaWRlIHRoZSBvdmVydmlldyBpZiBpdCdzIHNob3dpbmcuIiwKICAibmFtZSI6ICJTaG93IEFwcGxpY2F0aW9uIFZpZXcgV2hlbiBXb3Jrc3BhY2UgRW1wdHkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImFwcGxpY2F0aW9uX3ZpZXdfd2hlbl9lbXB0eUBmYXd0eXRvbyIsCiAgInZlcnNpb24iOiAxNgp9"}, "40": {"version": "16", "sha256": "11l8p1f62kf73jwq1idhqanjhmml6cwkffy1brqihczvg88pdzdy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIHRoZSBhcHBsaWNhdGlvbiB2aWV3IHdoZW4gdGhlIHdvcmtzcGFjZSBpcyBvciBiZWNvbWVzIGVtcHR5LCBzdWNoIGFzIHN3aXRjaGluZyB0byBhbiBlbXB0eSB3b3Jrc3BhY2UsIHdoZW4gYWxsIHdpbmRvd3Mgb24gYSB3b3Jrc3BhY2UgYXJlIGNsb3NlZCwgb3IgYWZ0ZXIgbG9naW4uIFN0YXJ0aW5nIGFwcGxpY2F0aW9ucyBvciBzd2l0Y2hpbmcgdG8gYSB3b3Jrc3BhY2Ugd2l0aCBvcGVuIHdpbmRvd3Mgd2lsbCBoaWRlIHRoZSBvdmVydmlldyBpZiBpdCdzIHNob3dpbmcuIiwKICAibmFtZSI6ICJTaG93IEFwcGxpY2F0aW9uIFZpZXcgV2hlbiBXb3Jrc3BhY2UgRW1wdHkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImFwcGxpY2F0aW9uX3ZpZXdfd2hlbl9lbXB0eUBmYXd0eXRvbyIsCiAgInZlcnNpb24iOiAxNgp9"}}}
+, {"uuid": "no-title-bar@jonaspoehler.de", "name": "No Title Bar - Forked", "pname": "no-title-bar-forked", "description": "No Title Bar removes the title bar from non-GTK applications and moves the window title and buttons to the top panel.\n\nTitlebars are also hidden for Wayland-native clients that don't use CSD. Some of the options may be incompatible with this. For issues on Wayland please visit github!\n\nThis is a fork of https://extensions.gnome.org/extension/1267/no-title-bar/ with added compatibility for Gnome 3.32+.\n\nThis extension depends on some Xorg utilities. To install them:\n\n⚫ Debian/Ubuntu: apt install x11-utils\n⚫ Fedora/RHEL: dnf install xorg-x11-utils\n⚫ Arch: pacman -S xorg-xprop", "link": "https://extensions.gnome.org/extension/2015/no-title-bar-forked/", "shell_version_map": {"38": {"version": "5", "sha256": "0kch8yra13813gg3wa90lm57skqshmj3j1147lrqwhl9va9rk4q6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5vIFRpdGxlIEJhciByZW1vdmVzIHRoZSB0aXRsZSBiYXIgZnJvbSBub24tR1RLIGFwcGxpY2F0aW9ucyBhbmQgbW92ZXMgdGhlIHdpbmRvdyB0aXRsZSBhbmQgYnV0dG9ucyB0byB0aGUgdG9wIHBhbmVsLlxuXG5UaXRsZWJhcnMgYXJlIGFsc28gaGlkZGVuIGZvciBXYXlsYW5kLW5hdGl2ZSBjbGllbnRzIHRoYXQgZG9uJ3QgdXNlIENTRC4gU29tZSBvZiB0aGUgb3B0aW9ucyBtYXkgYmUgaW5jb21wYXRpYmxlIHdpdGggdGhpcy4gRm9yIGlzc3VlcyBvbiBXYXlsYW5kIHBsZWFzZSB2aXNpdCBnaXRodWIhXG5cblRoaXMgaXMgYSBmb3JrIG9mIGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzEyNjcvbm8tdGl0bGUtYmFyLyB3aXRoIGFkZGVkIGNvbXBhdGliaWxpdHkgZm9yIEdub21lIDMuMzIrLlxuXG5UaGlzIGV4dGVuc2lvbiBkZXBlbmRzIG9uIHNvbWUgWG9yZyB1dGlsaXRpZXMuIFRvIGluc3RhbGwgdGhlbTpcblxuXHUyNmFiIERlYmlhbi9VYnVudHU6IGFwdCBpbnN0YWxsIHgxMS11dGlsc1xuXHUyNmFiIEZlZG9yYS9SSEVMOiBkbmYgaW5zdGFsbCB4b3JnLXgxMS11dGlsc1xuXHUyNmFiIEFyY2g6IHBhY21hbiAtUyB4b3JnLXhwcm9wIiwKICAibmFtZSI6ICJObyBUaXRsZSBCYXIgLSBGb3JrZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9wb2VobGVyai9uby10aXRsZS1iYXIiLAogICJ1dWlkIjogIm5vLXRpdGxlLWJhckBqb25hc3BvZWhsZXIuZGUiLAogICJ2ZXJzaW9uIjogNQp9"}, "40": {"version": "6", "sha256": "1plnj999qynsfvab6s01rfrdvw6m0s19b4zirhmlqrpax9vjl3zm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5vIFRpdGxlIEJhciByZW1vdmVzIHRoZSB0aXRsZSBiYXIgZnJvbSBub24tR1RLIGFwcGxpY2F0aW9ucyBhbmQgbW92ZXMgdGhlIHdpbmRvdyB0aXRsZSBhbmQgYnV0dG9ucyB0byB0aGUgdG9wIHBhbmVsLlxuXG5UaXRsZWJhcnMgYXJlIGFsc28gaGlkZGVuIGZvciBXYXlsYW5kLW5hdGl2ZSBjbGllbnRzIHRoYXQgZG9uJ3QgdXNlIENTRC4gU29tZSBvZiB0aGUgb3B0aW9ucyBtYXkgYmUgaW5jb21wYXRpYmxlIHdpdGggdGhpcy4gRm9yIGlzc3VlcyBvbiBXYXlsYW5kIHBsZWFzZSB2aXNpdCBnaXRodWIhXG5cblRoaXMgaXMgYSBmb3JrIG9mIGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzEyNjcvbm8tdGl0bGUtYmFyLyB3aXRoIGFkZGVkIGNvbXBhdGliaWxpdHkgZm9yIEdub21lIDMuMzIrLlxuXG5UaGlzIGV4dGVuc2lvbiBkZXBlbmRzIG9uIHNvbWUgWG9yZyB1dGlsaXRpZXMuIFRvIGluc3RhbGwgdGhlbTpcblxuXHUyNmFiIERlYmlhbi9VYnVudHU6IGFwdCBpbnN0YWxsIHgxMS11dGlsc1xuXHUyNmFiIEZlZG9yYS9SSEVMOiBkbmYgaW5zdGFsbCB4b3JnLXgxMS11dGlsc1xuXHUyNmFiIEFyY2g6IHBhY21hbiAtUyB4b3JnLXhwcm9wIiwKICAibmFtZSI6ICJObyBUaXRsZSBCYXIgLSBGb3JrZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcG9laGxlcmovbm8tdGl0bGUtYmFyIiwKICAidXVpZCI6ICJuby10aXRsZS1iYXJAam9uYXNwb2VobGVyLmRlIiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
+, {"uuid": "application_view_when_empty@fawtytoo", "name": "Show Application View When Workspace Empty", "pname": "show-application-view-when-workspace-empty", "description": "Shows the application view when the workspace is or becomes empty, such as switching to an empty workspace, when all windows on a workspace are closed, or after login. Starting applications or switching to a workspace with open windows will hide the overview if it's showing.", "link": "https://extensions.gnome.org/extension/2036/show-application-view-when-workspace-empty/", "shell_version_map": {"38": {"version": "18", "sha256": "1vz3d8gif8a7nimcn98cwv6lh4khn888c3mvn7pnn06pfpisl6g2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIHRoZSBhcHBsaWNhdGlvbiB2aWV3IHdoZW4gdGhlIHdvcmtzcGFjZSBpcyBvciBiZWNvbWVzIGVtcHR5LCBzdWNoIGFzIHN3aXRjaGluZyB0byBhbiBlbXB0eSB3b3Jrc3BhY2UsIHdoZW4gYWxsIHdpbmRvd3Mgb24gYSB3b3Jrc3BhY2UgYXJlIGNsb3NlZCwgb3IgYWZ0ZXIgbG9naW4uIFN0YXJ0aW5nIGFwcGxpY2F0aW9ucyBvciBzd2l0Y2hpbmcgdG8gYSB3b3Jrc3BhY2Ugd2l0aCBvcGVuIHdpbmRvd3Mgd2lsbCBoaWRlIHRoZSBvdmVydmlldyBpZiBpdCdzIHNob3dpbmcuIiwKICAibmFtZSI6ICJTaG93IEFwcGxpY2F0aW9uIFZpZXcgV2hlbiBXb3Jrc3BhY2UgRW1wdHkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImFwcGxpY2F0aW9uX3ZpZXdfd2hlbl9lbXB0eUBmYXd0eXRvbyIsCiAgInZlcnNpb24iOiAxOAp9"}, "40": {"version": "18", "sha256": "1vz3d8gif8a7nimcn98cwv6lh4khn888c3mvn7pnn06pfpisl6g2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIHRoZSBhcHBsaWNhdGlvbiB2aWV3IHdoZW4gdGhlIHdvcmtzcGFjZSBpcyBvciBiZWNvbWVzIGVtcHR5LCBzdWNoIGFzIHN3aXRjaGluZyB0byBhbiBlbXB0eSB3b3Jrc3BhY2UsIHdoZW4gYWxsIHdpbmRvd3Mgb24gYSB3b3Jrc3BhY2UgYXJlIGNsb3NlZCwgb3IgYWZ0ZXIgbG9naW4uIFN0YXJ0aW5nIGFwcGxpY2F0aW9ucyBvciBzd2l0Y2hpbmcgdG8gYSB3b3Jrc3BhY2Ugd2l0aCBvcGVuIHdpbmRvd3Mgd2lsbCBoaWRlIHRoZSBvdmVydmlldyBpZiBpdCdzIHNob3dpbmcuIiwKICAibmFtZSI6ICJTaG93IEFwcGxpY2F0aW9uIFZpZXcgV2hlbiBXb3Jrc3BhY2UgRW1wdHkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImFwcGxpY2F0aW9uX3ZpZXdfd2hlbl9lbXB0eUBmYXd0eXRvbyIsCiAgInZlcnNpb24iOiAxOAp9"}}}
, {"uuid": "activities_icon_menu@fawtytoo", "name": "Activities Icon Menu", "pname": "activities-menu-for-apps-and-windows", "description": "This extension turns the Activities button into a popup menu with icons for selecting either Applications or Workspaces in the Overview. Selecting the same view again will hide the overview.\n\nThis is particularly useful for tablet users that find the Activities button difficult to click on, whereas a menu can be more easily invoked.", "link": "https://extensions.gnome.org/extension/2048/activities-menu-for-apps-and-windows/", "shell_version_map": {"38": {"version": "9", "sha256": "1m10b1azwvj2b8s12xiwly21if27pcl1x4my411rgy5a5z3qm4j5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIHR1cm5zIHRoZSBBY3Rpdml0aWVzIGJ1dHRvbiBpbnRvIGEgcG9wdXAgbWVudSB3aXRoIGljb25zIGZvciBzZWxlY3RpbmcgZWl0aGVyIEFwcGxpY2F0aW9ucyBvciBXb3Jrc3BhY2VzIGluIHRoZSBPdmVydmlldy4gU2VsZWN0aW5nIHRoZSBzYW1lIHZpZXcgYWdhaW4gd2lsbCBoaWRlIHRoZSBvdmVydmlldy5cblxuVGhpcyBpcyBwYXJ0aWN1bGFybHkgdXNlZnVsIGZvciB0YWJsZXQgdXNlcnMgdGhhdCBmaW5kIHRoZSBBY3Rpdml0aWVzIGJ1dHRvbiBkaWZmaWN1bHQgdG8gY2xpY2sgb24sIHdoZXJlYXMgYSBtZW51IGNhbiBiZSBtb3JlIGVhc2lseSBpbnZva2VkLiIsCiAgIm5hbWUiOiAiQWN0aXZpdGllcyBJY29uIE1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImFjdGl2aXRpZXNfaWNvbl9tZW51QGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDkKfQ=="}, "40": {"version": "9", "sha256": "1m10b1azwvj2b8s12xiwly21if27pcl1x4my411rgy5a5z3qm4j5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIHR1cm5zIHRoZSBBY3Rpdml0aWVzIGJ1dHRvbiBpbnRvIGEgcG9wdXAgbWVudSB3aXRoIGljb25zIGZvciBzZWxlY3RpbmcgZWl0aGVyIEFwcGxpY2F0aW9ucyBvciBXb3Jrc3BhY2VzIGluIHRoZSBPdmVydmlldy4gU2VsZWN0aW5nIHRoZSBzYW1lIHZpZXcgYWdhaW4gd2lsbCBoaWRlIHRoZSBvdmVydmlldy5cblxuVGhpcyBpcyBwYXJ0aWN1bGFybHkgdXNlZnVsIGZvciB0YWJsZXQgdXNlcnMgdGhhdCBmaW5kIHRoZSBBY3Rpdml0aWVzIGJ1dHRvbiBkaWZmaWN1bHQgdG8gY2xpY2sgb24sIHdoZXJlYXMgYSBtZW51IGNhbiBiZSBtb3JlIGVhc2lseSBpbnZva2VkLiIsCiAgIm5hbWUiOiAiQWN0aXZpdGllcyBJY29uIE1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImFjdGl2aXRpZXNfaWNvbl9tZW51QGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDkKfQ=="}}}
, {"uuid": "Gold_Price_Monitor@wotmshuaisi_github", "name": "Gold Price Monitor", "pname": "gold-price-monitor", "description": "simple gnome extension helps you tracking gold price in realtime", "link": "https://extensions.gnome.org/extension/2075/gold-price-monitor/", "shell_version_map": {"40": {"version": "21", "sha256": "10554hlfv3nlf49av54wwckg2ld4p8pc7vk8s14085shdplwi95n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRhbmdlcm91cyI6IGZhbHNlLAogICJkZXNjcmlwdGlvbiI6ICJzaW1wbGUgZ25vbWUgZXh0ZW5zaW9uIGhlbHBzIHlvdSB0cmFja2luZyBnb2xkIHByaWNlIGluIHJlYWx0aW1lIiwKICAibmFtZSI6ICJHb2xkIFByaWNlIE1vbml0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZ29sZC1wcmljZS1tb25pdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3dvdG1zaHVhaXNpL2dvbGRwcmljZW1vbml0b3IiLAogICJ1dWlkIjogIkdvbGRfUHJpY2VfTW9uaXRvckB3b3Rtc2h1YWlzaV9naXRodWIiLAogICJ2ZXJzaW9uIjogMjEKfQ=="}}}
-, {"uuid": "ding@rastersoft.com", "name": "Desktop Icons NG (DING)", "pname": "desktop-icons-ng-ding", "description": "Adds icons to the desktop. Fork of the original Desktop Icons extension, with several enhancements .", "link": "https://extensions.gnome.org/extension/2087/desktop-icons-ng-ding/", "shell_version_map": {"38": {"version": "22", "sha256": "1qci1zwbp8x0nxbd3ay781gcjd1hpf2ncgpxfclxxgn73pvm4zd9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgaWNvbnMgdG8gdGhlIGRlc2t0b3AuIEZvcmsgb2YgdGhlIG9yaWdpbmFsIERlc2t0b3AgSWNvbnMgZXh0ZW5zaW9uLCB3aXRoIHNldmVyYWwgZW5oYW5jZW1lbnRzIC4iLAogICJuYW1lIjogIkRlc2t0b3AgSWNvbnMgTkcgKERJTkcpIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MC5iZXRhIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL3Jhc3RlcnNvZnQvZGVza3RvcC1pY29ucy1uZyIsCiAgInV1aWQiOiAiZGluZ0ByYXN0ZXJzb2Z0LmNvbSIsCiAgInZlcnNpb24iOiAyMgp9"}, "40": {"version": "22", "sha256": "1qci1zwbp8x0nxbd3ay781gcjd1hpf2ncgpxfclxxgn73pvm4zd9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgaWNvbnMgdG8gdGhlIGRlc2t0b3AuIEZvcmsgb2YgdGhlIG9yaWdpbmFsIERlc2t0b3AgSWNvbnMgZXh0ZW5zaW9uLCB3aXRoIHNldmVyYWwgZW5oYW5jZW1lbnRzIC4iLAogICJuYW1lIjogIkRlc2t0b3AgSWNvbnMgTkcgKERJTkcpIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MC5iZXRhIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL3Jhc3RlcnNvZnQvZGVza3RvcC1pY29ucy1uZyIsCiAgInV1aWQiOiAiZGluZ0ByYXN0ZXJzb2Z0LmNvbSIsCiAgInZlcnNpb24iOiAyMgp9"}}}
-, {"uuid": "order-extensions@wa4557.github.com", "name": "Order Gnome Shell extensions", "pname": "order-gnome-shell-extensions", "description": "Fixes order of gnome-shell extensions", "link": "https://extensions.gnome.org/extension/2114/order-gnome-shell-extensions/", "shell_version_map": {"38": {"version": "5", "sha256": "197wbj5cx8bd30p35r2my8ri140qyf91wahpwf2lx2zmgql6rb1i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dGhvciI6ICJBbmRyZWFzIEFuZ2VyZXIiLAogICJkZXNjcmlwdGlvbiI6ICJGaXhlcyBvcmRlciBvZiBnbm9tZS1zaGVsbCBleHRlbnNpb25zIiwKICAiZXh0ZW5zaW9uLWlkIjogIm9yZGVyLWV4dGVuc2lvbnMiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJvcmRlciBleHRlbnNpb25zIiwKICAibmFtZSI6ICJPcmRlciBHbm9tZSBTaGVsbCBleHRlbnNpb25zIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIm9yZGVyLWV4dGVuc2lvbnNAd2E0NTU3LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNQp9"}}}
+, {"uuid": "ding@rastersoft.com", "name": "Desktop Icons NG (DING)", "pname": "desktop-icons-ng-ding", "description": "Adds icons to the desktop. Fork of the original Desktop Icons extension, with several enhancements .", "link": "https://extensions.gnome.org/extension/2087/desktop-icons-ng-ding/", "shell_version_map": {"38": {"version": "32", "sha256": "0005k0i9012jcq782zhxdpk9iki5s32cafm1zsf57bdmvvwpr1fd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgaWNvbnMgdG8gdGhlIGRlc2t0b3AuIEZvcmsgb2YgdGhlIG9yaWdpbmFsIERlc2t0b3AgSWNvbnMgZXh0ZW5zaW9uLCB3aXRoIHNldmVyYWwgZW5oYW5jZW1lbnRzIC4iLAogICJuYW1lIjogIkRlc2t0b3AgSWNvbnMgTkcgKERJTkcpIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9yYXN0ZXJzb2Z0L2Rlc2t0b3AtaWNvbnMtbmciLAogICJ1dWlkIjogImRpbmdAcmFzdGVyc29mdC5jb20iLAogICJ2ZXJzaW9uIjogMzIKfQ=="}, "40": {"version": "32", "sha256": "0005k0i9012jcq782zhxdpk9iki5s32cafm1zsf57bdmvvwpr1fd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgaWNvbnMgdG8gdGhlIGRlc2t0b3AuIEZvcmsgb2YgdGhlIG9yaWdpbmFsIERlc2t0b3AgSWNvbnMgZXh0ZW5zaW9uLCB3aXRoIHNldmVyYWwgZW5oYW5jZW1lbnRzIC4iLAogICJuYW1lIjogIkRlc2t0b3AgSWNvbnMgTkcgKERJTkcpIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9yYXN0ZXJzb2Z0L2Rlc2t0b3AtaWNvbnMtbmciLAogICJ1dWlkIjogImRpbmdAcmFzdGVyc29mdC5jb20iLAogICJ2ZXJzaW9uIjogMzIKfQ=="}}}
+, {"uuid": "order-extensions@wa4557.github.com", "name": "Order Gnome Shell extensions", "pname": "order-gnome-shell-extensions", "description": "Fixes order of gnome-shell extensions", "link": "https://extensions.gnome.org/extension/2114/order-gnome-shell-extensions/", "shell_version_map": {"38": {"version": "6", "sha256": "0hcbjrhrg11f5p23bhss75nhc9sqlh6p1bmfq7p7m7d276ckdmkk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dGhvciI6ICJBbmRyZWFzIEFuZ2VyZXIiLAogICJkZXNjcmlwdGlvbiI6ICJGaXhlcyBvcmRlciBvZiBnbm9tZS1zaGVsbCBleHRlbnNpb25zIiwKICAiZXh0ZW5zaW9uLWlkIjogIm9yZGVyLWV4dGVuc2lvbnMiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJvcmRlciBleHRlbnNpb25zIiwKICAibmFtZSI6ICJPcmRlciBHbm9tZSBTaGVsbCBleHRlbnNpb25zIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICIzLjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIm9yZGVyLWV4dGVuc2lvbnNAd2E0NTU3LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNgp9"}}}
, {"uuid": "horizontal-workspaces@gnome-shell-extensions.gcampax.github.com", "name": "Horizontal workspaces", "pname": "horizontal-workspaces", "description": "Use a horizontal workspace layout", "link": "https://extensions.gnome.org/extension/2141/horizontal-workspaces/", "shell_version_map": {"38": {"version": "5", "sha256": "0kbqcrs96v72yk0rf8jghy1a31651fyvgpi97yp46n4wmvc41vk7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVzZSBhIGhvcml6b250YWwgd29ya3NwYWNlIGxheW91dCIsCiAgImV4dGVuc2lvbi1pZCI6ICJob3Jpem9udGFsLXdvcmtzcGFjZXMiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJIb3Jpem9udGFsIHdvcmtzcGFjZXMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaG9yaXpvbnRhbC13b3Jrc3BhY2VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAiaG9yaXpvbnRhbC13b3Jrc3BhY2VzQGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
, {"uuid": "threefingerwindowmove@do.sch.dev.gmail.com", "name": "Three Finger Window Move", "pname": "three-finger-window-move", "description": "Allows moving windows around with a three finger trackpad gesture (Wayland only)", "link": "https://extensions.gnome.org/extension/2164/three-finger-window-move/", "shell_version_map": {"38": {"version": "7", "sha256": "1m7vwr6s6w297b0x0bmnj8fs2hl73pbys6m93lnb9inh5pmgiv1p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93cyBtb3Zpbmcgd2luZG93cyBhcm91bmQgd2l0aCBhIHRocmVlIGZpbmdlciB0cmFja3BhZCBnZXN0dXJlIChXYXlsYW5kIG9ubHkpIiwKICAibmFtZSI6ICJUaHJlZSBGaW5nZXIgV2luZG93IE1vdmUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kby1zY2gvZ25vbWUtc2hlbGwtdG91Y2hwYWQtd2luZG93LW1vdmUiLAogICJ1dWlkIjogInRocmVlZmluZ2Vyd2luZG93bW92ZUBkby5zY2guZGV2LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA3Cn0="}}}
-, {"uuid": "spotify-ad-block@danigm.net", "name": "Mute spotify ads", "pname": "mute-spotify-ads", "description": "Mute spotify ads", "link": "https://extensions.gnome.org/extension/2176/mute-spotify-ads/", "shell_version_map": {"38": {"version": "7", "sha256": "1b2jrchwkw9i9bbicfxpn57rfj1vjzwmcrs8dzasdsmagh4rydal", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk11dGUgc3BvdGlmeSBhZHMiLAogICJuYW1lIjogIk11dGUgc3BvdGlmeSBhZHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kYW5pZ20vc3BvdGlmeS1hZC1ibG9ja2VyIiwKICAidXVpZCI6ICJzcG90aWZ5LWFkLWJsb2NrQGRhbmlnbS5uZXQiLAogICJ2ZXJzaW9uIjogNwp9"}}}
+, {"uuid": "spotify-ad-block@danigm.net", "name": "Mute spotify ads", "pname": "mute-spotify-ads", "description": "Mute spotify ads", "link": "https://extensions.gnome.org/extension/2176/mute-spotify-ads/", "shell_version_map": {"38": {"version": "9", "sha256": "15nmnf2i3icfk0lc8yb20jxj0irivgw73sh9d94p09v5jv0p9hvm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk11dGUgc3BvdGlmeSBhZHMiLAogICJuYW1lIjogIk11dGUgc3BvdGlmeSBhZHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGFuaWdtL3Nwb3RpZnktYWQtYmxvY2tlciIsCiAgInV1aWQiOiAic3BvdGlmeS1hZC1ibG9ja0BkYW5pZ20ubmV0IiwKICAidmVyc2lvbiI6IDkKfQ=="}, "40": {"version": "9", "sha256": "15nmnf2i3icfk0lc8yb20jxj0irivgw73sh9d94p09v5jv0p9hvm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk11dGUgc3BvdGlmeSBhZHMiLAogICJuYW1lIjogIk11dGUgc3BvdGlmeSBhZHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGFuaWdtL3Nwb3RpZnktYWQtYmxvY2tlciIsCiAgInV1aWQiOiAic3BvdGlmeS1hZC1ibG9ja0BkYW5pZ20ubmV0IiwKICAidmVyc2lvbiI6IDkKfQ=="}}}
, {"uuid": "noannoyance@daase.net", "name": "NoAnnoyance v2", "pname": "noannoyance", "description": "Another extension, that removes the 'Window is ready' notification and puts the window into focus. In contrast to all the other extensions, this uses ES6 syntax and is actively maintained.", "link": "https://extensions.gnome.org/extension/2182/noannoyance/", "shell_version_map": {"38": {"version": "10", "sha256": "1ij5307mcm3shhs23lpl8l968xgzc1qr0wvzkb419ihdk4kjmf9m", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZXh0ZW5zaW9uLCB0aGF0IHJlbW92ZXMgdGhlICdXaW5kb3cgaXMgcmVhZHknIG5vdGlmaWNhdGlvbiBhbmQgcHV0cyB0aGUgd2luZG93IGludG8gZm9jdXMuIEluIGNvbnRyYXN0IHRvIGFsbCB0aGUgb3RoZXIgZXh0ZW5zaW9ucywgdGhpcyB1c2VzIEVTNiBzeW50YXggYW5kIGlzIGFjdGl2ZWx5IG1haW50YWluZWQuIiwKICAibmFtZSI6ICJOb0Fubm95YW5jZSB2MiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Cam9lcm5EYWFzZS9ub2Fubm95YW5jZSIsCiAgInV1aWQiOiAibm9hbm5veWFuY2VAZGFhc2UubmV0IiwKICAidmVyc2lvbiI6IDEwCn0="}, "40": {"version": "10", "sha256": "1ij5307mcm3shhs23lpl8l968xgzc1qr0wvzkb419ihdk4kjmf9m", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZXh0ZW5zaW9uLCB0aGF0IHJlbW92ZXMgdGhlICdXaW5kb3cgaXMgcmVhZHknIG5vdGlmaWNhdGlvbiBhbmQgcHV0cyB0aGUgd2luZG93IGludG8gZm9jdXMuIEluIGNvbnRyYXN0IHRvIGFsbCB0aGUgb3RoZXIgZXh0ZW5zaW9ucywgdGhpcyB1c2VzIEVTNiBzeW50YXggYW5kIGlzIGFjdGl2ZWx5IG1haW50YWluZWQuIiwKICAibmFtZSI6ICJOb0Fubm95YW5jZSB2MiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Cam9lcm5EYWFzZS9ub2Fubm95YW5jZSIsCiAgInV1aWQiOiAibm9hbm5veWFuY2VAZGFhc2UubmV0IiwKICAidmVyc2lvbiI6IDEwCn0="}}}
-, {"uuid": "easy_docker_containers@red.software.systems", "name": "Easy Docker Containers", "pname": "easy-docker-containers", "description": "A GNOME Shell extension (GNOME Panel applet) to be able to generally control your available Docker containers.", "link": "https://extensions.gnome.org/extension/2224/easy-docker-containers/", "shell_version_map": {"38": {"version": "9", "sha256": "0bzwl271j3j41nrx6fzcp2ahypl23ivfhp5zrg2xvh3r69hg61br", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgU2hlbGwgZXh0ZW5zaW9uIChHTk9NRSBQYW5lbCBhcHBsZXQpIHRvIGJlIGFibGUgdG8gZ2VuZXJhbGx5IGNvbnRyb2wgeW91ciBhdmFpbGFibGUgRG9ja2VyIGNvbnRhaW5lcnMuIiwKICAibmFtZSI6ICJFYXN5IERvY2tlciBDb250YWluZXJzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1JlZFNvZnR3YXJlU3lzdGVtcy9lYXN5X2RvY2tlcl9jb250YWluZXJzIiwKICAidXVpZCI6ICJlYXN5X2RvY2tlcl9jb250YWluZXJzQHJlZC5zb2Z0d2FyZS5zeXN0ZW1zIiwKICAidmVyc2lvbiI6IDkKfQ=="}, "40": {"version": "9", "sha256": "0bzwl271j3j41nrx6fzcp2ahypl23ivfhp5zrg2xvh3r69hg61br", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgU2hlbGwgZXh0ZW5zaW9uIChHTk9NRSBQYW5lbCBhcHBsZXQpIHRvIGJlIGFibGUgdG8gZ2VuZXJhbGx5IGNvbnRyb2wgeW91ciBhdmFpbGFibGUgRG9ja2VyIGNvbnRhaW5lcnMuIiwKICAibmFtZSI6ICJFYXN5IERvY2tlciBDb250YWluZXJzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1JlZFNvZnR3YXJlU3lzdGVtcy9lYXN5X2RvY2tlcl9jb250YWluZXJzIiwKICAidXVpZCI6ICJlYXN5X2RvY2tlcl9jb250YWluZXJzQHJlZC5zb2Z0d2FyZS5zeXN0ZW1zIiwKICAidmVyc2lvbiI6IDkKfQ=="}}}
-, {"uuid": "nightthemeswitcher@romainvigier.fr", "name": "Night Theme Switcher", "pname": "night-theme-switcher", "description": "Night mode for GNOME! Automatically toggle your light and dark GTK, GNOME Shell, icon and cursor themes variants, switch backgrounds and run custom commands at sunset and sunrise.\n\nSupports Night Light, Location Services, manual schedule and on-demand switch.\n\nIt works out of the box with numerous themes (see the list on the repository), and you can manually choose the variants you want.\n", "link": "https://extensions.gnome.org/extension/2236/night-theme-switcher/", "shell_version_map": {"38": {"version": "46", "sha256": "016lb0wcr43nf8mj6qnwgzpam2whrcvf4s5dwbdljqqvpvbx68yq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5pZ2h0IG1vZGUgZm9yIEdOT01FISBBdXRvbWF0aWNhbGx5IHRvZ2dsZSB5b3VyIGxpZ2h0IGFuZCBkYXJrIEdUSywgR05PTUUgU2hlbGwsIGljb24gYW5kIGN1cnNvciB0aGVtZXMgdmFyaWFudHMsIHN3aXRjaCBiYWNrZ3JvdW5kcyBhbmQgcnVuIGN1c3RvbSBjb21tYW5kcyBhdCBzdW5zZXQgYW5kIHN1bnJpc2UuXG5cblN1cHBvcnRzIE5pZ2h0IExpZ2h0LCBMb2NhdGlvbiBTZXJ2aWNlcywgbWFudWFsIHNjaGVkdWxlIGFuZCBvbi1kZW1hbmQgc3dpdGNoLlxuXG5JdCB3b3JrcyBvdXQgb2YgdGhlIGJveCB3aXRoIG51bWVyb3VzIHRoZW1lcyAoc2VlIHRoZSBsaXN0IG9uIHRoZSByZXBvc2l0b3J5KSwgYW5kIHlvdSBjYW4gbWFudWFsbHkgY2hvb3NlIHRoZSB2YXJpYW50cyB5b3Ugd2FudC5cbiIsCiAgImdldHRleHQtZG9tYWluIjogIm5pZ2h0dGhlbWVzd2l0Y2hlckByb21haW52aWdpZXIuZnIiLAogICJuYW1lIjogIk5pZ2h0IFRoZW1lIFN3aXRjaGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm5pZ2h0dGhlbWVzd2l0Y2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL3JtbnZnci9uaWdodHRoZW1lc3dpdGNoZXItZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLyIsCiAgInV1aWQiOiAibmlnaHR0aGVtZXN3aXRjaGVyQHJvbWFpbnZpZ2llci5mciIsCiAgInZlcnNpb24iOiA0Ngp9"}, "40": {"version": "50", "sha256": "0klgk18sn5m8a4w2jk64far27v7xpmdhyic6yk471xk096w6hdn3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5pZ2h0IG1vZGUgZm9yIEdOT01FISBBdXRvbWF0aWNhbGx5IHRvZ2dsZSB5b3VyIGxpZ2h0IGFuZCBkYXJrIEdUSywgR05PTUUgU2hlbGwsIGljb24gYW5kIGN1cnNvciB0aGVtZXMgdmFyaWFudHMsIHN3aXRjaCBiYWNrZ3JvdW5kcyBhbmQgcnVuIGN1c3RvbSBjb21tYW5kcyBhdCBzdW5zZXQgYW5kIHN1bnJpc2UuXG5cblN1cHBvcnRzIE5pZ2h0IExpZ2h0LCBMb2NhdGlvbiBTZXJ2aWNlcywgbWFudWFsIHNjaGVkdWxlIGFuZCBvbi1kZW1hbmQgc3dpdGNoLlxuXG5JdCB3b3JrcyBvdXQgb2YgdGhlIGJveCB3aXRoIG51bWVyb3VzIHRoZW1lcyAoc2VlIHRoZSBsaXN0IG9uIHRoZSByZXBvc2l0b3J5KSwgYW5kIHlvdSBjYW4gbWFudWFsbHkgY2hvb3NlIHRoZSB2YXJpYW50cyB5b3Ugd2FudC5cbiIsCiAgImdldHRleHQtZG9tYWluIjogIm5pZ2h0dGhlbWVzd2l0Y2hlckByb21haW52aWdpZXIuZnIiLAogICJuYW1lIjogIk5pZ2h0IFRoZW1lIFN3aXRjaGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm5pZ2h0dGhlbWVzd2l0Y2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9ybW52Z3IvbmlnaHR0aGVtZXN3aXRjaGVyLWdub21lLXNoZWxsLWV4dGVuc2lvbi8iLAogICJ1dWlkIjogIm5pZ2h0dGhlbWVzd2l0Y2hlckByb21haW52aWdpZXIuZnIiLAogICJ2ZXJzaW9uIjogNTAKfQ=="}}}
-, {"uuid": "binaryclock@vancha.march", "name": "binaryclock", "pname": "binaryclock", "description": "adds a binary clock to the gnome bar", "link": "https://extensions.gnome.org/extension/2284/binaryclock/", "shell_version_map": {"38": {"version": "5", "sha256": "0j0zbpldb4rk4kpjy6q585p18gc09079ddh1mlk6g6v1fl886j0m", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImFkZHMgYSBiaW5hcnkgY2xvY2sgdG8gdGhlIGdub21lIGJhciIsCiAgIm5hbWUiOiAiYmluYXJ5Y2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzQiLAogICAgIjMuMzIuMiIsCiAgICAiMy4zOCIsCiAgICAiMy4zNi43IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdmFuY2hhL2dub21lU2hlbGxCaW5hcnlDbG9jay8iLAogICJ1dWlkIjogImJpbmFyeWNsb2NrQHZhbmNoYS5tYXJjaCIsCiAgInZlcnNpb24iOiA1Cn0="}}}
-, {"uuid": "lgbutton@glerro.gnome.gitlab.io", "name": "Looking Glass Button", "pname": "looking-glass-button", "description": "Toggle the Looking Glass visibility by clicking on a panel icon.\n\nAnd from version 4 left clicking on the icon show a menu with new features like Restart Gnome Shell (Restart is not available on Wayland), Reload Theme, Open Extension Folder and Open Theme Folder (the last two require that xdg-open is installed).\n\nVersion 4 also drop the compatibility with Gnome Shell 3.30.", "link": "https://extensions.gnome.org/extension/2296/looking-glass-button/", "shell_version_map": {"38": {"version": "4", "sha256": "1bahy5lwymv0ymlwd3dkv0sywm7d23gkq3lkj4jh0ld2d203a3h1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZSB0aGUgTG9va2luZyBHbGFzcyB2aXNpYmlsaXR5IGJ5IGNsaWNraW5nIG9uIGEgcGFuZWwgaWNvbi5cblxuQW5kIGZyb20gdmVyc2lvbiA0IGxlZnQgY2xpY2tpbmcgb24gdGhlIGljb24gc2hvdyBhIG1lbnUgd2l0aCBuZXcgZmVhdHVyZXMgbGlrZSBSZXN0YXJ0IEdub21lIFNoZWxsIChSZXN0YXJ0IGlzIG5vdCBhdmFpbGFibGUgb24gV2F5bGFuZCksIFJlbG9hZCBUaGVtZSwgT3BlbiBFeHRlbnNpb24gRm9sZGVyIGFuZCBPcGVuIFRoZW1lIEZvbGRlciAodGhlIGxhc3QgdHdvIHJlcXVpcmUgdGhhdCB4ZGctb3BlbiBpcyBpbnN0YWxsZWQpLlxuXG5WZXJzaW9uIDQgYWxzbyBkcm9wIHRoZSBjb21wYXRpYmlsaXR5IHdpdGggR25vbWUgU2hlbGwgMy4zMC4iLAogICJuYW1lIjogIkxvb2tpbmcgR2xhc3MgQnV0dG9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvZ2xlcnJvL2dub21lLXNoZWxsLWV4dGVuc2lvbi1sZ2J1dHRvbiIsCiAgInV1aWQiOiAibGdidXR0b25AZ2xlcnJvLmdub21lLmdpdGxhYi5pbyIsCiAgInZlcnNpb24iOiA0Cn0="}}}
+, {"uuid": "easy_docker_containers@red.software.systems", "name": "Easy Docker Containers", "pname": "easy-docker-containers", "description": "A GNOME Shell extension (GNOME Panel applet) to be able to generally control your available Docker containers.", "link": "https://extensions.gnome.org/extension/2224/easy-docker-containers/", "shell_version_map": {"38": {"version": "11", "sha256": "1bffsbzq4114f4l83sknzsmig4sm7p8qsgyfmxvqg0xdl7hmsd6y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgU2hlbGwgZXh0ZW5zaW9uIChHTk9NRSBQYW5lbCBhcHBsZXQpIHRvIGJlIGFibGUgdG8gZ2VuZXJhbGx5IGNvbnRyb2wgeW91ciBhdmFpbGFibGUgRG9ja2VyIGNvbnRhaW5lcnMuIiwKICAibmFtZSI6ICJFYXN5IERvY2tlciBDb250YWluZXJzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1JlZFNvZnR3YXJlU3lzdGVtcy9lYXN5X2RvY2tlcl9jb250YWluZXJzIiwKICAidXVpZCI6ICJlYXN5X2RvY2tlcl9jb250YWluZXJzQHJlZC5zb2Z0d2FyZS5zeXN0ZW1zIiwKICAidmVyc2lvbiI6IDExCn0="}, "40": {"version": "11", "sha256": "1bffsbzq4114f4l83sknzsmig4sm7p8qsgyfmxvqg0xdl7hmsd6y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgU2hlbGwgZXh0ZW5zaW9uIChHTk9NRSBQYW5lbCBhcHBsZXQpIHRvIGJlIGFibGUgdG8gZ2VuZXJhbGx5IGNvbnRyb2wgeW91ciBhdmFpbGFibGUgRG9ja2VyIGNvbnRhaW5lcnMuIiwKICAibmFtZSI6ICJFYXN5IERvY2tlciBDb250YWluZXJzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1JlZFNvZnR3YXJlU3lzdGVtcy9lYXN5X2RvY2tlcl9jb250YWluZXJzIiwKICAidXVpZCI6ICJlYXN5X2RvY2tlcl9jb250YWluZXJzQHJlZC5zb2Z0d2FyZS5zeXN0ZW1zIiwKICAidmVyc2lvbiI6IDExCn0="}}}
+, {"uuid": "nightthemeswitcher@romainvigier.fr", "name": "Night Theme Switcher", "pname": "night-theme-switcher", "description": "Make your desktop easy on the eye, day and night.\n\nAutomatically toggle your light and dark GTK, GNOME Shell, icon and cursor themes variants, switch backgrounds and run custom commands at sunset and sunrise.\n\nSupports Night Light, Location Services, manual schedule and on-demand switch modes.\n", "link": "https://extensions.gnome.org/extension/2236/night-theme-switcher/", "shell_version_map": {"38": {"version": "46", "sha256": "1lw7gdcba82hhfcpihbfkcbigggna3r8kk20zd32ladr5djybcjs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgeW91ciBkZXNrdG9wIGVhc3kgb24gdGhlIGV5ZSwgZGF5IGFuZCBuaWdodC5cblxuQXV0b21hdGljYWxseSB0b2dnbGUgeW91ciBsaWdodCBhbmQgZGFyayBHVEssIEdOT01FIFNoZWxsLCBpY29uIGFuZCBjdXJzb3IgdGhlbWVzIHZhcmlhbnRzLCBzd2l0Y2ggYmFja2dyb3VuZHMgYW5kIHJ1biBjdXN0b20gY29tbWFuZHMgYXQgc3Vuc2V0IGFuZCBzdW5yaXNlLlxuXG5TdXBwb3J0cyBOaWdodCBMaWdodCwgTG9jYXRpb24gU2VydmljZXMsIG1hbnVhbCBzY2hlZHVsZSBhbmQgb24tZGVtYW5kIHN3aXRjaCBtb2Rlcy5cbiIsCiAgImdldHRleHQtZG9tYWluIjogIm5pZ2h0dGhlbWVzd2l0Y2hlckByb21haW52aWdpZXIuZnIiLAogICJuYW1lIjogIk5pZ2h0IFRoZW1lIFN3aXRjaGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm5pZ2h0dGhlbWVzd2l0Y2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9uaWdodHRoZW1lc3dpdGNoZXIucm9tYWludmlnaWVyLmZyIiwKICAidXVpZCI6ICJuaWdodHRoZW1lc3dpdGNoZXJAcm9tYWludmlnaWVyLmZyIiwKICAidmVyc2lvbiI6IDQ2Cn0="}, "40": {"version": "51", "sha256": "0j3h8zajsqgy6df5hbdiijs8zwg4lmi7vcas4gfp2jsqhjmrnfb4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgeW91ciBkZXNrdG9wIGVhc3kgb24gdGhlIGV5ZSwgZGF5IGFuZCBuaWdodC5cblxuQXV0b21hdGljYWxseSB0b2dnbGUgeW91ciBsaWdodCBhbmQgZGFyayBHVEssIEdOT01FIFNoZWxsLCBpY29uIGFuZCBjdXJzb3IgdGhlbWVzIHZhcmlhbnRzLCBzd2l0Y2ggYmFja2dyb3VuZHMgYW5kIHJ1biBjdXN0b20gY29tbWFuZHMgYXQgc3Vuc2V0IGFuZCBzdW5yaXNlLlxuXG5TdXBwb3J0cyBOaWdodCBMaWdodCwgTG9jYXRpb24gU2VydmljZXMsIG1hbnVhbCBzY2hlZHVsZSBhbmQgb24tZGVtYW5kIHN3aXRjaCBtb2Rlcy5cbiIsCiAgImdldHRleHQtZG9tYWluIjogIm5pZ2h0dGhlbWVzd2l0Y2hlckByb21haW52aWdpZXIuZnIiLAogICJuYW1lIjogIk5pZ2h0IFRoZW1lIFN3aXRjaGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm5pZ2h0dGhlbWVzd2l0Y2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vbmlnaHR0aGVtZXN3aXRjaGVyLnJvbWFpbnZpZ2llci5mciIsCiAgInV1aWQiOiAibmlnaHR0aGVtZXN3aXRjaGVyQHJvbWFpbnZpZ2llci5mciIsCiAgInZlcnNpb24iOiA1MQp9"}}}
+, {"uuid": "binaryclock@vancha.march", "name": "binaryclock", "pname": "binaryclock", "description": "adds a binary clock to the gnome bar", "link": "https://extensions.gnome.org/extension/2284/binaryclock/", "shell_version_map": {"38": {"version": "6", "sha256": "1bvzlqfhwlk1sh9q3538yipjwzgndd4wnn2l8wc3sshb93ggvpg6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImFkZHMgYSBiaW5hcnkgY2xvY2sgdG8gdGhlIGdub21lIGJhciIsCiAgIm5hbWUiOiAiYmluYXJ5Y2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzQiLAogICAgIjMuMzIuMiIsCiAgICAiMy4zOCIsCiAgICAiMy4zNi43IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3ZhbmNoYS9nbm9tZVNoZWxsQmluYXJ5Q2xvY2svIiwKICAidXVpZCI6ICJiaW5hcnljbG9ja0B2YW5jaGEubWFyY2giLAogICJ2ZXJzaW9uIjogNgp9"}, "40": {"version": "6", "sha256": "1bvzlqfhwlk1sh9q3538yipjwzgndd4wnn2l8wc3sshb93ggvpg6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImFkZHMgYSBiaW5hcnkgY2xvY2sgdG8gdGhlIGdub21lIGJhciIsCiAgIm5hbWUiOiAiYmluYXJ5Y2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzQiLAogICAgIjMuMzIuMiIsCiAgICAiMy4zOCIsCiAgICAiMy4zNi43IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3ZhbmNoYS9nbm9tZVNoZWxsQmluYXJ5Q2xvY2svIiwKICAidXVpZCI6ICJiaW5hcnljbG9ja0B2YW5jaGEubWFyY2giLAogICJ2ZXJzaW9uIjogNgp9"}}}
+, {"uuid": "lgbutton@glerro.gnome.gitlab.io", "name": "Looking Glass Button", "pname": "looking-glass-button", "description": "Toggle the Looking Glass visibility by clicking on a panel icon.", "link": "https://extensions.gnome.org/extension/2296/looking-glass-button/", "shell_version_map": {"38": {"version": "7", "sha256": "01sbrsfvxwvzgxd5cdvrzb6gl573hw30hy50ihqy43hari95jk8c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZSB0aGUgTG9va2luZyBHbGFzcyB2aXNpYmlsaXR5IGJ5IGNsaWNraW5nIG9uIGEgcGFuZWwgaWNvbi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb24tbGdidXR0b24iLAogICJuYW1lIjogIkxvb2tpbmcgR2xhc3MgQnV0dG9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9nbGVycm8vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWxnYnV0dG9uIiwKICAidXVpZCI6ICJsZ2J1dHRvbkBnbGVycm8uZ25vbWUuZ2l0bGFiLmlvIiwKICAidmVyc2lvbiI6IDcKfQ=="}, "40": {"version": "7", "sha256": "01sbrsfvxwvzgxd5cdvrzb6gl573hw30hy50ihqy43hari95jk8c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZSB0aGUgTG9va2luZyBHbGFzcyB2aXNpYmlsaXR5IGJ5IGNsaWNraW5nIG9uIGEgcGFuZWwgaWNvbi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb24tbGdidXR0b24iLAogICJuYW1lIjogIkxvb2tpbmcgR2xhc3MgQnV0dG9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9nbGVycm8vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWxnYnV0dG9uIiwKICAidXVpZCI6ICJsZ2J1dHRvbkBnbGVycm8uZ25vbWUuZ2l0bGFiLmlvIiwKICAidmVyc2lvbiI6IDcKfQ=="}}}
, {"uuid": "tp_wattmeter@gistart", "name": "tp_wattmeter", "pname": "tp_wattmeter", "description": "Shows battery power consumption of ThinkPad laptops", "link": "https://extensions.gnome.org/extension/2308/tp_wattmeter/", "shell_version_map": {"40": {"version": "4", "sha256": "1v8rw7msfy2d8nwnppdpci62sl6iw8973z5gsl8024awl27ni38z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGJhdHRlcnkgcG93ZXIgY29uc3VtcHRpb24gb2YgVGhpbmtQYWQgbGFwdG9wcyIsCiAgIm5hbWUiOiAidHBfd2F0dG1ldGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2LjAiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9naXN0YXJ0L3RwX3dhdHRtZXRlciIsCiAgInV1aWQiOiAidHBfd2F0dG1ldGVyQGdpc3RhcnQiLAogICJ2ZXJzaW9uIjogNAp9"}}}
, {"uuid": "Denon_AVR_controler@sylter.fr", "name": "Denon AVR controler", "pname": "denon-avr-controler", "description": "Control a Denon audio video receiver through the network.\n- on/off switch\n- volume adjustment", "link": "https://extensions.gnome.org/extension/2371/denon-avr-controler/", "shell_version_map": {"38": {"version": "4", "sha256": "0c8ky3v70arnblix717jz9fsksr42673as9nmzfyh2p2h1zm03wq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnRyb2wgYSBEZW5vbiBhdWRpbyB2aWRlbyByZWNlaXZlciB0aHJvdWdoIHRoZSBuZXR3b3JrLlxuLSBvbi9vZmYgc3dpdGNoXG4tIHZvbHVtZSBhZGp1c3RtZW50IiwKICAibmFtZSI6ICJEZW5vbiBBVlIgY29udHJvbGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2ZyYW1hZ2l0Lm9yZy9zeWx0ZXIvZGVub24tYXZyLWNvbnRyb2xlciIsCiAgInV1aWQiOiAiRGVub25fQVZSX2NvbnRyb2xlckBzeWx0ZXIuZnIiLAogICJ2ZXJzaW9uIjogNAp9"}}}
-, {"uuid": "hide-universal-access@akiirui.github.io", "name": "Hide Universal Access", "pname": "hide-universal-access", "description": "Hide Universal Access icon from the status bar", "link": "https://extensions.gnome.org/extension/2398/hide-universal-access/", "shell_version_map": {"38": {"version": "9", "sha256": "049hj5s2nxa4044z4hcrlz1cmyq9y07ck7lg6brhvjagqncgg152", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgVW5pdmVyc2FsIEFjY2VzcyBpY29uIGZyb20gdGhlIHN0YXR1cyBiYXIiLAogICJuYW1lIjogIkhpZGUgVW5pdmVyc2FsIEFjY2VzcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9ha2lpcnVpL2hpZGUtdW5pdmVyc2FsLWFjY2VzcyIsCiAgInV1aWQiOiAiaGlkZS11bml2ZXJzYWwtYWNjZXNzQGFraWlydWkuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDkKfQ=="}, "40": {"version": "9", "sha256": "049hj5s2nxa4044z4hcrlz1cmyq9y07ck7lg6brhvjagqncgg152", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgVW5pdmVyc2FsIEFjY2VzcyBpY29uIGZyb20gdGhlIHN0YXR1cyBiYXIiLAogICJuYW1lIjogIkhpZGUgVW5pdmVyc2FsIEFjY2VzcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9ha2lpcnVpL2hpZGUtdW5pdmVyc2FsLWFjY2VzcyIsCiAgInV1aWQiOiAiaGlkZS11bml2ZXJzYWwtYWNjZXNzQGFraWlydWkuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDkKfQ=="}}}
+, {"uuid": "hide-universal-access@akiirui.github.io", "name": "Hide Universal Access", "pname": "hide-universal-access", "description": "Hide Universal Access icon from the status bar", "link": "https://extensions.gnome.org/extension/2398/hide-universal-access/", "shell_version_map": {"38": {"version": "10", "sha256": "08sjlxfw19905lbxc8jkb3z8m5kqq7gfxcd57w64wb5xhpwrf379", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgVW5pdmVyc2FsIEFjY2VzcyBpY29uIGZyb20gdGhlIHN0YXR1cyBiYXIiLAogICJuYW1lIjogIkhpZGUgVW5pdmVyc2FsIEFjY2VzcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWtpaXJ1aS9oaWRlLXVuaXZlcnNhbC1hY2Nlc3MiLAogICJ1dWlkIjogImhpZGUtdW5pdmVyc2FsLWFjY2Vzc0Bha2lpcnVpLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxMAp9"}, "40": {"version": "10", "sha256": "08sjlxfw19905lbxc8jkb3z8m5kqq7gfxcd57w64wb5xhpwrf379", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgVW5pdmVyc2FsIEFjY2VzcyBpY29uIGZyb20gdGhlIHN0YXR1cyBiYXIiLAogICJuYW1lIjogIkhpZGUgVW5pdmVyc2FsIEFjY2VzcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWtpaXJ1aS9oaWRlLXVuaXZlcnNhbC1hY2Nlc3MiLAogICJ1dWlkIjogImhpZGUtdW5pdmVyc2FsLWFjY2Vzc0Bha2lpcnVpLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxMAp9"}}}
+, {"uuid": "roundrobintaborder@scottworley.com", "name": "Round Robin Tab Order", "pname": "round-robin-tab-order", "description": "Window switch order becomes round-robin instead of most-recently-used", "link": "https://extensions.gnome.org/extension/2446/round-robin-tab-order/", "shell_version_map": {"40": {"version": "3", "sha256": "0p2qfv6i43pi0hjsyz8xzxkxijr06b0d20q618y8gfj4ar82glv7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldpbmRvdyBzd2l0Y2ggb3JkZXIgYmVjb21lcyByb3VuZC1yb2JpbiBpbnN0ZWFkIG9mIG1vc3QtcmVjZW50bHktdXNlZCIsCiAgIm5hbWUiOiAiUm91bmQgUm9iaW4gVGFiIE9yZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMyIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL2NodWNrL3JvdW5kLXJvYmluLXRhYi1vcmRlciIsCiAgInV1aWQiOiAicm91bmRyb2JpbnRhYm9yZGVyQHNjb3R0d29ybGV5LmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}}}
, {"uuid": "bubblemail@razer.framagit.org", "name": "Bubblemail", "pname": "bubblemail", "description": "New and unread mail indicator (Local, Imap, Pop3, Gmail, Yahoo mail...)\nIndicator for new mails from local mail boxes (MBOX, MAILDIR), POP3 or IMAP server.\n\nBE AWARE THAT THIS EXTENSION REQUIRES BUBBLEMAIL SERVICE INSTALLATION\nCheck your distribution packaging system for availability. Besides, packages for distributions and source tarballs can be found here :\nhttp://bubblemail.free.fr\n\nBubblemail is a complete rewrite of the mailnag project, with a lot of new features including :\n* Gnome online accounts are automaticaly synced\n* Avatars provided by the server, with default colorized icons for senders without specific avatar\n* Reports for connection errors.\n\n Please report any issue on the gitlab pages of the project :\nhttps://framagit.org/razer/bubblemail/issues\nhttps://framagit.org/razer/bubblemail-gnome-shell/issues", "link": "https://extensions.gnome.org/extension/2458/bubblemail/", "shell_version_map": {"38": {"version": "14", "sha256": "12w53h0bglk1zyr6r9sxgrd223r628jaibbh7m5qyvc50i7m57f2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5ldyBhbmQgdW5yZWFkIG1haWwgaW5kaWNhdG9yIChMb2NhbCwgSW1hcCwgUG9wMywgR21haWwsIFlhaG9vIG1haWwuLi4pXG5JbmRpY2F0b3IgZm9yIG5ldyBtYWlscyBmcm9tIGxvY2FsIG1haWwgYm94ZXMgKE1CT1gsIE1BSUxESVIpLCBQT1AzIG9yIElNQVAgc2VydmVyLlxuXG5CRSBBV0FSRSBUSEFUIFRISVMgRVhURU5TSU9OIFJFUVVJUkVTIEJVQkJMRU1BSUwgU0VSVklDRSBJTlNUQUxMQVRJT05cbkNoZWNrIHlvdXIgZGlzdHJpYnV0aW9uIHBhY2thZ2luZyBzeXN0ZW0gZm9yIGF2YWlsYWJpbGl0eS4gQmVzaWRlcywgcGFja2FnZXMgZm9yIGRpc3RyaWJ1dGlvbnMgYW5kIHNvdXJjZSB0YXJiYWxscyBjYW4gYmUgZm91bmQgaGVyZSA6XG5odHRwOi8vYnViYmxlbWFpbC5mcmVlLmZyXG5cbkJ1YmJsZW1haWwgaXMgYSBjb21wbGV0ZSByZXdyaXRlIG9mIHRoZSBtYWlsbmFnIHByb2plY3QsIHdpdGggYSBsb3Qgb2YgbmV3IGZlYXR1cmVzIGluY2x1ZGluZyA6XG4qIEdub21lIG9ubGluZSBhY2NvdW50cyBhcmUgYXV0b21hdGljYWx5IHN5bmNlZFxuKiBBdmF0YXJzIHByb3ZpZGVkIGJ5IHRoZSBzZXJ2ZXIsIHdpdGggZGVmYXVsdCBjb2xvcml6ZWQgaWNvbnMgZm9yIHNlbmRlcnMgd2l0aG91dCBzcGVjaWZpYyBhdmF0YXJcbiogUmVwb3J0cyBmb3IgY29ubmVjdGlvbiBlcnJvcnMuXG5cbiBQbGVhc2UgcmVwb3J0IGFueSBpc3N1ZSBvbiB0aGUgZ2l0bGFiIHBhZ2VzIG9mIHRoZSBwcm9qZWN0IDpcbmh0dHBzOi8vZnJhbWFnaXQub3JnL3JhemVyL2J1YmJsZW1haWwvaXNzdWVzXG5odHRwczovL2ZyYW1hZ2l0Lm9yZy9yYXplci9idWJibGVtYWlsLWdub21lLXNoZWxsL2lzc3VlcyIsCiAgImdldHRleHQtZG9tYWluIjogImJ1YmJsZW1haWwtZ25vbWUtc2hlbGwiLAogICJuYW1lIjogIkJ1YmJsZW1haWwiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgInJhemVycmF6QGZyZWUuZnIiLAogICAgInp1bHU5OUBnbXgubmV0IgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idWJibGVtYWlsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cDovL2J1YmJsZW1haWwuZnJlZS5mciIsCiAgInV1aWQiOiAiYnViYmxlbWFpbEByYXplci5mcmFtYWdpdC5vcmciLAogICJ2ZXJzaW9uIjogMTQKfQ=="}, "40": {"version": "14", "sha256": "12w53h0bglk1zyr6r9sxgrd223r628jaibbh7m5qyvc50i7m57f2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5ldyBhbmQgdW5yZWFkIG1haWwgaW5kaWNhdG9yIChMb2NhbCwgSW1hcCwgUG9wMywgR21haWwsIFlhaG9vIG1haWwuLi4pXG5JbmRpY2F0b3IgZm9yIG5ldyBtYWlscyBmcm9tIGxvY2FsIG1haWwgYm94ZXMgKE1CT1gsIE1BSUxESVIpLCBQT1AzIG9yIElNQVAgc2VydmVyLlxuXG5CRSBBV0FSRSBUSEFUIFRISVMgRVhURU5TSU9OIFJFUVVJUkVTIEJVQkJMRU1BSUwgU0VSVklDRSBJTlNUQUxMQVRJT05cbkNoZWNrIHlvdXIgZGlzdHJpYnV0aW9uIHBhY2thZ2luZyBzeXN0ZW0gZm9yIGF2YWlsYWJpbGl0eS4gQmVzaWRlcywgcGFja2FnZXMgZm9yIGRpc3RyaWJ1dGlvbnMgYW5kIHNvdXJjZSB0YXJiYWxscyBjYW4gYmUgZm91bmQgaGVyZSA6XG5odHRwOi8vYnViYmxlbWFpbC5mcmVlLmZyXG5cbkJ1YmJsZW1haWwgaXMgYSBjb21wbGV0ZSByZXdyaXRlIG9mIHRoZSBtYWlsbmFnIHByb2plY3QsIHdpdGggYSBsb3Qgb2YgbmV3IGZlYXR1cmVzIGluY2x1ZGluZyA6XG4qIEdub21lIG9ubGluZSBhY2NvdW50cyBhcmUgYXV0b21hdGljYWx5IHN5bmNlZFxuKiBBdmF0YXJzIHByb3ZpZGVkIGJ5IHRoZSBzZXJ2ZXIsIHdpdGggZGVmYXVsdCBjb2xvcml6ZWQgaWNvbnMgZm9yIHNlbmRlcnMgd2l0aG91dCBzcGVjaWZpYyBhdmF0YXJcbiogUmVwb3J0cyBmb3IgY29ubmVjdGlvbiBlcnJvcnMuXG5cbiBQbGVhc2UgcmVwb3J0IGFueSBpc3N1ZSBvbiB0aGUgZ2l0bGFiIHBhZ2VzIG9mIHRoZSBwcm9qZWN0IDpcbmh0dHBzOi8vZnJhbWFnaXQub3JnL3JhemVyL2J1YmJsZW1haWwvaXNzdWVzXG5odHRwczovL2ZyYW1hZ2l0Lm9yZy9yYXplci9idWJibGVtYWlsLWdub21lLXNoZWxsL2lzc3VlcyIsCiAgImdldHRleHQtZG9tYWluIjogImJ1YmJsZW1haWwtZ25vbWUtc2hlbGwiLAogICJuYW1lIjogIkJ1YmJsZW1haWwiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgInJhemVycmF6QGZyZWUuZnIiLAogICAgInp1bHU5OUBnbXgubmV0IgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idWJibGVtYWlsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cDovL2J1YmJsZW1haWwuZnJlZS5mciIsCiAgInV1aWQiOiAiYnViYmxlbWFpbEByYXplci5mcmFtYWdpdC5vcmciLAogICJ2ZXJzaW9uIjogMTQKfQ=="}}}
-, {"uuid": "keypadTiling@abakkk.framagit.org", "name": "Keypad Tiling", "pname": "keypad-tiling", "description": "Tile windows with your keypad.\n\nFeatures:\n- Tile focused window with Super + divide/0/1/.../9 keypad keys\n- Get window completion popup with Super + Alt + 1/.../9 keypad keys\n- Customize keybindings in preferences\n\nBugs on Wayland, in particular with window completion (annoying delays between move/resize operations are used as workaround).\nTiling is not exactly the same as the one that GNOME Shell provides. For instance left and right tiling are more basic while top, bottom and corner tiling gains resizing.\nDefault keybindings could conflict with those of other extensions like popular Dash to Dock and Dash to Panel (see either Keypad Tiling or other extension preferences).\n\nGNOME Shell 41 and later are not supported.", "link": "https://extensions.gnome.org/extension/2473/keypad-tiling/", "shell_version_map": {"38": {"version": "4", "sha256": "048ddam3im2b1g7mfqycvwarr354wii54xclc27h4mr3x3ixgmmr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyB3aXRoIHlvdXIga2V5cGFkLlxuXG5GZWF0dXJlczpcbi0gVGlsZSBmb2N1c2VkIHdpbmRvdyB3aXRoIFN1cGVyICsgZGl2aWRlLzAvMS8uLi4vOSBrZXlwYWQga2V5c1xuLSBHZXQgd2luZG93IGNvbXBsZXRpb24gcG9wdXAgd2l0aCBTdXBlciArIEFsdCArIDEvLi4uLzkga2V5cGFkIGtleXNcbi0gQ3VzdG9taXplIGtleWJpbmRpbmdzIGluIHByZWZlcmVuY2VzXG5cbkJ1Z3Mgb24gV2F5bGFuZCwgaW4gcGFydGljdWxhciB3aXRoIHdpbmRvdyBjb21wbGV0aW9uIChhbm5veWluZyBkZWxheXMgYmV0d2VlbiBtb3ZlL3Jlc2l6ZSBvcGVyYXRpb25zIGFyZSB1c2VkIGFzIHdvcmthcm91bmQpLlxuVGlsaW5nIGlzIG5vdCBleGFjdGx5IHRoZSBzYW1lIGFzIHRoZSBvbmUgdGhhdCBHTk9NRSBTaGVsbCBwcm92aWRlcy4gRm9yIGluc3RhbmNlIGxlZnQgYW5kIHJpZ2h0IHRpbGluZyBhcmUgbW9yZSBiYXNpYyB3aGlsZSB0b3AsIGJvdHRvbSBhbmQgY29ybmVyIHRpbGluZyBnYWlucyByZXNpemluZy5cbkRlZmF1bHQga2V5YmluZGluZ3MgY291bGQgY29uZmxpY3Qgd2l0aCB0aG9zZSBvZiBvdGhlciBleHRlbnNpb25zIGxpa2UgcG9wdWxhciBEYXNoIHRvIERvY2sgYW5kIERhc2ggdG8gUGFuZWwgKHNlZSBlaXRoZXIgS2V5cGFkIFRpbGluZyBvciBvdGhlciBleHRlbnNpb24gcHJlZmVyZW5jZXMpLlxuXG5HTk9NRSBTaGVsbCA0MSBhbmQgbGF0ZXIgYXJlIG5vdCBzdXBwb3J0ZWQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAia2V5cGFkLXRpbGluZyIsCiAgIm5hbWUiOiAiS2V5cGFkIFRpbGluZyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5rZXlwYWQtdGlsaW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2ZyYW1hZ2l0Lm9yZy9hYmFra2svS2V5cGFkVGlsaW5nIiwKICAidXVpZCI6ICJrZXlwYWRUaWxpbmdAYWJha2trLmZyYW1hZ2l0Lm9yZyIsCiAgInZlcnNpb24iOiA0Cn0="}}}
+, {"uuid": "keypadTiling@abakkk.framagit.org", "name": "Keypad Tiling", "pname": "keypad-tiling", "description": "", "link": "https://extensions.gnome.org/extension/2473/keypad-tiling/", "shell_version_map": {"38": {"version": "4", "sha256": "1v0hxg96l482wngrszh0xabgj95q7rmyimd2rxnbkddd2gascnya", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiIsCiAgImdldHRleHQtZG9tYWluIjogImtleXBhZC10aWxpbmciLAogICJuYW1lIjogIktleXBhZCBUaWxpbmciLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMua2V5cGFkLXRpbGluZyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJrZXlwYWRUaWxpbmdAYWJha2trLmZyYW1hZ2l0Lm9yZyIsCiAgInZlcnNpb24iOiA0Cn0="}}}
, {"uuid": "reminder_alarm_clock@trifonovkv.gmail.com", "name": "Reminder Alarm Clock", "pname": "reminder-alarm-clock", "description": "The reminder alarm clock will remind you of an important event at the appointed time.", "link": "https://extensions.gnome.org/extension/2482/reminder-alarm-clock/", "shell_version_map": {"38": {"version": "40", "sha256": "0yljdig44gly3fky4ls42shbpvf2387kgnn1dfla9zmxxzjdkryq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSByZW1pbmRlciBhbGFybSBjbG9jayB3aWxsIHJlbWluZCB5b3Ugb2YgYW4gaW1wb3J0YW50IGV2ZW50IGF0IHRoZSBhcHBvaW50ZWQgdGltZS4iLAogICJuYW1lIjogIlJlbWluZGVyIEFsYXJtIENsb2NrIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4LjEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90cmlmb25vdmt2L1JlbWluZGVyQWxhcm1DbG9jayIsCiAgInV1aWQiOiAicmVtaW5kZXJfYWxhcm1fY2xvY2tAdHJpZm9ub3Zrdi5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNDAKfQ=="}}}
-, {"uuid": "TaskBar@c0ldplasma", "name": "TaskBar 2020", "pname": "taskbar-updated", "description": "!!! Development stopped !!!!\n\nTaskBar 2020 displays icons of running applications and favorites on the top panel or alternatively on a new bottom panel. Activate, minimize or close tasks with a simple click. \n\nTaskBar 2020 is a dock-like windows list on the top/bottom bar. \n\nFork of zpydr/gnome-shell-extension-taskbar to support newer versions of GNOME", "link": "https://extensions.gnome.org/extension/2506/taskbar-updated/", "shell_version_map": {"38": {"version": "5", "sha256": "1wn3040z6znmk2nwh9n0l6q09sp7y3rx0nnm0274n1xsiw7pbwy3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiEhISBEZXZlbG9wbWVudCBzdG9wcGVkICEhISFcblxuVGFza0JhciAyMDIwIGRpc3BsYXlzIGljb25zIG9mIHJ1bm5pbmcgYXBwbGljYXRpb25zIGFuZCBmYXZvcml0ZXMgb24gdGhlIHRvcCBwYW5lbCBvciBhbHRlcm5hdGl2ZWx5IG9uIGEgbmV3IGJvdHRvbSBwYW5lbC4gQWN0aXZhdGUsIG1pbmltaXplIG9yIGNsb3NlIHRhc2tzIHdpdGggYSBzaW1wbGUgY2xpY2suIFxuXG5UYXNrQmFyIDIwMjAgaXMgYSBkb2NrLWxpa2Ugd2luZG93cyBsaXN0IG9uIHRoZSB0b3AvYm90dG9tIGJhci4gXG5cbkZvcmsgb2YgenB5ZHIvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRhc2tiYXIgdG8gc3VwcG9ydCBuZXdlciB2ZXJzaW9ucyBvZiBHTk9NRSIsCiAgIm5hbWUiOiAiVGFza0JhciAyMDIwIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYzBsZHBsYXNtYS9nbm9tZS1zaGVsbC1leHRlbnNpb24tdGFza2JhciIsCiAgInV1aWQiOiAiVGFza0JhckBjMGxkcGxhc21hIiwKICAidmVyc2lvbiI6IDUKfQ=="}, "40": {"version": "8", "sha256": "0czby48izk0yjpkgjh6bl6b922qg0ycc53gq7n733dgchnn76g2p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiEhISBEZXZlbG9wbWVudCBzdG9wcGVkICEhISFcblxuVGFza0JhciAyMDIwIGRpc3BsYXlzIGljb25zIG9mIHJ1bm5pbmcgYXBwbGljYXRpb25zIGFuZCBmYXZvcml0ZXMgb24gdGhlIHRvcCBwYW5lbCBvciBhbHRlcm5hdGl2ZWx5IG9uIGEgbmV3IGJvdHRvbSBwYW5lbC4gQWN0aXZhdGUsIG1pbmltaXplIG9yIGNsb3NlIHRhc2tzIHdpdGggYSBzaW1wbGUgY2xpY2suIFxuXG5UYXNrQmFyIDIwMjAgaXMgYSBkb2NrLWxpa2Ugd2luZG93cyBsaXN0IG9uIHRoZSB0b3AvYm90dG9tIGJhci4gXG5cbkZvcmsgb2YgenB5ZHIvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRhc2tiYXIgdG8gc3VwcG9ydCBuZXdlciB2ZXJzaW9ucyBvZiBHTk9NRSIsCiAgIm5hbWUiOiAiVGFza0JhciAyMDIwIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2MwbGRwbGFzbWEvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRhc2tiYXIiLAogICJ1dWlkIjogIlRhc2tCYXJAYzBsZHBsYXNtYSIsCiAgInZlcnNpb24iOiA4Cn0="}}}
-, {"uuid": "floatingDock@sun.wxg@gmail.com", "name": "Floating Dock", "pname": "floating-dock", "description": "Move dock anywhere on the desktop\n\nPress Ctrl+Alt+k to vi mode\nPress lowercase alphabet, open new window or active the window\nPress uppercase alphabet, force to open new window\n\nPoint on the main button, change workspace by mouse scroll\nRight click the main button, show some selections", "link": "https://extensions.gnome.org/extension/2542/floating-dock/", "shell_version_map": {"38": {"version": "12", "sha256": "1844hhr0z4wd0wvh29q0sxh6xmwq7chg3kr3sa3c46q8n97i78x2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgZG9jayBhbnl3aGVyZSBvbiB0aGUgZGVza3RvcFxuXG5QcmVzcyBDdHJsK0FsdCtrIHRvIHZpIG1vZGVcblByZXNzIGxvd2VyY2FzZSBhbHBoYWJldCwgb3BlbiBuZXcgd2luZG93IG9yIGFjdGl2ZSB0aGUgd2luZG93XG5QcmVzcyB1cHBlcmNhc2UgYWxwaGFiZXQsIGZvcmNlIHRvIG9wZW4gbmV3IHdpbmRvd1xuXG5Qb2ludCBvbiB0aGUgbWFpbiBidXR0b24sIGNoYW5nZSB3b3Jrc3BhY2UgYnkgbW91c2Ugc2Nyb2xsXG5SaWdodCBjbGljayB0aGUgbWFpbiBidXR0b24sIHNob3cgc29tZSBzZWxlY3Rpb25zIiwKICAibmFtZSI6ICJGbG9hdGluZyBEb2NrIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6ICJzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N1bnd4Zy9nbm9tZS1zaGVsbC1leHRlbnNpb24tZmxvYXRpbmdEb2NrIiwKICAidXVpZCI6ICJmbG9hdGluZ0RvY2tAc3VuLnd4Z0BnbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTIKfQ=="}, "40": {"version": "17", "sha256": "0g6wc343vky2l44j00c19mn6jw24xnfqsnwv7q7l264ax9hqc1ga", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgZG9jayBhbnl3aGVyZSBvbiB0aGUgZGVza3RvcFxuXG5QcmVzcyBDdHJsK0FsdCtrIHRvIHZpIG1vZGVcblByZXNzIGxvd2VyY2FzZSBhbHBoYWJldCwgb3BlbiBuZXcgd2luZG93IG9yIGFjdGl2ZSB0aGUgd2luZG93XG5QcmVzcyB1cHBlcmNhc2UgYWxwaGFiZXQsIGZvcmNlIHRvIG9wZW4gbmV3IHdpbmRvd1xuXG5Qb2ludCBvbiB0aGUgbWFpbiBidXR0b24sIGNoYW5nZSB3b3Jrc3BhY2UgYnkgbW91c2Ugc2Nyb2xsXG5SaWdodCBjbGljayB0aGUgbWFpbiBidXR0b24sIHNob3cgc29tZSBzZWxlY3Rpb25zIiwKICAibmFtZSI6ICJGbG9hdGluZyBEb2NrIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6ICJzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdW53eGcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWZsb2F0aW5nRG9jayIsCiAgInV1aWQiOiAiZmxvYXRpbmdEb2NrQHN1bi53eGdAZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDE3Cn0="}}}
+, {"uuid": "TaskBar@c0ldplasma", "name": "TaskBar 2020", "pname": "taskbar-updated", "description": "!!! Development stopped !!!! Look at Dash to Panel as an alternative: https://extensions.gnome.org/extension/1160/dash-to-panel/\n\n----------------------------------------------------------------------\n\nTaskBar 2020 displays icons of running applications and favorites on the top panel or alternatively on a new bottom panel. Activate, minimize or close tasks with a simple click. \n\nTaskBar 2020 is a dock-like windows list on the top/bottom bar. \n\nFork of zpydr/gnome-shell-extension-taskbar to support newer versions of GNOME", "link": "https://extensions.gnome.org/extension/2506/taskbar-updated/", "shell_version_map": {"38": {"version": "5", "sha256": "09yn1p0vmq70ll7vi3jdjvj479cm38r4am0mw08nca8hl4zdiamj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiEhISBEZXZlbG9wbWVudCBzdG9wcGVkICEhISEgIExvb2sgYXQgRGFzaCB0byBQYW5lbCBhcyBhbiBhbHRlcm5hdGl2ZTogaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vMTE2MC9kYXNoLXRvLXBhbmVsL1xuXG4tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tXG5cblRhc2tCYXIgMjAyMCBkaXNwbGF5cyBpY29ucyBvZiBydW5uaW5nIGFwcGxpY2F0aW9ucyBhbmQgZmF2b3JpdGVzIG9uIHRoZSB0b3AgcGFuZWwgb3IgYWx0ZXJuYXRpdmVseSBvbiBhIG5ldyBib3R0b20gcGFuZWwuIEFjdGl2YXRlLCBtaW5pbWl6ZSBvciBjbG9zZSB0YXNrcyB3aXRoIGEgc2ltcGxlIGNsaWNrLiBcblxuVGFza0JhciAyMDIwIGlzIGEgZG9jay1saWtlIHdpbmRvd3MgbGlzdCBvbiB0aGUgdG9wL2JvdHRvbSBiYXIuIFxuXG5Gb3JrIG9mIHpweWRyL2dub21lLXNoZWxsLWV4dGVuc2lvbi10YXNrYmFyIHRvIHN1cHBvcnQgbmV3ZXIgdmVyc2lvbnMgb2YgR05PTUUiLAogICJuYW1lIjogIlRhc2tCYXIgMjAyMCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2MwbGRwbGFzbWEvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRhc2tiYXIiLAogICJ1dWlkIjogIlRhc2tCYXJAYzBsZHBsYXNtYSIsCiAgInZlcnNpb24iOiA1Cn0="}, "40": {"version": "8", "sha256": "0a2fwmm1n5n2ifryb6yfzh4nj4h11qkphpxvp876fyll03y9p2m5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiEhISBEZXZlbG9wbWVudCBzdG9wcGVkICEhISEgIExvb2sgYXQgRGFzaCB0byBQYW5lbCBhcyBhbiBhbHRlcm5hdGl2ZTogaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vMTE2MC9kYXNoLXRvLXBhbmVsL1xuXG4tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tXG5cblRhc2tCYXIgMjAyMCBkaXNwbGF5cyBpY29ucyBvZiBydW5uaW5nIGFwcGxpY2F0aW9ucyBhbmQgZmF2b3JpdGVzIG9uIHRoZSB0b3AgcGFuZWwgb3IgYWx0ZXJuYXRpdmVseSBvbiBhIG5ldyBib3R0b20gcGFuZWwuIEFjdGl2YXRlLCBtaW5pbWl6ZSBvciBjbG9zZSB0YXNrcyB3aXRoIGEgc2ltcGxlIGNsaWNrLiBcblxuVGFza0JhciAyMDIwIGlzIGEgZG9jay1saWtlIHdpbmRvd3MgbGlzdCBvbiB0aGUgdG9wL2JvdHRvbSBiYXIuIFxuXG5Gb3JrIG9mIHpweWRyL2dub21lLXNoZWxsLWV4dGVuc2lvbi10YXNrYmFyIHRvIHN1cHBvcnQgbmV3ZXIgdmVyc2lvbnMgb2YgR05PTUUiLAogICJuYW1lIjogIlRhc2tCYXIgMjAyMCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9jMGxkcGxhc21hL2dub21lLXNoZWxsLWV4dGVuc2lvbi10YXNrYmFyIiwKICAidXVpZCI6ICJUYXNrQmFyQGMwbGRwbGFzbWEiLAogICJ2ZXJzaW9uIjogOAp9"}}}
+, {"uuid": "kernel-indicator@elboulangero.gitlab.com", "name": "Kernel Indicator", "pname": "kernel-indicator", "description": "Display the kernel version in the top bar", "link": "https://extensions.gnome.org/extension/2512/kernel-indicator/", "shell_version_map": {"40": {"version": "3", "sha256": "1ysfagpfysrvhvx16212828sw85sv0zkp28qb0wj7v3qzchjj5dm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgdGhlIGtlcm5lbCB2ZXJzaW9uIGluIHRoZSB0b3AgYmFyIiwKICAibmFtZSI6ICJLZXJuZWwgSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2VsYm91bGFuZ2Vyby9nbm9tZS1zaGVsbC1leHRlbnNpb24ta2VybmVsLWluZGljYXRvciIsCiAgInV1aWQiOiAia2VybmVsLWluZGljYXRvckBlbGJvdWxhbmdlcm8uZ2l0bGFiLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}}}
+, {"uuid": "floatingDock@sun.wxg@gmail.com", "name": "Floating Dock", "pname": "floating-dock", "description": "Move dock anywhere on the desktop\n\nPress Ctrl+Alt+k to vi mode\nPress lowercase alphabet, open new window or active the window\nPress uppercase alphabet, force to open new window\n\nPoint on the main button, change workspace by mouse scroll\nRight click the main button, show some selections", "link": "https://extensions.gnome.org/extension/2542/floating-dock/", "shell_version_map": {"38": {"version": "12", "sha256": "1844hhr0z4wd0wvh29q0sxh6xmwq7chg3kr3sa3c46q8n97i78x2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgZG9jayBhbnl3aGVyZSBvbiB0aGUgZGVza3RvcFxuXG5QcmVzcyBDdHJsK0FsdCtrIHRvIHZpIG1vZGVcblByZXNzIGxvd2VyY2FzZSBhbHBoYWJldCwgb3BlbiBuZXcgd2luZG93IG9yIGFjdGl2ZSB0aGUgd2luZG93XG5QcmVzcyB1cHBlcmNhc2UgYWxwaGFiZXQsIGZvcmNlIHRvIG9wZW4gbmV3IHdpbmRvd1xuXG5Qb2ludCBvbiB0aGUgbWFpbiBidXR0b24sIGNoYW5nZSB3b3Jrc3BhY2UgYnkgbW91c2Ugc2Nyb2xsXG5SaWdodCBjbGljayB0aGUgbWFpbiBidXR0b24sIHNob3cgc29tZSBzZWxlY3Rpb25zIiwKICAibmFtZSI6ICJGbG9hdGluZyBEb2NrIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6ICJzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N1bnd4Zy9nbm9tZS1zaGVsbC1leHRlbnNpb24tZmxvYXRpbmdEb2NrIiwKICAidXVpZCI6ICJmbG9hdGluZ0RvY2tAc3VuLnd4Z0BnbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTIKfQ=="}, "40": {"version": "21", "sha256": "0qj1vqd44clpr72j5lccvva48kzaz76zd48k6nxzvnkgh2n5dh29", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgZG9jayBhbnl3aGVyZSBvbiB0aGUgZGVza3RvcFxuXG5QcmVzcyBDdHJsK0FsdCtrIHRvIHZpIG1vZGVcblByZXNzIGxvd2VyY2FzZSBhbHBoYWJldCwgb3BlbiBuZXcgd2luZG93IG9yIGFjdGl2ZSB0aGUgd2luZG93XG5QcmVzcyB1cHBlcmNhc2UgYWxwaGFiZXQsIGZvcmNlIHRvIG9wZW4gbmV3IHdpbmRvd1xuXG5Qb2ludCBvbiB0aGUgbWFpbiBidXR0b24sIGNoYW5nZSB3b3Jrc3BhY2UgYnkgbW91c2Ugc2Nyb2xsXG5SaWdodCBjbGljayB0aGUgbWFpbiBidXR0b24sIHNob3cgc29tZSBzZWxlY3Rpb25zIiwKICAibmFtZSI6ICJGbG9hdGluZyBEb2NrIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6ICJzdW4ud3hnQGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdW53eGcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWZsb2F0aW5nRG9jayIsCiAgInV1aWQiOiAiZmxvYXRpbmdEb2NrQHN1bi53eGdAZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDIxCn0="}}}
, {"uuid": "maxi@darkretailer.github.com", "name": "Maxi", "pname": "maxi", "description": "Maximize your windows vertical and/or horizontal (based on https://github.com/aXe1/gnome-shell-extension-maximized-by-default)", "link": "https://extensions.gnome.org/extension/2554/maxi/", "shell_version_map": {"40": {"version": "5", "sha256": "121nd6ggr9id3yfsrkiza7rjq19638p156ym83i3j57ikz6r3ky5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1heGltaXplIHlvdXIgd2luZG93cyB2ZXJ0aWNhbCBhbmQvb3IgaG9yaXpvbnRhbCAoYmFzZWQgb24gaHR0cHM6Ly9naXRodWIuY29tL2FYZTEvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLW1heGltaXplZC1ieS1kZWZhdWx0KSIsCiAgImV4dGVuc2lvbi1pZCI6ICJtYXhpIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiTWF4aSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5tYXhpIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2RhcmtyZXRhaWxlci9nbm9tZS1zaGVsbC1leHRlbnNpb25fbWF4aSIsCiAgInV1aWQiOiAibWF4aUBkYXJrcmV0YWlsZXIuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA1Cn0="}}}
, {"uuid": "gnordvpn-local@isopolito", "name": "gNordVPN-Local", "pname": "gnordvpn-local", "description": "A Gnome extension that shows the NordVPN status in the top bar and provides the ability to confiure certain aspects of the connection.", "link": "https://extensions.gnome.org/extension/2569/gnordvpn-local/", "shell_version_map": {"38": {"version": "6", "sha256": "1w5nz2688iyjf7cnaxa45h9m6l97ssf51c3szfabnbpa4d8vbqb3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgZXh0ZW5zaW9uIHRoYXQgc2hvd3MgdGhlIE5vcmRWUE4gc3RhdHVzIGluIHRoZSB0b3AgYmFyIGFuZCBwcm92aWRlcyB0aGUgYWJpbGl0eSB0byBjb25maXVyZSBjZXJ0YWluIGFzcGVjdHMgb2YgdGhlIGNvbm5lY3Rpb24uIiwKICAibmFtZSI6ICJnTm9yZFZQTi1Mb2NhbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOC4xIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vSXNvcG9saXRvL2dOb3JkVlBOLUxvY2FsIiwKICAidXVpZCI6ICJnbm9yZHZwbi1sb2NhbEBpc29wb2xpdG8iLAogICJ2ZXJzaW9uIjogNgp9"}}}
, {"uuid": "fully-transparent-top-bar@aunetx", "name": "Smart transparent topbar", "pname": "fully-transparent-top-bar", "description": "Permits to change topbar's look and feel when free-floating.\n\nIf you have issues or recommandations, you can tell me on github so I can see them!", "link": "https://extensions.gnome.org/extension/2588/fully-transparent-top-bar/", "shell_version_map": {"38": {"version": "11", "sha256": "1mksqaxw7jzzdghzii1bhhkbsccxb23qa69f3x6hg32ig9qi762x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlBlcm1pdHMgdG8gY2hhbmdlIHRvcGJhcidzIGxvb2sgYW5kIGZlZWwgd2hlbiBmcmVlLWZsb2F0aW5nLlxuXG5JZiB5b3UgaGF2ZSBpc3N1ZXMgb3IgcmVjb21tYW5kYXRpb25zLCB5b3UgY2FuIHRlbGwgbWUgb24gZ2l0aHViIHNvIEkgY2FuIHNlZSB0aGVtISIsCiAgIm5hbWUiOiAiU21hcnQgdHJhbnNwYXJlbnQgdG9wYmFyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYXVuZXR4L2dub21lLXNoZWxsLWV4dGVuc2lvbi10cmFuc3BhcmVudC10b3AtYmFyIiwKICAidXVpZCI6ICJmdWxseS10cmFuc3BhcmVudC10b3AtYmFyQGF1bmV0eCIsCiAgInZlcnNpb24iOiAxMQp9"}}}
-, {"uuid": "always-indicator@martin.zurowietz.de", "name": "Always Indicator", "pname": "always-indicator", "description": "Always show the new messages indicator on new messages. Features: 1) New message indicator is always shown if there are notifications. 2) The color of the indicator can be customized. 3) If 'do not disturb' is active, the icon is displayed in the custom color if there are notifications.", "link": "https://extensions.gnome.org/extension/2594/always-indicator/", "shell_version_map": {"40": {"version": "6", "sha256": "0gbfqi121yzyyzvanbq8hcczd783afknpq5nwcxmwlf9xyi0m5hl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsd2F5cyBzaG93IHRoZSBuZXcgbWVzc2FnZXMgaW5kaWNhdG9yIG9uIG5ldyBtZXNzYWdlcy4gRmVhdHVyZXM6IDEpIE5ldyBtZXNzYWdlIGluZGljYXRvciBpcyBhbHdheXMgc2hvd24gaWYgdGhlcmUgYXJlIG5vdGlmaWNhdGlvbnMuIDIpIFRoZSBjb2xvciBvZiB0aGUgaW5kaWNhdG9yIGNhbiBiZSBjdXN0b21pemVkLiAzKSBJZiAnZG8gbm90IGRpc3R1cmInIGlzIGFjdGl2ZSwgdGhlIGljb24gaXMgZGlzcGxheWVkIGluIHRoZSBjdXN0b20gY29sb3IgaWYgdGhlcmUgYXJlIG5vdGlmaWNhdGlvbnMuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYWx3YXlzLWluZGljYXRvciIsCiAgIm5hbWUiOiAiQWx3YXlzIEluZGljYXRvciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hbHdheXMtaW5kaWNhdG9yLXNldHRpbmdzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL216dXIvZ25vbWUtc2hlbGwtYWx3YXlzLWluZGljYXRvciIsCiAgInV1aWQiOiAiYWx3YXlzLWluZGljYXRvckBtYXJ0aW4uenVyb3dpZXR6LmRlIiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
-, {"uuid": "eruption-profile-switcher@x3n0m0rph59.org", "name": "Eruption Profile Switcher", "pname": "eruption-profile-switcher", "description": "Runtime profile switcher for the Eruption Linux input and LED driver for keyboards, mice and other devices", "link": "https://extensions.gnome.org/extension/2621/eruption-profile-switcher/", "shell_version_map": {"38": {"version": "15", "sha256": "1jy9m2inx8jjwsy666hg67i7d8py2ar4drn2i8si7d80cba45al4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJ1bnRpbWUgcHJvZmlsZSBzd2l0Y2hlciBmb3IgdGhlIEVydXB0aW9uIExpbnV4IGlucHV0IGFuZCBMRUQgZHJpdmVyIGZvciBrZXlib2FyZHMsIG1pY2UgYW5kIG90aGVyIGRldmljZXMiLAogICJuYW1lIjogIkVydXB0aW9uIFByb2ZpbGUgU3dpdGNoZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLmJldGEiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vWDNuMG0wcnBoNTkvZXJ1cHRpb24tcHJvZmlsZS1zd2l0Y2hlciIsCiAgInV1aWQiOiAiZXJ1cHRpb24tcHJvZmlsZS1zd2l0Y2hlckB4M24wbTBycGg1OS5vcmciLAogICJ2ZXJzaW9uIjogMTUKfQ=="}, "40": {"version": "15", "sha256": "1jy9m2inx8jjwsy666hg67i7d8py2ar4drn2i8si7d80cba45al4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJ1bnRpbWUgcHJvZmlsZSBzd2l0Y2hlciBmb3IgdGhlIEVydXB0aW9uIExpbnV4IGlucHV0IGFuZCBMRUQgZHJpdmVyIGZvciBrZXlib2FyZHMsIG1pY2UgYW5kIG90aGVyIGRldmljZXMiLAogICJuYW1lIjogIkVydXB0aW9uIFByb2ZpbGUgU3dpdGNoZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLmJldGEiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vWDNuMG0wcnBoNTkvZXJ1cHRpb24tcHJvZmlsZS1zd2l0Y2hlciIsCiAgInV1aWQiOiAiZXJ1cHRpb24tcHJvZmlsZS1zd2l0Y2hlckB4M24wbTBycGg1OS5vcmciLAogICJ2ZXJzaW9uIjogMTUKfQ=="}}}
-, {"uuid": "hide-minimized@danigm.net", "name": "Hide minimized", "pname": "hide-minimized", "description": "Hide minimized in overview", "link": "https://extensions.gnome.org/extension/2639/hide-minimized/", "shell_version_map": {"38": {"version": "2", "sha256": "1bgy13a6fzvbaa3sxwhmb671ar1m6l0bmk04p76i1laldvyxvy45", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgbWluaW1pemVkIGluIG92ZXJ2aWV3IiwKICAibmFtZSI6ICJIaWRlIG1pbmltaXplZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2RhbmlnbS9oaWRlLW1pbmltaXplZCIsCiAgInV1aWQiOiAiaGlkZS1taW5pbWl6ZWRAZGFuaWdtLm5ldCIsCiAgInZlcnNpb24iOiAyCn0="}}}
-, {"uuid": "display-brightness-ddcutil@themightydeity.github.com", "name": "Brightness control using ddcutil", "pname": "brightness-control-using-ddcutil", "description": "Brightness slider for all the monitors detected by ddcutil\nThis tool uses ddcutil as backend for communication with your display.\n\nMake sure that your user can use following shell commands without root.\n\t`ddcutil getvcp 10` to check the brightness of a display and\n\t`ddcutil setvcp 10 100` to set the brightness to 100\n\nMore info: https://github.com/daitj/gnome-display-brightness-ddcutil/blob/master/README.md", "link": "https://extensions.gnome.org/extension/2645/brightness-control-using-ddcutil/", "shell_version_map": {"38": {"version": "10", "sha256": "08rwqdcaqcx84a5bjalcvryxvhfr15a1bcyldnzw8z9aysdx1jls", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaWdodG5lc3Mgc2xpZGVyIGZvciBhbGwgdGhlIG1vbml0b3JzIGRldGVjdGVkIGJ5IGRkY3V0aWxcblRoaXMgdG9vbCB1c2VzIGRkY3V0aWwgYXMgYmFja2VuZCBmb3IgY29tbXVuaWNhdGlvbiB3aXRoIHlvdXIgZGlzcGxheS5cblxuTWFrZSBzdXJlIHRoYXQgeW91ciB1c2VyIGNhbiB1c2UgZm9sbG93aW5nIHNoZWxsIGNvbW1hbmRzIHdpdGhvdXQgcm9vdC5cblx0YGRkY3V0aWwgZ2V0dmNwIDEwYCB0byBjaGVjayB0aGUgYnJpZ2h0bmVzcyBvZiBhIGRpc3BsYXkgYW5kXG5cdGBkZGN1dGlsIHNldHZjcCAxMCAxMDBgIHRvIHNldCB0aGUgYnJpZ2h0bmVzcyB0byAxMDBcblxuTW9yZSBpbmZvOiBodHRwczovL2dpdGh1Yi5jb20vZGFpdGovZ25vbWUtZGlzcGxheS1icmlnaHRuZXNzLWRkY3V0aWwvYmxvYi9tYXN0ZXIvUkVBRE1FLm1kIiwKICAibmFtZSI6ICJCcmlnaHRuZXNzIGNvbnRyb2wgdXNpbmcgZGRjdXRpbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5kaXNwbGF5LWJyaWdodG5lc3MtZGRjdXRpbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3RoZW1pZ2h0eWRlaXR5L2dub21lLWRpc3BsYXktYnJpZ2h0bmVzcy1kZGN1dGlsIiwKICAidXVpZCI6ICJkaXNwbGF5LWJyaWdodG5lc3MtZGRjdXRpbEB0aGVtaWdodHlkZWl0eS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEwCn0="}, "40": {"version": "13", "sha256": "0x331qrmjn9ax72gsi4227l9yzncz8c0yasnzzgcixcqdxl75xkq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaWdodG5lc3Mgc2xpZGVyIGZvciBhbGwgdGhlIG1vbml0b3JzIGRldGVjdGVkIGJ5IGRkY3V0aWxcblRoaXMgdG9vbCB1c2VzIGRkY3V0aWwgYXMgYmFja2VuZCBmb3IgY29tbXVuaWNhdGlvbiB3aXRoIHlvdXIgZGlzcGxheS5cblxuTWFrZSBzdXJlIHRoYXQgeW91ciB1c2VyIGNhbiB1c2UgZm9sbG93aW5nIHNoZWxsIGNvbW1hbmRzIHdpdGhvdXQgcm9vdC5cblx0YGRkY3V0aWwgZ2V0dmNwIDEwYCB0byBjaGVjayB0aGUgYnJpZ2h0bmVzcyBvZiBhIGRpc3BsYXkgYW5kXG5cdGBkZGN1dGlsIHNldHZjcCAxMCAxMDBgIHRvIHNldCB0aGUgYnJpZ2h0bmVzcyB0byAxMDBcblxuTW9yZSBpbmZvOiBodHRwczovL2dpdGh1Yi5jb20vZGFpdGovZ25vbWUtZGlzcGxheS1icmlnaHRuZXNzLWRkY3V0aWwvYmxvYi9tYXN0ZXIvUkVBRE1FLm1kIiwKICAibmFtZSI6ICJCcmlnaHRuZXNzIGNvbnRyb2wgdXNpbmcgZGRjdXRpbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5kaXNwbGF5LWJyaWdodG5lc3MtZGRjdXRpbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3RoZW1pZ2h0eWRlaXR5L2dub21lLWRpc3BsYXktYnJpZ2h0bmVzcy1kZGN1dGlsIiwKICAidXVpZCI6ICJkaXNwbGF5LWJyaWdodG5lc3MtZGRjdXRpbEB0aGVtaWdodHlkZWl0eS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEzCn0="}}}
-, {"uuid": "mounter@heartmire", "name": "Mounter", "pname": "mounter", "description": "Mount and umount fstab entries with 'noauto,user' options.\n\n/etc/fstab example that will be picked up and listed by the extension:\n192.168.1.1:/mnt/data/users/martin /mnt/server-martin nfs noauto,user,noatime,rw 0 0\n\nToggle the menu with the shortcut: CTRL + ALT + m", "link": "https://extensions.gnome.org/extension/2666/mounter/", "shell_version_map": {"38": {"version": "4", "sha256": "0cv423fq7rilm6g0c27lnqa85s0j5f6xx9bd6mav17ghmr346cmn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdW50IGFuZCB1bW91bnQgZnN0YWIgZW50cmllcyB3aXRoICdub2F1dG8sdXNlcicgb3B0aW9ucy5cblxuL2V0Yy9mc3RhYiBleGFtcGxlIHRoYXQgd2lsbCBiZSBwaWNrZWQgdXAgYW5kIGxpc3RlZCBieSB0aGUgZXh0ZW5zaW9uOlxuMTkyLjE2OC4xLjE6L21udC9kYXRhL3VzZXJzL21hcnRpbiAgL21udC9zZXJ2ZXItbWFydGluICBuZnMgIG5vYXV0byx1c2VyLG5vYXRpbWUscncgIDAgIDBcblxuVG9nZ2xlIHRoZSBtZW51IHdpdGggdGhlIHNob3J0Y3V0OiBDVFJMICsgQUxUICsgbSIsCiAgIm5hbWUiOiAiTW91bnRlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5tb3VudGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21hcnRpbmhqYXJ0bXlyL2dub21lLXNoZWxsLWV4dGVuc2lvbi1tb3VudGVyIiwKICAidXVpZCI6ICJtb3VudGVyQGhlYXJ0bWlyZSIsCiAgInZlcnNpb24iOiA0Cn0="}, "40": {"version": "4", "sha256": "0cv423fq7rilm6g0c27lnqa85s0j5f6xx9bd6mav17ghmr346cmn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdW50IGFuZCB1bW91bnQgZnN0YWIgZW50cmllcyB3aXRoICdub2F1dG8sdXNlcicgb3B0aW9ucy5cblxuL2V0Yy9mc3RhYiBleGFtcGxlIHRoYXQgd2lsbCBiZSBwaWNrZWQgdXAgYW5kIGxpc3RlZCBieSB0aGUgZXh0ZW5zaW9uOlxuMTkyLjE2OC4xLjE6L21udC9kYXRhL3VzZXJzL21hcnRpbiAgL21udC9zZXJ2ZXItbWFydGluICBuZnMgIG5vYXV0byx1c2VyLG5vYXRpbWUscncgIDAgIDBcblxuVG9nZ2xlIHRoZSBtZW51IHdpdGggdGhlIHNob3J0Y3V0OiBDVFJMICsgQUxUICsgbSIsCiAgIm5hbWUiOiAiTW91bnRlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5tb3VudGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21hcnRpbmhqYXJ0bXlyL2dub21lLXNoZWxsLWV4dGVuc2lvbi1tb3VudGVyIiwKICAidXVpZCI6ICJtb3VudGVyQGhlYXJ0bWlyZSIsCiAgInZlcnNpb24iOiA0Cn0="}}}
+, {"uuid": "always-indicator@martin.zurowietz.de", "name": "Always Indicator", "pname": "always-indicator", "description": "Always show the new messages indicator on new messages. Features: 1) New message indicator is always shown if there are notifications. 2) The color of the indicator can be customized. 3) If 'do not disturb' is active, the icon is displayed in the custom color if there are notifications.", "link": "https://extensions.gnome.org/extension/2594/always-indicator/", "shell_version_map": {"40": {"version": "7", "sha256": "1hryk0ispnxpfmfskj29nii72vwbaly4bcb4idyg2z3c1c71qhdy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsd2F5cyBzaG93IHRoZSBuZXcgbWVzc2FnZXMgaW5kaWNhdG9yIG9uIG5ldyBtZXNzYWdlcy4gRmVhdHVyZXM6IDEpIE5ldyBtZXNzYWdlIGluZGljYXRvciBpcyBhbHdheXMgc2hvd24gaWYgdGhlcmUgYXJlIG5vdGlmaWNhdGlvbnMuIDIpIFRoZSBjb2xvciBvZiB0aGUgaW5kaWNhdG9yIGNhbiBiZSBjdXN0b21pemVkLiAzKSBJZiAnZG8gbm90IGRpc3R1cmInIGlzIGFjdGl2ZSwgdGhlIGljb24gaXMgZGlzcGxheWVkIGluIHRoZSBjdXN0b20gY29sb3IgaWYgdGhlcmUgYXJlIG5vdGlmaWNhdGlvbnMuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYWx3YXlzLWluZGljYXRvciIsCiAgIm5hbWUiOiAiQWx3YXlzIEluZGljYXRvciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hbHdheXMtaW5kaWNhdG9yLXNldHRpbmdzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tenVyL2dub21lLXNoZWxsLWFsd2F5cy1pbmRpY2F0b3IiLAogICJ1dWlkIjogImFsd2F5cy1pbmRpY2F0b3JAbWFydGluLnp1cm93aWV0ei5kZSIsCiAgInZlcnNpb24iOiA3Cn0="}}}
+, {"uuid": "eruption-profile-switcher@x3n0m0rph59.org", "name": "Eruption Profile Switcher", "pname": "eruption-profile-switcher", "description": "Runtime profile switcher for the Eruption Linux input and LED driver for keyboards, mice and other devices", "link": "https://extensions.gnome.org/extension/2621/eruption-profile-switcher/", "shell_version_map": {"38": {"version": "15", "sha256": "1jy9m2inx8jjwsy666hg67i7d8py2ar4drn2i8si7d80cba45al4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJ1bnRpbWUgcHJvZmlsZSBzd2l0Y2hlciBmb3IgdGhlIEVydXB0aW9uIExpbnV4IGlucHV0IGFuZCBMRUQgZHJpdmVyIGZvciBrZXlib2FyZHMsIG1pY2UgYW5kIG90aGVyIGRldmljZXMiLAogICJuYW1lIjogIkVydXB0aW9uIFByb2ZpbGUgU3dpdGNoZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLmJldGEiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vWDNuMG0wcnBoNTkvZXJ1cHRpb24tcHJvZmlsZS1zd2l0Y2hlciIsCiAgInV1aWQiOiAiZXJ1cHRpb24tcHJvZmlsZS1zd2l0Y2hlckB4M24wbTBycGg1OS5vcmciLAogICJ2ZXJzaW9uIjogMTUKfQ=="}, "40": {"version": "18", "sha256": "1qggdpx2aphvamvxpc2xz2c2d8r76z97slz7r5sclfwwjx6pm2f4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJ1bnRpbWUgcHJvZmlsZSBzd2l0Y2hlciBmb3IgdGhlIEVydXB0aW9uIExpbnV4IGlucHV0IGFuZCBMRUQgZHJpdmVyIGZvciBrZXlib2FyZHMsIG1pY2UgYW5kIG90aGVyIGRldmljZXMiLAogICJuYW1lIjogIkVydXB0aW9uIFByb2ZpbGUgU3dpdGNoZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZXJ1cHRpb24tcHJvZmlsZS1zd2l0Y2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuYmV0YSIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9YM24wbTBycGg1OS9lcnVwdGlvbi1wcm9maWxlLXN3aXRjaGVyIiwKICAidXVpZCI6ICJlcnVwdGlvbi1wcm9maWxlLXN3aXRjaGVyQHgzbjBtMHJwaDU5Lm9yZyIsCiAgInZlcnNpb24iOiAxOAp9"}}}
+, {"uuid": "user-id-in-top-panel@fthx", "name": "User id in top panel", "pname": "user-id-in-top-panel", "description": "Add ( user name :: user id @ host ) in top panel.", "link": "https://extensions.gnome.org/extension/2633/user-id-in-top-panel/", "shell_version_map": {"38": {"version": "5", "sha256": "1qymbxd49jq1xqz3lril9dv5g5a94xs658shml7c75iqplq794f4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCAoIHVzZXIgbmFtZSA6OiB1c2VyIGlkIEAgaG9zdCApIGluIHRvcCBwYW5lbC4iLAogICJuYW1lIjogIlVzZXIgaWQgaW4gdG9wIHBhbmVsIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJmdGh4IgogIF0sCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAidXNlci1pZC1pbi10b3AtcGFuZWxAZnRoeCIsCiAgInZlcnNpb24iOiA1Cn0="}, "40": {"version": "5", "sha256": "1qymbxd49jq1xqz3lril9dv5g5a94xs658shml7c75iqplq794f4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCAoIHVzZXIgbmFtZSA6OiB1c2VyIGlkIEAgaG9zdCApIGluIHRvcCBwYW5lbC4iLAogICJuYW1lIjogIlVzZXIgaWQgaW4gdG9wIHBhbmVsIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJmdGh4IgogIF0sCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAidXNlci1pZC1pbi10b3AtcGFuZWxAZnRoeCIsCiAgInZlcnNpb24iOiA1Cn0="}}}
+, {"uuid": "hide-minimized@danigm.net", "name": "Hide minimized", "pname": "hide-minimized", "description": "Hide minimized in overview", "link": "https://extensions.gnome.org/extension/2639/hide-minimized/", "shell_version_map": {"38": {"version": "3", "sha256": "1sk8qpzyd52bgx0kzwpj9ps8bprsxiwxsm2miv3hf2snpqwppsjd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgbWluaW1pemVkIGluIG92ZXJ2aWV3IiwKICAibmFtZSI6ICJIaWRlIG1pbmltaXplZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kYW5pZ20vaGlkZS1taW5pbWl6ZWQiLAogICJ1dWlkIjogImhpZGUtbWluaW1pemVkQGRhbmlnbS5uZXQiLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "3", "sha256": "1sk8qpzyd52bgx0kzwpj9ps8bprsxiwxsm2miv3hf2snpqwppsjd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgbWluaW1pemVkIGluIG92ZXJ2aWV3IiwKICAibmFtZSI6ICJIaWRlIG1pbmltaXplZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kYW5pZ20vaGlkZS1taW5pbWl6ZWQiLAogICJ1dWlkIjogImhpZGUtbWluaW1pemVkQGRhbmlnbS5uZXQiLAogICJ2ZXJzaW9uIjogMwp9"}}}
+, {"uuid": "display-brightness-ddcutil@themightydeity.github.com", "name": "Brightness control using ddcutil", "pname": "brightness-control-using-ddcutil", "description": "Brightness slider for all the monitors detected by ddcutil\nThis tool uses ddcutil as backend for communication with your display.\n\nMake sure that your user can use following shell commands without root.\n\t`ddcutil getvcp 10` to check the brightness of a display and\n\t`ddcutil setvcp 10 100` to set the brightness to 100\n\nMore info: https://github.com/daitj/gnome-display-brightness-ddcutil/blob/master/README.md", "link": "https://extensions.gnome.org/extension/2645/brightness-control-using-ddcutil/", "shell_version_map": {"38": {"version": "10", "sha256": "08rwqdcaqcx84a5bjalcvryxvhfr15a1bcyldnzw8z9aysdx1jls", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaWdodG5lc3Mgc2xpZGVyIGZvciBhbGwgdGhlIG1vbml0b3JzIGRldGVjdGVkIGJ5IGRkY3V0aWxcblRoaXMgdG9vbCB1c2VzIGRkY3V0aWwgYXMgYmFja2VuZCBmb3IgY29tbXVuaWNhdGlvbiB3aXRoIHlvdXIgZGlzcGxheS5cblxuTWFrZSBzdXJlIHRoYXQgeW91ciB1c2VyIGNhbiB1c2UgZm9sbG93aW5nIHNoZWxsIGNvbW1hbmRzIHdpdGhvdXQgcm9vdC5cblx0YGRkY3V0aWwgZ2V0dmNwIDEwYCB0byBjaGVjayB0aGUgYnJpZ2h0bmVzcyBvZiBhIGRpc3BsYXkgYW5kXG5cdGBkZGN1dGlsIHNldHZjcCAxMCAxMDBgIHRvIHNldCB0aGUgYnJpZ2h0bmVzcyB0byAxMDBcblxuTW9yZSBpbmZvOiBodHRwczovL2dpdGh1Yi5jb20vZGFpdGovZ25vbWUtZGlzcGxheS1icmlnaHRuZXNzLWRkY3V0aWwvYmxvYi9tYXN0ZXIvUkVBRE1FLm1kIiwKICAibmFtZSI6ICJCcmlnaHRuZXNzIGNvbnRyb2wgdXNpbmcgZGRjdXRpbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5kaXNwbGF5LWJyaWdodG5lc3MtZGRjdXRpbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3RoZW1pZ2h0eWRlaXR5L2dub21lLWRpc3BsYXktYnJpZ2h0bmVzcy1kZGN1dGlsIiwKICAidXVpZCI6ICJkaXNwbGF5LWJyaWdodG5lc3MtZGRjdXRpbEB0aGVtaWdodHlkZWl0eS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEwCn0="}, "40": {"version": "15", "sha256": "155vv6zjd2nrb11qr4nbk05vfxg34wviyk969qz9awhv20y3a6p0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaWdodG5lc3Mgc2xpZGVyIGZvciBhbGwgdGhlIG1vbml0b3JzIGRldGVjdGVkIGJ5IGRkY3V0aWxcblRoaXMgdG9vbCB1c2VzIGRkY3V0aWwgYXMgYmFja2VuZCBmb3IgY29tbXVuaWNhdGlvbiB3aXRoIHlvdXIgZGlzcGxheS5cblxuTWFrZSBzdXJlIHRoYXQgeW91ciB1c2VyIGNhbiB1c2UgZm9sbG93aW5nIHNoZWxsIGNvbW1hbmRzIHdpdGhvdXQgcm9vdC5cblx0YGRkY3V0aWwgZ2V0dmNwIDEwYCB0byBjaGVjayB0aGUgYnJpZ2h0bmVzcyBvZiBhIGRpc3BsYXkgYW5kXG5cdGBkZGN1dGlsIHNldHZjcCAxMCAxMDBgIHRvIHNldCB0aGUgYnJpZ2h0bmVzcyB0byAxMDBcblxuTW9yZSBpbmZvOiBodHRwczovL2dpdGh1Yi5jb20vZGFpdGovZ25vbWUtZGlzcGxheS1icmlnaHRuZXNzLWRkY3V0aWwvYmxvYi9tYXN0ZXIvUkVBRE1FLm1kIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGlzcGxheS1icmlnaHRuZXNzLWRkY3V0aWwiLAogICJuYW1lIjogIkJyaWdodG5lc3MgY29udHJvbCB1c2luZyBkZGN1dGlsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRpc3BsYXktYnJpZ2h0bmVzcy1kZGN1dGlsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3RoZW1pZ2h0eWRlaXR5L2dub21lLWRpc3BsYXktYnJpZ2h0bmVzcy1kZGN1dGlsIiwKICAidXVpZCI6ICJkaXNwbGF5LWJyaWdodG5lc3MtZGRjdXRpbEB0aGVtaWdodHlkZWl0eS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE1Cn0="}}}
+, {"uuid": "transparent-panel@fthx", "name": "Ubuntu-like Panel", "pname": "transparent-panel", "description": "Panel: transparent, straight, reduced height, non-bold fonts. Nothing more.\n\nLooks roughly like Ubuntu session's panel (Yaru). You can easily modify the transparency level through the CSS stylesheet in the extensions's folder.", "link": "https://extensions.gnome.org/extension/2660/transparent-panel/", "shell_version_map": {"40": {"version": "3", "sha256": "042cbxdvh4nxv72dd6ikm37brgb2wc1yaxsznyavjwr53awjbbzm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlBhbmVsOiB0cmFuc3BhcmVudCwgc3RyYWlnaHQsIHJlZHVjZWQgaGVpZ2h0LCBub24tYm9sZCBmb250cy4gTm90aGluZyBtb3JlLlxuXG5Mb29rcyByb3VnaGx5IGxpa2UgVWJ1bnR1IHNlc3Npb24ncyBwYW5lbCAoWWFydSkuIFlvdSBjYW4gZWFzaWx5IG1vZGlmeSB0aGUgdHJhbnNwYXJlbmN5IGxldmVsIHRocm91Z2ggdGhlIENTUyBzdHlsZXNoZWV0IGluIHRoZSBleHRlbnNpb25zJ3MgZm9sZGVyLiIsCiAgIm5hbWUiOiAiVWJ1bnR1LWxpa2UgUGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJ0cmFuc3BhcmVudC1wYW5lbEBmdGh4IiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
+, {"uuid": "mounter@heartmire", "name": "Mounter", "pname": "mounter", "description": "Mount and umount fstab entries with 'noauto,user' options.\n\n/etc/fstab example that will be picked up and listed by the extension:\n192.168.1.1:/mnt/data/users/martin /mnt/server-martin nfs noauto,user,noatime,rw 0 0\n\nToggle the menu with the shortcut: CTRL + ALT + m", "link": "https://extensions.gnome.org/extension/2666/mounter/", "shell_version_map": {"38": {"version": "5", "sha256": "13nxnpiv8f9bzxqgv3iinb92ib9zk3jmmx273acs25lcjcw8v6l0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdW50IGFuZCB1bW91bnQgZnN0YWIgZW50cmllcyB3aXRoICdub2F1dG8sdXNlcicgb3B0aW9ucy5cblxuL2V0Yy9mc3RhYiBleGFtcGxlIHRoYXQgd2lsbCBiZSBwaWNrZWQgdXAgYW5kIGxpc3RlZCBieSB0aGUgZXh0ZW5zaW9uOlxuMTkyLjE2OC4xLjE6L21udC9kYXRhL3VzZXJzL21hcnRpbiAgL21udC9zZXJ2ZXItbWFydGluICBuZnMgIG5vYXV0byx1c2VyLG5vYXRpbWUscncgIDAgIDBcblxuVG9nZ2xlIHRoZSBtZW51IHdpdGggdGhlIHNob3J0Y3V0OiBDVFJMICsgQUxUICsgbSIsCiAgIm5hbWUiOiAiTW91bnRlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5tb3VudGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9tYXJ0aW5oamFydG15ci9nbm9tZS1zaGVsbC1leHRlbnNpb24tbW91bnRlciIsCiAgInV1aWQiOiAibW91bnRlckBoZWFydG1pcmUiLAogICJ2ZXJzaW9uIjogNQp9"}, "40": {"version": "5", "sha256": "13nxnpiv8f9bzxqgv3iinb92ib9zk3jmmx273acs25lcjcw8v6l0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdW50IGFuZCB1bW91bnQgZnN0YWIgZW50cmllcyB3aXRoICdub2F1dG8sdXNlcicgb3B0aW9ucy5cblxuL2V0Yy9mc3RhYiBleGFtcGxlIHRoYXQgd2lsbCBiZSBwaWNrZWQgdXAgYW5kIGxpc3RlZCBieSB0aGUgZXh0ZW5zaW9uOlxuMTkyLjE2OC4xLjE6L21udC9kYXRhL3VzZXJzL21hcnRpbiAgL21udC9zZXJ2ZXItbWFydGluICBuZnMgIG5vYXV0byx1c2VyLG5vYXRpbWUscncgIDAgIDBcblxuVG9nZ2xlIHRoZSBtZW51IHdpdGggdGhlIHNob3J0Y3V0OiBDVFJMICsgQUxUICsgbSIsCiAgIm5hbWUiOiAiTW91bnRlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5tb3VudGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9tYXJ0aW5oamFydG15ci9nbm9tZS1zaGVsbC1leHRlbnNpb24tbW91bnRlciIsCiAgInV1aWQiOiAibW91bnRlckBoZWFydG1pcmUiLAogICJ2ZXJzaW9uIjogNQp9"}}}
, {"uuid": "simple-task-bar@fthx", "name": "Simple Task Bar", "pname": "simple-task-bar", "description": "*** Superseeded by https://extensions.gnome.org/extension/4000/babar. ***\n\nTask bar in the top panel, tasks on all workspaces.\n\n Basic actions, nothing more : activate, minimize, switch, per-desktop overview. Some settings through GNOME Extensions manager, thanks @leleat.\n\n This extension can hide the Activities button and makes the Places Menu extension's label become a folder icon.\n\n This extension is *light* and should *not interfere* with GNOME Shell behaviour+logic. If you want more, please consider installing Dash to Panel.", "link": "https://extensions.gnome.org/extension/2672/simple-task-bar/", "shell_version_map": {"38": {"version": "33", "sha256": "0rsvfymmnljqikarihmgs2fdi14pclfdhwrsz06zxzxd6nvmj4x1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIioqKiBTdXBlcnNlZWRlZCBieSBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi80MDAwL2JhYmFyLiAqKipcblxuVGFzayBiYXIgaW4gdGhlIHRvcCBwYW5lbCwgdGFza3Mgb24gYWxsIHdvcmtzcGFjZXMuXG5cbiBCYXNpYyBhY3Rpb25zLCBub3RoaW5nIG1vcmUgOiBhY3RpdmF0ZSwgbWluaW1pemUsIHN3aXRjaCwgcGVyLWRlc2t0b3Agb3ZlcnZpZXcuIFNvbWUgc2V0dGluZ3MgdGhyb3VnaCBHTk9NRSBFeHRlbnNpb25zIG1hbmFnZXIsIHRoYW5rcyBAbGVsZWF0LlxuXG4gVGhpcyBleHRlbnNpb24gY2FuIGhpZGUgdGhlIEFjdGl2aXRpZXMgYnV0dG9uIGFuZCBtYWtlcyB0aGUgUGxhY2VzIE1lbnUgZXh0ZW5zaW9uJ3MgbGFiZWwgYmVjb21lIGEgZm9sZGVyIGljb24uXG5cbiBUaGlzIGV4dGVuc2lvbiBpcyAqbGlnaHQqIGFuZCBzaG91bGQgKm5vdCBpbnRlcmZlcmUqIHdpdGggR05PTUUgU2hlbGwgYmVoYXZpb3VyK2xvZ2ljLiBJZiB5b3Ugd2FudCBtb3JlLCBwbGVhc2UgY29uc2lkZXIgaW5zdGFsbGluZyBEYXNoIHRvIFBhbmVsLiIsCiAgIm5hbWUiOiAiU2ltcGxlIFRhc2sgQmFyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZnRoeC9zaW1wbGUtdGFzay1iYXIiLAogICJ1dWlkIjogInNpbXBsZS10YXNrLWJhckBmdGh4IiwKICAidmVyc2lvbiI6IDMzCn0="}}}
, {"uuid": "minimize-shelf@etenil", "name": "Minimize Shelf", "pname": "minimize-shelf", "description": "Minimize shelf in the top panel, with minimized windows of the current workspace.\n\n No settings but you can easily play around with CSS file. This extension is light and should not interfere with GNOME Shell behaviour+logic.", "link": "https://extensions.gnome.org/extension/2735/minimize-shelf/", "shell_version_map": {"40": {"version": "3", "sha256": "0cnfpjpsvbi3149bg9s75wdmrpcr7pa4m2n7npdkdgr1rbs0pcfy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pbmltaXplIHNoZWxmIGluIHRoZSB0b3AgcGFuZWwsIHdpdGggbWluaW1pemVkIHdpbmRvd3Mgb2YgdGhlIGN1cnJlbnQgd29ya3NwYWNlLlxuXG4gTm8gc2V0dGluZ3MgYnV0IHlvdSBjYW4gZWFzaWx5IHBsYXkgYXJvdW5kIHdpdGggQ1NTIGZpbGUuIFRoaXMgZXh0ZW5zaW9uIGlzIGxpZ2h0IGFuZCBzaG91bGQgbm90IGludGVyZmVyZSB3aXRoIEdOT01FIFNoZWxsIGJlaGF2aW91citsb2dpYy4iLAogICJuYW1lIjogIk1pbmltaXplIFNoZWxmIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0V0ZW5pbC9taW5pbWl6ZS1zaGVsZiIsCiAgInV1aWQiOiAibWluaW1pemUtc2hlbGZAZXRlbmlsIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
, {"uuid": "remove-alt-tab-delay@daase.net", "name": "Remove Alt+Tab Delay v2", "pname": "remove-alttab-delay-v2", "description": "Another extension that removes the 0.15 second popup delay in switcher pop-ups. This extension is actively maintained. It fixes at least this known issue: https://gitlab.gnome.org/GNOME/mutter/issues/888.", "link": "https://extensions.gnome.org/extension/2741/remove-alttab-delay-v2/", "shell_version_map": {"38": {"version": "5", "sha256": "0ajxj1x6mbn1clk5hb9lri3c0ckvy2781i7w26y1yhfw6jbyl0np", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZXh0ZW5zaW9uIHRoYXQgcmVtb3ZlcyB0aGUgMC4xNSBzZWNvbmQgcG9wdXAgZGVsYXkgaW4gc3dpdGNoZXIgcG9wLXVwcy4gVGhpcyBleHRlbnNpb24gaXMgYWN0aXZlbHkgbWFpbnRhaW5lZC4gSXQgZml4ZXMgYXQgbGVhc3QgdGhpcyBrbm93biBpc3N1ZTogaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL211dHRlci9pc3N1ZXMvODg4LiIsCiAgIm5hbWUiOiAiUmVtb3ZlIEFsdCtUYWIgRGVsYXkgdjIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQmpvZXJuRGFhc2UvcmVtb3ZlLWFsdC10YWItZGVsYXkiLAogICJ1dWlkIjogInJlbW92ZS1hbHQtdGFiLWRlbGF5QGRhYXNlLm5ldCIsCiAgInZlcnNpb24iOiA1Cn0="}, "40": {"version": "5", "sha256": "0ajxj1x6mbn1clk5hb9lri3c0ckvy2781i7w26y1yhfw6jbyl0np", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZXh0ZW5zaW9uIHRoYXQgcmVtb3ZlcyB0aGUgMC4xNSBzZWNvbmQgcG9wdXAgZGVsYXkgaW4gc3dpdGNoZXIgcG9wLXVwcy4gVGhpcyBleHRlbnNpb24gaXMgYWN0aXZlbHkgbWFpbnRhaW5lZC4gSXQgZml4ZXMgYXQgbGVhc3QgdGhpcyBrbm93biBpc3N1ZTogaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL211dHRlci9pc3N1ZXMvODg4LiIsCiAgIm5hbWUiOiAiUmVtb3ZlIEFsdCtUYWIgRGVsYXkgdjIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQmpvZXJuRGFhc2UvcmVtb3ZlLWFsdC10YWItZGVsYXkiLAogICJ1dWlkIjogInJlbW92ZS1hbHQtdGFiLWRlbGF5QGRhYXNlLm5ldCIsCiAgInZlcnNpb24iOiA1Cn0="}}}
-, {"uuid": "corona-tracker@lachhebo.github.io", "name": "corona-tracker", "pname": "corona-tracker", "description": "A GNOME Shell extension (GNOME Panel applet) to notify you every day with information about the COVID-19 virus spread.", "link": "https://extensions.gnome.org/extension/2767/corona-tracker/", "shell_version_map": {"38": {"version": "12", "sha256": "0qazb5s6hm4lzj0hfridpmviznx8spnps76kv6fa8s9yca7si6ab", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgU2hlbGwgZXh0ZW5zaW9uIChHTk9NRSBQYW5lbCBhcHBsZXQpIHRvIG5vdGlmeSB5b3UgZXZlcnkgZGF5IHdpdGggaW5mb3JtYXRpb24gYWJvdXQgdGhlIENPVklELTE5IHZpcnVzIHNwcmVhZC4iLAogICJuYW1lIjogImNvcm9uYS10cmFja2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbGFjaGhlYm8vY29yb25hLXRyYWNrZXIiLAogICJ1dWlkIjogImNvcm9uYS10cmFja2VyQGxhY2hoZWJvLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxMgp9"}}}
-, {"uuid": "gnome-trash@gnome-trash.b00f.gitlab.com", "name": "Gnome Trash", "pname": "gnome-trash", "description": "A gnome shell extension to manage your trash. You can manage trash items from the panel and open or empty the trash.\nIt hides completely when the trash is empty, and lists the files in the trash bin in the panel menu.", "link": "https://extensions.gnome.org/extension/2773/gnome-trash/", "shell_version_map": {"38": {"version": "12", "sha256": "067n56xa5rxdxfyjg68iidqwxkd6k0ilinbv5wrfrp2sp645hxkz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZ25vbWUgc2hlbGwgZXh0ZW5zaW9uIHRvIG1hbmFnZSB5b3VyIHRyYXNoLiBZb3UgY2FuIG1hbmFnZSB0cmFzaCBpdGVtcyBmcm9tIHRoZSBwYW5lbCBhbmQgb3BlbiBvciBlbXB0eSB0aGUgdHJhc2guXG5JdCBoaWRlcyBjb21wbGV0ZWx5IHdoZW4gdGhlIHRyYXNoIGlzIGVtcHR5LCBhbmQgbGlzdHMgdGhlIGZpbGVzIGluIHRoZSB0cmFzaCBiaW4gaW4gdGhlIHBhbmVsIG1lbnUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtdHJhc2giLAogICJuYW1lIjogIkdub21lIFRyYXNoIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vYjAwZi9nbm9tZS10cmFzaCIsCiAgInV1aWQiOiAiZ25vbWUtdHJhc2hAZ25vbWUtdHJhc2guYjAwZi5naXRsYWIuY29tIiwKICAidmVyc2lvbiI6IDEyCn0="}}}
+, {"uuid": "corona-tracker@lachhebo.github.io", "name": "corona-tracker", "pname": "corona-tracker", "description": "A GNOME Shell extension (GNOME Panel applet) to notify you every day with information about the COVID-19 virus spread.", "link": "https://extensions.gnome.org/extension/2767/corona-tracker/", "shell_version_map": {"38": {"version": "14", "sha256": "12mnlkh2l23wdx6m28x332lhqd0yq16zhfnmdhk6bzma7wq73sxa", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgU2hlbGwgZXh0ZW5zaW9uIChHTk9NRSBQYW5lbCBhcHBsZXQpIHRvIG5vdGlmeSB5b3UgZXZlcnkgZGF5IHdpdGggaW5mb3JtYXRpb24gYWJvdXQgdGhlIENPVklELTE5IHZpcnVzIHNwcmVhZC4iLAogICJuYW1lIjogImNvcm9uYS10cmFja2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2xhY2hoZWJvL2Nvcm9uYS10cmFja2VyIiwKICAidXVpZCI6ICJjb3JvbmEtdHJhY2tlckBsYWNoaGViby5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMTQKfQ=="}, "40": {"version": "14", "sha256": "12mnlkh2l23wdx6m28x332lhqd0yq16zhfnmdhk6bzma7wq73sxa", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgU2hlbGwgZXh0ZW5zaW9uIChHTk9NRSBQYW5lbCBhcHBsZXQpIHRvIG5vdGlmeSB5b3UgZXZlcnkgZGF5IHdpdGggaW5mb3JtYXRpb24gYWJvdXQgdGhlIENPVklELTE5IHZpcnVzIHNwcmVhZC4iLAogICJuYW1lIjogImNvcm9uYS10cmFja2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2xhY2hoZWJvL2Nvcm9uYS10cmFja2VyIiwKICAidXVpZCI6ICJjb3JvbmEtdHJhY2tlckBsYWNoaGViby5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMTQKfQ=="}}}
+, {"uuid": "gnome-trash@gnome-trash.b00f.gitlab.com", "name": "Gnome Trash - UNMAINTAINED", "pname": "gnome-trash", "description": "MOVED HERE:\n\nhttps://github.com/b00f/gnome-trash", "link": "https://extensions.gnome.org/extension/2773/gnome-trash/", "shell_version_map": {"38": {"version": "13", "sha256": "1z7da74nvl4wvy6ckv55xkl3sc5qzcv6lz5k6bdfa0xcshifhl6h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1PVkVEIEhFUkU6XG5cbmh0dHBzOi8vZ2l0aHViLmNvbS9iMDBmL2dub21lLXRyYXNoIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtdHJhc2giLAogICJuYW1lIjogIkdub21lIFRyYXNoIC0gVU5NQUlOVEFJTkVEIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYjAwZi9nbm9tZS10cmFzaCIsCiAgInV1aWQiOiAiZ25vbWUtdHJhc2hAZ25vbWUtdHJhc2guYjAwZi5naXRsYWIuY29tIiwKICAidmVyc2lvbiI6IDEzCn0="}}}
, {"uuid": "customgestures@raushankumar27.github.com", "name": "Custom 3 Finger Gestures", "pname": "custom-3-finger-gestures", "description": "3 Finger touchpad gestures into gnome-shell", "link": "https://extensions.gnome.org/extension/2781/custom-3-finger-gestures/", "shell_version_map": {"38": {"version": "7", "sha256": "1a51rlf5fjlzlm2y3cv1ncf4glqa48rkpi0z1b8hd5m1fzgdx6m9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIjMgRmluZ2VyIHRvdWNocGFkIGdlc3R1cmVzIGludG8gZ25vbWUtc2hlbGwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJjdXN0b21nZXN0dXJlcyIsCiAgIm5hbWUiOiAiQ3VzdG9tIDMgRmluZ2VyIEdlc3R1cmVzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmN1c3RvbWdlc3R1cmVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcmF1c2hhbmt1bWFyMjcvY3VzdG9tZ2VzdHVyZSIsCiAgInV1aWQiOiAiY3VzdG9tZ2VzdHVyZXNAcmF1c2hhbmt1bWFyMjcuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA3Cn0="}}}
, {"uuid": "overview-improved@human.experience", "name": "Overview Improved", "pname": "overview-improved", "description": "Improved, more Unity like overview\n\n* Dash-To-Dock or Ubuntu Dock integration creates Unity-like experience showing windows of specific type\n* Windows search in overview\nConfigurable keybinding (Super+w) to trigger current window overlay\n* Clicking empty space in overview closes\n* Do not show overview when showing application on multiple monitors\n* Multi Monitors Add-On Overview integration\n\nCaveat: may clash with other extensions that modify overview experience", "link": "https://extensions.gnome.org/extension/2802/overview-improved/", "shell_version_map": {"38": {"version": "8", "sha256": "0pzk6kzhbm15rmd540gv2sc0yqbiv31lil4ra3k5k7cpnr9ipsy6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkltcHJvdmVkLCBtb3JlIFVuaXR5IGxpa2Ugb3ZlcnZpZXdcblxuKiBEYXNoLVRvLURvY2sgb3IgVWJ1bnR1IERvY2sgaW50ZWdyYXRpb24gY3JlYXRlcyBVbml0eS1saWtlIGV4cGVyaWVuY2Ugc2hvd2luZyB3aW5kb3dzIG9mIHNwZWNpZmljIHR5cGVcbiogV2luZG93cyBzZWFyY2ggaW4gb3ZlcnZpZXdcbkNvbmZpZ3VyYWJsZSBrZXliaW5kaW5nIChTdXBlcit3KSB0byB0cmlnZ2VyIGN1cnJlbnQgd2luZG93IG92ZXJsYXlcbiogQ2xpY2tpbmcgZW1wdHkgc3BhY2UgaW4gb3ZlcnZpZXcgY2xvc2VzXG4qIERvIG5vdCBzaG93IG92ZXJ2aWV3IHdoZW4gc2hvd2luZyBhcHBsaWNhdGlvbiBvbiBtdWx0aXBsZSBtb25pdG9yc1xuKiBNdWx0aSBNb25pdG9ycyBBZGQtT24gT3ZlcnZpZXcgaW50ZWdyYXRpb25cblxuQ2F2ZWF0OiBtYXkgY2xhc2ggd2l0aCBvdGhlciBleHRlbnNpb25zIHRoYXQgbW9kaWZ5IG92ZXJ2aWV3IGV4cGVyaWVuY2UiLAogICJuYW1lIjogIk92ZXJ2aWV3IEltcHJvdmVkIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm92ZXJ2aWV3LWltcHJvdmVkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2NvZGViZXJnLm9yZy9odW1hbi5leHBlcmllbmNlL2dub21lLXNoZWxsLW92ZXJ2aWV3LWltcHJvdmVkIiwKICAidXVpZCI6ICJvdmVydmlldy1pbXByb3ZlZEBodW1hbi5leHBlcmllbmNlIiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
, {"uuid": "brightnesspanelmenuindicator@do.sch.dev.gmail.com", "name": "Brightness Panel Menu Indicator", "pname": "brightness-panel-menu-indicator", "description": "If a backlight device is available, this extension shows a brightness indicator on panel menu, that allows changing brightness through scrolling on it. Useful, when using ddcci-driver-linux on a desktop PC without native keyboard buttons to change brightness.", "link": "https://extensions.gnome.org/extension/2808/brightness-panel-menu-indicator/", "shell_version_map": {"38": {"version": "3", "sha256": "0nbxk6k42iglj51injq3drabfg9iv2288is36m263dwddyf9mk6d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklmIGEgYmFja2xpZ2h0IGRldmljZSBpcyBhdmFpbGFibGUsIHRoaXMgZXh0ZW5zaW9uIHNob3dzIGEgYnJpZ2h0bmVzcyBpbmRpY2F0b3Igb24gcGFuZWwgbWVudSwgdGhhdCBhbGxvd3MgY2hhbmdpbmcgYnJpZ2h0bmVzcyB0aHJvdWdoIHNjcm9sbGluZyBvbiBpdC4gVXNlZnVsLCB3aGVuIHVzaW5nIGRkY2NpLWRyaXZlci1saW51eCBvbiBhIGRlc2t0b3AgUEMgd2l0aG91dCBuYXRpdmUga2V5Ym9hcmQgYnV0dG9ucyB0byBjaGFuZ2UgYnJpZ2h0bmVzcy4iLAogICJuYW1lIjogIkJyaWdodG5lc3MgUGFuZWwgTWVudSBJbmRpY2F0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZG8tc2NoL2dub21lLXNoZWxsLWJyaWdodG5lc3MtcGFuZWwtbWVudS1pbmRpY2F0b3IiLAogICJ1dWlkIjogImJyaWdodG5lc3NwYW5lbG1lbnVpbmRpY2F0b3JAZG8uc2NoLmRldi5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "3", "sha256": "0nbxk6k42iglj51injq3drabfg9iv2288is36m263dwddyf9mk6d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklmIGEgYmFja2xpZ2h0IGRldmljZSBpcyBhdmFpbGFibGUsIHRoaXMgZXh0ZW5zaW9uIHNob3dzIGEgYnJpZ2h0bmVzcyBpbmRpY2F0b3Igb24gcGFuZWwgbWVudSwgdGhhdCBhbGxvd3MgY2hhbmdpbmcgYnJpZ2h0bmVzcyB0aHJvdWdoIHNjcm9sbGluZyBvbiBpdC4gVXNlZnVsLCB3aGVuIHVzaW5nIGRkY2NpLWRyaXZlci1saW51eCBvbiBhIGRlc2t0b3AgUEMgd2l0aG91dCBuYXRpdmUga2V5Ym9hcmQgYnV0dG9ucyB0byBjaGFuZ2UgYnJpZ2h0bmVzcy4iLAogICJuYW1lIjogIkJyaWdodG5lc3MgUGFuZWwgTWVudSBJbmRpY2F0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZG8tc2NoL2dub21lLXNoZWxsLWJyaWdodG5lc3MtcGFuZWwtbWVudS1pbmRpY2F0b3IiLAogICJ1dWlkIjogImJyaWdodG5lc3NwYW5lbG1lbnVpbmRpY2F0b3JAZG8uc2NoLmRldi5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}}}
-, {"uuid": "ibus-tweaker@tuberry.github.com", "name": "IBus Tweaker", "pname": "ibus-tweaker", "description": "Tweaker of IBus for orientation, theme, font and ascii mode auto-switch\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/2820/ibus-tweaker/", "shell_version_map": {"38": {"version": "28", "sha256": "0dqf56hcgfsrlshbjzf3x19yhzz2v4dj1rlm4kj834vadn5816k7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlR3ZWFrZXIgb2YgSUJ1cyBmb3Igb3JpZW50YXRpb24sIHRoZW1lLCBmb250IGFuZCBhc2NpaSBtb2RlIGF1dG8tc3dpdGNoXG5cbkZvciBzdXBwb3J0LCBwbGVhc2UgcmVwb3J0IGFueSBpc3N1ZXMgdmlhIHRoZSBob21lcGFnZSBsaW5rIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogImlidXMtdHdlYWtlciIsCiAgIm5hbWUiOiAiSUJ1cyBUd2Vha2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmlidXMtdHdlYWtlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3R1YmVycnkvaWJ1cy10d2Vha2VyIiwKICAidXVpZCI6ICJpYnVzLXR3ZWFrZXJAdHViZXJyeS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDI4Cn0="}, "40": {"version": "32", "sha256": "1z5rnx83lcsyhamh7a9jlli7v5ll8942a2s3sln0bs6yfr6nrb7f", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlR3ZWFrZXIgb2YgSUJ1cyBmb3Igb3JpZW50YXRpb24sIHRoZW1lLCBmb250IGFuZCBhc2NpaSBtb2RlIGF1dG8tc3dpdGNoXG5cbkZvciBzdXBwb3J0LCBwbGVhc2UgcmVwb3J0IGFueSBpc3N1ZXMgdmlhIHRoZSBob21lcGFnZSBsaW5rIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogImlidXMtdHdlYWtlciIsCiAgIm5hbWUiOiAiSUJ1cyBUd2Vha2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmlidXMtdHdlYWtlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dWJlcnJ5L2lidXMtdHdlYWtlciIsCiAgInV1aWQiOiAiaWJ1cy10d2Vha2VyQHR1YmVycnkuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAzMgp9"}}}
-, {"uuid": "generic-monitor@gnome-shell-extensions", "name": "Generic Monitor", "pname": "generic-monitor", "description": "Display text & icon on systray using DBUS", "link": "https://extensions.gnome.org/extension/2826/generic-monitor/", "shell_version_map": {"38": {"version": "5", "sha256": "1gpkqw18r0vm4v7kjhd6d4iw94aqmrni0g8qxqfc21l4cw90y4g4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgdGV4dCAmIGljb24gb24gc3lzdHJheSB1c2luZyBEQlVTIiwKICAibmFtZSI6ICJHZW5lcmljIE1vbml0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHA6Ly9pbmRlZmVyby5zb3V0YWRlLmZyL3AvZ2VuZXJpY21vbml0b3IiLAogICJ1dWlkIjogImdlbmVyaWMtbW9uaXRvckBnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
+, {"uuid": "crypto@alipirpiran.github", "name": "Crypto Price Tracker", "pname": "crypto-price-tracker", "description": "Simple extension to track price of Crypto Currencies\n\nadd coins by Binance symbols, for example: \"BTCUSDT\"\ncomplete list on binance: https://www.binance.com/indexSpa.html", "link": "https://extensions.gnome.org/extension/2817/crypto-price-tracker/", "shell_version_map": {"40": {"version": "12", "sha256": "1sjld6h3glhhhfdcv4r5v17xc7jbvqc099m5a851vdw2587gnwkc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBleHRlbnNpb24gdG8gdHJhY2sgcHJpY2Ugb2YgQ3J5cHRvIEN1cnJlbmNpZXNcblxuYWRkIGNvaW5zIGJ5IEJpbmFuY2Ugc3ltYm9scywgZm9yIGV4YW1wbGU6IFwiQlRDVVNEVFwiXG5jb21wbGV0ZSBsaXN0IG9uIGJpbmFuY2U6IGh0dHBzOi8vd3d3LmJpbmFuY2UuY29tL2luZGV4U3BhLmh0bWwiLAogICJuYW1lIjogIkNyeXB0byBQcmljZSBUcmFja2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNyeXB0by10cmFja2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FsaXBpcnBpcmFuL0NyeXB0by1QcmljZS1UcmFja2VyLWZvci1Hbm9tZS1TaGVsbCIsCiAgInV1aWQiOiAiY3J5cHRvQGFsaXBpcnBpcmFuLmdpdGh1YiIsCiAgInZlcnNpb24iOiAxMgp9"}}}
+, {"uuid": "ibus-tweaker@tuberry.github.com", "name": "IBus Tweaker", "pname": "ibus-tweaker", "description": "Tweaker of IBus for orientation, theme, font and ascii mode auto-switch\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/2820/ibus-tweaker/", "shell_version_map": {"38": {"version": "28", "sha256": "0dqf56hcgfsrlshbjzf3x19yhzz2v4dj1rlm4kj834vadn5816k7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlR3ZWFrZXIgb2YgSUJ1cyBmb3Igb3JpZW50YXRpb24sIHRoZW1lLCBmb250IGFuZCBhc2NpaSBtb2RlIGF1dG8tc3dpdGNoXG5cbkZvciBzdXBwb3J0LCBwbGVhc2UgcmVwb3J0IGFueSBpc3N1ZXMgdmlhIHRoZSBob21lcGFnZSBsaW5rIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogImlidXMtdHdlYWtlciIsCiAgIm5hbWUiOiAiSUJ1cyBUd2Vha2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmlidXMtdHdlYWtlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3R1YmVycnkvaWJ1cy10d2Vha2VyIiwKICAidXVpZCI6ICJpYnVzLXR3ZWFrZXJAdHViZXJyeS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDI4Cn0="}, "40": {"version": "34", "sha256": "0s1z0p4bm6kpn5qj7hyynv8xknzly9jq1zk4rh8if0qqrg48n9g1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlR3ZWFrZXIgb2YgSUJ1cyBmb3Igb3JpZW50YXRpb24sIHRoZW1lLCBmb250IGFuZCBhc2NpaSBtb2RlIGF1dG8tc3dpdGNoXG5cbkZvciBzdXBwb3J0LCBwbGVhc2UgcmVwb3J0IGFueSBpc3N1ZXMgdmlhIHRoZSBob21lcGFnZSBsaW5rIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogImlidXMtdHdlYWtlciIsCiAgIm5hbWUiOiAiSUJ1cyBUd2Vha2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmlidXMtdHdlYWtlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dWJlcnJ5L2lidXMtdHdlYWtlciIsCiAgInV1aWQiOiAiaWJ1cy10d2Vha2VyQHR1YmVycnkuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAzNAp9"}}}
+, {"uuid": "generic-monitor@gnome-shell-extensions", "name": "Generic Monitor", "pname": "generic-monitor", "description": "Display text & icon on systray using DBUS", "link": "https://extensions.gnome.org/extension/2826/generic-monitor/", "shell_version_map": {"38": {"version": "6", "sha256": "0j0mlhcpv0s2dwmkjcczxvczkpmy9cijbacmi2k106v8bfydqib6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgdGV4dCAmIGljb24gb24gc3lzdHJheSB1c2luZyBEQlVTIiwKICAibmFtZSI6ICJHZW5lcmljIE1vbml0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwOi8vaW5kZWZlcm8uc291dGFkZS5mci9wL2dlbmVyaWNtb25pdG9yIiwKICAidXVpZCI6ICJnZW5lcmljLW1vbml0b3JAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInZlcnNpb24iOiA2Cn0="}, "40": {"version": "6", "sha256": "0j0mlhcpv0s2dwmkjcczxvczkpmy9cijbacmi2k106v8bfydqib6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgdGV4dCAmIGljb24gb24gc3lzdHJheSB1c2luZyBEQlVTIiwKICAibmFtZSI6ICJHZW5lcmljIE1vbml0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwOi8vaW5kZWZlcm8uc291dGFkZS5mci9wL2dlbmVyaWNtb25pdG9yIiwKICAidXVpZCI6ICJnZW5lcmljLW1vbml0b3JAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInZlcnNpb24iOiA2Cn0="}}}
, {"uuid": "hide-keyboard-layout@sitnik.ru", "name": "Hide Keyboard Layout", "pname": "hide-keyboard-layout", "description": "Hide keyboard layout indicator in status bar", "link": "https://extensions.gnome.org/extension/2848/hide-keyboard-layout/", "shell_version_map": {"38": {"version": "2", "sha256": "1nylkw0v97w4x610bd2gkz0h1xprhkrnx03qzhm4vhqjw1j2bdg2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUga2V5Ym9hcmQgbGF5b3V0IGluZGljYXRvciBpbiBzdGF0dXMgYmFyIiwKICAibmFtZSI6ICJIaWRlIEtleWJvYXJkIExheW91dCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9haS9oaWRlLWtleWJvYXJkLWxheW91dCIsCiAgInV1aWQiOiAiaGlkZS1rZXlib2FyZC1sYXlvdXRAc2l0bmlrLnJ1IiwKICAidmVyc2lvbiI6IDIKfQ=="}, "40": {"version": "2", "sha256": "1nylkw0v97w4x610bd2gkz0h1xprhkrnx03qzhm4vhqjw1j2bdg2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUga2V5Ym9hcmQgbGF5b3V0IGluZGljYXRvciBpbiBzdGF0dXMgYmFyIiwKICAibmFtZSI6ICJIaWRlIEtleWJvYXJkIExheW91dCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9haS9oaWRlLWtleWJvYXJkLWxheW91dCIsCiAgInV1aWQiOiAiaGlkZS1rZXlib2FyZC1sYXlvdXRAc2l0bmlrLnJ1IiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
, {"uuid": "maximize-to-workspace@raonetwo.github.com", "name": "Maximize To Workspace With History", "pname": "maximize-to-workspace-with-history", "description": "Like MacOS, puts window in a new workspace when its maximized or full-screened and brings you back to original workspace when its unmaximized or unfull-screened or closed. \n\nRecommended to use with touchegg/fusuma/libinput multi finger swipe gestures.", "link": "https://extensions.gnome.org/extension/2857/maximize-to-workspace-with-history/", "shell_version_map": {"38": {"version": "21", "sha256": "1m1vhscnflmlhscinj4nipybhkps213sh2s9qpp4bxm9h9waihww", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpa2UgTWFjT1MsIHB1dHMgd2luZG93IGluIGEgbmV3IHdvcmtzcGFjZSB3aGVuIGl0cyBtYXhpbWl6ZWQgb3IgZnVsbC1zY3JlZW5lZCBhbmQgYnJpbmdzIHlvdSBiYWNrIHRvIG9yaWdpbmFsIHdvcmtzcGFjZSB3aGVuIGl0cyB1bm1heGltaXplZCBvciB1bmZ1bGwtc2NyZWVuZWQgb3IgY2xvc2VkLiBcblxuUmVjb21tZW5kZWQgdG8gdXNlIHdpdGggdG91Y2hlZ2cvZnVzdW1hL2xpYmlucHV0IG11bHRpIGZpbmdlciBzd2lwZSBnZXN0dXJlcy4iLAogICJuYW1lIjogIk1heGltaXplIFRvIFdvcmtzcGFjZSBXaXRoIEhpc3RvcnkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9yYW9uZXR3by9NYXhpbWl6ZVRvV29ya3NwYWNlIiwKICAidXVpZCI6ICJtYXhpbWl6ZS10by13b3Jrc3BhY2VAcmFvbmV0d28uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAyMQp9"}, "40": {"version": "21", "sha256": "1m1vhscnflmlhscinj4nipybhkps213sh2s9qpp4bxm9h9waihww", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpa2UgTWFjT1MsIHB1dHMgd2luZG93IGluIGEgbmV3IHdvcmtzcGFjZSB3aGVuIGl0cyBtYXhpbWl6ZWQgb3IgZnVsbC1zY3JlZW5lZCBhbmQgYnJpbmdzIHlvdSBiYWNrIHRvIG9yaWdpbmFsIHdvcmtzcGFjZSB3aGVuIGl0cyB1bm1heGltaXplZCBvciB1bmZ1bGwtc2NyZWVuZWQgb3IgY2xvc2VkLiBcblxuUmVjb21tZW5kZWQgdG8gdXNlIHdpdGggdG91Y2hlZ2cvZnVzdW1hL2xpYmlucHV0IG11bHRpIGZpbmdlciBzd2lwZSBnZXN0dXJlcy4iLAogICJuYW1lIjogIk1heGltaXplIFRvIFdvcmtzcGFjZSBXaXRoIEhpc3RvcnkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9yYW9uZXR3by9NYXhpbWl6ZVRvV29ya3NwYWNlIiwKICAidXVpZCI6ICJtYXhpbWl6ZS10by13b3Jrc3BhY2VAcmFvbmV0d28uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAyMQp9"}}}
, {"uuid": "activities_icons@fawtytoo", "name": "Activities Icons", "pname": "activities-icons", "description": "The Activities button becomes 2 icons for selecting either Applications or Workspaces in the overview. Selecting the same view again will hide the overview.\nScrolling on the icons allows switching windows on a workspace or cycling through the Workspaces.", "link": "https://extensions.gnome.org/extension/2872/activities-icons/", "shell_version_map": {"38": {"version": "7", "sha256": "0f3h4cwkfx0r0l0fd2yvg7g8hj2lf5nhl6kan9p2p9dsn2sdnlvv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBBY3Rpdml0aWVzIGJ1dHRvbiBiZWNvbWVzIDIgaWNvbnMgZm9yIHNlbGVjdGluZyBlaXRoZXIgQXBwbGljYXRpb25zIG9yIFdvcmtzcGFjZXMgaW4gdGhlIG92ZXJ2aWV3LiBTZWxlY3RpbmcgdGhlIHNhbWUgdmlldyBhZ2FpbiB3aWxsIGhpZGUgdGhlIG92ZXJ2aWV3LlxuU2Nyb2xsaW5nIG9uIHRoZSBpY29ucyBhbGxvd3Mgc3dpdGNoaW5nIHdpbmRvd3Mgb24gYSB3b3Jrc3BhY2Ugb3IgY3ljbGluZyB0aHJvdWdoIHRoZSBXb3Jrc3BhY2VzLiIsCiAgIm5hbWUiOiAiQWN0aXZpdGllcyBJY29ucyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mYXd0eXRvby9hY3Rpdml0aWVzLWljb25zIiwKICAidXVpZCI6ICJhY3Rpdml0aWVzX2ljb25zQGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDcKfQ=="}, "40": {"version": "7", "sha256": "0f3h4cwkfx0r0l0fd2yvg7g8hj2lf5nhl6kan9p2p9dsn2sdnlvv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBBY3Rpdml0aWVzIGJ1dHRvbiBiZWNvbWVzIDIgaWNvbnMgZm9yIHNlbGVjdGluZyBlaXRoZXIgQXBwbGljYXRpb25zIG9yIFdvcmtzcGFjZXMgaW4gdGhlIG92ZXJ2aWV3LiBTZWxlY3RpbmcgdGhlIHNhbWUgdmlldyBhZ2FpbiB3aWxsIGhpZGUgdGhlIG92ZXJ2aWV3LlxuU2Nyb2xsaW5nIG9uIHRoZSBpY29ucyBhbGxvd3Mgc3dpdGNoaW5nIHdpbmRvd3Mgb24gYSB3b3Jrc3BhY2Ugb3IgY3ljbGluZyB0aHJvdWdoIHRoZSBXb3Jrc3BhY2VzLiIsCiAgIm5hbWUiOiAiQWN0aXZpdGllcyBJY29ucyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mYXd0eXRvby9hY3Rpdml0aWVzLWljb25zIiwKICAidXVpZCI6ICJhY3Rpdml0aWVzX2ljb25zQGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDcKfQ=="}}}
-, {"uuid": "transparent_panel@fawtytoo", "name": "Transparent Top Panel", "pname": "transparent-top-panel", "description": "Totally transparent top panel in the Overview.\nAlso adds drop shadows to text and icons for those using GS 3.38.", "link": "https://extensions.gnome.org/extension/2878/transparent-top-panel/", "shell_version_map": {"38": {"version": "6", "sha256": "1ss4ckxinql9jvdqlsscvb5ddj1lijibwn9zk3nhkdqpxnz5qwrq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvdGFsbHkgdHJhbnNwYXJlbnQgdG9wIHBhbmVsIGluIHRoZSBPdmVydmlldy5cbkFsc28gYWRkcyBkcm9wIHNoYWRvd3MgdG8gdGV4dCBhbmQgaWNvbnMgZm9yIHRob3NlIHVzaW5nIEdTIDMuMzguIiwKICAibmFtZSI6ICJUcmFuc3BhcmVudCBUb3AgUGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAidHJhbnNwYXJlbnRfcGFuZWxAZmF3dHl0b28iLAogICJ2ZXJzaW9uIjogNgp9"}}}
+, {"uuid": "transparent_panel@fawtytoo", "name": "Transparent Top Panel", "pname": "transparent-top-panel", "description": "Totally transparent top panel in the Overview.\nAlso adds drop shadows to text and icons for those using GS 3.38.", "link": "https://extensions.gnome.org/extension/2878/transparent-top-panel/", "shell_version_map": {"38": {"version": "9", "sha256": "0samdl8ig95hin9xjc41pzh648s97cqs6sb6qmjzkhnd35cx0k9s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvdGFsbHkgdHJhbnNwYXJlbnQgdG9wIHBhbmVsIGluIHRoZSBPdmVydmlldy5cbkFsc28gYWRkcyBkcm9wIHNoYWRvd3MgdG8gdGV4dCBhbmQgaWNvbnMgZm9yIHRob3NlIHVzaW5nIEdTIDMuMzguIiwKICAibmFtZSI6ICJUcmFuc3BhcmVudCBUb3AgUGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInRyYW5zcGFyZW50X3BhbmVsQGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDkKfQ=="}, "40": {"version": "9", "sha256": "0samdl8ig95hin9xjc41pzh648s97cqs6sb6qmjzkhnd35cx0k9s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvdGFsbHkgdHJhbnNwYXJlbnQgdG9wIHBhbmVsIGluIHRoZSBPdmVydmlldy5cbkFsc28gYWRkcyBkcm9wIHNoYWRvd3MgdG8gdGV4dCBhbmQgaWNvbnMgZm9yIHRob3NlIHVzaW5nIEdTIDMuMzguIiwKICAibmFtZSI6ICJUcmFuc3BhcmVudCBUb3AgUGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInRyYW5zcGFyZW50X3BhbmVsQGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDkKfQ=="}}}
, {"uuid": "overview_full_bright@fawtytoo", "name": "Overview Full Bright", "pname": "overview-full-bright", "description": "Shows the Overview in full brightness and without the vignette.\n\nNOTE: This will not be developed beyond GS 3.38.", "link": "https://extensions.gnome.org/extension/2884/overview-full-bright/", "shell_version_map": {"38": {"version": "4", "sha256": "1dm5h1kl40lsly9a80ch4mfi67ppwd7dgg4idx8vrcx5iksnzxil", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIHRoZSBPdmVydmlldyBpbiBmdWxsIGJyaWdodG5lc3MgYW5kIHdpdGhvdXQgdGhlIHZpZ25ldHRlLlxuXG5OT1RFOiBUaGlzIHdpbGwgbm90IGJlIGRldmVsb3BlZCBiZXlvbmQgR1MgMy4zOC4iLAogICJuYW1lIjogIk92ZXJ2aWV3IEZ1bGwgQnJpZ2h0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIm92ZXJ2aWV3X2Z1bGxfYnJpZ2h0QGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
-, {"uuid": "trayIconsReloaded@selfmade.pl", "name": "Tray Icons: Reloaded", "pname": "tray-icons-reloaded", "description": "Tray Icons Reloaded is a GNOME Shell extension which bring back Tray Icons to top panel, with additional features.\n\n>>> Read compatibility note on GitHub there is also bug reporting <<<", "link": "https://extensions.gnome.org/extension/2890/tray-icons-reloaded/", "shell_version_map": {"38": {"version": "11", "sha256": "19icczlk4j8a1fdl19lqzinx9l82lwnvj5q8xaazvgm4yicxmh4b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYXkgSWNvbnMgUmVsb2FkZWQgaXMgYSBHTk9NRSBTaGVsbCBleHRlbnNpb24gd2hpY2ggYnJpbmcgYmFjayBUcmF5IEljb25zIHRvIHRvcCBwYW5lbCwgd2l0aCBhZGRpdGlvbmFsIGZlYXR1cmVzLlxuXG4+Pj4gUmVhZCBjb21wYXRpYmlsaXR5IG5vdGUgb24gR2l0SHViIHRoZXJlIGlzIGFsc28gYnVnIHJlcG9ydGluZyA8PDwiLAogICJuYW1lIjogIlRyYXkgSWNvbnM6IFJlbG9hZGVkIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRyYXlJY29uc1JlbG9hZGVkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTWFydGluUEwvVHJheS1JY29ucy1SZWxvYWRlZCIsCiAgInV1aWQiOiAidHJheUljb25zUmVsb2FkZWRAc2VsZm1hZGUucGwiLAogICJ2ZXJzaW9uIjogMTEKfQ=="}, "40": {"version": "14", "sha256": "0knl4brv7bjx6pwj3r6ywfadgfw2yqwdxxxxfykcay6q95baf8jz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYXkgSWNvbnMgUmVsb2FkZWQgaXMgYSBHTk9NRSBTaGVsbCBleHRlbnNpb24gd2hpY2ggYnJpbmcgYmFjayBUcmF5IEljb25zIHRvIHRvcCBwYW5lbCwgd2l0aCBhZGRpdGlvbmFsIGZlYXR1cmVzLlxuXG4+Pj4gUmVhZCBjb21wYXRpYmlsaXR5IG5vdGUgb24gR2l0SHViIHRoZXJlIGlzIGFsc28gYnVnIHJlcG9ydGluZyA8PDwiLAogICJuYW1lIjogIlRyYXkgSWNvbnM6IFJlbG9hZGVkIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRyYXlJY29uc1JlbG9hZGVkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL01hcnRpblBML1RyYXktSWNvbnMtUmVsb2FkZWQiLAogICJ1dWlkIjogInRyYXlJY29uc1JlbG9hZGVkQHNlbGZtYWRlLnBsIiwKICAidmVyc2lvbiI6IDE0Cn0="}}}
-, {"uuid": "messagingmenu@lauinger-clan.de", "name": "Messaging Menu", "pname": "messaging-menu", "description": "A Messaging Menu for the Gnome Shell. All Email and Chat Applications in one Place.", "link": "https://extensions.gnome.org/extension/2896/messaging-menu/", "shell_version_map": {"38": {"version": "4", "sha256": "1xa0hyvs2aw1z7kg5gcsgh2pjn7b13zhps98j4xpwfiv6zm0sa73", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgTWVzc2FnaW5nIE1lbnUgZm9yIHRoZSBHbm9tZSBTaGVsbC4gQWxsIEVtYWlsIGFuZCBDaGF0IEFwcGxpY2F0aW9ucyBpbiBvbmUgUGxhY2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiTWVzc2FnaW5nIE1lbnUiLAogICJvcmlnaW5hbC1hdXRob3IiOiAic2luaXN0ZXJzdHVmIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1lc3NhZ2luZ21lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9DaHJpc0xhdWluZ2VyNzcvbWVzc2FnaW5nbWVudSIsCiAgInV1aWQiOiAibWVzc2FnaW5nbWVudUBsYXVpbmdlci1jbGFuLmRlIiwKICAidmVyc2lvbiI6IDQKfQ=="}, "40": {"version": "6", "sha256": "09hd9qvg4xcyz2pjv3bwx3kmzhkq95598msxf2h7jzn7kdvmc3pp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgTWVzc2FnaW5nIE1lbnUgZm9yIHRoZSBHbm9tZSBTaGVsbC4gQWxsIEVtYWlsIGFuZCBDaGF0IEFwcGxpY2F0aW9ucyBpbiBvbmUgUGxhY2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiTWVzc2FnaW5nIE1lbnUiLAogICJvcmlnaW5hbC1hdXRob3IiOiAic2luaXN0ZXJzdHVmIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1lc3NhZ2luZ21lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9DaHJpc0xhdWluZ2VyNzcvbWVzc2FnaW5nbWVudSIsCiAgInV1aWQiOiAibWVzc2FnaW5nbWVudUBsYXVpbmdlci1jbGFuLmRlIiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
-, {"uuid": "SettingsCenter@lauinger-clan.de", "name": "SettingsCenter", "pname": "settingscenter", "description": "Settings Center is a customizable drop-down menu for quickly launching frequently used apps in Gnome:Shell via the user/aggregate menu. Originally created by XES.\n\nv10: fix for older versions, i havent tested this on anything below 3.10, v9: minor cleanup, now has an icon for the main menu entry. \n\nSettings shortcuts : gnome-tweak-tool, dconf-editor, gconf-editor, gnome-session-properties, gnome-shell-extension-prefs, seahorse and nvidia-settings. You can add your own\n\nOriginal source : http://svn.xesnet.fr/gnomeextensions (3.8 replace Settings code credit IsacDaavid)\n\nCredit to @peaceseeker for updating this with a working repo, i do wish it could have been pushed to me but my blank repo was deleted as it was stale, i failed to push to git before going back to work around 1.5 years ago and i hadn't been active enough to notice anything other than emails(these things help people!)", "link": "https://extensions.gnome.org/extension/2899/settingscenter/", "shell_version_map": {"38": {"version": "2", "sha256": "150x8xp9xm28scw5lcmxq7xcfa17wbzy2y381xsv0mv0b03kvyqy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldHRpbmdzIENlbnRlciBpcyBhIGN1c3RvbWl6YWJsZSBkcm9wLWRvd24gbWVudSBmb3IgcXVpY2tseSBsYXVuY2hpbmcgZnJlcXVlbnRseSB1c2VkIGFwcHMgaW4gR25vbWU6U2hlbGwgdmlhIHRoZSB1c2VyL2FnZ3JlZ2F0ZSBtZW51LiBPcmlnaW5hbGx5IGNyZWF0ZWQgYnkgWEVTLlxuXG52MTA6IGZpeCBmb3Igb2xkZXIgdmVyc2lvbnMsIGkgaGF2ZW50IHRlc3RlZCB0aGlzIG9uIGFueXRoaW5nIGJlbG93IDMuMTAsIHY5OiBtaW5vciBjbGVhbnVwLCBub3cgaGFzIGFuIGljb24gZm9yIHRoZSBtYWluIG1lbnUgZW50cnkuIFxuXG5TZXR0aW5ncyBzaG9ydGN1dHMgOiBnbm9tZS10d2Vhay10b29sLCBkY29uZi1lZGl0b3IsIGdjb25mLWVkaXRvciwgZ25vbWUtc2Vzc2lvbi1wcm9wZXJ0aWVzLCBnbm9tZS1zaGVsbC1leHRlbnNpb24tcHJlZnMsIHNlYWhvcnNlIGFuZCBudmlkaWEtc2V0dGluZ3MuIFlvdSBjYW4gYWRkIHlvdXIgb3duXG5cbk9yaWdpbmFsIHNvdXJjZSA6IGh0dHA6Ly9zdm4ueGVzbmV0LmZyL2dub21lZXh0ZW5zaW9ucyAoMy44IHJlcGxhY2UgU2V0dGluZ3MgY29kZSBjcmVkaXQgSXNhY0RhYXZpZClcblxuQ3JlZGl0IHRvIEBwZWFjZXNlZWtlciBmb3IgdXBkYXRpbmcgdGhpcyB3aXRoIGEgd29ya2luZyByZXBvLCBpIGRvIHdpc2ggaXQgY291bGQgaGF2ZSBiZWVuIHB1c2hlZCB0byBtZSBidXQgbXkgYmxhbmsgcmVwbyB3YXMgZGVsZXRlZCBhcyBpdCB3YXMgc3RhbGUsIGkgZmFpbGVkIHRvIHB1c2ggdG8gZ2l0IGJlZm9yZSBnb2luZyBiYWNrIHRvIHdvcmsgYXJvdW5kIDEuNSB5ZWFycyBhZ28gYW5kIGkgaGFkbid0IGJlZW4gYWN0aXZlIGVub3VnaCB0byBub3RpY2UgYW55dGhpbmcgb3RoZXIgdGhhbiBlbWFpbHModGhlc2UgdGhpbmdzIGhlbHAgcGVvcGxlISkiLAogICJsb2NhbGUiOiAiL3Vzci9zaGFyZS9sb2NhbGUiLAogICJuYW1lIjogIlNldHRpbmdzQ2VudGVyIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIlhlcywgbDMwMGx2bCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy40IiwKICAgICIzLjYiLAogICAgIjMuNS40IiwKICAgICIzLjgiLAogICAgIjMuMTAiLAogICAgIjMuMTIiLAogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9DaHJpc0xhdWluZ2VyNzcvWEVTLVNldHRpbmdzLUNlbnRlci1FeHRlbnNpb24iLAogICJ1dWlkIjogIlNldHRpbmdzQ2VudGVyQGxhdWluZ2VyLWNsYW4uZGUiLAogICJ2ZXJzaW9uIjogMgp9"}, "40": {"version": "4", "sha256": "17pcz8m4xs1rqfzr1whp56hdifsfqj1fw9087viqs7gb398ji7gx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldHRpbmdzIENlbnRlciBpcyBhIGN1c3RvbWl6YWJsZSBkcm9wLWRvd24gbWVudSBmb3IgcXVpY2tseSBsYXVuY2hpbmcgZnJlcXVlbnRseSB1c2VkIGFwcHMgaW4gR25vbWU6U2hlbGwgdmlhIHRoZSB1c2VyL2FnZ3JlZ2F0ZSBtZW51LiBPcmlnaW5hbGx5IGNyZWF0ZWQgYnkgWEVTLlxuXG52MTA6IGZpeCBmb3Igb2xkZXIgdmVyc2lvbnMsIGkgaGF2ZW50IHRlc3RlZCB0aGlzIG9uIGFueXRoaW5nIGJlbG93IDMuMTAsIHY5OiBtaW5vciBjbGVhbnVwLCBub3cgaGFzIGFuIGljb24gZm9yIHRoZSBtYWluIG1lbnUgZW50cnkuIFxuXG5TZXR0aW5ncyBzaG9ydGN1dHMgOiBnbm9tZS10d2Vhay10b29sLCBkY29uZi1lZGl0b3IsIGdjb25mLWVkaXRvciwgZ25vbWUtc2Vzc2lvbi1wcm9wZXJ0aWVzLCBnbm9tZS1zaGVsbC1leHRlbnNpb24tcHJlZnMsIHNlYWhvcnNlIGFuZCBudmlkaWEtc2V0dGluZ3MuIFlvdSBjYW4gYWRkIHlvdXIgb3duXG5cbk9yaWdpbmFsIHNvdXJjZSA6IGh0dHA6Ly9zdm4ueGVzbmV0LmZyL2dub21lZXh0ZW5zaW9ucyAoMy44IHJlcGxhY2UgU2V0dGluZ3MgY29kZSBjcmVkaXQgSXNhY0RhYXZpZClcblxuQ3JlZGl0IHRvIEBwZWFjZXNlZWtlciBmb3IgdXBkYXRpbmcgdGhpcyB3aXRoIGEgd29ya2luZyByZXBvLCBpIGRvIHdpc2ggaXQgY291bGQgaGF2ZSBiZWVuIHB1c2hlZCB0byBtZSBidXQgbXkgYmxhbmsgcmVwbyB3YXMgZGVsZXRlZCBhcyBpdCB3YXMgc3RhbGUsIGkgZmFpbGVkIHRvIHB1c2ggdG8gZ2l0IGJlZm9yZSBnb2luZyBiYWNrIHRvIHdvcmsgYXJvdW5kIDEuNSB5ZWFycyBhZ28gYW5kIGkgaGFkbid0IGJlZW4gYWN0aXZlIGVub3VnaCB0byBub3RpY2UgYW55dGhpbmcgb3RoZXIgdGhhbiBlbWFpbHModGhlc2UgdGhpbmdzIGhlbHAgcGVvcGxlISkiLAogICJsb2NhbGUiOiAiL3Vzci9zaGFyZS9sb2NhbGUiLAogICJuYW1lIjogIlNldHRpbmdzQ2VudGVyIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIlhlcywgbDMwMGx2bCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NocmlzTGF1aW5nZXI3Ny9YRVMtU2V0dGluZ3MtQ2VudGVyLUV4dGVuc2lvbiIsCiAgInV1aWQiOiAiU2V0dGluZ3NDZW50ZXJAbGF1aW5nZXItY2xhbi5kZSIsCiAgInZlcnNpb24iOiA0Cn0="}}}
+, {"uuid": "trayIconsReloaded@selfmade.pl", "name": "Tray Icons: Reloaded", "pname": "tray-icons-reloaded", "description": "Tray Icons Reloaded is a GNOME Shell extension which bring back Tray Icons to top panel, with additional features.\n\n>>> Read compatibility note on GitHub there is also bug reporting <<<", "link": "https://extensions.gnome.org/extension/2890/tray-icons-reloaded/", "shell_version_map": {"38": {"version": "11", "sha256": "19icczlk4j8a1fdl19lqzinx9l82lwnvj5q8xaazvgm4yicxmh4b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYXkgSWNvbnMgUmVsb2FkZWQgaXMgYSBHTk9NRSBTaGVsbCBleHRlbnNpb24gd2hpY2ggYnJpbmcgYmFjayBUcmF5IEljb25zIHRvIHRvcCBwYW5lbCwgd2l0aCBhZGRpdGlvbmFsIGZlYXR1cmVzLlxuXG4+Pj4gUmVhZCBjb21wYXRpYmlsaXR5IG5vdGUgb24gR2l0SHViIHRoZXJlIGlzIGFsc28gYnVnIHJlcG9ydGluZyA8PDwiLAogICJuYW1lIjogIlRyYXkgSWNvbnM6IFJlbG9hZGVkIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRyYXlJY29uc1JlbG9hZGVkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTWFydGluUEwvVHJheS1JY29ucy1SZWxvYWRlZCIsCiAgInV1aWQiOiAidHJheUljb25zUmVsb2FkZWRAc2VsZm1hZGUucGwiLAogICJ2ZXJzaW9uIjogMTEKfQ=="}, "40": {"version": "16", "sha256": "0bwpxfc2wjvv254fnr05q3cvs1r0jw0fdj7n8b20vdwry48n30vb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYXkgSWNvbnMgUmVsb2FkZWQgaXMgYSBHTk9NRSBTaGVsbCBleHRlbnNpb24gd2hpY2ggYnJpbmcgYmFjayBUcmF5IEljb25zIHRvIHRvcCBwYW5lbCwgd2l0aCBhZGRpdGlvbmFsIGZlYXR1cmVzLlxuXG4+Pj4gUmVhZCBjb21wYXRpYmlsaXR5IG5vdGUgb24gR2l0SHViIHRoZXJlIGlzIGFsc28gYnVnIHJlcG9ydGluZyA8PDwiLAogICJuYW1lIjogIlRyYXkgSWNvbnM6IFJlbG9hZGVkIiwKICAib3Blbi1ibGFja2xpc3QiOiBbCiAgICAiRWxlY3Ryb24iCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRyYXlJY29uc1JlbG9hZGVkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL01hcnRpblBML1RyYXktSWNvbnMtUmVsb2FkZWQiLAogICJ1dWlkIjogInRyYXlJY29uc1JlbG9hZGVkQHNlbGZtYWRlLnBsIiwKICAidmVyc2lvbiI6IDE2Cn0="}}}
+, {"uuid": "messagingmenu@lauinger-clan.de", "name": "Messaging Menu", "pname": "messaging-menu", "description": "A Messaging Menu for the Gnome Shell. All Email and Chat Applications in one Place.", "link": "https://extensions.gnome.org/extension/2896/messaging-menu/", "shell_version_map": {"38": {"version": "4", "sha256": "1xa0hyvs2aw1z7kg5gcsgh2pjn7b13zhps98j4xpwfiv6zm0sa73", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgTWVzc2FnaW5nIE1lbnUgZm9yIHRoZSBHbm9tZSBTaGVsbC4gQWxsIEVtYWlsIGFuZCBDaGF0IEFwcGxpY2F0aW9ucyBpbiBvbmUgUGxhY2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiTWVzc2FnaW5nIE1lbnUiLAogICJvcmlnaW5hbC1hdXRob3IiOiAic2luaXN0ZXJzdHVmIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1lc3NhZ2luZ21lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9DaHJpc0xhdWluZ2VyNzcvbWVzc2FnaW5nbWVudSIsCiAgInV1aWQiOiAibWVzc2FnaW5nbWVudUBsYXVpbmdlci1jbGFuLmRlIiwKICAidmVyc2lvbiI6IDQKfQ=="}, "40": {"version": "8", "sha256": "1pxf5rk8nqm6scm38np546g5z9xlnf2nghzbayrxk91b67qq6znv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgTWVzc2FnaW5nIE1lbnUgZm9yIHRoZSBHbm9tZSBTaGVsbC4gQWxsIEVtYWlsIGFuZCBDaGF0IEFwcGxpY2F0aW9ucyBpbiBvbmUgUGxhY2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiTWVzc2FnaW5nIE1lbnUiLAogICJvcmlnaW5hbC1hdXRob3IiOiAic2luaXN0ZXJzdHVmIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1lc3NhZ2luZ21lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NocmlzTGF1aW5nZXI3Ny9tZXNzYWdpbmdtZW51IiwKICAidXVpZCI6ICJtZXNzYWdpbmdtZW51QGxhdWluZ2VyLWNsYW4uZGUiLAogICJ2ZXJzaW9uIjogOAp9"}}}
+, {"uuid": "SettingsCenter@lauinger-clan.de", "name": "SettingsCenter", "pname": "settingscenter", "description": "Settings Center is a customizable drop-down menu for quickly launching frequently used apps in Gnome:Shell via the user/aggregate menu. Originally created by XES.\n\nv10: fix for older versions, i havent tested this on anything below 3.10, v9: minor cleanup, now has an icon for the main menu entry. \n\nSettings shortcuts : gnome-tweak-tool, dconf-editor, gconf-editor, gnome-session-properties, gnome-shell-extension-prefs, seahorse and nvidia-settings. You can add your own\n\nOriginal source : http://svn.xesnet.fr/gnomeextensions (3.8 replace Settings code credit IsacDaavid)\n\nCredit to @peaceseeker for updating this with a working repo, i do wish it could have been pushed to me but my blank repo was deleted as it was stale, i failed to push to git before going back to work around 1.5 years ago and i hadn't been active enough to notice anything other than emails(these things help people!)", "link": "https://extensions.gnome.org/extension/2899/settingscenter/", "shell_version_map": {"38": {"version": "2", "sha256": "150x8xp9xm28scw5lcmxq7xcfa17wbzy2y381xsv0mv0b03kvyqy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldHRpbmdzIENlbnRlciBpcyBhIGN1c3RvbWl6YWJsZSBkcm9wLWRvd24gbWVudSBmb3IgcXVpY2tseSBsYXVuY2hpbmcgZnJlcXVlbnRseSB1c2VkIGFwcHMgaW4gR25vbWU6U2hlbGwgdmlhIHRoZSB1c2VyL2FnZ3JlZ2F0ZSBtZW51LiBPcmlnaW5hbGx5IGNyZWF0ZWQgYnkgWEVTLlxuXG52MTA6IGZpeCBmb3Igb2xkZXIgdmVyc2lvbnMsIGkgaGF2ZW50IHRlc3RlZCB0aGlzIG9uIGFueXRoaW5nIGJlbG93IDMuMTAsIHY5OiBtaW5vciBjbGVhbnVwLCBub3cgaGFzIGFuIGljb24gZm9yIHRoZSBtYWluIG1lbnUgZW50cnkuIFxuXG5TZXR0aW5ncyBzaG9ydGN1dHMgOiBnbm9tZS10d2Vhay10b29sLCBkY29uZi1lZGl0b3IsIGdjb25mLWVkaXRvciwgZ25vbWUtc2Vzc2lvbi1wcm9wZXJ0aWVzLCBnbm9tZS1zaGVsbC1leHRlbnNpb24tcHJlZnMsIHNlYWhvcnNlIGFuZCBudmlkaWEtc2V0dGluZ3MuIFlvdSBjYW4gYWRkIHlvdXIgb3duXG5cbk9yaWdpbmFsIHNvdXJjZSA6IGh0dHA6Ly9zdm4ueGVzbmV0LmZyL2dub21lZXh0ZW5zaW9ucyAoMy44IHJlcGxhY2UgU2V0dGluZ3MgY29kZSBjcmVkaXQgSXNhY0RhYXZpZClcblxuQ3JlZGl0IHRvIEBwZWFjZXNlZWtlciBmb3IgdXBkYXRpbmcgdGhpcyB3aXRoIGEgd29ya2luZyByZXBvLCBpIGRvIHdpc2ggaXQgY291bGQgaGF2ZSBiZWVuIHB1c2hlZCB0byBtZSBidXQgbXkgYmxhbmsgcmVwbyB3YXMgZGVsZXRlZCBhcyBpdCB3YXMgc3RhbGUsIGkgZmFpbGVkIHRvIHB1c2ggdG8gZ2l0IGJlZm9yZSBnb2luZyBiYWNrIHRvIHdvcmsgYXJvdW5kIDEuNSB5ZWFycyBhZ28gYW5kIGkgaGFkbid0IGJlZW4gYWN0aXZlIGVub3VnaCB0byBub3RpY2UgYW55dGhpbmcgb3RoZXIgdGhhbiBlbWFpbHModGhlc2UgdGhpbmdzIGhlbHAgcGVvcGxlISkiLAogICJsb2NhbGUiOiAiL3Vzci9zaGFyZS9sb2NhbGUiLAogICJuYW1lIjogIlNldHRpbmdzQ2VudGVyIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIlhlcywgbDMwMGx2bCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy40IiwKICAgICIzLjYiLAogICAgIjMuNS40IiwKICAgICIzLjgiLAogICAgIjMuMTAiLAogICAgIjMuMTIiLAogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9DaHJpc0xhdWluZ2VyNzcvWEVTLVNldHRpbmdzLUNlbnRlci1FeHRlbnNpb24iLAogICJ1dWlkIjogIlNldHRpbmdzQ2VudGVyQGxhdWluZ2VyLWNsYW4uZGUiLAogICJ2ZXJzaW9uIjogMgp9"}, "40": {"version": "6", "sha256": "0vbvkvrx9yd2jci452m1wdqhx1d1a858kgg45abqds8d7vdmlph1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldHRpbmdzIENlbnRlciBpcyBhIGN1c3RvbWl6YWJsZSBkcm9wLWRvd24gbWVudSBmb3IgcXVpY2tseSBsYXVuY2hpbmcgZnJlcXVlbnRseSB1c2VkIGFwcHMgaW4gR25vbWU6U2hlbGwgdmlhIHRoZSB1c2VyL2FnZ3JlZ2F0ZSBtZW51LiBPcmlnaW5hbGx5IGNyZWF0ZWQgYnkgWEVTLlxuXG52MTA6IGZpeCBmb3Igb2xkZXIgdmVyc2lvbnMsIGkgaGF2ZW50IHRlc3RlZCB0aGlzIG9uIGFueXRoaW5nIGJlbG93IDMuMTAsIHY5OiBtaW5vciBjbGVhbnVwLCBub3cgaGFzIGFuIGljb24gZm9yIHRoZSBtYWluIG1lbnUgZW50cnkuIFxuXG5TZXR0aW5ncyBzaG9ydGN1dHMgOiBnbm9tZS10d2Vhay10b29sLCBkY29uZi1lZGl0b3IsIGdjb25mLWVkaXRvciwgZ25vbWUtc2Vzc2lvbi1wcm9wZXJ0aWVzLCBnbm9tZS1zaGVsbC1leHRlbnNpb24tcHJlZnMsIHNlYWhvcnNlIGFuZCBudmlkaWEtc2V0dGluZ3MuIFlvdSBjYW4gYWRkIHlvdXIgb3duXG5cbk9yaWdpbmFsIHNvdXJjZSA6IGh0dHA6Ly9zdm4ueGVzbmV0LmZyL2dub21lZXh0ZW5zaW9ucyAoMy44IHJlcGxhY2UgU2V0dGluZ3MgY29kZSBjcmVkaXQgSXNhY0RhYXZpZClcblxuQ3JlZGl0IHRvIEBwZWFjZXNlZWtlciBmb3IgdXBkYXRpbmcgdGhpcyB3aXRoIGEgd29ya2luZyByZXBvLCBpIGRvIHdpc2ggaXQgY291bGQgaGF2ZSBiZWVuIHB1c2hlZCB0byBtZSBidXQgbXkgYmxhbmsgcmVwbyB3YXMgZGVsZXRlZCBhcyBpdCB3YXMgc3RhbGUsIGkgZmFpbGVkIHRvIHB1c2ggdG8gZ2l0IGJlZm9yZSBnb2luZyBiYWNrIHRvIHdvcmsgYXJvdW5kIDEuNSB5ZWFycyBhZ28gYW5kIGkgaGFkbid0IGJlZW4gYWN0aXZlIGVub3VnaCB0byBub3RpY2UgYW55dGhpbmcgb3RoZXIgdGhhbiBlbWFpbHModGhlc2UgdGhpbmdzIGhlbHAgcGVvcGxlISkiLAogICJsb2NhbGUiOiAiL3Vzci9zaGFyZS9sb2NhbGUiLAogICJuYW1lIjogIlNldHRpbmdzQ2VudGVyIiwKICAib3JpZ2luYWwtYXV0aG9yIjogIlhlcywgbDMwMGx2bCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQ2hyaXNMYXVpbmdlcjc3L1hFUy1TZXR0aW5ncy1DZW50ZXItRXh0ZW5zaW9uIiwKICAidXVpZCI6ICJTZXR0aW5nc0NlbnRlckBsYXVpbmdlci1jbGFuLmRlIiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
, {"uuid": "auto-mute-toggle@garotosopa.github.io", "name": "Auto-mute toggle", "pname": "auto-mute-toggle", "description": "Toggle whether to auto-mute speakers when headphones are plugged in.", "link": "https://extensions.gnome.org/extension/2905/auto-mute-toggle/", "shell_version_map": {"40": {"version": "6", "sha256": "1lyh51gvsh9ydip77vjj8rigjiah97lh8gp91jcpqblwx69fs3dk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZSB3aGV0aGVyIHRvIGF1dG8tbXV0ZSBzcGVha2VycyB3aGVuIGhlYWRwaG9uZXMgYXJlIHBsdWdnZWQgaW4uIiwKICAibmFtZSI6ICJBdXRvLW11dGUgdG9nZ2xlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dhcm90b3NvcGEvZ3NlLWF1dG8tbXV0ZS10b2dnbGUiLAogICJ1dWlkIjogImF1dG8tbXV0ZS10b2dnbGVAZ2Fyb3Rvc29wYS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNgp9"}}}
, {"uuid": "optimus-manager-indicator@andr3slelouch.github.com", "name": "Optimus Manager Indicator", "pname": "optimus-manager-indicator", "description": "Intel/Hybrid/NVIDIA GPU Switch Note: The GPU mode activated doesn't show up in the options, by example: When you turn on the PC you are gonna be in Intel mode so Intel option is not gonna be shown. Note: Optimus Manager Indicator is made(for the moment) for Arch based distributions with optimus-manager.", "link": "https://extensions.gnome.org/extension/2908/optimus-manager-indicator/", "shell_version_map": {"38": {"version": "5", "sha256": "1mqgnwfdbd2460ngkkq6wiswvb9bvwgm5n32j7jgvn1xhb3mqn58", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkludGVsL0h5YnJpZC9OVklESUEgR1BVIFN3aXRjaCBOb3RlOiBUaGUgR1BVIG1vZGUgYWN0aXZhdGVkIGRvZXNuJ3Qgc2hvdyB1cCBpbiB0aGUgb3B0aW9ucywgYnkgZXhhbXBsZTogV2hlbiB5b3UgdHVybiBvbiB0aGUgUEMgeW91IGFyZSBnb25uYSBiZSBpbiBJbnRlbCBtb2RlIHNvIEludGVsIG9wdGlvbiBpcyBub3QgZ29ubmEgYmUgc2hvd24uIE5vdGU6IE9wdGltdXMgTWFuYWdlciBJbmRpY2F0b3IgaXMgbWFkZShmb3IgdGhlIG1vbWVudCkgZm9yIEFyY2ggYmFzZWQgZGlzdHJpYnV0aW9ucyB3aXRoIG9wdGltdXMtbWFuYWdlci4iLAogICJuYW1lIjogIk9wdGltdXMgTWFuYWdlciBJbmRpY2F0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbmRyM3NsZWxvdWNoL09wdGltdXMtTWFuYWdlci1JbmRpY2F0b3IiLAogICJ1dWlkIjogIm9wdGltdXMtbWFuYWdlci1pbmRpY2F0b3JAYW5kcjNzbGVsb3VjaC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDUKfQ=="}, "40": {"version": "5", "sha256": "1mqgnwfdbd2460ngkkq6wiswvb9bvwgm5n32j7jgvn1xhb3mqn58", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkludGVsL0h5YnJpZC9OVklESUEgR1BVIFN3aXRjaCBOb3RlOiBUaGUgR1BVIG1vZGUgYWN0aXZhdGVkIGRvZXNuJ3Qgc2hvdyB1cCBpbiB0aGUgb3B0aW9ucywgYnkgZXhhbXBsZTogV2hlbiB5b3UgdHVybiBvbiB0aGUgUEMgeW91IGFyZSBnb25uYSBiZSBpbiBJbnRlbCBtb2RlIHNvIEludGVsIG9wdGlvbiBpcyBub3QgZ29ubmEgYmUgc2hvd24uIE5vdGU6IE9wdGltdXMgTWFuYWdlciBJbmRpY2F0b3IgaXMgbWFkZShmb3IgdGhlIG1vbWVudCkgZm9yIEFyY2ggYmFzZWQgZGlzdHJpYnV0aW9ucyB3aXRoIG9wdGltdXMtbWFuYWdlci4iLAogICJuYW1lIjogIk9wdGltdXMgTWFuYWdlciBJbmRpY2F0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbmRyM3NsZWxvdWNoL09wdGltdXMtTWFuYWdlci1JbmRpY2F0b3IiLAogICJ1dWlkIjogIm9wdGltdXMtbWFuYWdlci1pbmRpY2F0b3JAYW5kcjNzbGVsb3VjaC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
-, {"uuid": "BringOutSubmenuOfPowerOffLogoutButton@pratap.fastmail.fm", "name": "Bring Out Submenu Of Power Off/Logout Button", "pname": "bring-out-submenu-of-power-offlogout-button", "description": "Bring Out Submenu Of Power Off/Logout Button and Rearrange the Order of System Menu.", "link": "https://extensions.gnome.org/extension/2917/bring-out-submenu-of-power-offlogout-button/", "shell_version_map": {"38": {"version": "12", "sha256": "18f1pdz50m5dw86y2zj3jij2p0sakh3qpjq2g1d55pfg96zzrd5m", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaW5nIE91dCBTdWJtZW51IE9mIFBvd2VyIE9mZi9Mb2dvdXQgQnV0dG9uIGFuZCBSZWFycmFuZ2UgdGhlIE9yZGVyIG9mIFN5c3RlbSBNZW51LiIsCiAgIm5hbWUiOiAiQnJpbmcgT3V0IFN1Ym1lbnUgT2YgUG93ZXIgT2ZmL0xvZ291dCBCdXR0b24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9QUkFUQVAtS1VNQVIvQnJpbmctT3V0LVN1Ym1lbnUtb2YtUG93ZXItT2ZmLUxvZ291dCIsCiAgInV1aWQiOiAiQnJpbmdPdXRTdWJtZW51T2ZQb3dlck9mZkxvZ291dEJ1dHRvbkBwcmF0YXAuZmFzdG1haWwuZm0iLAogICJ2ZXJzaW9uIjogMTIKfQ=="}, "40": {"version": "13", "sha256": "04nxhhi46ms0z7hqkd2c0v984ls0dcpg4d4p9jgvkkp1d4ib3yvf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaW5nIE91dCBTdWJtZW51IE9mIFBvd2VyIE9mZi9Mb2dvdXQgQnV0dG9uIGFuZCBSZWFycmFuZ2UgdGhlIE9yZGVyIG9mIFN5c3RlbSBNZW51LiIsCiAgIm5hbWUiOiAiQnJpbmcgT3V0IFN1Ym1lbnUgT2YgUG93ZXIgT2ZmL0xvZ291dCBCdXR0b24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwLmFscGhhIiwKICAgICI0MC4wIiwKICAgICI0MC5iZXRhIiwKICAgICI0MC5yYyIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1BSQVRBUC1LVU1BUi9CcmluZy1PdXQtU3VibWVudS1vZi1Qb3dlci1PZmYtTG9nb3V0IiwKICAidXVpZCI6ICJCcmluZ091dFN1Ym1lbnVPZlBvd2VyT2ZmTG9nb3V0QnV0dG9uQHByYXRhcC5mYXN0bWFpbC5mbSIsCiAgInZlcnNpb24iOiAxMwp9"}}}
-, {"uuid": "batterytimepercentagecompact@sagrland.de", "name": "Battery Time (Percentage) Compact", "pname": "battery-time-percentage-compact", "description": "Show the remaining time until fully charged/discharged as well as percentage of battery charge in the panel.", "link": "https://extensions.gnome.org/extension/2929/battery-time-percentage-compact/", "shell_version_map": {"38": {"version": "3", "sha256": "07wrch3rabbfx79w3ivp3q81r2kvf2x4hd469jrvb83qmmfaypgh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgdGhlIHJlbWFpbmluZyB0aW1lIHVudGlsIGZ1bGx5IGNoYXJnZWQvZGlzY2hhcmdlZCBhcyB3ZWxsIGFzIHBlcmNlbnRhZ2Ugb2YgYmF0dGVyeSBjaGFyZ2UgaW4gdGhlIHBhbmVsLiIsCiAgImdldHRleHQtZG9tYWluIjogImJhdHRlcnl0aW1lcGVyY2VudGFnZWNvbXBhY3QiLAogICJuYW1lIjogIkJhdHRlcnkgVGltZSAoUGVyY2VudGFnZSkgQ29tcGFjdCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5iYXR0ZXJ5dGltZXBlcmNlbnRhZ2Vjb21wYWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIiwKICAgICI0MC5yYyIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NhR3JMYW5kL2dub21lLXNoZWxsLWJhdHRlcnktdGltZS1wZXJjZW50YWdlLWNvbXBhY3QiLAogICJ1dWlkIjogImJhdHRlcnl0aW1lcGVyY2VudGFnZWNvbXBhY3RAc2FncmxhbmQuZGUiLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "3", "sha256": "07wrch3rabbfx79w3ivp3q81r2kvf2x4hd469jrvb83qmmfaypgh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgdGhlIHJlbWFpbmluZyB0aW1lIHVudGlsIGZ1bGx5IGNoYXJnZWQvZGlzY2hhcmdlZCBhcyB3ZWxsIGFzIHBlcmNlbnRhZ2Ugb2YgYmF0dGVyeSBjaGFyZ2UgaW4gdGhlIHBhbmVsLiIsCiAgImdldHRleHQtZG9tYWluIjogImJhdHRlcnl0aW1lcGVyY2VudGFnZWNvbXBhY3QiLAogICJuYW1lIjogIkJhdHRlcnkgVGltZSAoUGVyY2VudGFnZSkgQ29tcGFjdCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5iYXR0ZXJ5dGltZXBlcmNlbnRhZ2Vjb21wYWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIiwKICAgICI0MC5yYyIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NhR3JMYW5kL2dub21lLXNoZWxsLWJhdHRlcnktdGltZS1wZXJjZW50YWdlLWNvbXBhY3QiLAogICJ1dWlkIjogImJhdHRlcnl0aW1lcGVyY2VudGFnZWNvbXBhY3RAc2FncmxhbmQuZGUiLAogICJ2ZXJzaW9uIjogMwp9"}}}
-, {"uuid": "executor@raujonas.github.io", "name": "Executor", "pname": "executor", "description": "Execute multiple shell commands periodically with separate intervals and display the output in gnome top bar.", "link": "https://extensions.gnome.org/extension/2932/executor/", "shell_version_map": {"38": {"version": "10", "sha256": "0qaldgvkgp70hl1r8f82cxs15pgvgz2ls7zcav2vsig1h0jl7s7r", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkV4ZWN1dGUgbXVsdGlwbGUgc2hlbGwgY29tbWFuZHMgcGVyaW9kaWNhbGx5IHdpdGggc2VwYXJhdGUgaW50ZXJ2YWxzIGFuZCBkaXNwbGF5IHRoZSBvdXRwdXQgaW4gZ25vbWUgdG9wIGJhci4iLAogICJuYW1lIjogIkV4ZWN1dG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwLjIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcmF1am9uYXMvZXhlY3V0b3IiLAogICJ1dWlkIjogImV4ZWN1dG9yQHJhdWpvbmFzLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxMAp9"}, "40": {"version": "10", "sha256": "0qaldgvkgp70hl1r8f82cxs15pgvgz2ls7zcav2vsig1h0jl7s7r", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkV4ZWN1dGUgbXVsdGlwbGUgc2hlbGwgY29tbWFuZHMgcGVyaW9kaWNhbGx5IHdpdGggc2VwYXJhdGUgaW50ZXJ2YWxzIGFuZCBkaXNwbGF5IHRoZSBvdXRwdXQgaW4gZ25vbWUgdG9wIGJhci4iLAogICJuYW1lIjogIkV4ZWN1dG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwLjIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcmF1am9uYXMvZXhlY3V0b3IiLAogICJ1dWlkIjogImV4ZWN1dG9yQHJhdWpvbmFzLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxMAp9"}}}
-, {"uuid": "ControlBlurEffectOnLockScreen@pratap.fastmail.fm", "name": "Control Blur Effect On Lock Screen", "pname": "control-blur-effect-on-lock-screen", "description": "Control the Blur Effect On Lock Screen.", "link": "https://extensions.gnome.org/extension/2935/control-blur-effect-on-lock-screen/", "shell_version_map": {"38": {"version": "7", "sha256": "0zdvkv8swvr9dmv3b52zjss270j1b3gq386vhahkhxk93hg6n66f", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnRyb2wgdGhlIEJsdXIgRWZmZWN0IE9uIExvY2sgU2NyZWVuLiIsCiAgIm5hbWUiOiAiQ29udHJvbCBCbHVyIEVmZmVjdCBPbiBMb2NrIFNjcmVlbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1BSQVRBUC1LVU1BUi9Db250cm9sX0JsdXJfRWZmZWN0X09uX0xvY2tfU2NyZWVuIiwKICAidXVpZCI6ICJDb250cm9sQmx1ckVmZmVjdE9uTG9ja1NjcmVlbkBwcmF0YXAuZmFzdG1haWwuZm0iLAogICJ2ZXJzaW9uIjogNwp9"}, "40": {"version": "10", "sha256": "05j3mphb04iyngv347mzqb8dr1rx49fg2snjczgx9cxl2xa65797", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnRyb2wgdGhlIEJsdXIgRWZmZWN0IE9uIExvY2sgU2NyZWVuLiIsCiAgIm5hbWUiOiAiQ29udHJvbCBCbHVyIEVmZmVjdCBPbiBMb2NrIFNjcmVlbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1BSQVRBUC1LVU1BUi9Db250cm9sX0JsdXJfRWZmZWN0X09uX0xvY2tfU2NyZWVuIiwKICAidXVpZCI6ICJDb250cm9sQmx1ckVmZmVjdE9uTG9ja1NjcmVlbkBwcmF0YXAuZmFzdG1haWwuZm0iLAogICJ2ZXJzaW9uIjogMTAKfQ=="}}}
-, {"uuid": "compiz-alike-windows-effect@hermes83.github.com", "name": "Compiz alike windows effect", "pname": "compiz-alike-windows-effect", "description": "Wobbly windows effect inspired by the Compiz ones\n\nNB\nIn case of update error please restart Gnome Shell (on Xorg press ALT+F2 then write r and press enter, on Wayland end the session and log in again)\n\n-----------------------------------\n ALTERNATIVE\n-----------------------------------\nalternative extension to obtain an effect more similar to the original:\nhttps://extensions.gnome.org/extension/3210/compiz-windows-effect/", "link": "https://extensions.gnome.org/extension/2950/compiz-alike-windows-effect/", "shell_version_map": {"38": {"version": "20", "sha256": "0lj9lzs0vngm6lr7dz1p6k6gnaz2a4hwp7g6y6i2r4c6kcwicqkq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldvYmJseSB3aW5kb3dzIGVmZmVjdCBpbnNwaXJlZCBieSB0aGUgQ29tcGl6IG9uZXNcblxuTkJcbkluIGNhc2Ugb2YgdXBkYXRlIGVycm9yIHBsZWFzZSByZXN0YXJ0IEdub21lIFNoZWxsIChvbiBYb3JnIHByZXNzIEFMVCtGMiB0aGVuIHdyaXRlIHIgYW5kIHByZXNzIGVudGVyLCBvbiBXYXlsYW5kIGVuZCB0aGUgc2Vzc2lvbiBhbmQgbG9nIGluIGFnYWluKVxuXG4tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLVxuIEFMVEVSTkFUSVZFXG4tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLVxuYWx0ZXJuYXRpdmUgZXh0ZW5zaW9uIHRvIG9idGFpbiBhbiBlZmZlY3QgbW9yZSBzaW1pbGFyIHRvIHRoZSBvcmlnaW5hbDpcbmh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzMyMTAvY29tcGl6LXdpbmRvd3MtZWZmZWN0LyIsCiAgIm5hbWUiOiAiQ29tcGl6IGFsaWtlIHdpbmRvd3MgZWZmZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hlcm1lczgzL2NvbXBpei1hbGlrZS13aW5kb3dzLWVmZmVjdCIsCiAgInV1aWQiOiAiY29tcGl6LWFsaWtlLXdpbmRvd3MtZWZmZWN0QGhlcm1lczgzLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjAKfQ=="}, "40": {"version": "20", "sha256": "0lj9lzs0vngm6lr7dz1p6k6gnaz2a4hwp7g6y6i2r4c6kcwicqkq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldvYmJseSB3aW5kb3dzIGVmZmVjdCBpbnNwaXJlZCBieSB0aGUgQ29tcGl6IG9uZXNcblxuTkJcbkluIGNhc2Ugb2YgdXBkYXRlIGVycm9yIHBsZWFzZSByZXN0YXJ0IEdub21lIFNoZWxsIChvbiBYb3JnIHByZXNzIEFMVCtGMiB0aGVuIHdyaXRlIHIgYW5kIHByZXNzIGVudGVyLCBvbiBXYXlsYW5kIGVuZCB0aGUgc2Vzc2lvbiBhbmQgbG9nIGluIGFnYWluKVxuXG4tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLVxuIEFMVEVSTkFUSVZFXG4tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLVxuYWx0ZXJuYXRpdmUgZXh0ZW5zaW9uIHRvIG9idGFpbiBhbiBlZmZlY3QgbW9yZSBzaW1pbGFyIHRvIHRoZSBvcmlnaW5hbDpcbmh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzMyMTAvY29tcGl6LXdpbmRvd3MtZWZmZWN0LyIsCiAgIm5hbWUiOiAiQ29tcGl6IGFsaWtlIHdpbmRvd3MgZWZmZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hlcm1lczgzL2NvbXBpei1hbGlrZS13aW5kb3dzLWVmZmVjdCIsCiAgInV1aWQiOiAiY29tcGl6LWFsaWtlLXdpbmRvd3MtZWZmZWN0QGhlcm1lczgzLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjAKfQ=="}}}
-, {"uuid": "light-dict@tuberry.github.io", "name": "Light Dict", "pname": "light-dict", "description": "Lightweight extension for instant action to primary selection, especially optimized for Dictionary lookup\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/2959/light-dict/", "shell_version_map": {"38": {"version": "47", "sha256": "0afn26f234zsk4w1766yf5pr2rrb9d375f9qgqmxibaq34qbn4rx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpZ2h0d2VpZ2h0IGV4dGVuc2lvbiBmb3IgaW5zdGFudCBhY3Rpb24gdG8gcHJpbWFyeSBzZWxlY3Rpb24sIGVzcGVjaWFsbHkgb3B0aW1pemVkIGZvciBEaWN0aW9uYXJ5IGxvb2t1cFxuXG5Gb3Igc3VwcG9ydCwgcGxlYXNlIHJlcG9ydCBhbnkgaXNzdWVzIHZpYSB0aGUgaG9tZXBhZ2UgbGluayBiZWxvdy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJsaWdodC1kaWN0IiwKICAibmFtZSI6ICJMaWdodCBEaWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmxpZ2h0LWRpY3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dWJlcnJ5L2xpZ2h0LWRpY3QiLAogICJ1dWlkIjogImxpZ2h0LWRpY3RAdHViZXJyeS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNDcKfQ=="}, "40": {"version": "54", "sha256": "0gjfpy5lk5qp093syhz5q8c30hbrw2wijnzwbykidczrh58qr3g9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpZ2h0d2VpZ2h0IGV4dGVuc2lvbiBmb3IgaW5zdGFudCBhY3Rpb24gdG8gcHJpbWFyeSBzZWxlY3Rpb24sIGVzcGVjaWFsbHkgb3B0aW1pemVkIGZvciBEaWN0aW9uYXJ5IGxvb2t1cFxuXG5Gb3Igc3VwcG9ydCwgcGxlYXNlIHJlcG9ydCBhbnkgaXNzdWVzIHZpYSB0aGUgaG9tZXBhZ2UgbGluayBiZWxvdy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJsaWdodC1kaWN0IiwKICAibmFtZSI6ICJMaWdodCBEaWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmxpZ2h0LWRpY3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdHViZXJyeS9saWdodC1kaWN0IiwKICAidXVpZCI6ICJsaWdodC1kaWN0QHR1YmVycnkuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDU0Cn0="}}}
+, {"uuid": "BringOutSubmenuOfPowerOffLogoutButton@pratap.fastmail.fm", "name": "Bring Out Submenu Of Power Off/Logout Button", "pname": "bring-out-submenu-of-power-offlogout-button", "description": "Bring Out Submenu Of Power Off/Logout Button and Rearrange the Order of System Menu.", "link": "https://extensions.gnome.org/extension/2917/bring-out-submenu-of-power-offlogout-button/", "shell_version_map": {"38": {"version": "22", "sha256": "0iigq5qb4jh8rjc7m8abz4fsqrihppax4czgwhphkc3fyk2r8iym", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaW5nIE91dCBTdWJtZW51IE9mIFBvd2VyIE9mZi9Mb2dvdXQgQnV0dG9uIGFuZCBSZWFycmFuZ2UgdGhlIE9yZGVyIG9mIFN5c3RlbSBNZW51LiIsCiAgIm5hbWUiOiAiQnJpbmcgT3V0IFN1Ym1lbnUgT2YgUG93ZXIgT2ZmL0xvZ291dCBCdXR0b24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9QUkFUQVAtS1VNQVIvQnJpbmctT3V0LVN1Ym1lbnUtb2YtUG93ZXItT2ZmLUxvZ291dCIsCiAgInV1aWQiOiAiQnJpbmdPdXRTdWJtZW51T2ZQb3dlck9mZkxvZ291dEJ1dHRvbkBwcmF0YXAuZmFzdG1haWwuZm0iLAogICJ2ZXJzaW9uIjogMjIKfQ=="}, "40": {"version": "23", "sha256": "1p3g1a68a02cy646lfh5zshn0d1xra4y3w9l1i7n3g26rzxgdsbw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaW5nIE91dCBTdWJtZW51IE9mIFBvd2VyIE9mZi9Mb2dvdXQgQnV0dG9uIGFuZCBSZWFycmFuZ2UgdGhlIE9yZGVyIG9mIFN5c3RlbSBNZW51LiIsCiAgIm5hbWUiOiAiQnJpbmcgT3V0IFN1Ym1lbnUgT2YgUG93ZXIgT2ZmL0xvZ291dCBCdXR0b24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUFJBVEFQLUtVTUFSL0JyaW5nLU91dC1TdWJtZW51LW9mLVBvd2VyLU9mZi1Mb2dvdXQiLAogICJ1dWlkIjogIkJyaW5nT3V0U3VibWVudU9mUG93ZXJPZmZMb2dvdXRCdXR0b25AcHJhdGFwLmZhc3RtYWlsLmZtIiwKICAidmVyc2lvbiI6IDIzCn0="}}}
+, {"uuid": "batterytimepercentagecompact@sagrland.de", "name": "Battery Time (Percentage) Compact", "pname": "battery-time-percentage-compact", "description": "Show the remaining time until fully charged/discharged as well as percentage of battery charge in the panel.", "link": "https://extensions.gnome.org/extension/2929/battery-time-percentage-compact/", "shell_version_map": {"38": {"version": "4", "sha256": "1jv5k6ir968rh8pfrk1bcnddrdmrmx3kfc30zfsdlcpvmc8p03lb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgdGhlIHJlbWFpbmluZyB0aW1lIHVudGlsIGZ1bGx5IGNoYXJnZWQvZGlzY2hhcmdlZCBhcyB3ZWxsIGFzIHBlcmNlbnRhZ2Ugb2YgYmF0dGVyeSBjaGFyZ2UgaW4gdGhlIHBhbmVsLiIsCiAgImdldHRleHQtZG9tYWluIjogImJhdHRlcnl0aW1lcGVyY2VudGFnZWNvbXBhY3QiLAogICJuYW1lIjogIkJhdHRlcnkgVGltZSAoUGVyY2VudGFnZSkgQ29tcGFjdCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5iYXR0ZXJ5dGltZXBlcmNlbnRhZ2Vjb21wYWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NhR3JMYW5kL2dub21lLXNoZWxsLWJhdHRlcnktdGltZS1wZXJjZW50YWdlLWNvbXBhY3QiLAogICJ1dWlkIjogImJhdHRlcnl0aW1lcGVyY2VudGFnZWNvbXBhY3RAc2FncmxhbmQuZGUiLAogICJ2ZXJzaW9uIjogNAp9"}, "40": {"version": "4", "sha256": "1jv5k6ir968rh8pfrk1bcnddrdmrmx3kfc30zfsdlcpvmc8p03lb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgdGhlIHJlbWFpbmluZyB0aW1lIHVudGlsIGZ1bGx5IGNoYXJnZWQvZGlzY2hhcmdlZCBhcyB3ZWxsIGFzIHBlcmNlbnRhZ2Ugb2YgYmF0dGVyeSBjaGFyZ2UgaW4gdGhlIHBhbmVsLiIsCiAgImdldHRleHQtZG9tYWluIjogImJhdHRlcnl0aW1lcGVyY2VudGFnZWNvbXBhY3QiLAogICJuYW1lIjogIkJhdHRlcnkgVGltZSAoUGVyY2VudGFnZSkgQ29tcGFjdCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5iYXR0ZXJ5dGltZXBlcmNlbnRhZ2Vjb21wYWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NhR3JMYW5kL2dub21lLXNoZWxsLWJhdHRlcnktdGltZS1wZXJjZW50YWdlLWNvbXBhY3QiLAogICJ1dWlkIjogImJhdHRlcnl0aW1lcGVyY2VudGFnZWNvbXBhY3RAc2FncmxhbmQuZGUiLAogICJ2ZXJzaW9uIjogNAp9"}}}
+, {"uuid": "executor@raujonas.github.io", "name": "Executor", "pname": "executor", "description": "Execute multiple shell commands periodically with separate intervals and display the output in gnome top bar.", "link": "https://extensions.gnome.org/extension/2932/executor/", "shell_version_map": {"38": {"version": "16", "sha256": "07fa3dqydyhm6rc6iqq1qqikp00ax7swg01c4mbzh2j0awi12fig", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkV4ZWN1dGUgbXVsdGlwbGUgc2hlbGwgY29tbWFuZHMgcGVyaW9kaWNhbGx5IHdpdGggc2VwYXJhdGUgaW50ZXJ2YWxzIGFuZCBkaXNwbGF5IHRoZSBvdXRwdXQgaW4gZ25vbWUgdG9wIGJhci4iLAogICJuYW1lIjogIkV4ZWN1dG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwLjIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcmF1am9uYXMvZXhlY3V0b3IiLAogICJ1dWlkIjogImV4ZWN1dG9yQHJhdWpvbmFzLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxNgp9"}, "40": {"version": "16", "sha256": "07fa3dqydyhm6rc6iqq1qqikp00ax7swg01c4mbzh2j0awi12fig", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkV4ZWN1dGUgbXVsdGlwbGUgc2hlbGwgY29tbWFuZHMgcGVyaW9kaWNhbGx5IHdpdGggc2VwYXJhdGUgaW50ZXJ2YWxzIGFuZCBkaXNwbGF5IHRoZSBvdXRwdXQgaW4gZ25vbWUgdG9wIGJhci4iLAogICJuYW1lIjogIkV4ZWN1dG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwLjIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcmF1am9uYXMvZXhlY3V0b3IiLAogICJ1dWlkIjogImV4ZWN1dG9yQHJhdWpvbmFzLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxNgp9"}}}
+, {"uuid": "ControlBlurEffectOnLockScreen@pratap.fastmail.fm", "name": "Control Blur Effect On Lock Screen", "pname": "control-blur-effect-on-lock-screen", "description": "Control the Blur Effect On Lock Screen.", "link": "https://extensions.gnome.org/extension/2935/control-blur-effect-on-lock-screen/", "shell_version_map": {"38": {"version": "14", "sha256": "176qxx2zykzzjq2xf8sf1c83r1skaxa2mzmp51v8bq3vbbxp0wij", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnRyb2wgdGhlIEJsdXIgRWZmZWN0IE9uIExvY2sgU2NyZWVuLiIsCiAgIm5hbWUiOiAiQ29udHJvbCBCbHVyIEVmZmVjdCBPbiBMb2NrIFNjcmVlbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1BSQVRBUC1LVU1BUi9Db250cm9sX0JsdXJfRWZmZWN0X09uX0xvY2tfU2NyZWVuIiwKICAidXVpZCI6ICJDb250cm9sQmx1ckVmZmVjdE9uTG9ja1NjcmVlbkBwcmF0YXAuZmFzdG1haWwuZm0iLAogICJ2ZXJzaW9uIjogMTQKfQ=="}, "40": {"version": "15", "sha256": "1a8fslcwcv19inzca8snc76k0yacam8qyfws8gk52yy5apa2fwjd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnRyb2wgdGhlIEJsdXIgRWZmZWN0IE9uIExvY2sgU2NyZWVuLiIsCiAgIm5hbWUiOiAiQ29udHJvbCBCbHVyIEVmZmVjdCBPbiBMb2NrIFNjcmVlbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9QUkFUQVAtS1VNQVIvQ29udHJvbF9CbHVyX0VmZmVjdF9Pbl9Mb2NrX1NjcmVlbiIsCiAgInV1aWQiOiAiQ29udHJvbEJsdXJFZmZlY3RPbkxvY2tTY3JlZW5AcHJhdGFwLmZhc3RtYWlsLmZtIiwKICAidmVyc2lvbiI6IDE1Cn0="}}}
+, {"uuid": "compiz-alike-windows-effect@hermes83.github.com", "name": "Compiz alike windows effect", "pname": "compiz-alike-windows-effect", "description": "Wobbly windows effect inspired by the Compiz ones\n\nNB\nIn case of update error please restart Gnome Shell (on Xorg press ALT+F2 then write r and press enter, on Wayland end the session and log in again)\n\n-----------------------------------\n ALTERNATIVE\n-----------------------------------\nalternative extension to obtain an effect more similar to the original:\nhttps://extensions.gnome.org/extension/3210/compiz-windows-effect/", "link": "https://extensions.gnome.org/extension/2950/compiz-alike-windows-effect/", "shell_version_map": {"38": {"version": "21", "sha256": "1r38ncljvf6l1zddiwsykgcq5yr6k777szpm61rgfj0bjf5gczbb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldvYmJseSB3aW5kb3dzIGVmZmVjdCBpbnNwaXJlZCBieSB0aGUgQ29tcGl6IG9uZXNcblxuTkJcbkluIGNhc2Ugb2YgdXBkYXRlIGVycm9yIHBsZWFzZSByZXN0YXJ0IEdub21lIFNoZWxsIChvbiBYb3JnIHByZXNzIEFMVCtGMiB0aGVuIHdyaXRlIHIgYW5kIHByZXNzIGVudGVyLCBvbiBXYXlsYW5kIGVuZCB0aGUgc2Vzc2lvbiBhbmQgbG9nIGluIGFnYWluKVxuXG4tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLVxuIEFMVEVSTkFUSVZFXG4tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLVxuYWx0ZXJuYXRpdmUgZXh0ZW5zaW9uIHRvIG9idGFpbiBhbiBlZmZlY3QgbW9yZSBzaW1pbGFyIHRvIHRoZSBvcmlnaW5hbDpcbmh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzMyMTAvY29tcGl6LXdpbmRvd3MtZWZmZWN0LyIsCiAgIm5hbWUiOiAiQ29tcGl6IGFsaWtlIHdpbmRvd3MgZWZmZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9oZXJtZXM4My9jb21waXotYWxpa2Utd2luZG93cy1lZmZlY3QiLAogICJ1dWlkIjogImNvbXBpei1hbGlrZS13aW5kb3dzLWVmZmVjdEBoZXJtZXM4My5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIxCn0="}, "40": {"version": "21", "sha256": "1r38ncljvf6l1zddiwsykgcq5yr6k777szpm61rgfj0bjf5gczbb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldvYmJseSB3aW5kb3dzIGVmZmVjdCBpbnNwaXJlZCBieSB0aGUgQ29tcGl6IG9uZXNcblxuTkJcbkluIGNhc2Ugb2YgdXBkYXRlIGVycm9yIHBsZWFzZSByZXN0YXJ0IEdub21lIFNoZWxsIChvbiBYb3JnIHByZXNzIEFMVCtGMiB0aGVuIHdyaXRlIHIgYW5kIHByZXNzIGVudGVyLCBvbiBXYXlsYW5kIGVuZCB0aGUgc2Vzc2lvbiBhbmQgbG9nIGluIGFnYWluKVxuXG4tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLVxuIEFMVEVSTkFUSVZFXG4tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLVxuYWx0ZXJuYXRpdmUgZXh0ZW5zaW9uIHRvIG9idGFpbiBhbiBlZmZlY3QgbW9yZSBzaW1pbGFyIHRvIHRoZSBvcmlnaW5hbDpcbmh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzMyMTAvY29tcGl6LXdpbmRvd3MtZWZmZWN0LyIsCiAgIm5hbWUiOiAiQ29tcGl6IGFsaWtlIHdpbmRvd3MgZWZmZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9oZXJtZXM4My9jb21waXotYWxpa2Utd2luZG93cy1lZmZlY3QiLAogICJ1dWlkIjogImNvbXBpei1hbGlrZS13aW5kb3dzLWVmZmVjdEBoZXJtZXM4My5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIxCn0="}}}
+, {"uuid": "light-dict@tuberry.github.io", "name": "Light Dict", "pname": "light-dict", "description": "Lightweight extension for instant action to primary selection, especially optimized for Dictionary lookup\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/2959/light-dict/", "shell_version_map": {"38": {"version": "47", "sha256": "0afn26f234zsk4w1766yf5pr2rrb9d375f9qgqmxibaq34qbn4rx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpZ2h0d2VpZ2h0IGV4dGVuc2lvbiBmb3IgaW5zdGFudCBhY3Rpb24gdG8gcHJpbWFyeSBzZWxlY3Rpb24sIGVzcGVjaWFsbHkgb3B0aW1pemVkIGZvciBEaWN0aW9uYXJ5IGxvb2t1cFxuXG5Gb3Igc3VwcG9ydCwgcGxlYXNlIHJlcG9ydCBhbnkgaXNzdWVzIHZpYSB0aGUgaG9tZXBhZ2UgbGluayBiZWxvdy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJsaWdodC1kaWN0IiwKICAibmFtZSI6ICJMaWdodCBEaWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmxpZ2h0LWRpY3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dWJlcnJ5L2xpZ2h0LWRpY3QiLAogICJ1dWlkIjogImxpZ2h0LWRpY3RAdHViZXJyeS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNDcKfQ=="}, "40": {"version": "58", "sha256": "1zzhf4awjqxr8pjkaw3bc968zf58k90isjar4rl69i9injbgcx11", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpZ2h0d2VpZ2h0IGV4dGVuc2lvbiBmb3IgaW5zdGFudCBhY3Rpb24gdG8gcHJpbWFyeSBzZWxlY3Rpb24sIGVzcGVjaWFsbHkgb3B0aW1pemVkIGZvciBEaWN0aW9uYXJ5IGxvb2t1cFxuXG5Gb3Igc3VwcG9ydCwgcGxlYXNlIHJlcG9ydCBhbnkgaXNzdWVzIHZpYSB0aGUgaG9tZXBhZ2UgbGluayBiZWxvdy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb24tbGlnaHQtZGljdCIsCiAgIm5hbWUiOiAiTGlnaHQgRGljdCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5saWdodC1kaWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3R1YmVycnkvbGlnaHQtZGljdCIsCiAgInV1aWQiOiAibGlnaHQtZGljdEB0dWJlcnJ5LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA1OAp9"}}}
, {"uuid": "InternetSpeedMeter@alshakib.dev", "name": "Internet Speed Meter", "pname": "internet-speed-meter", "description": "Simple and minimal internet speed meter extension for gnome shell", "link": "https://extensions.gnome.org/extension/2980/internet-speed-meter/", "shell_version_map": {"38": {"version": "6", "sha256": "0qjqf5wdwvav5333k44qbgzkgwbhhm42370862ba8p3anih5d72w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBhbmQgbWluaW1hbCBpbnRlcm5ldCBzcGVlZCBtZXRlciBleHRlbnNpb24gZm9yIGdub21lIHNoZWxsIiwKICAibmFtZSI6ICJJbnRlcm5ldCBTcGVlZCBNZXRlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9BbFNoYWtpYi9JbnRlcm5ldFNwZWVkTWV0ZXIiLAogICJ1dWlkIjogIkludGVybmV0U3BlZWRNZXRlckBhbHNoYWtpYi5kZXYiLAogICJ2ZXJzaW9uIjogNgp9"}, "40": {"version": "6", "sha256": "0qjqf5wdwvav5333k44qbgzkgwbhhm42370862ba8p3anih5d72w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBhbmQgbWluaW1hbCBpbnRlcm5ldCBzcGVlZCBtZXRlciBleHRlbnNpb24gZm9yIGdub21lIHNoZWxsIiwKICAibmFtZSI6ICJJbnRlcm5ldCBTcGVlZCBNZXRlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9BbFNoYWtpYi9JbnRlcm5ldFNwZWVkTWV0ZXIiLAogICJ1dWlkIjogIkludGVybmV0U3BlZWRNZXRlckBhbHNoYWtpYi5kZXYiLAogICJ2ZXJzaW9uIjogNgp9"}}}
-, {"uuid": "IP-Finder@linxgem33.com", "name": "IP Finder", "pname": "ip-finder", "description": "Displays useful information about your public IP Address\n\nIP Finder displays information about your public IP address, hostname, country, AS Block, as well as a map tile of your Geolocation and country flag, this extension is Also Useful for informational purposes to monitor VPN changes and public network IP Addresses.\n\n=====================\nIP Finder can monitor in real time\n=====================\n*Wireguard connections\n*OpenVPN connections\n*IPV4/6 connections\n*Proxy connections\n*VPN vendor applications\n*Manual static IP changes\n\n====================\nIP Finder has added security\n====================\nNewly developed revised code base using open technologies and using API's for Public IP and Map Tile image locations using GET requests over HTTPS for a added layer of encrypted Security, Please see source code for more details.\n\n====================\nCompatible GNOME shell - 3.36 and newer.", "link": "https://extensions.gnome.org/extension/2983/ip-finder/", "shell_version_map": {"38": {"version": "8", "sha256": "0pd9q6k6q2w4fhhai6mjdmgp571cpambn3x5nq4579ry60cwlcl8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIHVzZWZ1bCBpbmZvcm1hdGlvbiBhYm91dCB5b3VyIHB1YmxpYyBJUCBBZGRyZXNzXG5cbklQIEZpbmRlciBkaXNwbGF5cyBpbmZvcm1hdGlvbiBhYm91dCB5b3VyIHB1YmxpYyBJUCBhZGRyZXNzLCBob3N0bmFtZSwgY291bnRyeSwgQVMgQmxvY2ssIGFzIHdlbGwgYXMgIGEgbWFwIHRpbGUgb2YgeW91ciBHZW9sb2NhdGlvbiBhbmQgY291bnRyeSBmbGFnLCAgdGhpcyBleHRlbnNpb24gaXMgQWxzbyBVc2VmdWwgZm9yIGluZm9ybWF0aW9uYWwgcHVycG9zZXMgdG8gbW9uaXRvciBWUE4gY2hhbmdlcyBhbmQgcHVibGljIG5ldHdvcmsgSVAgQWRkcmVzc2VzLlxuXG49PT09PT09PT09PT09PT09PT09PT1cbklQIEZpbmRlciBjYW4gbW9uaXRvciBpbiByZWFsIHRpbWVcbj09PT09PT09PT09PT09PT09PT09PVxuKldpcmVndWFyZCBjb25uZWN0aW9uc1xuKk9wZW5WUE4gY29ubmVjdGlvbnNcbipJUFY0LzYgY29ubmVjdGlvbnNcbipQcm94eSBjb25uZWN0aW9uc1xuKlZQTiB2ZW5kb3IgYXBwbGljYXRpb25zXG4qTWFudWFsIHN0YXRpYyBJUCBjaGFuZ2VzXG5cbj09PT09PT09PT09PT09PT09PT09XG5JUCBGaW5kZXIgaGFzIGFkZGVkIHNlY3VyaXR5XG49PT09PT09PT09PT09PT09PT09PVxuTmV3bHkgZGV2ZWxvcGVkIHJldmlzZWQgY29kZSBiYXNlIHVzaW5nIG9wZW4gdGVjaG5vbG9naWVzIGFuZCB1c2luZyBBUEkncyBmb3IgUHVibGljIElQIGFuZCBNYXAgVGlsZSBpbWFnZSBsb2NhdGlvbnMgdXNpbmcgR0VUIHJlcXVlc3RzIG92ZXIgSFRUUFMgZm9yIGEgYWRkZWQgbGF5ZXIgb2YgZW5jcnlwdGVkICBTZWN1cml0eSwgUGxlYXNlIHNlZSBzb3VyY2UgY29kZSBmb3IgbW9yZSBkZXRhaWxzLlxuXG49PT09PT09PT09PT09PT09PT09PVxuQ29tcGF0aWJsZSBHTk9NRSBzaGVsbCAtIDMuMzYgYW5kIG5ld2VyLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJpcC1maW5kZXIiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJpcC1maW5kZXIiLAogICJuYW1lIjogIklQIEZpbmRlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5wdWJsaWMtaXAtYWRkcmVzcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9MaW54R2VtMzMvSVAtRmluZGVyIiwKICAidXVpZCI6ICJJUC1GaW5kZXJAbGlueGdlbTMzLmNvbSIsCiAgInZlcnNpb24iOiA4Cn0="}, "40": {"version": "8", "sha256": "0pd9q6k6q2w4fhhai6mjdmgp571cpambn3x5nq4579ry60cwlcl8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIHVzZWZ1bCBpbmZvcm1hdGlvbiBhYm91dCB5b3VyIHB1YmxpYyBJUCBBZGRyZXNzXG5cbklQIEZpbmRlciBkaXNwbGF5cyBpbmZvcm1hdGlvbiBhYm91dCB5b3VyIHB1YmxpYyBJUCBhZGRyZXNzLCBob3N0bmFtZSwgY291bnRyeSwgQVMgQmxvY2ssIGFzIHdlbGwgYXMgIGEgbWFwIHRpbGUgb2YgeW91ciBHZW9sb2NhdGlvbiBhbmQgY291bnRyeSBmbGFnLCAgdGhpcyBleHRlbnNpb24gaXMgQWxzbyBVc2VmdWwgZm9yIGluZm9ybWF0aW9uYWwgcHVycG9zZXMgdG8gbW9uaXRvciBWUE4gY2hhbmdlcyBhbmQgcHVibGljIG5ldHdvcmsgSVAgQWRkcmVzc2VzLlxuXG49PT09PT09PT09PT09PT09PT09PT1cbklQIEZpbmRlciBjYW4gbW9uaXRvciBpbiByZWFsIHRpbWVcbj09PT09PT09PT09PT09PT09PT09PVxuKldpcmVndWFyZCBjb25uZWN0aW9uc1xuKk9wZW5WUE4gY29ubmVjdGlvbnNcbipJUFY0LzYgY29ubmVjdGlvbnNcbipQcm94eSBjb25uZWN0aW9uc1xuKlZQTiB2ZW5kb3IgYXBwbGljYXRpb25zXG4qTWFudWFsIHN0YXRpYyBJUCBjaGFuZ2VzXG5cbj09PT09PT09PT09PT09PT09PT09XG5JUCBGaW5kZXIgaGFzIGFkZGVkIHNlY3VyaXR5XG49PT09PT09PT09PT09PT09PT09PVxuTmV3bHkgZGV2ZWxvcGVkIHJldmlzZWQgY29kZSBiYXNlIHVzaW5nIG9wZW4gdGVjaG5vbG9naWVzIGFuZCB1c2luZyBBUEkncyBmb3IgUHVibGljIElQIGFuZCBNYXAgVGlsZSBpbWFnZSBsb2NhdGlvbnMgdXNpbmcgR0VUIHJlcXVlc3RzIG92ZXIgSFRUUFMgZm9yIGEgYWRkZWQgbGF5ZXIgb2YgZW5jcnlwdGVkICBTZWN1cml0eSwgUGxlYXNlIHNlZSBzb3VyY2UgY29kZSBmb3IgbW9yZSBkZXRhaWxzLlxuXG49PT09PT09PT09PT09PT09PT09PVxuQ29tcGF0aWJsZSBHTk9NRSBzaGVsbCAtIDMuMzYgYW5kIG5ld2VyLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJpcC1maW5kZXIiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJpcC1maW5kZXIiLAogICJuYW1lIjogIklQIEZpbmRlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5wdWJsaWMtaXAtYWRkcmVzcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9MaW54R2VtMzMvSVAtRmluZGVyIiwKICAidXVpZCI6ICJJUC1GaW5kZXJAbGlueGdlbTMzLmNvbSIsCiAgInZlcnNpb24iOiA4Cn0="}}}
-, {"uuid": "runcat@kolesnikov.se", "name": "RunCat", "pname": "runcat", "description": "The cat tells you the CPU usage by running speed", "link": "https://extensions.gnome.org/extension/2986/runcat/", "shell_version_map": {"38": {"version": "12", "sha256": "1hn8zi9n9kqbl9gqhv314zpbf4n55ra5p7q6ca1mm235dwssr3j3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBjYXQgdGVsbHMgeW91IHRoZSBDUFUgdXNhZ2UgYnkgcnVubmluZyBzcGVlZCIsCiAgIm5hbWUiOiAiUnVuQ2F0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vd2luMGVyci9nbm9tZS1ydW5jYXQiLAogICJ1dWlkIjogInJ1bmNhdEBrb2xlc25pa292LnNlIiwKICAidmVyc2lvbiI6IDEyCn0="}, "40": {"version": "12", "sha256": "1hn8zi9n9kqbl9gqhv314zpbf4n55ra5p7q6ca1mm235dwssr3j3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBjYXQgdGVsbHMgeW91IHRoZSBDUFUgdXNhZ2UgYnkgcnVubmluZyBzcGVlZCIsCiAgIm5hbWUiOiAiUnVuQ2F0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vd2luMGVyci9nbm9tZS1ydW5jYXQiLAogICJ1dWlkIjogInJ1bmNhdEBrb2xlc25pa292LnNlIiwKICAidmVyc2lvbiI6IDEyCn0="}}}
+, {"uuid": "IP-Finder@linxgem33.com", "name": "IP Finder", "pname": "ip-finder", "description": "Displays useful information about your public IP Address\n\nIP Finder displays information about your public IP address, hostname, country, AS Block, as well as a map tile of your Geolocation and country flag, this extension is Also Useful for informational purposes to monitor VPN changes and public network IP Addresses.\n\n=====================\nIP Finder can monitor in real time\n=====================\n*Wireguard connections\n*OpenVPN connections\n*IPV4/6 connections\n*Proxy connections\n*VPN vendor applications\n*Manual static IP changes\n\n====================\nIP Finder has added security\n====================\nNewly developed revised code base using open technologies and using API's for Public IP and Map Tile image locations using GET requests over HTTPS for a added layer of encrypted Security, Please see source code for more details.\n\n====================\nCompatible GNOME shell - 3.36 and newer.", "link": "https://extensions.gnome.org/extension/2983/ip-finder/", "shell_version_map": {"38": {"version": "7", "sha256": "0qnmmg8q6xdv0rg90rzimqzsnggnsq2x8nmz998x59q2ik67kqgq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIHVzZWZ1bCBpbmZvcm1hdGlvbiBhYm91dCB5b3VyIHB1YmxpYyBJUCBBZGRyZXNzXG5cbklQIEZpbmRlciBkaXNwbGF5cyBpbmZvcm1hdGlvbiBhYm91dCB5b3VyIHB1YmxpYyBJUCBhZGRyZXNzLCBob3N0bmFtZSwgY291bnRyeSwgQVMgQmxvY2ssIGFzIHdlbGwgYXMgIGEgbWFwIHRpbGUgb2YgeW91ciBHZW9sb2NhdGlvbiBhbmQgY291bnRyeSBmbGFnLCAgdGhpcyBleHRlbnNpb24gaXMgQWxzbyBVc2VmdWwgZm9yIGluZm9ybWF0aW9uYWwgcHVycG9zZXMgdG8gbW9uaXRvciBWUE4gY2hhbmdlcyBhbmQgcHVibGljIG5ldHdvcmsgSVAgQWRkcmVzc2VzLlxuXG49PT09PT09PT09PT09PT09PT09PT1cbklQIEZpbmRlciBjYW4gbW9uaXRvciBpbiByZWFsIHRpbWVcbj09PT09PT09PT09PT09PT09PT09PVxuKldpcmVndWFyZCBjb25uZWN0aW9uc1xuKk9wZW5WUE4gY29ubmVjdGlvbnNcbipJUFY0LzYgY29ubmVjdGlvbnNcbipQcm94eSBjb25uZWN0aW9uc1xuKlZQTiB2ZW5kb3IgYXBwbGljYXRpb25zXG4qTWFudWFsIHN0YXRpYyBJUCBjaGFuZ2VzXG5cbj09PT09PT09PT09PT09PT09PT09XG5JUCBGaW5kZXIgaGFzIGFkZGVkIHNlY3VyaXR5XG49PT09PT09PT09PT09PT09PT09PVxuTmV3bHkgZGV2ZWxvcGVkIHJldmlzZWQgY29kZSBiYXNlIHVzaW5nIG9wZW4gdGVjaG5vbG9naWVzIGFuZCB1c2luZyBBUEkncyBmb3IgUHVibGljIElQIGFuZCBNYXAgVGlsZSBpbWFnZSBsb2NhdGlvbnMgdXNpbmcgR0VUIHJlcXVlc3RzIG92ZXIgSFRUUFMgZm9yIGEgYWRkZWQgbGF5ZXIgb2YgZW5jcnlwdGVkICBTZWN1cml0eSwgUGxlYXNlIHNlZSBzb3VyY2UgY29kZSBmb3IgbW9yZSBkZXRhaWxzLlxuXG49PT09PT09PT09PT09PT09PT09PVxuQ29tcGF0aWJsZSBHTk9NRSBzaGVsbCAtIDMuMzYgYW5kIG5ld2VyLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJpcC1maW5kZXIiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJpcC1maW5kZXIiLAogICJuYW1lIjogIklQIEZpbmRlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5wdWJsaWMtaXAtYWRkcmVzcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL0xpbnhHZW0zMy9JUC1GaW5kZXIiLAogICJ1dWlkIjogIklQLUZpbmRlckBsaW54Z2VtMzMuY29tIiwKICAidmVyc2lvbiI6IDcKfQ=="}}}
+, {"uuid": "runcat@kolesnikov.se", "name": "RunCat", "pname": "runcat", "description": "The cat tells you the CPU usage by running speed", "link": "https://extensions.gnome.org/extension/2986/runcat/", "shell_version_map": {"38": {"version": "15", "sha256": "0crmi0n4vp7rhc6pnml0b1d4pszyhnp30cvj1r80agslvr8x7hgf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBjYXQgdGVsbHMgeW91IHRoZSBDUFUgdXNhZ2UgYnkgcnVubmluZyBzcGVlZCIsCiAgIm5hbWUiOiAiUnVuQ2F0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS93aW4wZXJyL2dub21lLXJ1bmNhdCIsCiAgInV1aWQiOiAicnVuY2F0QGtvbGVzbmlrb3Yuc2UiLAogICJ2ZXJzaW9uIjogMTUKfQ=="}, "40": {"version": "15", "sha256": "0crmi0n4vp7rhc6pnml0b1d4pszyhnp30cvj1r80agslvr8x7hgf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBjYXQgdGVsbHMgeW91IHRoZSBDUFUgdXNhZ2UgYnkgcnVubmluZyBzcGVlZCIsCiAgIm5hbWUiOiAiUnVuQ2F0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS93aW4wZXJyL2dub21lLXJ1bmNhdCIsCiAgInV1aWQiOiAicnVuY2F0QGtvbGVzbmlrb3Yuc2UiLAogICJ2ZXJzaW9uIjogMTUKfQ=="}}}
, {"uuid": "bowser-gnome@kronosoul.xyz", "name": "Bowser Gnome Extension", "pname": "bowser-gnome-extension", "description": "Create rules to open specific websites in specific web browsers for links clicked in any application on your computer. (emails, chat etc)", "link": "https://extensions.gnome.org/extension/2989/bowser-gnome-extension/", "shell_version_map": {"38": {"version": "10", "sha256": "0y41xz4j24lc50ai8dcvl1l773ral59ixcpvkjq1l82x7baq60pk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImFwcGxpY2F0aW9uLWlkIjogIm9yZy5rcm9ub3NvdWwuQm93c2VyIiwKICAiZGVzY3JpcHRpb24iOiAiQ3JlYXRlIHJ1bGVzIHRvIG9wZW4gc3BlY2lmaWMgd2Vic2l0ZXMgaW4gc3BlY2lmaWMgd2ViIGJyb3dzZXJzIGZvciBsaW5rcyBjbGlja2VkIGluIGFueSBhcHBsaWNhdGlvbiBvbiB5b3VyIGNvbXB1dGVyLiAoZW1haWxzLCBjaGF0IGV0YykiLAogICJleHRlbnNpb24taWQiOiAiYm93c2VyLWdub21lIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYm93c2VyLWdub21lIiwKICAibmFtZSI6ICJCb3dzZXIgR25vbWUgRXh0ZW5zaW9uIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImFkbWluQGtyb25vc291bC54eXoiLAogICJyZXNvdXJjZS1wYXRoIjogIi9vcmcva3Jvbm9zb3VsL0Jvd3Nlci8iLAogICJzZXR0aW5ncy1wYXRoIjogIi9vcmcvZ25vbWUvc2hlbGwvZXh0ZW5zaW9ucy9Cb3dzZXIvIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkJvd3NlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JsaXBrL2Jvd3Nlci1nbm9tZSIsCiAgInV1aWQiOiAiYm93c2VyLWdub21lQGtyb25vc291bC54eXoiLAogICJ2ZXJzaW9uIjogMTAKfQ=="}}}
-, {"uuid": "ideapad@laurento.frittella", "name": "Lenovo Ideapad", "pname": "ideapad", "description": "Lenovo Ideapad goodies for Gnome Shell.\nUser-friendly battery conservation mode control.\n\nPlease note additional installation steps are needed! Check on the homepage.", "link": "https://extensions.gnome.org/extension/2992/ideapad/", "shell_version_map": {"38": {"version": "3", "sha256": "1i68qi9r396sdhirp5xhaaxdzzjqhdv2i4hbp8dyiq2qxj9ny2w1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxlbm92byBJZGVhcGFkIGdvb2RpZXMgZm9yIEdub21lIFNoZWxsLlxuVXNlci1mcmllbmRseSBiYXR0ZXJ5IGNvbnNlcnZhdGlvbiBtb2RlIGNvbnRyb2wuXG5cblBsZWFzZSBub3RlIGFkZGl0aW9uYWwgaW5zdGFsbGF0aW9uIHN0ZXBzIGFyZSBuZWVkZWQhIENoZWNrIG9uIHRoZSBob21lcGFnZS4iLAogICJuYW1lIjogIkxlbm92byBJZGVhcGFkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbGF1cmVudG8vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWlkZWFwYWQiLAogICJ1dWlkIjogImlkZWFwYWRAbGF1cmVudG8uZnJpdHRlbGxhIiwKICAidmVyc2lvbiI6IDMKfQ=="}, "40": {"version": "3", "sha256": "1i68qi9r396sdhirp5xhaaxdzzjqhdv2i4hbp8dyiq2qxj9ny2w1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxlbm92byBJZGVhcGFkIGdvb2RpZXMgZm9yIEdub21lIFNoZWxsLlxuVXNlci1mcmllbmRseSBiYXR0ZXJ5IGNvbnNlcnZhdGlvbiBtb2RlIGNvbnRyb2wuXG5cblBsZWFzZSBub3RlIGFkZGl0aW9uYWwgaW5zdGFsbGF0aW9uIHN0ZXBzIGFyZSBuZWVkZWQhIENoZWNrIG9uIHRoZSBob21lcGFnZS4iLAogICJuYW1lIjogIkxlbm92byBJZGVhcGFkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbGF1cmVudG8vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWlkZWFwYWQiLAogICJ1dWlkIjogImlkZWFwYWRAbGF1cmVudG8uZnJpdHRlbGxhIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
-, {"uuid": "user-theme-x@tuberry.github.io", "name": "User Themes X", "pname": "user-themes-x", "description": "Customizable user-theme with user stylesheet and night theme auto-switch support\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/3019/user-themes-x/", "shell_version_map": {"38": {"version": "21", "sha256": "11q5f3rj2c27cb4gz8ga8kshc55gxqg7v841mrr2vw80p8792nr1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbWl6YWJsZSB1c2VyLXRoZW1lIHdpdGggdXNlciBzdHlsZXNoZWV0IGFuZCBuaWdodCB0aGVtZSBhdXRvLXN3aXRjaCBzdXBwb3J0XG5cbkZvciBzdXBwb3J0LCBwbGVhc2UgcmVwb3J0IGFueSBpc3N1ZXMgdmlhIHRoZSBob21lcGFnZSBsaW5rIGJlbG93LiIsCiAgImV4dGVuc2lvbi1pZCI6ICJ1c2VyLXRoZW1lLXgiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ1c2VyLXRoZW1lLXgiLAogICJuYW1lIjogIlVzZXIgVGhlbWVzIFgiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgImpvaG4uc3Rvd2Vyc0BnbWFpbC5jb20iCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnVzZXItdGhlbWUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dWJlcnJ5L3VzZXItdGhlbWUteCIsCiAgInV1aWQiOiAidXNlci10aGVtZS14QHR1YmVycnkuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDIxCn0="}, "40": {"version": "23", "sha256": "11dchipn43fjrv32ylzcbirhwrxx5m9vfmwcwvlffwdcgvg5v6f2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbWl6YWJsZSB1c2VyLXRoZW1lIHdpdGggdXNlciBzdHlsZXNoZWV0IGFuZCBuaWdodCB0aGVtZSBhdXRvLXN3aXRjaCBzdXBwb3J0XG5cbkZvciBzdXBwb3J0LCBwbGVhc2UgcmVwb3J0IGFueSBpc3N1ZXMgdmlhIHRoZSBob21lcGFnZSBsaW5rIGJlbG93LiIsCiAgImV4dGVuc2lvbi1pZCI6ICJ1c2VyLXRoZW1lLXgiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ1c2VyLXRoZW1lLXgiLAogICJuYW1lIjogIlVzZXIgVGhlbWVzIFgiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgImpvaG4uc3Rvd2Vyc0BnbWFpbC5jb20iCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnVzZXItdGhlbWUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdHViZXJyeS91c2VyLXRoZW1lLXgiLAogICJ1dWlkIjogInVzZXItdGhlbWUteEB0dWJlcnJ5LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAyMwp9"}}}
+, {"uuid": "ideapad@laurento.frittella", "name": "IdeaPad", "pname": "ideapad", "description": "Lenovo IdeaPad goodies for Gnome Shell", "link": "https://extensions.gnome.org/extension/2992/ideapad/", "shell_version_map": {"38": {"version": "4", "sha256": "0pnk7avnkp847vhdsgdyyp780aikly1bh56lvp6497q5ym14jfna", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxlbm92byBJZGVhUGFkIGdvb2RpZXMgZm9yIEdub21lIFNoZWxsIiwKICAibmFtZSI6ICJJZGVhUGFkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2xhdXJlbnRvL2dub21lLXNoZWxsLWV4dGVuc2lvbi1pZGVhcGFkIiwKICAidXVpZCI6ICJpZGVhcGFkQGxhdXJlbnRvLmZyaXR0ZWxsYSIsCiAgInZlcnNpb24iOiA0Cn0="}, "40": {"version": "4", "sha256": "0pnk7avnkp847vhdsgdyyp780aikly1bh56lvp6497q5ym14jfna", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxlbm92byBJZGVhUGFkIGdvb2RpZXMgZm9yIEdub21lIFNoZWxsIiwKICAibmFtZSI6ICJJZGVhUGFkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2xhdXJlbnRvL2dub21lLXNoZWxsLWV4dGVuc2lvbi1pZGVhcGFkIiwKICAidXVpZCI6ICJpZGVhcGFkQGxhdXJlbnRvLmZyaXR0ZWxsYSIsCiAgInZlcnNpb24iOiA0Cn0="}}}
+, {"uuid": "system-monitor-next@paradoxxx.zero.gmail.com", "name": "system-monitor-next", "pname": "system-monitor-next", "description": "Display system information in GNOME Shell status bar, such as memory, CPU, disk and battery usages, network rates…\n\nThis fork of paradoxxxzero/gnome-shell-system-monitor-applet is for packaging purposes only. This extension is built and updated continuously with the upstream master branch.\n\nThis is preferable for users on bleeding edge distributions that prefer not to wait for a stable release from the main repo. Of course, since we're releasing directly from master some instability is inevitable.\n\nIf you get an error after updating, try restarting Gnome Shell with Alt-F2 then 'r'.", "link": "https://extensions.gnome.org/extension/3010/system-monitor-next/", "shell_version_map": {"40": {"version": "36", "sha256": "144aasy5fr4la36jyzp2m7qd3wwh5pzfyyjjp5lgsm8lza5026q4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgc3lzdGVtIGluZm9ybWF0aW9uIGluIEdOT01FIFNoZWxsIHN0YXR1cyBiYXIsIHN1Y2ggYXMgbWVtb3J5LCBDUFUsIGRpc2sgYW5kIGJhdHRlcnkgdXNhZ2VzLCBuZXR3b3JrIHJhdGVzXHUyMDI2XG5cblRoaXMgZm9yayBvZiBwYXJhZG94eHh6ZXJvL2dub21lLXNoZWxsLXN5c3RlbS1tb25pdG9yLWFwcGxldCBpcyBmb3IgcGFja2FnaW5nIHB1cnBvc2VzIG9ubHkuIFRoaXMgZXh0ZW5zaW9uIGlzIGJ1aWx0IGFuZCB1cGRhdGVkIGNvbnRpbnVvdXNseSB3aXRoIHRoZSB1cHN0cmVhbSBtYXN0ZXIgYnJhbmNoLlxuXG5UaGlzIGlzIHByZWZlcmFibGUgZm9yIHVzZXJzIG9uIGJsZWVkaW5nIGVkZ2UgZGlzdHJpYnV0aW9ucyB0aGF0IHByZWZlciBub3QgdG8gd2FpdCBmb3IgYSBzdGFibGUgcmVsZWFzZSBmcm9tIHRoZSBtYWluIHJlcG8uIE9mIGNvdXJzZSwgc2luY2Ugd2UncmUgcmVsZWFzaW5nIGRpcmVjdGx5IGZyb20gbWFzdGVyIHNvbWUgaW5zdGFiaWxpdHkgaXMgaW5ldml0YWJsZS5cblxuSWYgeW91IGdldCBhbiBlcnJvciBhZnRlciB1cGRhdGluZywgdHJ5IHJlc3RhcnRpbmcgR25vbWUgU2hlbGwgd2l0aCBBbHQtRjIgdGhlbiAncicuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAic3lzdGVtLW1vbml0b3IiLAogICJuYW1lIjogInN5c3RlbS1tb25pdG9yLW5leHQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc3lzdGVtLW1vbml0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWdhbGdzL2dub21lLXNoZWxsLXN5c3RlbS1tb25pdG9yLWFwcGxldCIsCiAgInV1aWQiOiAic3lzdGVtLW1vbml0b3ItbmV4dEBwYXJhZG94eHguemVyby5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzYKfQ=="}}}
+, {"uuid": "user-theme-x@tuberry.github.io", "name": "User Themes X", "pname": "user-themes-x", "description": "Customizable user-theme with user stylesheet and dark theme auto-switch support\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/3019/user-themes-x/", "shell_version_map": {"38": {"version": "21", "sha256": "08992jny17mbw3gvlfwzgis1ld3wfkkd5fwdyq2c4s6l412fr0mz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbWl6YWJsZSB1c2VyLXRoZW1lIHdpdGggdXNlciBzdHlsZXNoZWV0IGFuZCBkYXJrIHRoZW1lIGF1dG8tc3dpdGNoIHN1cHBvcnRcblxuRm9yIHN1cHBvcnQsIHBsZWFzZSByZXBvcnQgYW55IGlzc3VlcyB2aWEgdGhlIGhvbWVwYWdlIGxpbmsgYmVsb3cuIiwKICAiZXh0ZW5zaW9uLWlkIjogInVzZXItdGhlbWUteCIsCiAgImdldHRleHQtZG9tYWluIjogInVzZXItdGhlbWUteCIsCiAgIm5hbWUiOiAiVXNlciBUaGVtZXMgWCIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAiam9obi5zdG93ZXJzQGdtYWlsLmNvbSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudXNlci10aGVtZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3R1YmVycnkvdXNlci10aGVtZS14IiwKICAidXVpZCI6ICJ1c2VyLXRoZW1lLXhAdHViZXJyeS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMjEKfQ=="}, "40": {"version": "24", "sha256": "12scyi48nfbrl2bvhq4rwdcj7vyvpdc86sa8iw1fg3y0cw6vlvq6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbWl6YWJsZSB1c2VyLXRoZW1lIHdpdGggdXNlciBzdHlsZXNoZWV0IGFuZCBkYXJrIHRoZW1lIGF1dG8tc3dpdGNoIHN1cHBvcnRcblxuRm9yIHN1cHBvcnQsIHBsZWFzZSByZXBvcnQgYW55IGlzc3VlcyB2aWEgdGhlIGhvbWVwYWdlIGxpbmsgYmVsb3cuIiwKICAiZXh0ZW5zaW9uLWlkIjogInVzZXItdGhlbWUteCIsCiAgImdldHRleHQtZG9tYWluIjogInVzZXItdGhlbWUteCIsCiAgIm5hbWUiOiAiVXNlciBUaGVtZXMgWCIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAiam9obi5zdG93ZXJzQGdtYWlsLmNvbSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudXNlci10aGVtZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dWJlcnJ5L3VzZXItdGhlbWUteCIsCiAgInV1aWQiOiAidXNlci10aGVtZS14QHR1YmVycnkuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDI0Cn0="}}}
, {"uuid": "app_view_text@fawtytoo", "name": "Application View Text", "pname": "application-view-text", "description": "The text in the Application view can be hard to read on a light coloured background. This extension makes the text bolder with a drop shadow.\nAlso improves the visibility of the app running dot.", "link": "https://extensions.gnome.org/extension/3028/application-view-text/", "shell_version_map": {"38": {"version": "6", "sha256": "0bigmnvybrflmc7dq9g00c8h9sfwd2c8iqm8j4zg7mzj1gjaazkc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSB0ZXh0IGluIHRoZSBBcHBsaWNhdGlvbiB2aWV3IGNhbiBiZSBoYXJkIHRvIHJlYWQgb24gYSBsaWdodCBjb2xvdXJlZCBiYWNrZ3JvdW5kLiBUaGlzIGV4dGVuc2lvbiBtYWtlcyB0aGUgdGV4dCBib2xkZXIgd2l0aCBhIGRyb3Agc2hhZG93LlxuQWxzbyBpbXByb3ZlcyB0aGUgdmlzaWJpbGl0eSBvZiB0aGUgYXBwIHJ1bm5pbmcgZG90LiIsCiAgIm5hbWUiOiAiQXBwbGljYXRpb24gVmlldyBUZXh0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJhcHBfdmlld190ZXh0QGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDYKfQ=="}, "40": {"version": "6", "sha256": "0bigmnvybrflmc7dq9g00c8h9sfwd2c8iqm8j4zg7mzj1gjaazkc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSB0ZXh0IGluIHRoZSBBcHBsaWNhdGlvbiB2aWV3IGNhbiBiZSBoYXJkIHRvIHJlYWQgb24gYSBsaWdodCBjb2xvdXJlZCBiYWNrZ3JvdW5kLiBUaGlzIGV4dGVuc2lvbiBtYWtlcyB0aGUgdGV4dCBib2xkZXIgd2l0aCBhIGRyb3Agc2hhZG93LlxuQWxzbyBpbXByb3ZlcyB0aGUgdmlzaWJpbGl0eSBvZiB0aGUgYXBwIHJ1bm5pbmcgZG90LiIsCiAgIm5hbWUiOiAiQXBwbGljYXRpb24gVmlldyBUZXh0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJhcHBfdmlld190ZXh0QGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
, {"uuid": "vpn-snx-indicator@als.kz", "name": "VPN and SNX Indicator", "pname": "vpn-and-snx-indicator", "description": "A status indicator for a VPN and SNX(Check Point) connection.", "link": "https://extensions.gnome.org/extension/3049/vpn-and-snx-indicator/", "shell_version_map": {"38": {"version": "8", "sha256": "1mns39frrabpfdvmc7jcqxrlxs1mnjimdwa69hv5lawf0r498h9g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc3RhdHVzIGluZGljYXRvciBmb3IgYSBWUE4gYW5kIFNOWChDaGVjayBQb2ludCkgY29ubmVjdGlvbi4iLAogICJuYW1lIjogIlZQTiBhbmQgU05YIEluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNi4wIiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWxleGV5bG92Y2hpa292L3Zwbi1pbmRpY2F0b3Itc2hlbGwtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJ2cG4tc254LWluZGljYXRvckBhbHMua3oiLAogICJ2ZXJzaW9uIjogOAp9"}, "40": {"version": "8", "sha256": "1mns39frrabpfdvmc7jcqxrlxs1mnjimdwa69hv5lawf0r498h9g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc3RhdHVzIGluZGljYXRvciBmb3IgYSBWUE4gYW5kIFNOWChDaGVjayBQb2ludCkgY29ubmVjdGlvbi4iLAogICJuYW1lIjogIlZQTiBhbmQgU05YIEluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNi4wIiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWxleGV5bG92Y2hpa292L3Zwbi1pbmRpY2F0b3Itc2hlbGwtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJ2cG4tc254LWluZGljYXRvckBhbHMua3oiLAogICJ2ZXJzaW9uIjogOAp9"}}}
+, {"uuid": "vlan-switcher@darcato.github.io", "name": "VLAN Switcher", "pname": "vlan-switcher", "description": "Activate and deactivate VLAN connections from the system panel.", "link": "https://extensions.gnome.org/extension/3061/vlan-switcher/", "shell_version_map": {"38": {"version": "2", "sha256": "10qly2cldlrca96akywhw0cg5rmihh5b2mqs6sfrrincmnf0vnfw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFjdGl2YXRlIGFuZCBkZWFjdGl2YXRlIFZMQU4gY29ubmVjdGlvbnMgZnJvbSB0aGUgc3lzdGVtIHBhbmVsLiIsCiAgIm5hbWUiOiAiVkxBTiBTd2l0Y2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kYXJjYXRvL2dub21lLXZsYW4tc3dpdGNoZXIiLAogICJ1dWlkIjogInZsYW4tc3dpdGNoZXJAZGFyY2F0by5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMgp9"}, "40": {"version": "2", "sha256": "10qly2cldlrca96akywhw0cg5rmihh5b2mqs6sfrrincmnf0vnfw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFjdGl2YXRlIGFuZCBkZWFjdGl2YXRlIFZMQU4gY29ubmVjdGlvbnMgZnJvbSB0aGUgc3lzdGVtIHBhbmVsLiIsCiAgIm5hbWUiOiAiVkxBTiBTd2l0Y2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kYXJjYXRvL2dub21lLXZsYW4tc3dpdGNoZXIiLAogICJ1dWlkIjogInZsYW4tc3dpdGNoZXJAZGFyY2F0by5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMgp9"}}}
, {"uuid": "ssss@tu.berry", "name": "Simple Subscriber", "pname": "ss-subscriber", "description": "Simple shadowsocks subscriber (SSD only), yet another proxy switcher for gnome shell\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/3073/ss-subscriber/", "shell_version_map": {"38": {"version": "18", "sha256": "0cvgcwk7liaaws9gf366xls7cn4slzzmh4l33qq91mgnm5wajr6k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBzaGFkb3dzb2NrcyBzdWJzY3JpYmVyIChTU0Qgb25seSksIHlldCBhbm90aGVyIHByb3h5IHN3aXRjaGVyIGZvciBnbm9tZSBzaGVsbFxuXG5Gb3Igc3VwcG9ydCwgcGxlYXNlIHJlcG9ydCBhbnkgaXNzdWVzIHZpYSB0aGUgaG9tZXBhZ2UgbGluayBiZWxvdy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzcy1zdWJzY3JpYmVyIiwKICAibmFtZSI6ICJTaW1wbGUgU3Vic2NyaWJlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zcy1zdWJzY3JpYmVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdHViZXJyeS9zcy1zdWJzY3JpYmVyIiwKICAidXVpZCI6ICJzc3NzQHR1LmJlcnJ5IiwKICAidmVyc2lvbiI6IDE4Cn0="}, "40": {"version": "20", "sha256": "0c9104f2a9b98732jwg0sgfjzbp5qsm2lgh1z1n5hp2524s1f0sv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBzaGFkb3dzb2NrcyBzdWJzY3JpYmVyIChTU0Qgb25seSksIHlldCBhbm90aGVyIHByb3h5IHN3aXRjaGVyIGZvciBnbm9tZSBzaGVsbFxuXG5Gb3Igc3VwcG9ydCwgcGxlYXNlIHJlcG9ydCBhbnkgaXNzdWVzIHZpYSB0aGUgaG9tZXBhZ2UgbGluayBiZWxvdy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzcy1zdWJzY3JpYmVyIiwKICAibmFtZSI6ICJTaW1wbGUgU3Vic2NyaWJlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zcy1zdWJzY3JpYmVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3R1YmVycnkvc3Mtc3Vic2NyaWJlciIsCiAgInV1aWQiOiAic3Nzc0B0dS5iZXJyeSIsCiAgInZlcnNpb24iOiAyMAp9"}}}
-, {"uuid": "extension-list@tu.berry", "name": "Extension List", "pname": "extension-list", "description": "Simple gnome shell extension manager in top panel\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/3088/extension-list/", "shell_version_map": {"38": {"version": "25", "sha256": "111q6m34vdsxbiw3nim3hwd2h3bf8mflg7s6qdzxcn1g0kih7x1i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBnbm9tZSBzaGVsbCBleHRlbnNpb24gbWFuYWdlciBpbiB0b3AgcGFuZWxcblxuRm9yIHN1cHBvcnQsIHBsZWFzZSByZXBvcnQgYW55IGlzc3VlcyB2aWEgdGhlIGhvbWVwYWdlIGxpbmsgYmVsb3cuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZXh0ZW5zaW9uLWxpc3QiLAogICJuYW1lIjogIkV4dGVuc2lvbiBMaXN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmV4dGVuc2lvbi1saXN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdHViZXJyeS9leHRlbnNpb24tbGlzdCIsCiAgInV1aWQiOiAiZXh0ZW5zaW9uLWxpc3RAdHUuYmVycnkiLAogICJ2ZXJzaW9uIjogMjUKfQ=="}, "40": {"version": "26", "sha256": "0sywsz7ksd16cxfw22xvmib8l7cb1d76dnx49sp7xawlimwjdj5q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBnbm9tZSBzaGVsbCBleHRlbnNpb24gbWFuYWdlciBpbiB0b3AgcGFuZWxcblxuRm9yIHN1cHBvcnQsIHBsZWFzZSByZXBvcnQgYW55IGlzc3VlcyB2aWEgdGhlIGhvbWVwYWdlIGxpbmsgYmVsb3cuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZXh0ZW5zaW9uLWxpc3QiLAogICJuYW1lIjogIkV4dGVuc2lvbiBMaXN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmV4dGVuc2lvbi1saXN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3R1YmVycnkvZXh0ZW5zaW9uLWxpc3QiLAogICJ1dWlkIjogImV4dGVuc2lvbi1saXN0QHR1LmJlcnJ5IiwKICAidmVyc2lvbiI6IDI2Cn0="}}}
-, {"uuid": "MaximizeToEmptyWorkspace-extension@kaisersite.de", "name": "Maximize To Empty Workspace", "pname": "maximize-to-empty-workspace", "description": "New and maximized windows will be moved to empty workspaces.\nSupports multiple monitors.", "link": "https://extensions.gnome.org/extension/3100/maximize-to-empty-workspace/", "shell_version_map": {"38": {"version": "7", "sha256": "0ncqpr2rw8nbjyvwpq3x5ddiw1l1nmz0kw8698p0l7jmgycmi785", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5ldyBhbmQgbWF4aW1pemVkIHdpbmRvd3Mgd2lsbCBiZSBtb3ZlZCB0byBlbXB0eSB3b3Jrc3BhY2VzLlxuU3VwcG9ydHMgbXVsdGlwbGUgbW9uaXRvcnMuIiwKICAibmFtZSI6ICJNYXhpbWl6ZSBUbyBFbXB0eSBXb3Jrc3BhY2UiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9rYWlzZXJhY20vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLW1heGltaXplLXRvLWVtcHR5LXdvcmtzcGFjZSIsCiAgInV1aWQiOiAiTWF4aW1pemVUb0VtcHR5V29ya3NwYWNlLWV4dGVuc2lvbkBrYWlzZXJzaXRlLmRlIiwKICAidmVyc2lvbiI6IDcKfQ=="}, "40": {"version": "7", "sha256": "0ncqpr2rw8nbjyvwpq3x5ddiw1l1nmz0kw8698p0l7jmgycmi785", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5ldyBhbmQgbWF4aW1pemVkIHdpbmRvd3Mgd2lsbCBiZSBtb3ZlZCB0byBlbXB0eSB3b3Jrc3BhY2VzLlxuU3VwcG9ydHMgbXVsdGlwbGUgbW9uaXRvcnMuIiwKICAibmFtZSI6ICJNYXhpbWl6ZSBUbyBFbXB0eSBXb3Jrc3BhY2UiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9rYWlzZXJhY20vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLW1heGltaXplLXRvLWVtcHR5LXdvcmtzcGFjZSIsCiAgInV1aWQiOiAiTWF4aW1pemVUb0VtcHR5V29ya3NwYWNlLWV4dGVuc2lvbkBrYWlzZXJzaXRlLmRlIiwKICAidmVyc2lvbiI6IDcKfQ=="}}}
+, {"uuid": "extension-list@tu.berry", "name": "Extension List", "pname": "extension-list", "description": "Simple gnome shell extension manager in the top panel\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/3088/extension-list/", "shell_version_map": {"38": {"version": "25", "sha256": "0ckv4i23bqga97pv4hsfkc2zx7hhyj2ngz0l4jw5bbfs25adg2jf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBnbm9tZSBzaGVsbCBleHRlbnNpb24gbWFuYWdlciBpbiB0aGUgdG9wIHBhbmVsXG5cbkZvciBzdXBwb3J0LCBwbGVhc2UgcmVwb3J0IGFueSBpc3N1ZXMgdmlhIHRoZSBob21lcGFnZSBsaW5rIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogImV4dGVuc2lvbi1saXN0IiwKICAibmFtZSI6ICJFeHRlbnNpb24gTGlzdCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5leHRlbnNpb24tbGlzdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3R1YmVycnkvZXh0ZW5zaW9uLWxpc3QiLAogICJ1dWlkIjogImV4dGVuc2lvbi1saXN0QHR1LmJlcnJ5IiwKICAidmVyc2lvbiI6IDI1Cn0="}, "40": {"version": "27", "sha256": "00n5v7a0qahkpan77np3jmiwzb399p1p8kbhcyd60l7r3910hbf7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBnbm9tZSBzaGVsbCBleHRlbnNpb24gbWFuYWdlciBpbiB0aGUgdG9wIHBhbmVsXG5cbkZvciBzdXBwb3J0LCBwbGVhc2UgcmVwb3J0IGFueSBpc3N1ZXMgdmlhIHRoZSBob21lcGFnZSBsaW5rIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogImV4dGVuc2lvbi1saXN0IiwKICAibmFtZSI6ICJFeHRlbnNpb24gTGlzdCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5leHRlbnNpb24tbGlzdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dWJlcnJ5L2V4dGVuc2lvbi1saXN0IiwKICAidXVpZCI6ICJleHRlbnNpb24tbGlzdEB0dS5iZXJyeSIsCiAgInZlcnNpb24iOiAyNwp9"}}}
+, {"uuid": "MaximizeToEmptyWorkspace-extension@kaisersite.de", "name": "Maximize To Empty Workspace", "pname": "maximize-to-empty-workspace", "description": "New and maximized windows will be moved to empty workspaces.\nSupports multiple monitors.", "link": "https://extensions.gnome.org/extension/3100/maximize-to-empty-workspace/", "shell_version_map": {"38": {"version": "10", "sha256": "05gi4r8wq8bnb1b5476w5h737swg0rdkm7fq1g2l2c9hg0h9r007", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5ldyBhbmQgbWF4aW1pemVkIHdpbmRvd3Mgd2lsbCBiZSBtb3ZlZCB0byBlbXB0eSB3b3Jrc3BhY2VzLlxuU3VwcG9ydHMgbXVsdGlwbGUgbW9uaXRvcnMuIiwKICAibmFtZSI6ICJNYXhpbWl6ZSBUbyBFbXB0eSBXb3Jrc3BhY2UiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2thaXNlcmFjbS9nbm9tZS1zaGVsbC1leHRlbnNpb24tbWF4aW1pemUtdG8tZW1wdHktd29ya3NwYWNlIiwKICAidXVpZCI6ICJNYXhpbWl6ZVRvRW1wdHlXb3Jrc3BhY2UtZXh0ZW5zaW9uQGthaXNlcnNpdGUuZGUiLAogICJ2ZXJzaW9uIjogMTAKfQ=="}, "40": {"version": "10", "sha256": "05gi4r8wq8bnb1b5476w5h737swg0rdkm7fq1g2l2c9hg0h9r007", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5ldyBhbmQgbWF4aW1pemVkIHdpbmRvd3Mgd2lsbCBiZSBtb3ZlZCB0byBlbXB0eSB3b3Jrc3BhY2VzLlxuU3VwcG9ydHMgbXVsdGlwbGUgbW9uaXRvcnMuIiwKICAibmFtZSI6ICJNYXhpbWl6ZSBUbyBFbXB0eSBXb3Jrc3BhY2UiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2thaXNlcmFjbS9nbm9tZS1zaGVsbC1leHRlbnNpb24tbWF4aW1pemUtdG8tZW1wdHktd29ya3NwYWNlIiwKICAidXVpZCI6ICJNYXhpbWl6ZVRvRW1wdHlXb3Jrc3BhY2UtZXh0ZW5zaW9uQGthaXNlcnNpdGUuZGUiLAogICJ2ZXJzaW9uIjogMTAKfQ=="}}}
+, {"uuid": "eye-extended@als.kz", "name": "Eye and Mouse Extended", "pname": "eye-extended", "description": "Adds an eye to the indicator bar that follows your cursor \nYou can also display the mouse indicator, perhaps it will help you with the problem of displaying the mouse cursor in Skype", "link": "https://extensions.gnome.org/extension/3139/eye-extended/", "shell_version_map": {"38": {"version": "8", "sha256": "0am93vkz74l70vavf8mlv8diga32xawvd5g79k8k7vwy15jg4zcj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYW4gZXllIHRvIHRoZSBpbmRpY2F0b3IgYmFyIHRoYXQgZm9sbG93cyB5b3VyIGN1cnNvciBcbllvdSBjYW4gYWxzbyBkaXNwbGF5IHRoZSBtb3VzZSBpbmRpY2F0b3IsIHBlcmhhcHMgaXQgd2lsbCBoZWxwIHlvdSB3aXRoIHRoZSBwcm9ibGVtIG9mIGRpc3BsYXlpbmcgdGhlIG1vdXNlIGN1cnNvciBpbiBTa3lwZSIsCiAgImdldHRleHQtZG9tYWluIjogIkV5ZUV4dGVuZGVkIiwKICAibmFtZSI6ICJFeWUgYW5kIE1vdXNlIEV4dGVuZGVkIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImt6LmFscy5leWUtZXh0ZW5kZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYuMCIsCiAgICAiMy4zOC4wIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FsZXhleWxvdmNoaWtvdi9leWUtZXh0ZW5kZWQtc2hlbGwtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJleWUtZXh0ZW5kZWRAYWxzLmt6IiwKICAidmVyc2lvbiI6IDgKfQ=="}, "40": {"version": "8", "sha256": "0am93vkz74l70vavf8mlv8diga32xawvd5g79k8k7vwy15jg4zcj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYW4gZXllIHRvIHRoZSBpbmRpY2F0b3IgYmFyIHRoYXQgZm9sbG93cyB5b3VyIGN1cnNvciBcbllvdSBjYW4gYWxzbyBkaXNwbGF5IHRoZSBtb3VzZSBpbmRpY2F0b3IsIHBlcmhhcHMgaXQgd2lsbCBoZWxwIHlvdSB3aXRoIHRoZSBwcm9ibGVtIG9mIGRpc3BsYXlpbmcgdGhlIG1vdXNlIGN1cnNvciBpbiBTa3lwZSIsCiAgImdldHRleHQtZG9tYWluIjogIkV5ZUV4dGVuZGVkIiwKICAibmFtZSI6ICJFeWUgYW5kIE1vdXNlIEV4dGVuZGVkIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImt6LmFscy5leWUtZXh0ZW5kZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYuMCIsCiAgICAiMy4zOC4wIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FsZXhleWxvdmNoaWtvdi9leWUtZXh0ZW5kZWQtc2hlbGwtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJleWUtZXh0ZW5kZWRAYWxzLmt6IiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
, {"uuid": "wireguard-indicator@gregos.me", "name": "Wireguard Indicator", "pname": "wireguard-indicator", "description": "Enable, disable, and view details of Wireguard.\nDeveloped by Gregos-Winus.", "link": "https://extensions.gnome.org/extension/3160/wireguard-indicator/", "shell_version_map": {"38": {"version": "3", "sha256": "07c3g7ynv95qs97fq2xyzn0xgg6nsjqrzn2f2ldpshdl31c76npf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZSwgZGlzYWJsZSwgYW5kIHZpZXcgZGV0YWlscyBvZiBXaXJlZ3VhcmQuXG5EZXZlbG9wZWQgYnkgR3JlZ29zLVdpbnVzLiIsCiAgIm5hbWUiOiAiV2lyZWd1YXJkIEluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAid2lyZWd1YXJkLWluZGljYXRvckBncmVnb3MubWUiLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "3", "sha256": "07c3g7ynv95qs97fq2xyzn0xgg6nsjqrzn2f2ldpshdl31c76npf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZSwgZGlzYWJsZSwgYW5kIHZpZXcgZGV0YWlscyBvZiBXaXJlZ3VhcmQuXG5EZXZlbG9wZWQgYnkgR3JlZ29zLVdpbnVzLiIsCiAgIm5hbWUiOiAiV2lyZWd1YXJkIEluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAid2lyZWd1YXJkLWluZGljYXRvckBncmVnb3MubWUiLAogICJ2ZXJzaW9uIjogMwp9"}}}
-, {"uuid": "blur-my-shell@aunetx", "name": "Blur my Shell", "pname": "blur-my-shell", "description": "Adds a blur look to different parts of the GNOME Shell, including the top panel, dash and overview.\n\nContains some bugs due to the implementation of the blur effect on gnome shell, see https: //gitlab.gnome.org/GNOME/gnome-shell/-/issues/2857 for more informations.\n\nAdded support for Gnome 40, and a way to entirely remove artifacts from top panel :)", "link": "https://extensions.gnome.org/extension/3193/blur-my-shell/", "shell_version_map": {"38": {"version": "6", "sha256": "07p928zrcmjpk705g3y3yrbdm3dpai2rb7d5wi6522ibcmiknqna", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBibHVyIGxvb2sgdG8gZGlmZmVyZW50IHBhcnRzIG9mIHRoZSBHTk9NRSBTaGVsbCwgaW5jbHVkaW5nIHRoZSB0b3AgcGFuZWwsIGRhc2ggYW5kIG92ZXJ2aWV3LlxuXG5Db250YWlucyBzb21lIGJ1Z3MgZHVlIHRvIHRoZSBpbXBsZW1lbnRhdGlvbiBvZiB0aGUgYmx1ciBlZmZlY3Qgb24gZ25vbWUgc2hlbGwsIHNlZSBodHRwczogLy9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLy0vaXNzdWVzLzI4NTcgZm9yIG1vcmUgaW5mb3JtYXRpb25zLlxuXG5BZGRlZCBzdXBwb3J0IGZvciBHbm9tZSA0MCwgYW5kIGEgd2F5IHRvIGVudGlyZWx5IHJlbW92ZSBhcnRpZmFjdHMgZnJvbSB0b3AgcGFuZWwgOikiLAogICJuYW1lIjogIkJsdXIgbXkgU2hlbGwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hdW5ldHgvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWJsdXItbXktc2hlbGwiLAogICJ1dWlkIjogImJsdXItbXktc2hlbGxAYXVuZXR4IiwKICAidmVyc2lvbiI6IDYKfQ=="}, "40": {"version": "11", "sha256": "0wx3bcccvw7qdw7fsqrcjd6h4xnh81ww3gigrl0d8j2mqwvjrhqi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBibHVyIGxvb2sgdG8gZGlmZmVyZW50IHBhcnRzIG9mIHRoZSBHTk9NRSBTaGVsbCwgaW5jbHVkaW5nIHRoZSB0b3AgcGFuZWwsIGRhc2ggYW5kIG92ZXJ2aWV3LlxuXG5Db250YWlucyBzb21lIGJ1Z3MgZHVlIHRvIHRoZSBpbXBsZW1lbnRhdGlvbiBvZiB0aGUgYmx1ciBlZmZlY3Qgb24gZ25vbWUgc2hlbGwsIHNlZSBodHRwczogLy9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLy0vaXNzdWVzLzI4NTcgZm9yIG1vcmUgaW5mb3JtYXRpb25zLlxuXG5BZGRlZCBzdXBwb3J0IGZvciBHbm9tZSA0MCwgYW5kIGEgd2F5IHRvIGVudGlyZWx5IHJlbW92ZSBhcnRpZmFjdHMgZnJvbSB0b3AgcGFuZWwgOikiLAogICJuYW1lIjogIkJsdXIgbXkgU2hlbGwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYXVuZXR4L2dub21lLXNoZWxsLWV4dGVuc2lvbi1ibHVyLW15LXNoZWxsIiwKICAidXVpZCI6ICJibHVyLW15LXNoZWxsQGF1bmV0eCIsCiAgInZlcnNpb24iOiAxMQp9"}}}
-, {"uuid": "compiz-windows-effect@hermes83.github.com", "name": "Compiz windows effect", "pname": "compiz-windows-effect", "description": "Compiz wobbly windows effect thanks to libanimation engine.\n\nThe use of the \"js engine\" option NOT requires any external library, orherwise you need to install the LIBANIMATION library patched for Gnome Shell: \nhttps://github.com/hermes83/libanimation\n\nNB:\nIn case of update error please restart Gnome Shell (on Xorg press ALT+F2 then write r and press enter, on Wayland end the session and log in again)\n\n-----------------------------------\n Video\n-----------------------------------\nhttps://youtu.be/G8bAVIB9A7A", "link": "https://extensions.gnome.org/extension/3210/compiz-windows-effect/", "shell_version_map": {"38": {"version": "9", "sha256": "1igwqkm2d5230q6yk41bal9yk690vkap5qfh8zqm6wdrsnswbhfm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbXBpeiB3b2JibHkgd2luZG93cyBlZmZlY3QgdGhhbmtzIHRvIGxpYmFuaW1hdGlvbiBlbmdpbmUuXG5cblRoZSB1c2Ugb2YgdGhlIFwianMgZW5naW5lXCIgb3B0aW9uIE5PVCByZXF1aXJlcyBhbnkgZXh0ZXJuYWwgbGlicmFyeSwgb3JoZXJ3aXNlIHlvdSBuZWVkIHRvIGluc3RhbGwgdGhlIExJQkFOSU1BVElPTiBsaWJyYXJ5IHBhdGNoZWQgZm9yIEdub21lIFNoZWxsOiBcbmh0dHBzOi8vZ2l0aHViLmNvbS9oZXJtZXM4My9saWJhbmltYXRpb25cblxuTkI6XG5JbiBjYXNlIG9mIHVwZGF0ZSBlcnJvciBwbGVhc2UgcmVzdGFydCBHbm9tZSBTaGVsbCAob24gWG9yZyBwcmVzcyBBTFQrRjIgdGhlbiB3cml0ZSByIGFuZCBwcmVzcyBlbnRlciwgb24gV2F5bGFuZCBlbmQgdGhlIHNlc3Npb24gYW5kIGxvZyBpbiBhZ2FpbilcblxuLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS1cbiAgVmlkZW9cbi0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tXG5odHRwczovL3lvdXR1LmJlL0c4YkFWSUI5QTdBIiwKICAibmFtZSI6ICJDb21waXogd2luZG93cyBlZmZlY3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaGVybWVzODMvY29tcGl6LXdpbmRvd3MtZWZmZWN0IiwKICAidXVpZCI6ICJjb21waXotd2luZG93cy1lZmZlY3RAaGVybWVzODMuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA5Cn0="}, "40": {"version": "9", "sha256": "1igwqkm2d5230q6yk41bal9yk690vkap5qfh8zqm6wdrsnswbhfm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbXBpeiB3b2JibHkgd2luZG93cyBlZmZlY3QgdGhhbmtzIHRvIGxpYmFuaW1hdGlvbiBlbmdpbmUuXG5cblRoZSB1c2Ugb2YgdGhlIFwianMgZW5naW5lXCIgb3B0aW9uIE5PVCByZXF1aXJlcyBhbnkgZXh0ZXJuYWwgbGlicmFyeSwgb3JoZXJ3aXNlIHlvdSBuZWVkIHRvIGluc3RhbGwgdGhlIExJQkFOSU1BVElPTiBsaWJyYXJ5IHBhdGNoZWQgZm9yIEdub21lIFNoZWxsOiBcbmh0dHBzOi8vZ2l0aHViLmNvbS9oZXJtZXM4My9saWJhbmltYXRpb25cblxuTkI6XG5JbiBjYXNlIG9mIHVwZGF0ZSBlcnJvciBwbGVhc2UgcmVzdGFydCBHbm9tZSBTaGVsbCAob24gWG9yZyBwcmVzcyBBTFQrRjIgdGhlbiB3cml0ZSByIGFuZCBwcmVzcyBlbnRlciwgb24gV2F5bGFuZCBlbmQgdGhlIHNlc3Npb24gYW5kIGxvZyBpbiBhZ2FpbilcblxuLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS1cbiAgVmlkZW9cbi0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tXG5odHRwczovL3lvdXR1LmJlL0c4YkFWSUI5QTdBIiwKICAibmFtZSI6ICJDb21waXogd2luZG93cyBlZmZlY3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaGVybWVzODMvY29tcGl6LXdpbmRvd3MtZWZmZWN0IiwKICAidXVpZCI6ICJjb21waXotd2luZG93cy1lZmZlY3RAaGVybWVzODMuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA5Cn0="}}}
-, {"uuid": "block-caribou-36@lxylxy123456.ercli.dev", "name": "Block Caribou 36", "pname": "block-caribou-36", "description": "Blocks caribou (the on screen keyboard) from popping up when you use a touchscreen. Even if it's disabled in the accessibility services menu. Continuation of keringar's work. Note, only tested on gnome shell version 4.40 on Fedora 34 with Xorg", "link": "https://extensions.gnome.org/extension/3222/block-caribou-36/", "shell_version_map": {"40": {"version": "2", "sha256": "0mzylj49w2xdrx9wlk6ijcsg8g1n8mksflihzaihlv3hga9ih9n9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJsb2NrcyBjYXJpYm91ICh0aGUgb24gc2NyZWVuIGtleWJvYXJkKSBmcm9tIHBvcHBpbmcgdXAgd2hlbiB5b3UgdXNlIGEgdG91Y2hzY3JlZW4uIEV2ZW4gaWYgaXQncyBkaXNhYmxlZCBpbiB0aGUgYWNjZXNzaWJpbGl0eSBzZXJ2aWNlcyBtZW51LiBDb250aW51YXRpb24gb2Yga2VyaW5nYXIncyB3b3JrLiBOb3RlLCBvbmx5IHRlc3RlZCBvbiBnbm9tZSBzaGVsbCB2ZXJzaW9uIDQuNDAgb24gRmVkb3JhIDM0IHdpdGggWG9yZyIsCiAgIm5hbWUiOiAiQmxvY2sgQ2FyaWJvdSAzNiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2x4eWx4eTEyMzQ1Ni9jYXJpYm91YmxvY2tlciIsCiAgInV1aWQiOiAiYmxvY2stY2FyaWJvdS0zNkBseHlseHkxMjM0NTYuZXJjbGkuZGV2IiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
+, {"uuid": "blur-my-shell@aunetx", "name": "Blur my Shell", "pname": "blur-my-shell", "description": "Adds a blur look to different parts of the GNOME Shell, including the top panel, dash and overview.\n\nIMPORTANT:\nAfter updating the extension, PLEASE make sure to logout and re-login so that gnome-shell can reload the extension correctly. This is not a bug from Blur my Shell, but an unfortunate issue from gnome shell.\n\nYou can support my work by sponsoring me on:\n- github: https://github.com/sponsors/aunetx\n- ko-fi: https://ko-fi.com/aunetx", "link": "https://extensions.gnome.org/extension/3193/blur-my-shell/", "shell_version_map": {"38": {"version": "22", "sha256": "0nkjqb5fyza2ah0dmcdfh4f998zyv8c955k3dzvybvb4rmmyvm8y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBibHVyIGxvb2sgdG8gZGlmZmVyZW50IHBhcnRzIG9mIHRoZSBHTk9NRSBTaGVsbCwgaW5jbHVkaW5nIHRoZSB0b3AgcGFuZWwsIGRhc2ggYW5kIG92ZXJ2aWV3LlxuXG5JTVBPUlRBTlQ6XG5BZnRlciB1cGRhdGluZyB0aGUgZXh0ZW5zaW9uLCBQTEVBU0UgbWFrZSBzdXJlIHRvIGxvZ291dCBhbmQgcmUtbG9naW4gc28gdGhhdCBnbm9tZS1zaGVsbCBjYW4gcmVsb2FkIHRoZSBleHRlbnNpb24gY29ycmVjdGx5LiBUaGlzIGlzIG5vdCBhIGJ1ZyBmcm9tIEJsdXIgbXkgU2hlbGwsIGJ1dCBhbiB1bmZvcnR1bmF0ZSBpc3N1ZSBmcm9tIGdub21lIHNoZWxsLlxuXG5Zb3UgY2FuIHN1cHBvcnQgbXkgd29yayBieSBzcG9uc29yaW5nIG1lIG9uOlxuLSBnaXRodWI6IGh0dHBzOi8vZ2l0aHViLmNvbS9zcG9uc29ycy9hdW5ldHhcbi0ga28tZmk6IGh0dHBzOi8va28tZmkuY29tL2F1bmV0eCIsCiAgIm5hbWUiOiAiQmx1ciBteSBTaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2F1bmV0eC9nbm9tZS1zaGVsbC1leHRlbnNpb24tYmx1ci1teS1zaGVsbCIsCiAgInV1aWQiOiAiYmx1ci1teS1zaGVsbEBhdW5ldHgiLAogICJ2ZXJzaW9uIjogMjIKfQ=="}, "40": {"version": "25", "sha256": "1i7qlmfrq5hbd9jc4mj05i592fr5kcn0h4qappr2123saqdwnbl5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBibHVyIGxvb2sgdG8gZGlmZmVyZW50IHBhcnRzIG9mIHRoZSBHTk9NRSBTaGVsbCwgaW5jbHVkaW5nIHRoZSB0b3AgcGFuZWwsIGRhc2ggYW5kIG92ZXJ2aWV3LlxuXG5JTVBPUlRBTlQ6XG5BZnRlciB1cGRhdGluZyB0aGUgZXh0ZW5zaW9uLCBQTEVBU0UgbWFrZSBzdXJlIHRvIGxvZ291dCBhbmQgcmUtbG9naW4gc28gdGhhdCBnbm9tZS1zaGVsbCBjYW4gcmVsb2FkIHRoZSBleHRlbnNpb24gY29ycmVjdGx5LiBUaGlzIGlzIG5vdCBhIGJ1ZyBmcm9tIEJsdXIgbXkgU2hlbGwsIGJ1dCBhbiB1bmZvcnR1bmF0ZSBpc3N1ZSBmcm9tIGdub21lIHNoZWxsLlxuXG5Zb3UgY2FuIHN1cHBvcnQgbXkgd29yayBieSBzcG9uc29yaW5nIG1lIG9uOlxuLSBnaXRodWI6IGh0dHBzOi8vZ2l0aHViLmNvbS9zcG9uc29ycy9hdW5ldHhcbi0ga28tZmk6IGh0dHBzOi8va28tZmkuY29tL2F1bmV0eCIsCiAgIm5hbWUiOiAiQmx1ciBteSBTaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYXVuZXR4L2dub21lLXNoZWxsLWV4dGVuc2lvbi1ibHVyLW15LXNoZWxsIiwKICAidXVpZCI6ICJibHVyLW15LXNoZWxsQGF1bmV0eCIsCiAgInZlcnNpb24iOiAyNQp9"}}}
+, {"uuid": "escape-overview@raelgc", "name": "ESCape Overview", "pname": "escape-overview", "description": "Close the Overview with a single ESC press when searchbox is empty.\n\nThe default gnome-shell behaviour is, during first ESC press, clean the searchbox, then second ESC press get back to Activities overview and then third ESC press will finally close the overview.", "link": "https://extensions.gnome.org/extension/3204/escape-overview/", "shell_version_map": {"38": {"version": "4", "sha256": "1yzba3d57s57wvviyr1gm1k5l15lnm7krhdcq6gv8gd5c80l3f02", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNsb3NlIHRoZSBPdmVydmlldyB3aXRoIGEgc2luZ2xlIEVTQyBwcmVzcyB3aGVuIHNlYXJjaGJveCBpcyBlbXB0eS5cblxuVGhlIGRlZmF1bHQgZ25vbWUtc2hlbGwgYmVoYXZpb3VyIGlzLCBkdXJpbmcgZmlyc3QgRVNDIHByZXNzLCBjbGVhbiB0aGUgc2VhcmNoYm94LCB0aGVuIHNlY29uZCBFU0MgcHJlc3MgZ2V0IGJhY2sgdG8gQWN0aXZpdGllcyBvdmVydmlldyBhbmQgdGhlbiB0aGlyZCBFU0MgcHJlc3Mgd2lsbCBmaW5hbGx5IGNsb3NlIHRoZSBvdmVydmlldy4iLAogICJuYW1lIjogIkVTQ2FwZSBPdmVydmlldyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcmFlbGdjL2VzY2FwZS1vdmVydmlldyIsCiAgInV1aWQiOiAiZXNjYXBlLW92ZXJ2aWV3QHJhZWxnYyIsCiAgInZlcnNpb24iOiA0Cn0="}, "40": {"version": "4", "sha256": "1yzba3d57s57wvviyr1gm1k5l15lnm7krhdcq6gv8gd5c80l3f02", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNsb3NlIHRoZSBPdmVydmlldyB3aXRoIGEgc2luZ2xlIEVTQyBwcmVzcyB3aGVuIHNlYXJjaGJveCBpcyBlbXB0eS5cblxuVGhlIGRlZmF1bHQgZ25vbWUtc2hlbGwgYmVoYXZpb3VyIGlzLCBkdXJpbmcgZmlyc3QgRVNDIHByZXNzLCBjbGVhbiB0aGUgc2VhcmNoYm94LCB0aGVuIHNlY29uZCBFU0MgcHJlc3MgZ2V0IGJhY2sgdG8gQWN0aXZpdGllcyBvdmVydmlldyBhbmQgdGhlbiB0aGlyZCBFU0MgcHJlc3Mgd2lsbCBmaW5hbGx5IGNsb3NlIHRoZSBvdmVydmlldy4iLAogICJuYW1lIjogIkVTQ2FwZSBPdmVydmlldyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcmFlbGdjL2VzY2FwZS1vdmVydmlldyIsCiAgInV1aWQiOiAiZXNjYXBlLW92ZXJ2aWV3QHJhZWxnYyIsCiAgInZlcnNpb24iOiA0Cn0="}}}
+, {"uuid": "compiz-windows-effect@hermes83.github.com", "name": "Compiz windows effect", "pname": "compiz-windows-effect", "description": "Compiz wobbly windows effect thanks to libanimation engine.\n\nThe use of the \"js engine\" option NOT requires any external library, orherwise you need to install the LIBANIMATION library patched for Gnome Shell: \nhttps://github.com/hermes83/libanimation\n\nNB:\nIn case of update error please restart Gnome Shell (on Xorg press ALT+F2 then write r and press enter, on Wayland end the session and log in again)\n\n-----------------------------------\n Video\n-----------------------------------\nhttps://youtu.be/G8bAVIB9A7A", "link": "https://extensions.gnome.org/extension/3210/compiz-windows-effect/", "shell_version_map": {"38": {"version": "10", "sha256": "0s2pprlc0wi2ln45c6bj9gzh6rg3bkc1dxn85dq1c7r4h6ib14x2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbXBpeiB3b2JibHkgd2luZG93cyBlZmZlY3QgdGhhbmtzIHRvIGxpYmFuaW1hdGlvbiBlbmdpbmUuXG5cblRoZSB1c2Ugb2YgdGhlIFwianMgZW5naW5lXCIgb3B0aW9uIE5PVCByZXF1aXJlcyBhbnkgZXh0ZXJuYWwgbGlicmFyeSwgb3JoZXJ3aXNlIHlvdSBuZWVkIHRvIGluc3RhbGwgdGhlIExJQkFOSU1BVElPTiBsaWJyYXJ5IHBhdGNoZWQgZm9yIEdub21lIFNoZWxsOiBcbmh0dHBzOi8vZ2l0aHViLmNvbS9oZXJtZXM4My9saWJhbmltYXRpb25cblxuTkI6XG5JbiBjYXNlIG9mIHVwZGF0ZSBlcnJvciBwbGVhc2UgcmVzdGFydCBHbm9tZSBTaGVsbCAob24gWG9yZyBwcmVzcyBBTFQrRjIgdGhlbiB3cml0ZSByIGFuZCBwcmVzcyBlbnRlciwgb24gV2F5bGFuZCBlbmQgdGhlIHNlc3Npb24gYW5kIGxvZyBpbiBhZ2FpbilcblxuLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS1cbiAgVmlkZW9cbi0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tXG5odHRwczovL3lvdXR1LmJlL0c4YkFWSUI5QTdBIiwKICAibmFtZSI6ICJDb21waXogd2luZG93cyBlZmZlY3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hlcm1lczgzL2NvbXBpei13aW5kb3dzLWVmZmVjdCIsCiAgInV1aWQiOiAiY29tcGl6LXdpbmRvd3MtZWZmZWN0QGhlcm1lczgzLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTAKfQ=="}, "40": {"version": "10", "sha256": "0s2pprlc0wi2ln45c6bj9gzh6rg3bkc1dxn85dq1c7r4h6ib14x2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbXBpeiB3b2JibHkgd2luZG93cyBlZmZlY3QgdGhhbmtzIHRvIGxpYmFuaW1hdGlvbiBlbmdpbmUuXG5cblRoZSB1c2Ugb2YgdGhlIFwianMgZW5naW5lXCIgb3B0aW9uIE5PVCByZXF1aXJlcyBhbnkgZXh0ZXJuYWwgbGlicmFyeSwgb3JoZXJ3aXNlIHlvdSBuZWVkIHRvIGluc3RhbGwgdGhlIExJQkFOSU1BVElPTiBsaWJyYXJ5IHBhdGNoZWQgZm9yIEdub21lIFNoZWxsOiBcbmh0dHBzOi8vZ2l0aHViLmNvbS9oZXJtZXM4My9saWJhbmltYXRpb25cblxuTkI6XG5JbiBjYXNlIG9mIHVwZGF0ZSBlcnJvciBwbGVhc2UgcmVzdGFydCBHbm9tZSBTaGVsbCAob24gWG9yZyBwcmVzcyBBTFQrRjIgdGhlbiB3cml0ZSByIGFuZCBwcmVzcyBlbnRlciwgb24gV2F5bGFuZCBlbmQgdGhlIHNlc3Npb24gYW5kIGxvZyBpbiBhZ2FpbilcblxuLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS1cbiAgVmlkZW9cbi0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tXG5odHRwczovL3lvdXR1LmJlL0c4YkFWSUI5QTdBIiwKICAibmFtZSI6ICJDb21waXogd2luZG93cyBlZmZlY3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hlcm1lczgzL2NvbXBpei13aW5kb3dzLWVmZmVjdCIsCiAgInV1aWQiOiAiY29tcGl6LXdpbmRvd3MtZWZmZWN0QGhlcm1lczgzLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTAKfQ=="}}}
+, {"uuid": "block-caribou-36@lxylxy123456.ercli.dev", "name": "Block Caribou 36", "pname": "block-caribou-36", "description": "Blocks caribou (the on screen keyboard) from popping up when you use a touchscreen. Even if it's disabled in the accessibility services menu. Continuation of keringar's work. Note, only tested on gnome shell version 4.40 on Fedora 34 with Xorg", "link": "https://extensions.gnome.org/extension/3222/block-caribou-36/", "shell_version_map": {"40": {"version": "4", "sha256": "13szkkrc51b3sa5pgf6zy33kg63ksn77crah4fp4xzsd5rycji6g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJsb2NrcyBjYXJpYm91ICh0aGUgb24gc2NyZWVuIGtleWJvYXJkKSBmcm9tIHBvcHBpbmcgdXAgd2hlbiB5b3UgdXNlIGEgdG91Y2hzY3JlZW4uIEV2ZW4gaWYgaXQncyBkaXNhYmxlZCBpbiB0aGUgYWNjZXNzaWJpbGl0eSBzZXJ2aWNlcyBtZW51LiBDb250aW51YXRpb24gb2Yga2VyaW5nYXIncyB3b3JrLiBOb3RlLCBvbmx5IHRlc3RlZCBvbiBnbm9tZSBzaGVsbCB2ZXJzaW9uIDQuNDAgb24gRmVkb3JhIDM0IHdpdGggWG9yZyIsCiAgIm5hbWUiOiAiQmxvY2sgQ2FyaWJvdSAzNiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbHh5bHh5MTIzNDU2L2Nhcmlib3VibG9ja2VyIiwKICAidXVpZCI6ICJibG9jay1jYXJpYm91LTM2QGx4eWx4eTEyMzQ1Ni5lcmNsaS5kZXYiLAogICJ2ZXJzaW9uIjogNAp9"}}}
, {"uuid": "unmaximize_double_click@gonza.gmail.com", "name": "Unmaximize Double Click Panel", "pname": "unmaximize-double-click-panel", "description": "Unmaximize the current window on double click on the top panel. You can also maximize horizontally and vertically with middle and right click.", "link": "https://extensions.gnome.org/extension/3228/unmaximize-double-click-panel/", "shell_version_map": {"38": {"version": "3", "sha256": "17xpxzxqx6zgr484xxa9sz78f90mmdq7ihgnhfmlmscsz10v5h3z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVubWF4aW1pemUgdGhlIGN1cnJlbnQgd2luZG93IG9uIGRvdWJsZSBjbGljayBvbiB0aGUgdG9wIHBhbmVsLiBZb3UgY2FuIGFsc28gbWF4aW1pemUgaG9yaXpvbnRhbGx5IGFuZCB2ZXJ0aWNhbGx5IHdpdGggbWlkZGxlIGFuZCByaWdodCBjbGljay4iLAogICJuYW1lIjogIlVubWF4aW1pemUgRG91YmxlIENsaWNrIFBhbmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dvbnphYXJjci91bm1heGltaXplLWdub21lLWV4dCIsCiAgInV1aWQiOiAidW5tYXhpbWl6ZV9kb3VibGVfY2xpY2tAZ29uemEuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDMKfQ=="}, "40": {"version": "3", "sha256": "17xpxzxqx6zgr484xxa9sz78f90mmdq7ihgnhfmlmscsz10v5h3z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVubWF4aW1pemUgdGhlIGN1cnJlbnQgd2luZG93IG9uIGRvdWJsZSBjbGljayBvbiB0aGUgdG9wIHBhbmVsLiBZb3UgY2FuIGFsc28gbWF4aW1pemUgaG9yaXpvbnRhbGx5IGFuZCB2ZXJ0aWNhbGx5IHdpdGggbWlkZGxlIGFuZCByaWdodCBjbGljay4iLAogICJuYW1lIjogIlVubWF4aW1pemUgRG91YmxlIENsaWNrIFBhbmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dvbnphYXJjci91bm1heGltaXplLWdub21lLWV4dCIsCiAgInV1aWQiOiAidW5tYXhpbWl6ZV9kb3VibGVfY2xpY2tAZ29uemEuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
, {"uuid": "unity-like-appswitcher@gonza.com", "name": "Unity-like App Switcher", "pname": "unity-like-app-switcher", "description": "A bigger and more colourfull AppSwitcher", "link": "https://extensions.gnome.org/extension/3231/unity-like-app-switcher/", "shell_version_map": {"38": {"version": "6", "sha256": "0s5mza4x2hk6k95hm7xsyxhariffhb896676fhh5qriphxsrif2q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgYmlnZ2VyIGFuZCBtb3JlIGNvbG91cmZ1bGwgQXBwU3dpdGNoZXIiLAogICJuYW1lIjogIlVuaXR5LWxpa2UgQXBwIFN3aXRjaGVyIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6ICJnb256YSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy51bml0eS13aW5kb3ctc3dpdGNoZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZ29uemFhcmNyL3VuaXR5LWxpa2Utc3dpdGNoZXItZ25vbWUtZXh0IiwKICAidXVpZCI6ICJ1bml0eS1saWtlLWFwcHN3aXRjaGVyQGdvbnphLmNvbSIsCiAgInZlcnNpb24iOiA2Cn0="}, "40": {"version": "6", "sha256": "0s5mza4x2hk6k95hm7xsyxhariffhb896676fhh5qriphxsrif2q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgYmlnZ2VyIGFuZCBtb3JlIGNvbG91cmZ1bGwgQXBwU3dpdGNoZXIiLAogICJuYW1lIjogIlVuaXR5LWxpa2UgQXBwIFN3aXRjaGVyIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6ICJnb256YSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy51bml0eS13aW5kb3ctc3dpdGNoZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZ29uemFhcmNyL3VuaXR5LWxpa2Utc3dpdGNoZXItZ25vbWUtZXh0IiwKICAidXVpZCI6ICJ1bml0eS1saWtlLWFwcHN3aXRjaGVyQGdvbnphLmNvbSIsCiAgInZlcnNpb24iOiA2Cn0="}}}
-, {"uuid": "add-to-desktop@tommimon.github.com", "name": "Add to Desktop", "pname": "add-to-desktop", "description": "An easy way to create desktop app shortcuts in gnome", "link": "https://extensions.gnome.org/extension/3240/add-to-desktop/", "shell_version_map": {"38": {"version": "4", "sha256": "0qikj36wl3317qdbjk95g6fm0f4vr367iwgai0hn85wjkxsh9c9c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGVhc3kgd2F5IHRvIGNyZWF0ZSBkZXNrdG9wIGFwcCBzaG9ydGN1dHMgaW4gZ25vbWUiLAogICJuYW1lIjogIkFkZCB0byBEZXNrdG9wIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vVG9tbWltb24vYWRkLXRvLWRlc2t0b3AiLAogICJ1dWlkIjogImFkZC10by1kZXNrdG9wQHRvbW1pbW9uLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNAp9"}}}
+, {"uuid": "add-to-desktop@tommimon.github.com", "name": "Add to Desktop", "pname": "add-to-desktop", "description": "An easy way to create desktop app shortcut in gnome", "link": "https://extensions.gnome.org/extension/3240/add-to-desktop/", "shell_version_map": {"38": {"version": "5", "sha256": "0kchr5daz3s4q18s6aphfyb50wglmlgmjnh3i9ka6d219r0srh64", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGVhc3kgd2F5IHRvIGNyZWF0ZSBkZXNrdG9wIGFwcCBzaG9ydGN1dCBpbiBnbm9tZSIsCiAgIm5hbWUiOiAiQWRkIHRvIERlc2t0b3AiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLmJldGEiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vVG9tbWltb24vYWRkLXRvLWRlc2t0b3AiLAogICJ1dWlkIjogImFkZC10by1kZXNrdG9wQHRvbW1pbW9uLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNQp9"}, "40": {"version": "5", "sha256": "0kchr5daz3s4q18s6aphfyb50wglmlgmjnh3i9ka6d219r0srh64", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGVhc3kgd2F5IHRvIGNyZWF0ZSBkZXNrdG9wIGFwcCBzaG9ydGN1dCBpbiBnbm9tZSIsCiAgIm5hbWUiOiAiQWRkIHRvIERlc2t0b3AiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLmJldGEiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vVG9tbWltb24vYWRkLXRvLWRlc2t0b3AiLAogICJ1dWlkIjogImFkZC10by1kZXNrdG9wQHRvbW1pbW9uLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNQp9"}}}
, {"uuid": "touchpad_window_switcher@gonza.com", "name": "Touchpad Window Switcher", "pname": "tocuhpad-window-switcher", "description": "3 fingers window switcher. To make it work on Xorg check the service on github.\n\nUp - down: toggle between overview and show desktop (Needs Super+D shorcut to be set on Xorg. Set it with `gsettings set org.gnome.desktop.wm.keybindings show-desktop 'd'`).\n\nYou can also change windows by going to the overview (up) and moving to the left and right, and choosing the window with down. The overview is modified so it’s shown in chronological order.\n", "link": "https://extensions.gnome.org/extension/3294/tocuhpad-window-switcher/", "shell_version_map": {"38": {"version": "8", "sha256": "1x016p30z0pci3qlhpmfqrsgy0vwcfxqladny66ppbb32qisbkac", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIjMgZmluZ2VycyB3aW5kb3cgc3dpdGNoZXIuIFRvIG1ha2UgaXQgd29yayBvbiBYb3JnIGNoZWNrIHRoZSBzZXJ2aWNlIG9uIGdpdGh1Yi5cblxuVXAgLSBkb3duOiB0b2dnbGUgYmV0d2VlbiBvdmVydmlldyBhbmQgc2hvdyBkZXNrdG9wIChOZWVkcyBTdXBlcitEIHNob3JjdXQgdG8gYmUgc2V0IG9uIFhvcmcuIFNldCBpdCB3aXRoIGBnc2V0dGluZ3Mgc2V0IG9yZy5nbm9tZS5kZXNrdG9wLndtLmtleWJpbmRpbmdzIHNob3ctZGVza3RvcCAnPFN1cGVyPmQnYCkuXG5cbllvdSBjYW4gYWxzbyBjaGFuZ2Ugd2luZG93cyBieSBnb2luZyB0byB0aGUgb3ZlcnZpZXcgKHVwKSBhbmQgbW92aW5nIHRvIHRoZSBsZWZ0IGFuZCByaWdodCwgYW5kIGNob29zaW5nIHRoZSB3aW5kb3cgd2l0aCBkb3duLiBUaGUgb3ZlcnZpZXcgaXMgbW9kaWZpZWQgc28gaXRcdTIwMTlzIHNob3duIGluIGNocm9ub2xvZ2ljYWwgb3JkZXIuXG4iLAogICJuYW1lIjogIlRvdWNocGFkIFdpbmRvdyBTd2l0Y2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dvbnphYXJjci90b3VjaHBhZC13aW5kb3ctc3dpdGNoZXItZ25vbWUtZXh0IiwKICAidXVpZCI6ICJ0b3VjaHBhZF93aW5kb3dfc3dpdGNoZXJAZ29uemEuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
, {"uuid": "gnome-shell-duckduckgo-search-provider@keithcirkel.co.uk", "name": "DuckDuckGo Search Provider", "pname": "duckduckgo-search-provider", "description": "Add DuckDuckGo search suggestions to Gnome Shell Search", "link": "https://extensions.gnome.org/extension/3306/duckduckgo-search-provider/", "shell_version_map": {"38": {"version": "3", "sha256": "1id10vxz5dfq53wbiz8spqkr6d9qvs9dsykxpff29an96lzybj1w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBEdWNrRHVja0dvIHNlYXJjaCBzdWdnZXN0aW9ucyB0byBHbm9tZSBTaGVsbCBTZWFyY2giLAogICJuYW1lIjogIkR1Y2tEdWNrR28gU2VhcmNoIFByb3ZpZGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmR1Y2tkdWNrZ28tc2VhcmNoLXByb3ZpZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20va2VpdGhhbXVzL2dub21lLXNoZWxsLWR1Y2tkdWNrZ28tc2VhcmNoLXByb3ZpZGVyIiwKICAidXVpZCI6ICJnbm9tZS1zaGVsbC1kdWNrZHVja2dvLXNlYXJjaC1wcm92aWRlckBrZWl0aGNpcmtlbC5jby51ayIsCiAgInZlcnNpb24iOiAzCn0="}, "40": {"version": "3", "sha256": "1id10vxz5dfq53wbiz8spqkr6d9qvs9dsykxpff29an96lzybj1w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBEdWNrRHVja0dvIHNlYXJjaCBzdWdnZXN0aW9ucyB0byBHbm9tZSBTaGVsbCBTZWFyY2giLAogICJuYW1lIjogIkR1Y2tEdWNrR28gU2VhcmNoIFByb3ZpZGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmR1Y2tkdWNrZ28tc2VhcmNoLXByb3ZpZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20va2VpdGhhbXVzL2dub21lLXNoZWxsLWR1Y2tkdWNrZ28tc2VhcmNoLXByb3ZpZGVyIiwKICAidXVpZCI6ICJnbm9tZS1zaGVsbC1kdWNrZHVja2dvLXNlYXJjaC1wcm92aWRlckBrZWl0aGNpcmtlbC5jby51ayIsCiAgInZlcnNpb24iOiAzCn0="}}}
, {"uuid": "translate-indicator@athenstaedt.net", "name": "Translate Indicator", "pname": "translate-indicator", "description": "Translate extension for Gnome-Shell - based on translate-shell, inspired by Tudmotu's clipboard-indicator and gufoe's text-translator", "link": "https://extensions.gnome.org/extension/3318/translate-indicator/", "shell_version_map": {"38": {"version": "3", "sha256": "04c3hjbcbn8y9d94swmc3qiv63sjynn71jnwp08sgqa79nrn4cyg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYW5zbGF0ZSBleHRlbnNpb24gZm9yIEdub21lLVNoZWxsIC0gYmFzZWQgb24gdHJhbnNsYXRlLXNoZWxsLCBpbnNwaXJlZCBieSBUdWRtb3R1J3MgY2xpcGJvYXJkLWluZGljYXRvciBhbmQgZ3Vmb2UncyB0ZXh0LXRyYW5zbGF0b3IiLAogICJuYW1lIjogIlRyYW5zbGF0ZSBJbmRpY2F0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZXZlbnV6L2dub21lLXRyYW5zbGF0ZS1pbmRpY2F0b3IiLAogICJ1dWlkIjogInRyYW5zbGF0ZS1pbmRpY2F0b3JAYXRoZW5zdGFlZHQubmV0IiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
-, {"uuid": "material-shell@papyelgringo", "name": "Material Shell", "pname": "material-shell", "description": "A modern desktop interface for Linux - packaged as an extension for GNOME Shell. Improve your user experience and get rid of the anarchy of traditional desktop workflows. Designed to simplify navigation and reduce the need to manipulate windows in order to improve productivity. It's meant to be 100% predictable and bring the benefits of tools coveted by professionals to everyone.", "link": "https://extensions.gnome.org/extension/3357/material-shell/", "shell_version_map": {"38": {"version": "12", "sha256": "1rjybqlgbjmflg21cm7js2gjzvdhw14lpzncpzwf18rh4mp2adnr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImJpbmRpbmdzIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1hdGVyaWFsc2hlbGwuYmluZGluZ3MiLAogICJkZXNjcmlwdGlvbiI6ICJBIG1vZGVybiBkZXNrdG9wIGludGVyZmFjZSBmb3IgTGludXggLSBwYWNrYWdlZCBhcyBhbiBleHRlbnNpb24gZm9yIEdOT01FIFNoZWxsLiBJbXByb3ZlIHlvdXIgdXNlciBleHBlcmllbmNlIGFuZCBnZXQgcmlkIG9mIHRoZSBhbmFyY2h5IG9mIHRyYWRpdGlvbmFsIGRlc2t0b3Agd29ya2Zsb3dzLiBEZXNpZ25lZCB0byBzaW1wbGlmeSBuYXZpZ2F0aW9uIGFuZCByZWR1Y2UgdGhlIG5lZWQgdG8gbWFuaXB1bGF0ZSB3aW5kb3dzIGluIG9yZGVyIHRvIGltcHJvdmUgcHJvZHVjdGl2aXR5LiBJdCdzIG1lYW50IHRvIGJlIDEwMCUgcHJlZGljdGFibGUgYW5kIGJyaW5nIHRoZSBiZW5lZml0cyBvZiB0b29scyBjb3ZldGVkIGJ5IHByb2Zlc3Npb25hbHMgdG8gZXZlcnlvbmUuIiwKICAibGF5b3V0cyI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5tYXRlcmlhbHNoZWxsLmxheW91dHMiLAogICJuYW1lIjogIk1hdGVyaWFsIFNoZWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInRoZW1lIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1hdGVyaWFsc2hlbGwudGhlbWUiLAogICJ0d2Vha3MiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubWF0ZXJpYWxzaGVsbC50d2Vha3MiLAogICJ1cmwiOiAiaHR0cHM6Ly9tYXRlcmlhbC1zaGVsbC5jb20iLAogICJ1dWlkIjogIm1hdGVyaWFsLXNoZWxsQHBhcHllbGdyaW5nbyIsCiAgInZlcnNpb24iOiAxMgp9"}}}
-, {"uuid": "color-picker@tuberry", "name": "Color Picker", "pname": "color-picker", "description": "Simple color picker for gnome shell\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/3396/color-picker/", "shell_version_map": {"38": {"version": "20", "sha256": "1ss4r8dpa7smxbyz41rw3wl1gy20bvy89xdvwfz6zfhv3db5vl86", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBjb2xvciBwaWNrZXIgZm9yIGdub21lIHNoZWxsXG5cbkZvciBzdXBwb3J0LCBwbGVhc2UgcmVwb3J0IGFueSBpc3N1ZXMgdmlhIHRoZSBob21lcGFnZSBsaW5rIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogImNvbG9yLXBpY2tlciIsCiAgIm5hbWUiOiAiQ29sb3IgUGlja2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNvbG9yLXBpY2tlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3R1YmVycnkvY29sb3ItcGlja2VyIiwKICAidXVpZCI6ICJjb2xvci1waWNrZXJAdHViZXJyeSIsCiAgInZlcnNpb24iOiAyMAp9"}, "40": {"version": "24", "sha256": "0hngbg4y16dazy1i00qdbvyy4d2wn7yrmy6l38ixxdly9gra8n6d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBjb2xvciBwaWNrZXIgZm9yIGdub21lIHNoZWxsXG5cbkZvciBzdXBwb3J0LCBwbGVhc2UgcmVwb3J0IGFueSBpc3N1ZXMgdmlhIHRoZSBob21lcGFnZSBsaW5rIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogImNvbG9yLXBpY2tlciIsCiAgIm5hbWUiOiAiQ29sb3IgUGlja2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNvbG9yLXBpY2tlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dWJlcnJ5L2NvbG9yLXBpY2tlciIsCiAgInV1aWQiOiAiY29sb3ItcGlja2VyQHR1YmVycnkiLAogICJ2ZXJzaW9uIjogMjQKfQ=="}}}
+, {"uuid": "material-shell@papyelgringo", "name": "Material Shell", "pname": "material-shell", "description": "A modern desktop interface for Linux - packaged as an extension for GNOME Shell. Improve your user experience and get rid of the anarchy of traditional desktop workflows. Designed to simplify navigation and reduce the need to manipulate windows in order to improve productivity. It's meant to be 100% predictable and bring the benefits of tools coveted by professionals to everyone.", "link": "https://extensions.gnome.org/extension/3357/material-shell/", "shell_version_map": {"38": {"version": "12", "sha256": "1rjybqlgbjmflg21cm7js2gjzvdhw14lpzncpzwf18rh4mp2adnr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImJpbmRpbmdzIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1hdGVyaWFsc2hlbGwuYmluZGluZ3MiLAogICJkZXNjcmlwdGlvbiI6ICJBIG1vZGVybiBkZXNrdG9wIGludGVyZmFjZSBmb3IgTGludXggLSBwYWNrYWdlZCBhcyBhbiBleHRlbnNpb24gZm9yIEdOT01FIFNoZWxsLiBJbXByb3ZlIHlvdXIgdXNlciBleHBlcmllbmNlIGFuZCBnZXQgcmlkIG9mIHRoZSBhbmFyY2h5IG9mIHRyYWRpdGlvbmFsIGRlc2t0b3Agd29ya2Zsb3dzLiBEZXNpZ25lZCB0byBzaW1wbGlmeSBuYXZpZ2F0aW9uIGFuZCByZWR1Y2UgdGhlIG5lZWQgdG8gbWFuaXB1bGF0ZSB3aW5kb3dzIGluIG9yZGVyIHRvIGltcHJvdmUgcHJvZHVjdGl2aXR5LiBJdCdzIG1lYW50IHRvIGJlIDEwMCUgcHJlZGljdGFibGUgYW5kIGJyaW5nIHRoZSBiZW5lZml0cyBvZiB0b29scyBjb3ZldGVkIGJ5IHByb2Zlc3Npb25hbHMgdG8gZXZlcnlvbmUuIiwKICAibGF5b3V0cyI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5tYXRlcmlhbHNoZWxsLmxheW91dHMiLAogICJuYW1lIjogIk1hdGVyaWFsIFNoZWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInRoZW1lIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1hdGVyaWFsc2hlbGwudGhlbWUiLAogICJ0d2Vha3MiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubWF0ZXJpYWxzaGVsbC50d2Vha3MiLAogICJ1cmwiOiAiaHR0cHM6Ly9tYXRlcmlhbC1zaGVsbC5jb20iLAogICJ1dWlkIjogIm1hdGVyaWFsLXNoZWxsQHBhcHllbGdyaW5nbyIsCiAgInZlcnNpb24iOiAxMgp9"}, "40": {"version": "15", "sha256": "0q8lrp9s31n3bvdzyq5vrl0zibszmrvl3c0hndx17lwzqs5rxwa2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImJpbmRpbmdzIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1hdGVyaWFsc2hlbGwuYmluZGluZ3MiLAogICJkZXNjcmlwdGlvbiI6ICJBIG1vZGVybiBkZXNrdG9wIGludGVyZmFjZSBmb3IgTGludXggLSBwYWNrYWdlZCBhcyBhbiBleHRlbnNpb24gZm9yIEdOT01FIFNoZWxsLiBJbXByb3ZlIHlvdXIgdXNlciBleHBlcmllbmNlIGFuZCBnZXQgcmlkIG9mIHRoZSBhbmFyY2h5IG9mIHRyYWRpdGlvbmFsIGRlc2t0b3Agd29ya2Zsb3dzLiBEZXNpZ25lZCB0byBzaW1wbGlmeSBuYXZpZ2F0aW9uIGFuZCByZWR1Y2UgdGhlIG5lZWQgdG8gbWFuaXB1bGF0ZSB3aW5kb3dzIGluIG9yZGVyIHRvIGltcHJvdmUgcHJvZHVjdGl2aXR5LiBJdCdzIG1lYW50IHRvIGJlIDEwMCUgcHJlZGljdGFibGUgYW5kIGJyaW5nIHRoZSBiZW5lZml0cyBvZiB0b29scyBjb3ZldGVkIGJ5IHByb2Zlc3Npb25hbHMgdG8gZXZlcnlvbmUuIiwKICAibGF5b3V0cyI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5tYXRlcmlhbHNoZWxsLmxheW91dHMiLAogICJuYW1lIjogIk1hdGVyaWFsIFNoZWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ0aGVtZSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5tYXRlcmlhbHNoZWxsLnRoZW1lIiwKICAidHdlYWtzIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1hdGVyaWFsc2hlbGwudHdlYWtzIiwKICAidXJsIjogImh0dHBzOi8vbWF0ZXJpYWwtc2hlbGwuY29tIiwKICAidXVpZCI6ICJtYXRlcmlhbC1zaGVsbEBwYXB5ZWxncmluZ28iLAogICJ2ZXJzaW9uIjogMTUKfQ=="}}}
+, {"uuid": "galaxy-buds-battery@pemmoura", "name": "Galaxy Buds Battery", "pname": "galaxy-buds-battery", "description": "Galaxy Buds battery indicator.", "link": "https://extensions.gnome.org/extension/3383/galaxy-buds-battery/", "shell_version_map": {"38": {"version": "6", "sha256": "1f25mc5idqaw3v9b2xffiij9y0pcrl8msz85p8cz2x5l2r3sc7wm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdhbGF4eSBCdWRzIGJhdHRlcnkgaW5kaWNhdG9yLiIsCiAgIm5hbWUiOiAiR2FsYXh5IEJ1ZHMgQmF0dGVyeSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3BlbW1vdXJhL2dhbGF4eWJ1ZHMtZ25vbWUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJnYWxheHktYnVkcy1iYXR0ZXJ5QHBlbW1vdXJhIiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
+, {"uuid": "color-picker@tuberry", "name": "Color Picker", "pname": "color-picker", "description": "Simple color picker for gnome shell\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/3396/color-picker/", "shell_version_map": {"38": {"version": "20", "sha256": "1ss4r8dpa7smxbyz41rw3wl1gy20bvy89xdvwfz6zfhv3db5vl86", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBjb2xvciBwaWNrZXIgZm9yIGdub21lIHNoZWxsXG5cbkZvciBzdXBwb3J0LCBwbGVhc2UgcmVwb3J0IGFueSBpc3N1ZXMgdmlhIHRoZSBob21lcGFnZSBsaW5rIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogImNvbG9yLXBpY2tlciIsCiAgIm5hbWUiOiAiQ29sb3IgUGlja2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNvbG9yLXBpY2tlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3R1YmVycnkvY29sb3ItcGlja2VyIiwKICAidXVpZCI6ICJjb2xvci1waWNrZXJAdHViZXJyeSIsCiAgInZlcnNpb24iOiAyMAp9"}, "40": {"version": "25", "sha256": "0lx4bs7yfqkphb5cwmakl6xjf14q89m0yn70a882drakfhzgnagw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBjb2xvciBwaWNrZXIgZm9yIGdub21lIHNoZWxsXG5cbkZvciBzdXBwb3J0LCBwbGVhc2UgcmVwb3J0IGFueSBpc3N1ZXMgdmlhIHRoZSBob21lcGFnZSBsaW5rIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogImNvbG9yLXBpY2tlciIsCiAgIm5hbWUiOiAiQ29sb3IgUGlja2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNvbG9yLXBpY2tlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dWJlcnJ5L2NvbG9yLXBpY2tlciIsCiAgInV1aWQiOiAiY29sb3ItcGlja2VyQHR1YmVycnkiLAogICJ2ZXJzaW9uIjogMjUKfQ=="}}}
, {"uuid": "yaru-remix-theme-toggle@muqtxdir.me", "name": "Yaru remix theme toggle", "pname": "yaru-remix-theme-toggle", "description": "Switches GTK3, Gnome-shell, cursor and icon themes to Yaru-remix variants", "link": "https://extensions.gnome.org/extension/3402/yaru-remix-theme-toggle/", "shell_version_map": {"38": {"version": "2", "sha256": "04dh163dshjnq3fa1y5kbkgl94q4cifvffq6i2pr4zd1v7d4zrf6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dGhvcnMiOiBbCiAgICAibXVxdGFkaXI1NTU1QGdtYWlsLmNvbSIKICBdLAogICJkZXNjcmlwdGlvbiI6ICJTd2l0Y2hlcyBHVEszLCBHbm9tZS1zaGVsbCwgY3Vyc29yIGFuZCBpY29uIHRoZW1lcyB0byBZYXJ1LXJlbWl4IHZhcmlhbnRzIiwKICAibmFtZSI6ICJZYXJ1IHJlbWl4IHRoZW1lIHRvZ2dsZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL011cXR4ZGlyL3lhcnUtcmVtaXgtdGhlbWUtdG9nZ2xlIiwKICAidXVpZCI6ICJ5YXJ1LXJlbWl4LXRoZW1lLXRvZ2dsZUBtdXF0eGRpci5tZSIsCiAgInZlcnNpb24iOiAyCn0="}}}
, {"uuid": "ascii_emoji_buckets@HarshKhandeparkar", "name": "ASCII Emoji Buckets", "pname": "ascii-emoji-buckets", "description": "Buckets of ASCII emojis for your messaging pleasure. A fork of Emoji Buckets.", "link": "https://extensions.gnome.org/extension/3408/ascii-emoji-buckets/", "shell_version_map": {"38": {"version": "9", "sha256": "1srqsjzcywywlhapaca41v4pc99w503m5532g6cc6qwz9f2r4w5h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJ1Y2tldHMgb2YgQVNDSUkgZW1vamlzIGZvciB5b3VyIG1lc3NhZ2luZyBwbGVhc3VyZS4gQSBmb3JrIG9mIEVtb2ppIEJ1Y2tldHMuIiwKICAibmFtZSI6ICJBU0NJSSBFbW9qaSBCdWNrZXRzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmFzY2lpLWVtb2ppLWJ1Y2tldHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjMuMzguMSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0hhcnNoS2hhbmRlcGFya2FyL2dub21lLWFzY2lpLWVtb2ppLWJ1Y2tldHMiLAogICJ1dWlkIjogImFzY2lpX2Vtb2ppX2J1Y2tldHNASGFyc2hLaGFuZGVwYXJrYXIiLAogICJ2ZXJzaW9uIjogOQp9"}}}
, {"uuid": "user-stylesheet@tomaszgasior.pl", "name": "User style sheet & font", "pname": "user-stylesheet-font", "description": "Load custom style sheet from ~/.config/gnome-shell/gnome-shell.css. Use GTK font family and font size from GNOME Tweaks in GNOME Shell.", "link": "https://extensions.gnome.org/extension/3414/user-stylesheet-font/", "shell_version_map": {"38": {"version": "3", "sha256": "1gkjf0vx60zi6yj4zcqsrdnsk3m7p6zxcpi6v3hywp43g7ql4dg6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvYWQgY3VzdG9tIHN0eWxlIHNoZWV0IGZyb20gfi8uY29uZmlnL2dub21lLXNoZWxsL2dub21lLXNoZWxsLmNzcy4gVXNlIEdUSyBmb250IGZhbWlseSBhbmQgZm9udCBzaXplIGZyb20gR05PTUUgVHdlYWtzIGluIEdOT01FIFNoZWxsLiIsCiAgIm5hbWUiOiAiVXNlciBzdHlsZSBzaGVldCAmIGZvbnQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vVG9tYXN6R2FzaW9yL2dub21lLXNoZWxsLXVzZXItc3R5bGVzaGVldC1hbmQtZm9udCIsCiAgInV1aWQiOiAidXNlci1zdHlsZXNoZWV0QHRvbWFzemdhc2lvci5wbCIsCiAgInZlcnNpb24iOiAzCn0="}, "40": {"version": "3", "sha256": "1gkjf0vx60zi6yj4zcqsrdnsk3m7p6zxcpi6v3hywp43g7ql4dg6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvYWQgY3VzdG9tIHN0eWxlIHNoZWV0IGZyb20gfi8uY29uZmlnL2dub21lLXNoZWxsL2dub21lLXNoZWxsLmNzcy4gVXNlIEdUSyBmb250IGZhbWlseSBhbmQgZm9udCBzaXplIGZyb20gR05PTUUgVHdlYWtzIGluIEdOT01FIFNoZWxsLiIsCiAgIm5hbWUiOiAiVXNlciBzdHlsZSBzaGVldCAmIGZvbnQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vVG9tYXN6R2FzaW9yL2dub21lLXNoZWxsLXVzZXItc3R5bGVzaGVldC1hbmQtZm9udCIsCiAgInV1aWQiOiAidXNlci1zdHlsZXNoZWV0QHRvbWFzemdhc2lvci5wbCIsCiAgInZlcnNpb24iOiAzCn0="}}}
-, {"uuid": "wg-indicator@dpf12110.gmail.com", "name": "WG Indicator", "pname": "wg-indicator", "description": "A status indicator for Wireguard connections.", "link": "https://extensions.gnome.org/extension/3418/wg-indicator/", "shell_version_map": {"40": {"version": "8", "sha256": "0gzk5d04g4gqmf66cnbhyywbxvrzz3vk106i5qx13vklkskjg5wg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc3RhdHVzIGluZGljYXRvciBmb3IgV2lyZWd1YXJkIGNvbm5lY3Rpb25zLiIsCiAgIm5hbWUiOiAiV0cgSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjIyLjEiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zeW5jMTIxMS93Zy1pbmRpY2F0b3IiLAogICJ1dWlkIjogIndnLWluZGljYXRvckBkcGYxMjExMC5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogOAp9"}}}
-, {"uuid": "flypie@schneegans.github.com", "name": "Fly-Pie", "pname": "fly-pie", "description": "A marking menu which can be used to launch applications, simulate hotkeys, open URLs and much more.", "link": "https://extensions.gnome.org/extension/3433/fly-pie/", "shell_version_map": {"38": {"version": "6", "sha256": "1bxi2f6w906p9wxz1hd9wjbd98chzhzg4lsbf1bz6mdfxmplq4b4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgbWFya2luZyBtZW51IHdoaWNoIGNhbiBiZSB1c2VkIHRvIGxhdW5jaCBhcHBsaWNhdGlvbnMsIHNpbXVsYXRlIGhvdGtleXMsIG9wZW4gVVJMcyBhbmQgbXVjaCBtb3JlLiIsCiAgImdldHRleHQtZG9tYWluIjogImZseXBpZSIsCiAgIm5hbWUiOiAiRmx5LVBpZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mbHlwaWUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9TY2huZWVnYW5zL0ZseS1QaWUiLAogICJ1dWlkIjogImZseXBpZUBzY2huZWVnYW5zLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNgp9"}}}
+, {"uuid": "wg-indicator@dpf12110.gmail.com", "name": "WG Indicator", "pname": "wg-indicator", "description": "A status indicator for Wireguard connections.", "link": "https://extensions.gnome.org/extension/3418/wg-indicator/", "shell_version_map": {"40": {"version": "12", "sha256": "14yp1l9n3lvdj8l16zqx8c87nkh5mrbyfjbnqi4ylfchpc5pm8kk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc3RhdHVzIGluZGljYXRvciBmb3IgV2lyZWd1YXJkIGNvbm5lY3Rpb25zLiIsCiAgIm5hbWUiOiAiV0cgSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjIyLjEiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc3luYzEyMTEvd2ctaW5kaWNhdG9yIiwKICAidXVpZCI6ICJ3Zy1pbmRpY2F0b3JAZHBmMTIxMTAuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDEyCn0="}}}
+, {"uuid": "flypie@schneegans.github.com", "name": "Fly-Pie", "pname": "fly-pie", "description": "A marking menu which can be used to launch applications, simulate hotkeys, open URLs and much more.", "link": "https://extensions.gnome.org/extension/3433/fly-pie/", "shell_version_map": {"38": {"version": "6", "sha256": "1bxi2f6w906p9wxz1hd9wjbd98chzhzg4lsbf1bz6mdfxmplq4b4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgbWFya2luZyBtZW51IHdoaWNoIGNhbiBiZSB1c2VkIHRvIGxhdW5jaCBhcHBsaWNhdGlvbnMsIHNpbXVsYXRlIGhvdGtleXMsIG9wZW4gVVJMcyBhbmQgbXVjaCBtb3JlLiIsCiAgImdldHRleHQtZG9tYWluIjogImZseXBpZSIsCiAgIm5hbWUiOiAiRmx5LVBpZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mbHlwaWUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9TY2huZWVnYW5zL0ZseS1QaWUiLAogICJ1dWlkIjogImZseXBpZUBzY2huZWVnYW5zLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNgp9"}, "40": {"version": "7", "sha256": "1rl6yl2yrq36n3rv2aiwy1pfxyllni66aydfy1xn6fq83bxp1w3n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgbWFya2luZyBtZW51IHdoaWNoIGNhbiBiZSB1c2VkIHRvIGxhdW5jaCBhcHBsaWNhdGlvbnMsIHNpbXVsYXRlIGhvdGtleXMsIG9wZW4gVVJMcyBhbmQgbXVjaCBtb3JlLiIsCiAgImdldHRleHQtZG9tYWluIjogImZseXBpZSIsCiAgIm5hbWUiOiAiRmx5LVBpZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mbHlwaWUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvRmx5LVBpZSIsCiAgInV1aWQiOiAiZmx5cGllQHNjaG5lZWdhbnMuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA3Cn0="}}}
, {"uuid": "jiggle@jeffchannell.com", "name": "Jiggle", "pname": "jiggle", "description": "Jiggle is a Gnome Shell extension that highlights the cursor position when the mouse is moved rapidly.", "link": "https://extensions.gnome.org/extension/3438/jiggle/", "shell_version_map": {"38": {"version": "8", "sha256": "0f5zwvcqz648sn11nl49r0ki6zy5c2hp4imgba0dlc02fags7pxz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkppZ2dsZSBpcyBhIEdub21lIFNoZWxsIGV4dGVuc2lvbiB0aGF0IGhpZ2hsaWdodHMgdGhlIGN1cnNvciBwb3NpdGlvbiB3aGVuIHRoZSBtb3VzZSBpcyBtb3ZlZCByYXBpZGx5LiIsCiAgIm5hbWUiOiAiSmlnZ2xlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2LjMiLAogICAgIjMuMzguMSIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2plZmZjaGFubmVsbC9qaWdnbGUiLAogICJ1dWlkIjogImppZ2dsZUBqZWZmY2hhbm5lbGwuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="}, "40": {"version": "8", "sha256": "0f5zwvcqz648sn11nl49r0ki6zy5c2hp4imgba0dlc02fags7pxz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkppZ2dsZSBpcyBhIEdub21lIFNoZWxsIGV4dGVuc2lvbiB0aGF0IGhpZ2hsaWdodHMgdGhlIGN1cnNvciBwb3NpdGlvbiB3aGVuIHRoZSBtb3VzZSBpcyBtb3ZlZCByYXBpZGx5LiIsCiAgIm5hbWUiOiAiSmlnZ2xlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2LjMiLAogICAgIjMuMzguMSIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2plZmZjaGFubmVsbC9qaWdnbGUiLAogICJ1dWlkIjogImppZ2dsZUBqZWZmY2hhbm5lbGwuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
, {"uuid": "showtime-horizontal@xenlism.github.io", "name": "Showtime Horizontal - Desktop Widget", "pname": "showtime-horizontal", "description": "Horizontal Style Date & Clock Widget base on Budgie Desktop Widget", "link": "https://extensions.gnome.org/extension/3442/showtime-horizontal/", "shell_version_map": {"38": {"version": "5", "sha256": "1rdf1alxfyi29wnz2bzm20j9k5q8sn3a6d4si841cjbhmvqdcqhj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhvcml6b250YWwgU3R5bGUgRGF0ZSAmYW1wOyBDbG9jayBXaWRnZXQgYmFzZSBvbiBCdWRnaWUgRGVza3RvcCBXaWRnZXQiLAogICJleHRlbnNpb24taWQiOiAic2hvd3RpbWUtaG9yaXpvbnRhbCIsCiAgIm5hbWUiOiAiU2hvd3RpbWUgSG9yaXpvbnRhbCAtIERlc2t0b3AgV2lkZ2V0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNob3d0aW1lLWhvcml6b250YWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS94ZW5saXNtL3Nob3d0aW1lIiwKICAidXVpZCI6ICJzaG93dGltZS1ob3Jpem9udGFsQHhlbmxpc20uZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDUKfQ=="}, "40": {"version": "6", "sha256": "1zy7lkkmcjxkc30hys98s0xlmi93cyc6jz6qx7zfv1v7w03iw3ld", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhvcml6b250YWwgU3R5bGUgRGF0ZSAmYW1wOyBDbG9jayBXaWRnZXQgYmFzZSBvbiBCdWRnaWUgRGVza3RvcCBXaWRnZXQiLAogICJleHRlbnNpb24taWQiOiAic2hvd3RpbWUtaG9yaXpvbnRhbCIsCiAgIm5hbWUiOiAiU2hvd3RpbWUgSG9yaXpvbnRhbCAtIERlc2t0b3AgV2lkZ2V0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNob3d0aW1lLWhvcml6b250YWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20veGVubGlzbS9zaG93dGltZSIsCiAgInV1aWQiOiAic2hvd3RpbWUtaG9yaXpvbnRhbEB4ZW5saXNtLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA2Cn0="}}}
, {"uuid": "display-switcher@iyadk.com", "name": "Display Switcher 2", "pname": "display-switcher", "description": "This extension allows you to toggle between display modes quickly using Super + I. You can switch between Extended, Primary, Clone, and Secondary Only modes quickly. Selecting Extended mode multiple times will flip your secondary monitor's relative position to the primary (to the left or right of it). This extension was originally developed by Lucas Diedrich - https://extensions.gnome.org/extension/1030/display-switcher/ and has been adapted to support Gnome Shell's v3.36.", "link": "https://extensions.gnome.org/extension/3459/display-switcher/", "shell_version_map": {"38": {"version": "2", "sha256": "13vb68xfmcx525yk2vgfny6xvi06nzv103an5zab90hvmj6ggzlj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFsbG93cyB5b3UgdG8gdG9nZ2xlIGJldHdlZW4gZGlzcGxheSBtb2RlcyBxdWlja2x5IHVzaW5nIFN1cGVyICsgSS4gIFlvdSBjYW4gc3dpdGNoIGJldHdlZW4gRXh0ZW5kZWQsIFByaW1hcnksIENsb25lLCBhbmQgU2Vjb25kYXJ5IE9ubHkgbW9kZXMgcXVpY2tseS4gIFNlbGVjdGluZyBFeHRlbmRlZCBtb2RlIG11bHRpcGxlIHRpbWVzIHdpbGwgZmxpcCB5b3VyIHNlY29uZGFyeSBtb25pdG9yJ3MgcmVsYXRpdmUgcG9zaXRpb24gdG8gdGhlIHByaW1hcnkgKHRvIHRoZSBsZWZ0IG9yIHJpZ2h0IG9mIGl0KS4gIFRoaXMgZXh0ZW5zaW9uIHdhcyBvcmlnaW5hbGx5IGRldmVsb3BlZCBieSBMdWNhcyBEaWVkcmljaCAtIGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzEwMzAvZGlzcGxheS1zd2l0Y2hlci8gYW5kIGhhcyBiZWVuIGFkYXB0ZWQgdG8gc3VwcG9ydCBHbm9tZSBTaGVsbCdzIHYzLjM2LiIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1kaXNwbGF5LXN3aXRjaGVyIiwKICAibmFtZSI6ICJEaXNwbGF5IFN3aXRjaGVyIDIiLAogICJvcmlnaW5hbC1hdXRob3IiOiAiTHVjYXMgRGllZHJpY2giLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZGlzcGxheS1zd2l0Y2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2l5YWRrYW5kYWxhZnQvZ25vbWUtZGlzcGxheS1zd2l0Y2hlciIsCiAgInV1aWQiOiAiZGlzcGxheS1zd2l0Y2hlckBpeWFkay5jb20iLAogICJ2ZXJzaW9uIjogMgp9"}}}
+, {"uuid": "panel-date-format@atareao.es", "name": "Panel Date Format", "pname": "panel-date-format", "description": "Allows to customize the date format on the panel.", "link": "https://extensions.gnome.org/extension/3465/panel-date-format/", "shell_version_map": {"40": {"version": "5", "sha256": "1x6f55d650mnw57fds70bdy9n2h5v7hmb43fsah33m4h4rk1svnc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93cyB0byBjdXN0b21pemUgdGhlIGRhdGUgZm9ybWF0IG9uIHRoZSBwYW5lbC4iLAogICJleHRlbnNpb24taWQiOiAicGFuZWwtZGF0ZS1mb3JtYXRAYXRhcmVhby5lcyIsCiAgImdldHRleHQtZG9tYWluIjogInBhbmVsLWRhdGUtZm9ybWF0QGF0YXJlYW8uZXMiLAogICJpY29uIjogInBhbmVsLWRhdGUtZm9ybWF0IiwKICAibmFtZSI6ICJQYW5lbCBEYXRlIEZvcm1hdCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJlcy5hdGFyZWFvLnBhbmVsLWRhdGUtZm9ybWF0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2F0YXJlYW8vcGFuZWwtZGF0ZS1mb3JtYXQiLAogICJ1dWlkIjogInBhbmVsLWRhdGUtZm9ybWF0QGF0YXJlYW8uZXMiLAogICJ2ZXJzaW9uIjogNQp9"}}}
, {"uuid": "myHiddenTopBar@lendoK.github.com", "name": "myHiddenTopBar", "pname": "myhiddentopbar", "description": "really hides the toppanel", "link": "https://extensions.gnome.org/extension/3481/myhiddentopbar/", "shell_version_map": {"38": {"version": "2", "sha256": "1vrj1ih0rvds9xng0i4n2cah9akm2j2vhma3a7zjyvljxmw82w5x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogInJlYWxseSBoaWRlcyB0aGUgdG9wcGFuZWwiLAogICJuYW1lIjogIm15SGlkZGVuVG9wQmFyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIm15SGlkZGVuVG9wQmFyQGxlbmRvSy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIKfQ=="}, "40": {"version": "3", "sha256": "0fl9rcdxn2l2lpc8fhcbvzm9lx0i12674kk15rpgbzfj8xn26qkw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogInJlYWxseSBoaWRlcyB0aGUgdG9wcGFuZWwiLAogICJuYW1lIjogIm15SGlkZGVuVG9wQmFyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIm15SGlkZGVuVG9wQmFyQGxlbmRvSy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
-, {"uuid": "big-avatar@gustavoperedo.org", "name": "Big Avatar", "pname": "big-avatar", "description": "Adds your user avatar and name to the menu panel. Big thanks to: db0x and 'I like 'em curvy' extension developer", "link": "https://extensions.gnome.org/extension/3488/big-avatar/", "shell_version_map": {"38": {"version": "6", "sha256": "1p278iaqfl39kimk3041wvzvmgag8dr2q1bmka3n7srkd2n71vlf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgeW91ciB1c2VyIGF2YXRhciBhbmQgbmFtZSB0byB0aGUgbWVudSBwYW5lbC4gQmlnIHRoYW5rcyB0bzogZGIweCBhbmQgJ0kgbGlrZSAnZW0gY3VydnknIGV4dGVuc2lvbiBkZXZlbG9wZXIiLAogICJleHRlbnNpb24taWQiOiAiYmlnLWF2YXRhciIsCiAgIm5hbWUiOiAiQmlnIEF2YXRhciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5iaWctYXZhdGFyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vR3VzdGF2b1BlcmVkby9CaWctQXZhdGFyLUdub21lLVNoZWxsLUV4dGVuc2lvbiIsCiAgInV1aWQiOiAiYmlnLWF2YXRhckBndXN0YXZvcGVyZWRvLm9yZyIsCiAgInZlcnNpb24iOiA2Cn0="}, "40": {"version": "8", "sha256": "1pgbr188vk1b0wdfszg160b1x04yz28fr7pmnyiwqy2hsvqz81cn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgeW91ciB1c2VyIGF2YXRhciBhbmQgbmFtZSB0byB0aGUgbWVudSBwYW5lbC4gQmlnIHRoYW5rcyB0bzogZGIweCBhbmQgJ0kgbGlrZSAnZW0gY3VydnknIGV4dGVuc2lvbiBkZXZlbG9wZXIiLAogICJleHRlbnNpb24taWQiOiAiYmlnLWF2YXRhciIsCiAgIm5hbWUiOiAiQmlnIEF2YXRhciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5iaWctYXZhdGFyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vR3VzdGF2b1BlcmVkby9CaWctQXZhdGFyLUdub21lLVNoZWxsLUV4dGVuc2lvbiIsCiAgInV1aWQiOiAiYmlnLWF2YXRhckBndXN0YXZvcGVyZWRvLm9yZyIsCiAgInZlcnNpb24iOiA4Cn0="}}}
+, {"uuid": "big-avatar@gustavoperedo.org", "name": "Big Avatar", "pname": "big-avatar", "description": "Adds your user avatar and name to the menu panel. Big thanks to: db0x and 'I like 'em curvy' extension developer", "link": "https://extensions.gnome.org/extension/3488/big-avatar/", "shell_version_map": {"38": {"version": "6", "sha256": "1p278iaqfl39kimk3041wvzvmgag8dr2q1bmka3n7srkd2n71vlf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgeW91ciB1c2VyIGF2YXRhciBhbmQgbmFtZSB0byB0aGUgbWVudSBwYW5lbC4gQmlnIHRoYW5rcyB0bzogZGIweCBhbmQgJ0kgbGlrZSAnZW0gY3VydnknIGV4dGVuc2lvbiBkZXZlbG9wZXIiLAogICJleHRlbnNpb24taWQiOiAiYmlnLWF2YXRhciIsCiAgIm5hbWUiOiAiQmlnIEF2YXRhciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5iaWctYXZhdGFyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vR3VzdGF2b1BlcmVkby9CaWctQXZhdGFyLUdub21lLVNoZWxsLUV4dGVuc2lvbiIsCiAgInV1aWQiOiAiYmlnLWF2YXRhckBndXN0YXZvcGVyZWRvLm9yZyIsCiAgInZlcnNpb24iOiA2Cn0="}, "40": {"version": "11", "sha256": "1ajh11619r8v6mvkmv142ivykd5b20jx64ca8fxhicfn6i6di923", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgeW91ciB1c2VyIGF2YXRhciBhbmQgbmFtZSB0byB0aGUgbWVudSBwYW5lbC4gQmlnIHRoYW5rcyB0bzogZGIweCBhbmQgJ0kgbGlrZSAnZW0gY3VydnknIGV4dGVuc2lvbiBkZXZlbG9wZXIiLAogICJleHRlbnNpb24taWQiOiAiYmlnLWF2YXRhciIsCiAgIm5hbWUiOiAiQmlnIEF2YXRhciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5iaWctYXZhdGFyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0d1c3Rhdm9QZXJlZG8vQmlnLUF2YXRhci1Hbm9tZS1TaGVsbC1FeHRlbnNpb24iLAogICJ1dWlkIjogImJpZy1hdmF0YXJAZ3VzdGF2b3BlcmVkby5vcmciLAogICJ2ZXJzaW9uIjogMTEKfQ=="}}}
, {"uuid": "volume-mixer@evermiss.net", "name": "Application Volume Mixer", "pname": "application-volume-mixer", "description": "Control volume output per-application", "link": "https://extensions.gnome.org/extension/3499/application-volume-mixer/", "shell_version_map": {"38": {"version": "7", "sha256": "02k60askhgs69ajwhsqkw4977065pvg3fnw70rhc1mnx1yvjbgs9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnRyb2wgdm9sdW1lIG91dHB1dCBwZXItYXBwbGljYXRpb24iLAogICJuYW1lIjogIkFwcGxpY2F0aW9uIFZvbHVtZSBNaXhlciIsCiAgIm9yZ2luYWwtYXV0aG9yIjogIm15bWluZHN0b3JtQGV2ZXJtaXNzLm5ldCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9teW1pbmRzdG9ybS9nbm9tZS12b2x1bWUtbWl4ZXIiLAogICJ1dWlkIjogInZvbHVtZS1taXhlckBldmVybWlzcy5uZXQiLAogICJ2ZXJzaW9uIjogNwp9"}, "40": {"version": "7", "sha256": "02k60askhgs69ajwhsqkw4977065pvg3fnw70rhc1mnx1yvjbgs9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnRyb2wgdm9sdW1lIG91dHB1dCBwZXItYXBwbGljYXRpb24iLAogICJuYW1lIjogIkFwcGxpY2F0aW9uIFZvbHVtZSBNaXhlciIsCiAgIm9yZ2luYWwtYXV0aG9yIjogIm15bWluZHN0b3JtQGV2ZXJtaXNzLm5ldCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9teW1pbmRzdG9ybS9nbm9tZS12b2x1bWUtbWl4ZXIiLAogICJ1dWlkIjogInZvbHVtZS1taXhlckBldmVybWlzcy5uZXQiLAogICJ2ZXJzaW9uIjogNwp9"}}}
, {"uuid": "creative-control@sau.li", "name": "Creative Sound Blaster control", "pname": "creative-sound-blaster-control", "description": "Control Creative Sound Blaster", "link": "https://extensions.gnome.org/extension/3505/creative-sound-blaster-control/", "shell_version_map": {"38": {"version": "2", "sha256": "0pqps21c2p8fqndy9hd77j979h0wjbw0yzbmv6jmwk7rskv6zysg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnRyb2wgQ3JlYXRpdmUgU291bmQgQmxhc3RlciIsCiAgIm5hbWUiOiAiQ3JlYXRpdmUgU291bmQgQmxhc3RlciBjb250cm9sIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaXNhdWwzMi9nbm9tZS1zaGVsbC1leHRlbnNpb24tY3JlYXRpdmUtY29udHJvbCIsCiAgInV1aWQiOiAiY3JlYXRpdmUtY29udHJvbEBzYXUubGkiLAogICJ2ZXJzaW9uIjogMgp9"}}}
, {"uuid": "day-night-wallpaper@swapnilmadavi.github.io", "name": "Day Night Wallpaper", "pname": "day-night-wallpaper", "description": "Set separate wallpapers for day and night time.", "link": "https://extensions.gnome.org/extension/3512/day-night-wallpaper/", "shell_version_map": {"38": {"version": "2", "sha256": "082wrffxsa6qnp120ghlvhkb3isnnf9qizxfk6bbgqbzcvsax059", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldCBzZXBhcmF0ZSB3YWxscGFwZXJzIGZvciBkYXkgYW5kIG5pZ2h0IHRpbWUuIiwKICAibmFtZSI6ICJEYXkgTmlnaHQgV2FsbHBhcGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRheS1uaWdodC13YWxscGFwZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zd2FwbmlsbWFkYXZpL2RheS1uaWdodC13YWxscGFwZXItZ25vbWUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJkYXktbmlnaHQtd2FsbHBhcGVyQHN3YXBuaWxtYWRhdmkuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
, {"uuid": "transparent-shell@siroj42.github.io", "name": "Transparent Shell", "pname": "transparent-shell", "description": "Make the main shell components (Top bar, dash, search box) transparent.", "link": "https://extensions.gnome.org/extension/3518/transparent-shell/", "shell_version_map": {"38": {"version": "6", "sha256": "109c5w2p7w9arfy4wrqmyyi7vd5fwdr8n2cz250bpxiij6zq140k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIG1haW4gc2hlbGwgY29tcG9uZW50cyAoVG9wIGJhciwgZGFzaCwgc2VhcmNoIGJveCkgdHJhbnNwYXJlbnQuIiwKICAibmFtZSI6ICJUcmFuc3BhcmVudCBTaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1Npcm9qNDIvZ25vbWUtZXh0ZW5zaW9uLXRyYW5zcGFyZW50LXNoZWxsIiwKICAidXVpZCI6ICJ0cmFuc3BhcmVudC1zaGVsbEBzaXJvajQyLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA2Cn0="}, "40": {"version": "7", "sha256": "0nzx401vvzn8n9xnkd13v5jim0l7zd01p1lf0lbgydrr8gh67i95", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIG1haW4gc2hlbGwgY29tcG9uZW50cyAoVG9wIGJhciwgZGFzaCwgc2VhcmNoIGJveCkgdHJhbnNwYXJlbnQuIiwKICAibmFtZSI6ICJUcmFuc3BhcmVudCBTaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9TaXJvajQyL2dub21lLWV4dGVuc2lvbi10cmFuc3BhcmVudC1zaGVsbCIsCiAgInV1aWQiOiAidHJhbnNwYXJlbnQtc2hlbGxAc2lyb2o0Mi5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNwp9"}}}
+, {"uuid": "true-color-invert@jackkenney", "name": "True Color Invert", "pname": "true-color-invert", "description": "Inverts the color of individual windows so they are hue-preserved.\nDefault shortcut is Super+I\n\nI've tested it with both XOrg and Wayland on Gnome 3.38 and 40.\nWayland users will have to log out and log back in for the installation to complete.\n\nVersion 6 has higher contrast than version 4, which I think makes it more readable.\nLet me know what you think in the comments!", "link": "https://extensions.gnome.org/extension/3530/true-color-invert/", "shell_version_map": {"38": {"version": "6", "sha256": "1kiqlafs5zszbim44y93jvilajp3nmcjvwds1pfy5hmnrnz0khga", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkludmVydHMgdGhlIGNvbG9yIG9mIGluZGl2aWR1YWwgd2luZG93cyBzbyB0aGV5IGFyZSBodWUtcHJlc2VydmVkLlxuRGVmYXVsdCBzaG9ydGN1dCBpcyBTdXBlcitJXG5cbkkndmUgdGVzdGVkIGl0IHdpdGggYm90aCBYT3JnIGFuZCBXYXlsYW5kIG9uIEdub21lIDMuMzggYW5kIDQwLlxuV2F5bGFuZCB1c2VycyB3aWxsIGhhdmUgdG8gbG9nIG91dCBhbmQgbG9nIGJhY2sgaW4gZm9yIHRoZSBpbnN0YWxsYXRpb24gdG8gY29tcGxldGUuXG5cblZlcnNpb24gNiBoYXMgaGlnaGVyIGNvbnRyYXN0IHRoYW4gdmVyc2lvbiA0LCB3aGljaCBJIHRoaW5rIG1ha2VzIGl0IG1vcmUgcmVhZGFibGUuXG5MZXQgbWUga25vdyB3aGF0IHlvdSB0aGluayBpbiB0aGUgY29tbWVudHMhIiwKICAibmFtZSI6ICJUcnVlIENvbG9yIEludmVydCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50cnVlLWNvbG9yLWludmVydCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9qYWNra2VubmV5L2dub21lLXRydWUtY29sb3ItaW52ZXJ0IiwKICAidXVpZCI6ICJ0cnVlLWNvbG9yLWludmVydEBqYWNra2VubmV5IiwKICAidmVyc2lvbiI6IDYKfQ=="}, "40": {"version": "6", "sha256": "1kiqlafs5zszbim44y93jvilajp3nmcjvwds1pfy5hmnrnz0khga", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkludmVydHMgdGhlIGNvbG9yIG9mIGluZGl2aWR1YWwgd2luZG93cyBzbyB0aGV5IGFyZSBodWUtcHJlc2VydmVkLlxuRGVmYXVsdCBzaG9ydGN1dCBpcyBTdXBlcitJXG5cbkkndmUgdGVzdGVkIGl0IHdpdGggYm90aCBYT3JnIGFuZCBXYXlsYW5kIG9uIEdub21lIDMuMzggYW5kIDQwLlxuV2F5bGFuZCB1c2VycyB3aWxsIGhhdmUgdG8gbG9nIG91dCBhbmQgbG9nIGJhY2sgaW4gZm9yIHRoZSBpbnN0YWxsYXRpb24gdG8gY29tcGxldGUuXG5cblZlcnNpb24gNiBoYXMgaGlnaGVyIGNvbnRyYXN0IHRoYW4gdmVyc2lvbiA0LCB3aGljaCBJIHRoaW5rIG1ha2VzIGl0IG1vcmUgcmVhZGFibGUuXG5MZXQgbWUga25vdyB3aGF0IHlvdSB0aGluayBpbiB0aGUgY29tbWVudHMhIiwKICAibmFtZSI6ICJUcnVlIENvbG9yIEludmVydCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50cnVlLWNvbG9yLWludmVydCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9qYWNra2VubmV5L2dub21lLXRydWUtY29sb3ItaW52ZXJ0IiwKICAidXVpZCI6ICJ0cnVlLWNvbG9yLWludmVydEBqYWNra2VubmV5IiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
, {"uuid": "gitlab-extension@infinicode.de", "name": "GitLab Extension", "pname": "gitlab-extension", "description": "GitLab extension utilizes the official GitLab API to provide a comfortable overview about your projects, commits & pipelines.\n", "link": "https://extensions.gnome.org/extension/3535/gitlab-extension/", "shell_version_map": {"38": {"version": "4", "sha256": "04hclkbj95alqv9lq5qa5dnf7wb8ssd08q0lzd9wxzw95hiqcvwd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdpdExhYiBleHRlbnNpb24gdXRpbGl6ZXMgdGhlIG9mZmljaWFsIEdpdExhYiBBUEkgdG8gcHJvdmlkZSBhIGNvbWZvcnRhYmxlIG92ZXJ2aWV3IGFib3V0IHlvdXIgcHJvamVjdHMsIGNvbW1pdHMgJiBwaXBlbGluZXMuXG4iLAogICJsb2NhbGVkaXIiOiAiL3Vzci9sb2NhbC9zaGFyZS9sb2NhbGUiLAogICJuYW1lIjogIkdpdExhYiBFeHRlbnNpb24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY2luYXRpYy9naXRsYWItZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJnaXRsYWItZXh0ZW5zaW9uQGluZmluaWNvZGUuZGUiLAogICJ2ZXJzaW9uIjogNAp9"}, "40": {"version": "4", "sha256": "04hclkbj95alqv9lq5qa5dnf7wb8ssd08q0lzd9wxzw95hiqcvwd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdpdExhYiBleHRlbnNpb24gdXRpbGl6ZXMgdGhlIG9mZmljaWFsIEdpdExhYiBBUEkgdG8gcHJvdmlkZSBhIGNvbWZvcnRhYmxlIG92ZXJ2aWV3IGFib3V0IHlvdXIgcHJvamVjdHMsIGNvbW1pdHMgJiBwaXBlbGluZXMuXG4iLAogICJsb2NhbGVkaXIiOiAiL3Vzci9sb2NhbC9zaGFyZS9sb2NhbGUiLAogICJuYW1lIjogIkdpdExhYiBFeHRlbnNpb24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY2luYXRpYy9naXRsYWItZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJnaXRsYWItZXh0ZW5zaW9uQGluZmluaWNvZGUuZGUiLAogICJ2ZXJzaW9uIjogNAp9"}}}
-, {"uuid": "downfall@torculus.github.com", "name": "DownFall", "pname": "downfall", "description": "Moves text of your choice across the screen. Can simulate leaves, snow, fireworks, ufos, and more! Note: currently experiencing high CPU usage. Developers welcome to contribute on github!", "link": "https://extensions.gnome.org/extension/3539/downfall/", "shell_version_map": {"38": {"version": "8", "sha256": "0bij98fazn8q8wjq96m30nf93xmmgq635c9y3svasqqghag8mrb2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmVzIHRleHQgb2YgeW91ciBjaG9pY2UgYWNyb3NzIHRoZSBzY3JlZW4uIENhbiBzaW11bGF0ZSBsZWF2ZXMsIHNub3csIGZpcmV3b3JrcywgdWZvcywgYW5kIG1vcmUhIE5vdGU6IGN1cnJlbnRseSBleHBlcmllbmNpbmcgaGlnaCBDUFUgdXNhZ2UuIERldmVsb3BlcnMgd2VsY29tZSB0byBjb250cmlidXRlIG9uIGdpdGh1YiEiLAogICJuYW1lIjogIkRvd25GYWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdG9yY3VsdXMvRG93bkZhbGwiLAogICJ1dWlkIjogImRvd25mYWxsQHRvcmN1bHVzLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogOAp9"}, "40": {"version": "8", "sha256": "0bij98fazn8q8wjq96m30nf93xmmgq635c9y3svasqqghag8mrb2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmVzIHRleHQgb2YgeW91ciBjaG9pY2UgYWNyb3NzIHRoZSBzY3JlZW4uIENhbiBzaW11bGF0ZSBsZWF2ZXMsIHNub3csIGZpcmV3b3JrcywgdWZvcywgYW5kIG1vcmUhIE5vdGU6IGN1cnJlbnRseSBleHBlcmllbmNpbmcgaGlnaCBDUFUgdXNhZ2UuIERldmVsb3BlcnMgd2VsY29tZSB0byBjb250cmlidXRlIG9uIGdpdGh1YiEiLAogICJuYW1lIjogIkRvd25GYWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdG9yY3VsdXMvRG93bkZhbGwiLAogICJ1dWlkIjogImRvd25mYWxsQHRvcmN1bHVzLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogOAp9"}}}
-, {"uuid": "task-widget@juozasmiskinis.gitlab.io", "name": "Task Widget", "pname": "task-widget", "description": "Display tasks next to the calendar widget.\n\nVisit our Wiki page for more information and troubleshooting.", "link": "https://extensions.gnome.org/extension/3569/task-widget/", "shell_version_map": {"38": {"version": "7", "sha256": "1mrxfivq4f0117yl1gv8q8j2m236b34livag1fv4a7pgi0graxxi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImJhc2UiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudGFzay13aWRnZXQiLAogICJkZXBlbmRlbmNpZXMiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2ptaXNraW5pcy9nbm9tZS1zaGVsbC1leHRlbnNpb24tdGFzay13aWRnZXQvd2lraXMvSW5zdGFsbGF0aW9uIiwKICAiZGVzY3JpcHRpb24iOiAiRGlzcGxheSB0YXNrcyBuZXh0IHRvIHRoZSBjYWxlbmRhciB3aWRnZXQuXG5cblZpc2l0IG91ciBXaWtpIHBhZ2UgZm9yIG1vcmUgaW5mb3JtYXRpb24gYW5kIHRyb3VibGVzaG9vdGluZy4iLAogICJlcGF0aCI6ICIvb3JnL2dub21lL3NoZWxsL2V4dGVuc2lvbnMvdGFzay13aWRnZXQiLAogICJncmVzb3VyY2UiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudGFzay13aWRnZXQuZ3Jlc291cmNlIiwKICAibG9jYWxlIjogInVzZXItc3BlY2lmaWMiLAogICJuYW1lIjogIlRhc2sgV2lkZ2V0IiwKICAic2NoZW1hcyI6ICJ1c2VyLXNwZWNpZmljIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2ptaXNraW5pcy9nbm9tZS1zaGVsbC1leHRlbnNpb24tdGFzay13aWRnZXQiLAogICJ1dWlkIjogInRhc2std2lkZ2V0QGp1b3phc21pc2tpbmlzLmdpdGxhYi5pbyIsCiAgInZlcnNpb24iOiA3LAogICJ3aWtpIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9qbWlza2luaXMvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRhc2std2lkZ2V0L3dpa2lzIgp9"}, "40": {"version": "7", "sha256": "1mrxfivq4f0117yl1gv8q8j2m236b34livag1fv4a7pgi0graxxi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImJhc2UiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudGFzay13aWRnZXQiLAogICJkZXBlbmRlbmNpZXMiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2ptaXNraW5pcy9nbm9tZS1zaGVsbC1leHRlbnNpb24tdGFzay13aWRnZXQvd2lraXMvSW5zdGFsbGF0aW9uIiwKICAiZGVzY3JpcHRpb24iOiAiRGlzcGxheSB0YXNrcyBuZXh0IHRvIHRoZSBjYWxlbmRhciB3aWRnZXQuXG5cblZpc2l0IG91ciBXaWtpIHBhZ2UgZm9yIG1vcmUgaW5mb3JtYXRpb24gYW5kIHRyb3VibGVzaG9vdGluZy4iLAogICJlcGF0aCI6ICIvb3JnL2dub21lL3NoZWxsL2V4dGVuc2lvbnMvdGFzay13aWRnZXQiLAogICJncmVzb3VyY2UiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudGFzay13aWRnZXQuZ3Jlc291cmNlIiwKICAibG9jYWxlIjogInVzZXItc3BlY2lmaWMiLAogICJuYW1lIjogIlRhc2sgV2lkZ2V0IiwKICAic2NoZW1hcyI6ICJ1c2VyLXNwZWNpZmljIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2ptaXNraW5pcy9nbm9tZS1zaGVsbC1leHRlbnNpb24tdGFzay13aWRnZXQiLAogICJ1dWlkIjogInRhc2std2lkZ2V0QGp1b3phc21pc2tpbmlzLmdpdGxhYi5pbyIsCiAgInZlcnNpb24iOiA3LAogICJ3aWtpIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9qbWlza2luaXMvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRhc2std2lkZ2V0L3dpa2lzIgp9"}}}
+, {"uuid": "downfall@torculus.github.com", "name": "DownFall", "pname": "downfall", "description": "Moves text of your choice across the screen. Can simulate leaves, snow, fireworks, ufos, and more! Note: currently experiencing high CPU usage. Developers welcome to contribute on github!", "link": "https://extensions.gnome.org/extension/3539/downfall/", "shell_version_map": {"38": {"version": "12", "sha256": "11bq9ak6qyfaf610qi5fdniwcxryjds4m6r09pgkhydaam9am2lh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmVzIHRleHQgb2YgeW91ciBjaG9pY2UgYWNyb3NzIHRoZSBzY3JlZW4uIENhbiBzaW11bGF0ZSBsZWF2ZXMsIHNub3csIGZpcmV3b3JrcywgdWZvcywgYW5kIG1vcmUhIE5vdGU6IGN1cnJlbnRseSBleHBlcmllbmNpbmcgaGlnaCBDUFUgdXNhZ2UuIERldmVsb3BlcnMgd2VsY29tZSB0byBjb250cmlidXRlIG9uIGdpdGh1YiEiLAogICJuYW1lIjogIkRvd25GYWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90b3JjdWx1cy9Eb3duRmFsbCIsCiAgInV1aWQiOiAiZG93bmZhbGxAdG9yY3VsdXMuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMgp9"}, "40": {"version": "12", "sha256": "11bq9ak6qyfaf610qi5fdniwcxryjds4m6r09pgkhydaam9am2lh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmVzIHRleHQgb2YgeW91ciBjaG9pY2UgYWNyb3NzIHRoZSBzY3JlZW4uIENhbiBzaW11bGF0ZSBsZWF2ZXMsIHNub3csIGZpcmV3b3JrcywgdWZvcywgYW5kIG1vcmUhIE5vdGU6IGN1cnJlbnRseSBleHBlcmllbmNpbmcgaGlnaCBDUFUgdXNhZ2UuIERldmVsb3BlcnMgd2VsY29tZSB0byBjb250cmlidXRlIG9uIGdpdGh1YiEiLAogICJuYW1lIjogIkRvd25GYWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90b3JjdWx1cy9Eb3duRmFsbCIsCiAgInV1aWQiOiAiZG93bmZhbGxAdG9yY3VsdXMuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMgp9"}}}
+, {"uuid": "time-awareness@gnome-extensions.kapranoff.ru", "name": "Time Awareness", "pname": "time-awareness", "description": "Tracks the time you have been actively using your computer", "link": "https://extensions.gnome.org/extension/3556/time-awareness/", "shell_version_map": {"38": {"version": "6", "sha256": "0kfw4s2y0r51plmchzga7fkd69ynksccz881xh683k4as6af4mcg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYWNrcyB0aGUgdGltZSB5b3UgaGF2ZSBiZWVuIGFjdGl2ZWx5IHVzaW5nIHlvdXIgY29tcHV0ZXIiLAogICJuYW1lIjogIlRpbWUgQXdhcmVuZXNzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vc3IuaHQvfmthcHBhL2dub21lLXNoZWxsLXRpbWUtYXdhcmVuZXNzLyIsCiAgInV1aWQiOiAidGltZS1hd2FyZW5lc3NAZ25vbWUtZXh0ZW5zaW9ucy5rYXByYW5vZmYucnUiLAogICJ2ZXJzaW9uIjogNgp9"}, "40": {"version": "6", "sha256": "0kfw4s2y0r51plmchzga7fkd69ynksccz881xh683k4as6af4mcg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYWNrcyB0aGUgdGltZSB5b3UgaGF2ZSBiZWVuIGFjdGl2ZWx5IHVzaW5nIHlvdXIgY29tcHV0ZXIiLAogICJuYW1lIjogIlRpbWUgQXdhcmVuZXNzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vc3IuaHQvfmthcHBhL2dub21lLXNoZWxsLXRpbWUtYXdhcmVuZXNzLyIsCiAgInV1aWQiOiAidGltZS1hd2FyZW5lc3NAZ25vbWUtZXh0ZW5zaW9ucy5rYXByYW5vZmYucnUiLAogICJ2ZXJzaW9uIjogNgp9"}}}
+, {"uuid": "mullvadindicator@pobega.github.com", "name": "Mullvad Indicator", "pname": "mullvad-indicator", "description": "Mullvad connection status indicator", "link": "https://extensions.gnome.org/extension/3560/mullvad-indicator/", "shell_version_map": {"38": {"version": "4", "sha256": "05vcb7g51byvnk7bzajb1i7knj4zwjiksia97wabk41a2bagc4jc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk11bGx2YWQgY29ubmVjdGlvbiBzdGF0dXMgaW5kaWNhdG9yIiwKICAibmFtZSI6ICJNdWxsdmFkIEluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUG9iZWdhL2dub21lLXNoZWxsLWV4dGVuc2lvbi1tdWxsdmFkLWluZGljYXRvciIsCiAgInV1aWQiOiAibXVsbHZhZGluZGljYXRvckBwb2JlZ2EuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Cn0="}, "40": {"version": "4", "sha256": "05vcb7g51byvnk7bzajb1i7knj4zwjiksia97wabk41a2bagc4jc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk11bGx2YWQgY29ubmVjdGlvbiBzdGF0dXMgaW5kaWNhdG9yIiwKICAibmFtZSI6ICJNdWxsdmFkIEluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUG9iZWdhL2dub21lLXNoZWxsLWV4dGVuc2lvbi1tdWxsdmFkLWluZGljYXRvciIsCiAgInV1aWQiOiAibXVsbHZhZGluZGljYXRvckBwb2JlZ2EuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Cn0="}}}
+, {"uuid": "task-widget@juozasmiskinis.gitlab.io", "name": "Task Widget", "pname": "task-widget", "description": "Display tasks next to the calendar widget.\n\nVisit our Wiki page for more information and troubleshooting.\n\nRemember to restart the Shell after updating the extension.", "link": "https://extensions.gnome.org/extension/3569/task-widget/", "shell_version_map": {"38": {"version": "8", "sha256": "1g6p243jmfsqls6zbpsr8y7psnskz6ha05dxx5zrqd6x781371pi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImJhc2UiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudGFzay13aWRnZXQiLAogICJjb2ZmZWUiOiAiaHR0cHM6Ly93d3cuYnV5bWVhY29mZmVlLmNvbS9uYnh3ZnBtIiwKICAiZGVwZW5kZW5jaWVzIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9qbWlza2luaXMvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRhc2std2lkZ2V0L3dpa2lzL0luc3RhbGxhdGlvbiIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgdGFza3MgbmV4dCB0byB0aGUgY2FsZW5kYXIgd2lkZ2V0LlxuXG5WaXNpdCBvdXIgV2lraSBwYWdlIGZvciBtb3JlIGluZm9ybWF0aW9uIGFuZCB0cm91Ymxlc2hvb3RpbmcuXG5cblJlbWVtYmVyIHRvIHJlc3RhcnQgdGhlIFNoZWxsIGFmdGVyIHVwZGF0aW5nIHRoZSBleHRlbnNpb24uIiwKICAiZXBhdGgiOiAiL29yZy9nbm9tZS9zaGVsbC9leHRlbnNpb25zL3Rhc2std2lkZ2V0IiwKICAiZ3Jlc291cmNlIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRhc2std2lkZ2V0LmdyZXNvdXJjZSIsCiAgImxpYmVyYXBheSI6ICJodHRwczovL2xpYmVyYXBheS5jb20vam9hc2lzLyIsCiAgImxvY2FsZSI6ICJ1c2VyLXNwZWNpZmljIiwKICAibmFtZSI6ICJUYXNrIFdpZGdldCIsCiAgInBheXBhbCI6ICJodHRwczovL3BheXBhbC5tZS9qbWlza2luaXMiLAogICJzY2hlbWFzIjogInVzZXItc3BlY2lmaWMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2ptaXNraW5pcy9nbm9tZS1zaGVsbC1leHRlbnNpb24tdGFzay13aWRnZXQiLAogICJ1dWlkIjogInRhc2std2lkZ2V0QGp1b3phc21pc2tpbmlzLmdpdGxhYi5pbyIsCiAgInZlcnNpb24iOiA4LAogICJ3aWtpIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9qbWlza2luaXMvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRhc2std2lkZ2V0L3dpa2lzIgp9"}, "40": {"version": "8", "sha256": "1g6p243jmfsqls6zbpsr8y7psnskz6ha05dxx5zrqd6x781371pi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImJhc2UiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudGFzay13aWRnZXQiLAogICJjb2ZmZWUiOiAiaHR0cHM6Ly93d3cuYnV5bWVhY29mZmVlLmNvbS9uYnh3ZnBtIiwKICAiZGVwZW5kZW5jaWVzIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9qbWlza2luaXMvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRhc2std2lkZ2V0L3dpa2lzL0luc3RhbGxhdGlvbiIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgdGFza3MgbmV4dCB0byB0aGUgY2FsZW5kYXIgd2lkZ2V0LlxuXG5WaXNpdCBvdXIgV2lraSBwYWdlIGZvciBtb3JlIGluZm9ybWF0aW9uIGFuZCB0cm91Ymxlc2hvb3RpbmcuXG5cblJlbWVtYmVyIHRvIHJlc3RhcnQgdGhlIFNoZWxsIGFmdGVyIHVwZGF0aW5nIHRoZSBleHRlbnNpb24uIiwKICAiZXBhdGgiOiAiL29yZy9nbm9tZS9zaGVsbC9leHRlbnNpb25zL3Rhc2std2lkZ2V0IiwKICAiZ3Jlc291cmNlIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRhc2std2lkZ2V0LmdyZXNvdXJjZSIsCiAgImxpYmVyYXBheSI6ICJodHRwczovL2xpYmVyYXBheS5jb20vam9hc2lzLyIsCiAgImxvY2FsZSI6ICJ1c2VyLXNwZWNpZmljIiwKICAibmFtZSI6ICJUYXNrIFdpZGdldCIsCiAgInBheXBhbCI6ICJodHRwczovL3BheXBhbC5tZS9qbWlza2luaXMiLAogICJzY2hlbWFzIjogInVzZXItc3BlY2lmaWMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2ptaXNraW5pcy9nbm9tZS1zaGVsbC1leHRlbnNpb24tdGFzay13aWRnZXQiLAogICJ1dWlkIjogInRhc2std2lkZ2V0QGp1b3phc21pc2tpbmlzLmdpdGxhYi5pbyIsCiAgInZlcnNpb24iOiA4LAogICJ3aWtpIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9qbWlza2luaXMvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRhc2std2lkZ2V0L3dpa2lzIgp9"}}}
+, {"uuid": "air-quality@mcardillo55", "name": "Air Quality", "pname": "air-quality", "description": "View local air quality from PurpleAir in the top bar", "link": "https://extensions.gnome.org/extension/3574/air-quality/", "shell_version_map": {"40": {"version": "2", "sha256": "037hbbb6z3gj818hqxqq96yszd1hka57pn4gj9f5kps7ak5hca4l", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbG9jYWwgYWlyIHF1YWxpdHkgZnJvbSBQdXJwbGVBaXIgaW4gdGhlIHRvcCBiYXIiLAogICJuYW1lIjogIkFpciBRdWFsaXR5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21jYXJkaWxsbzU1L2dub21lLXNoZWxsLWV4dGVuc2lvbi1haXItcXVhbGl0eSIsCiAgInV1aWQiOiAiYWlyLXF1YWxpdHlAbWNhcmRpbGxvNTUiLAogICJ2ZXJzaW9uIjogMgp9"}}}
, {"uuid": "azan@hatem.masmoudi.org", "name": "Azan Islamic Prayer Times", "pname": "azan-islamic-prayer-times", "description": "Azan is an Islamic prayer times extension for Gnome Shell based on the extension by Fahrinh.\n\nFeatures\n- List compulsory prayer times\n Optionally display Imsak, Sunrise, Sunset and Midnight\n- Show remaining time for the upcoming prayer.\n- Show current date in Hijri calendar.\n- Display a notification when it's time for prayer.\n- Automatic Geoclue2 location detection\n- Show times in 24 hour and 12 hour formats\n- Hijri date adjusment\n- Moon status icon", "link": "https://extensions.gnome.org/extension/3602/azan-islamic-prayer-times/", "shell_version_map": {"38": {"version": "2", "sha256": "1z58m1w04mdddq9p3102jv852zks41f2l7xbx7j8jcljy4ahiqll", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkF6YW4gaXMgYW4gSXNsYW1pYyBwcmF5ZXIgdGltZXMgZXh0ZW5zaW9uIGZvciBHbm9tZSBTaGVsbCBiYXNlZCBvbiB0aGUgZXh0ZW5zaW9uIGJ5IEZhaHJpbmguXG5cbkZlYXR1cmVzXG4tIExpc3QgY29tcHVsc29yeSBwcmF5ZXIgdGltZXNcbiBPcHRpb25hbGx5IGRpc3BsYXkgSW1zYWssIFN1bnJpc2UsIFN1bnNldCBhbmQgTWlkbmlnaHRcbi0gU2hvdyByZW1haW5pbmcgdGltZSBmb3IgdGhlIHVwY29taW5nIHByYXllci5cbi0gU2hvdyBjdXJyZW50IGRhdGUgaW4gSGlqcmkgY2FsZW5kYXIuXG4tIERpc3BsYXkgYSBub3RpZmljYXRpb24gd2hlbiBpdCdzIHRpbWUgZm9yIHByYXllci5cbi0gQXV0b21hdGljIEdlb2NsdWUyIGxvY2F0aW9uIGRldGVjdGlvblxuLSBTaG93IHRpbWVzIGluIDI0IGhvdXIgYW5kIDEyIGhvdXIgZm9ybWF0c1xuLSBIaWpyaSBkYXRlIGFkanVzbWVudFxuLSBNb29uIHN0YXR1cyBpY29uIiwKICAibmFtZSI6ICJBemFuIElzbGFtaWMgUHJheWVyIFRpbWVzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmF6YW4iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYuMSIsCiAgICAiMy4zOC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vaG1hc21vdWRpL2F6YW4tZ25vbWUtc2hlbGwtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJhemFuQGhhdGVtLm1hc21vdWRpLm9yZyIsCiAgInZlcnNpb24iOiAyCn0="}}}
, {"uuid": "blur-provider@corvettecole.github.com", "name": "blur-provider", "pname": "blur-provider", "description": "Provides an easy way for applications to request blur, and allows users to set blur on applications", "link": "https://extensions.gnome.org/extension/3607/blur-provider/", "shell_version_map": {"38": {"version": "2", "sha256": "1p0cyq1bfi18ysk1fvydjvk6qdl87qi9p3kpc165q5i0d4b41ffp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlByb3ZpZGVzIGFuIGVhc3kgd2F5IGZvciBhcHBsaWNhdGlvbnMgdG8gcmVxdWVzdCBibHVyLCBhbmQgYWxsb3dzIHVzZXJzIHRvIHNldCBibHVyIG9uIGFwcGxpY2F0aW9ucyIsCiAgImV4dGVuc2lvbi1pZCI6ICJibHVyLXByb3ZpZGVyIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiYmx1ci1wcm92aWRlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5ibHVyLXByb3ZpZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY29ydmV0dGVjb2xlL2JsdXItcHJvdmlkZXIiLAogICJ1dWlkIjogImJsdXItcHJvdmlkZXJAY29ydmV0dGVjb2xlLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMgp9"}}}
-, {"uuid": "shamsi-calendar@gnome.scr.ir", "name": "Iranian Persian Calendar", "pname": "shamsi-calendar", "description": "تقویم هجری شمسی،قمری و میلادی در میزکار گنوم لینوکس\nقابلیت نمایش اوقات شرعی و پخش اذان\nدرج تعطیلیها و مناسبتهای رسمی تقویم\nزبان کاملاً فارسی\nتاریخ قمری هلالی ایران\nسازگار با اکثر نسخههای گنوم\nدر حال توسعه...\n\nShows Persian + Islamic + Gregorian date in the panel of gnome.\n\nIt shows:\n1- Persian calendar\n2- It can show, today is holiday or not!\n3- Show notification onDayChanged!\n4- Date converter between Persian, Gregorian and Lunar Hijri(Islamic)\n5- Show calendar Events.\n6- Show PrayTimes and play sound (Azan).\n\nPlease \"rate\" here and \"star\" project in GitHub.\nPlease open an issue in GitHub if you found something or have an idea!\nگزارش مشکلات:\nhttps://github.com/SCR-IR/gnome-shamsi-calendar/issues", "link": "https://extensions.gnome.org/extension/3618/shamsi-calendar/", "shell_version_map": {"38": {"version": "13", "sha256": "05g1lshild456qm73a2g7wcis0hkkilyv2i8naq2wp17g17rw5dn", "metadata": "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"}, "40": {"version": "13", "sha256": "05g1lshild456qm73a2g7wcis0hkkilyv2i8naq2wp17g17rw5dn", "metadata": "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"}}}
-, {"uuid": "arcmenu@arcmenu.com", "name": "ArcMenu", "pname": "arcmenu", "description": "Application Menu for GNOME, with various layouts to choose from!\n\nSupports GNOME shell 3.36, 3.38, and 40.\n\nFor GNOME shell versions 3.14-3.34 visit https://extensions.gnome.org/extension/1228/arc-menu/\n\nCommon solutions for ERROR message:\n-Restart your GNOME session after updating ArcMenu.\n-Make sure package 'gir1.2-gmenu-3.0' is installed.\n\nGeneral Help:\n-Visit https://gitlab.com/arcmenu/ArcMenu/-/wikis/home\n\nPlease report any other issues or concerns on the ArcMenu GitLab page.", "link": "https://extensions.gnome.org/extension/3628/arcmenu/", "shell_version_map": {"38": {"version": "8", "sha256": "0zhnb7r1v1pnkw1f0kh3m1pxbsn8vycvs8iyp1qv3rrav9vbvvhz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGxpY2F0aW9uIE1lbnUgZm9yIEdOT01FLCB3aXRoIHZhcmlvdXMgbGF5b3V0cyB0byBjaG9vc2UgZnJvbSFcblxuU3VwcG9ydHMgR05PTUUgc2hlbGwgMy4zNiwgMy4zOCwgYW5kIDQwLlxuXG5Gb3IgR05PTUUgc2hlbGwgdmVyc2lvbnMgMy4xNC0zLjM0IHZpc2l0IGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzEyMjgvYXJjLW1lbnUvXG5cbkNvbW1vbiBzb2x1dGlvbnMgZm9yIEVSUk9SIG1lc3NhZ2U6XG4tUmVzdGFydCB5b3VyIEdOT01FIHNlc3Npb24gYWZ0ZXIgdXBkYXRpbmcgQXJjTWVudS5cbi1NYWtlIHN1cmUgcGFja2FnZSAnZ2lyMS4yLWdtZW51LTMuMCcgaXMgaW5zdGFsbGVkLlxuXG5HZW5lcmFsIEhlbHA6XG4tVmlzaXQgaHR0cHM6Ly9naXRsYWIuY29tL2FyY21lbnUvQXJjTWVudS8tL3dpa2lzL2hvbWVcblxuUGxlYXNlIHJlcG9ydCBhbnkgb3RoZXIgaXNzdWVzIG9yIGNvbmNlcm5zIG9uIHRoZSBBcmNNZW51IEdpdExhYiBwYWdlLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJhcmNtZW51IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYXJjbWVudSIsCiAgIm5hbWUiOiAiQXJjTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hcmNtZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vYXJjbWVudS9BcmNNZW51IiwKICAidXVpZCI6ICJhcmNtZW51QGFyY21lbnUuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="}, "40": {"version": "10", "sha256": "1094mjp57gf9a3yw7v3j2hgawgrip3ylwbq2l80mjr5q062a8p4n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGxpY2F0aW9uIE1lbnUgZm9yIEdOT01FLCB3aXRoIHZhcmlvdXMgbGF5b3V0cyB0byBjaG9vc2UgZnJvbSFcblxuU3VwcG9ydHMgR05PTUUgc2hlbGwgMy4zNiwgMy4zOCwgYW5kIDQwLlxuXG5Gb3IgR05PTUUgc2hlbGwgdmVyc2lvbnMgMy4xNC0zLjM0IHZpc2l0IGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzEyMjgvYXJjLW1lbnUvXG5cbkNvbW1vbiBzb2x1dGlvbnMgZm9yIEVSUk9SIG1lc3NhZ2U6XG4tUmVzdGFydCB5b3VyIEdOT01FIHNlc3Npb24gYWZ0ZXIgdXBkYXRpbmcgQXJjTWVudS5cbi1NYWtlIHN1cmUgcGFja2FnZSAnZ2lyMS4yLWdtZW51LTMuMCcgaXMgaW5zdGFsbGVkLlxuXG5HZW5lcmFsIEhlbHA6XG4tVmlzaXQgaHR0cHM6Ly9naXRsYWIuY29tL2FyY21lbnUvQXJjTWVudS8tL3dpa2lzL2hvbWVcblxuUGxlYXNlIHJlcG9ydCBhbnkgb3RoZXIgaXNzdWVzIG9yIGNvbmNlcm5zIG9uIHRoZSBBcmNNZW51IEdpdExhYiBwYWdlLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJhcmNtZW51IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYXJjbWVudSIsCiAgIm5hbWUiOiAiQXJjTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hcmNtZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2FyY21lbnUvQXJjTWVudSIsCiAgInV1aWQiOiAiYXJjbWVudUBhcmNtZW51LmNvbSIsCiAgInZlcnNpb24iOiAxMAp9"}}}
-, {"uuid": "fixedimelist@alynx.one", "name": "Fixed IME List", "pname": "fixed-ime-list", "description": "Make the IME list in fixed sequence instead of MRU.", "link": "https://extensions.gnome.org/extension/3663/fixed-ime-list/", "shell_version_map": {"38": {"version": "5", "sha256": "1f0c8xkdv6ij4rc0gdfmqgshxcn5pg6zqb25rcxgjg1ckp10984w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIElNRSBsaXN0IGluIGZpeGVkIHNlcXVlbmNlIGluc3RlYWQgb2YgTVJVLiIsCiAgIm5hbWUiOiAiRml4ZWQgSU1FIExpc3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQWx5bnhaaG91L2dub21lLXNoZWxsLWV4dGVuc2lvbi1maXhlZC1pbWUtbGlzdC8iLAogICJ1dWlkIjogImZpeGVkaW1lbGlzdEBhbHlueC5vbmUiLAogICJ2ZXJzaW9uIjogNQp9"}, "40": {"version": "5", "sha256": "1f0c8xkdv6ij4rc0gdfmqgshxcn5pg6zqb25rcxgjg1ckp10984w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIElNRSBsaXN0IGluIGZpeGVkIHNlcXVlbmNlIGluc3RlYWQgb2YgTVJVLiIsCiAgIm5hbWUiOiAiRml4ZWQgSU1FIExpc3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQWx5bnhaaG91L2dub21lLXNoZWxsLWV4dGVuc2lvbi1maXhlZC1pbWUtbGlzdC8iLAogICJ1dWlkIjogImZpeGVkaW1lbGlzdEBhbHlueC5vbmUiLAogICJ2ZXJzaW9uIjogNQp9"}}}
+, {"uuid": "wireguard-indicator@atareao.es", "name": "WireGuard Indicator", "pname": "wireguard-indicator", "description": "Manage WireGuard VPN from Desktop", "link": "https://extensions.gnome.org/extension/3612/wireguard-indicator/", "shell_version_map": {"40": {"version": "6", "sha256": "0qddl83x30y6jqm4nyjk6faklmv7cii2czmgmagcyllgkh0simav", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hbmFnZSBXaXJlR3VhcmQgVlBOIGZyb20gRGVza3RvcCIsCiAgImV4dGVuc2lvbi1pZCI6ICJ3aXJlZ3VhcmQtaW5kaWNhdG9yQGF0YXJlYW8uZXMiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ3aXJlZ3VhcmQtaW5kaWNhdG9yQGF0YXJlYW8uZXMiLAogICJpY29uIjogIndpcmVndWFyZC1pY29uIiwKICAibmFtZSI6ICJXaXJlR3VhcmQgSW5kaWNhdG9yIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImVzLmF0YXJlYW8ud2lyZWd1YXJkLWluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hdGFyZWFvL3dpcmVndWFyZC1pbmRpY2F0b3IiLAogICJ1dWlkIjogIndpcmVndWFyZC1pbmRpY2F0b3JAYXRhcmVhby5lcyIsCiAgInZlcnNpb24iOiA2Cn0="}}}
+, {"uuid": "shamsi-calendar@gnome.scr.ir", "name": "Iranian Persian Calendar", "pname": "shamsi-calendar", "description": "تقویم هجری شمسی،قمری و میلادی در میزکار گنوم لینوکس\nقابلیت نمایش اوقات شرعی و پخش اذان\nدرج تعطیلیها و مناسبتهای رسمی تقویم\nزبان کاملاً فارسی\nتاریخ قمری هلالی ایران\nسازگار با اکثر نسخههای گنوم\nدر حال توسعه...\n\nShows Persian + Islamic + Gregorian date in the panel of gnome.\n\nIt shows:\n1- Persian calendar\n2- It can show, today is holiday or not!\n3- Show notification onDayChanged!\n4- Date converter between Persian, Gregorian and Lunar Hijri(Islamic)\n5- Show calendar Events.\n6- Show PrayTimes and play sound (Azan).\n\nPlease \"rate\" here and \"star\" project in GitHub.\nPlease open an issue in GitHub if you found something or have an idea!\nگزارش مشکلات:\nhttps://github.com/SCR-IR/gnome-shamsi-calendar/issues", "link": "https://extensions.gnome.org/extension/3618/shamsi-calendar/", "shell_version_map": {"38": {"version": "14", "sha256": "16jz1vrl992nlgnlxdnqp7w89bl7ryi5l77vvydi1jn3n042jr2y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlx1MDYyYVx1MDY0Mlx1MDY0OFx1MDZjY1x1MDY0NSBcdTA2NDdcdTA2MmNcdTA2MzFcdTA2Y2MgXHUwNjM0XHUwNjQ1XHUwNjMzXHUwNmNjXHUwNjBjXHUwNjQyXHUwNjQ1XHUwNjMxXHUwNmNjIFx1MDY0OCBcdTA2NDVcdTA2Y2NcdTA2NDRcdTA2MjdcdTA2MmZcdTA2Y2MgXHUwNjJmXHUwNjMxIFx1MDY0NVx1MDZjY1x1MDYzMlx1MjAwY1x1MDZhOVx1MDYyN1x1MDYzMSBcdTA2YWZcdTA2NDZcdTA2NDhcdTA2NDUgXHUwNjQ0XHUwNmNjXHUwNjQ2XHUwNjQ4XHUwNmE5XHUwNjMzXG5cdTA2NDJcdTA2MjdcdTA2MjhcdTA2NDRcdTA2Y2NcdTA2MmEgXHUwNjQ2XHUwNjQ1XHUwNjI3XHUwNmNjXHUwNjM0IFx1MDYyN1x1MDY0OFx1MDY0Mlx1MDYyN1x1MDYyYSBcdTA2MzRcdTA2MzFcdTA2MzlcdTA2Y2MgXHUwNjQ4IFx1MDY3ZVx1MDYyZVx1MDYzNCBcdTA2MjdcdTA2MzBcdTA2MjdcdTA2NDZcblx1MDYyZlx1MDYzMVx1MDYyYyBcdTA2MmFcdTA2MzlcdTA2MzdcdTA2Y2NcdTA2NDRcdTA2Y2NcdTIwMGNcdTA2NDdcdTA2MjcgXHUwNjQ4IFx1MDY0NVx1MDY0Nlx1MDYyN1x1MDYzM1x1MDYyOFx1MDYyYVx1MjAwY1x1MDY0N1x1MDYyN1x1MDZjYyBcdTA2MzFcdTA2MzNcdTA2NDVcdTA2Y2MgXHUwNjJhXHUwNjQyXHUwNjQ4XHUwNmNjXHUwNjQ1XG5cdTA2MzJcdTA2MjhcdTA2MjdcdTA2NDYgXHUwNmE5XHUwNjI3XHUwNjQ1XHUwNjQ0XHUwNjI3XHUwNjRiIFx1MDY0MVx1MDYyN1x1MDYzMVx1MDYzM1x1MDZjY1xuXHUwNjJhXHUwNjI3XHUwNjMxXHUwNmNjXHUwNjJlIFx1MDY0Mlx1MDY0NVx1MDYzMVx1MDZjYyBcdTA2NDdcdTA2NDRcdTA2MjdcdTA2NDRcdTA2Y2MgXHUwNjI3XHUwNmNjXHUwNjMxXHUwNjI3XHUwNjQ2XG5cdTA2MzNcdTA2MjdcdTA2MzJcdTA2YWZcdTA2MjdcdTA2MzEgXHUwNjI4XHUwNjI3IFx1MDYyN1x1MDZhOVx1MDYyYlx1MDYzMSBcdTA2NDZcdTA2MzNcdTA2MmVcdTA2NDdcdTIwMGNcdTA2NDdcdTA2MjdcdTA2Y2MgXHUwNmFmXHUwNjQ2XHUwNjQ4XHUwNjQ1XG5cdTA2MmZcdTA2MzEgXHUwNjJkXHUwNjI3XHUwNjQ0IFx1MDYyYVx1MDY0OFx1MDYzM1x1MDYzOVx1MDY0Ny4uLlxuXG5TaG93cyBQZXJzaWFuICsgSXNsYW1pYyArIEdyZWdvcmlhbiBkYXRlIGluIHRoZSBwYW5lbCBvZiBnbm9tZS5cblxuSXQgc2hvd3M6XG4xLSBQZXJzaWFuIGNhbGVuZGFyXG4yLSBJdCBjYW4gc2hvdywgdG9kYXkgaXMgaG9saWRheSBvciBub3QhXG4zLSBTaG93IG5vdGlmaWNhdGlvbiBvbkRheUNoYW5nZWQhXG40LSBEYXRlIGNvbnZlcnRlciBiZXR3ZWVuIFBlcnNpYW4sIEdyZWdvcmlhbiBhbmQgTHVuYXIgSGlqcmkoSXNsYW1pYylcbjUtIFNob3cgY2FsZW5kYXIgRXZlbnRzLlxuNi0gU2hvdyBQcmF5VGltZXMgYW5kIHBsYXkgc291bmQgKEF6YW4pLlxuXG5QbGVhc2UgXCJyYXRlXCIgaGVyZSBhbmQgXCJzdGFyXCIgcHJvamVjdCBpbiBHaXRIdWIuXG5QbGVhc2Ugb3BlbiBhbiBpc3N1ZSBpbiBHaXRIdWIgaWYgeW91IGZvdW5kIHNvbWV0aGluZyBvciBoYXZlIGFuIGlkZWEhXG5cdTA2YWZcdTA2MzJcdTA2MjdcdTA2MzFcdTA2MzQgXHUwNjQ1XHUwNjM0XHUwNmE5XHUwNjQ0XHUwNjI3XHUwNjJhOlxuaHR0cHM6Ly9naXRodWIuY29tL1NDUi1JUi9nbm9tZS1zaGFtc2ktY2FsZW5kYXIvaXNzdWVzIiwKICAibmFtZSI6ICJJcmFuaWFuIFBlcnNpYW4gQ2FsZW5kYXIiLAogICJvcmlnaW5hbC1hdXRob3JzIjogImpkZi5zY3IuaXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc2NyLWlyL2dub21lLXNoYW1zaS1jYWxlbmRhciIsCiAgInV1aWQiOiAic2hhbXNpLWNhbGVuZGFyQGdub21lLnNjci5pciIsCiAgInZlcnNpb24iOiAxNAp9"}, "40": {"version": "14", "sha256": "16jz1vrl992nlgnlxdnqp7w89bl7ryi5l77vvydi1jn3n042jr2y", "metadata": "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"}}}
+, {"uuid": "tunnel-indicator@atareao.es", "name": "Tunnel Indicator", "pname": "tunnel-indicator", "description": "Manage SSH Tunnels from Desktop", "link": "https://extensions.gnome.org/extension/3622/tunnel-indicator/", "shell_version_map": {"40": {"version": "2", "sha256": "0ma4a711mgjxyhy4d21p2m7wvbnmmwlfdsf6xk9i36ranjcqs9as", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hbmFnZSBTU0ggVHVubmVscyBmcm9tIERlc2t0b3AiLAogICJleHRlbnNpb24taWQiOiAidHVubmVsLWluZGljYXRvckBhdGFyZWFvLmVzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidHVubmVsLWluZGljYXRvckBhdGFyZWFvLmVzIiwKICAiaWNvbiI6ICJ0dW5uZWwtaWNvbiIsCiAgIm5hbWUiOiAiVHVubmVsIEluZGljYXRvciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJlcy5hdGFyZWFvLnR1bm5lbC1pbmRpY2F0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYXRhcmVhby90dW5uZWwtaW5kaWNhdG9yIiwKICAidXVpZCI6ICJ0dW5uZWwtaW5kaWNhdG9yQGF0YXJlYW8uZXMiLAogICJ2ZXJzaW9uIjogMgp9"}}}
+, {"uuid": "arcmenu@arcmenu.com", "name": "ArcMenu", "pname": "arcmenu", "description": "Application Menu for GNOME, with various layouts to choose from!\n\nSupports GNOME shell 3.36, 3.38, 40, and 41.\n\nFor older GNOME shell versions visit https://extensions.gnome.org/extension/1228/arc-menu/\n\nCommon solutions for ERROR message:\n-Restart your GNOME session after updating ArcMenu.\n-Install one of the following packages: 'gir1.2-gmenu-3.0' or 'gnome-menus'\n\nGeneral Help:\n-Visit https://gitlab.com/arcmenu/ArcMenu/-/wikis/home\n\nPlease report all bugs or issues at https://gitlab.com/arcmenu/ArcMenu", "link": "https://extensions.gnome.org/extension/3628/arcmenu/", "shell_version_map": {"38": {"version": "17", "sha256": "0llq0zkvgxarr30s304n1ilidbwc0kx4l2impby5y2sdsvr7zafv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGxpY2F0aW9uIE1lbnUgZm9yIEdOT01FLCB3aXRoIHZhcmlvdXMgbGF5b3V0cyB0byBjaG9vc2UgZnJvbSFcblxuU3VwcG9ydHMgR05PTUUgc2hlbGwgMy4zNiwgMy4zOCwgNDAsIGFuZCA0MS5cblxuRm9yIG9sZGVyIEdOT01FIHNoZWxsIHZlcnNpb25zIHZpc2l0IGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzEyMjgvYXJjLW1lbnUvXG5cbkNvbW1vbiBzb2x1dGlvbnMgZm9yIEVSUk9SIG1lc3NhZ2U6XG4tUmVzdGFydCB5b3VyIEdOT01FIHNlc3Npb24gYWZ0ZXIgdXBkYXRpbmcgQXJjTWVudS5cbi1JbnN0YWxsIG9uZSBvZiB0aGUgZm9sbG93aW5nIHBhY2thZ2VzOiAnZ2lyMS4yLWdtZW51LTMuMCcgb3IgJ2dub21lLW1lbnVzJ1xuXG5HZW5lcmFsIEhlbHA6XG4tVmlzaXQgaHR0cHM6Ly9naXRsYWIuY29tL2FyY21lbnUvQXJjTWVudS8tL3dpa2lzL2hvbWVcblxuUGxlYXNlIHJlcG9ydCBhbGwgYnVncyBvciBpc3N1ZXMgYXQgaHR0cHM6Ly9naXRsYWIuY29tL2FyY21lbnUvQXJjTWVudSIsCiAgImV4dGVuc2lvbi1pZCI6ICJhcmNtZW51IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYXJjbWVudSIsCiAgIm5hbWUiOiAiQXJjTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hcmNtZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vYXJjbWVudS9BcmNNZW51IiwKICAidXVpZCI6ICJhcmNtZW51QGFyY21lbnUuY29tIiwKICAidmVyc2lvbiI6IDE3Cn0="}, "40": {"version": "18", "sha256": "1vapj6lywga48j58764hpc2j3qapiz7k905bmla89im55vcip0sv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGxpY2F0aW9uIE1lbnUgZm9yIEdOT01FLCB3aXRoIHZhcmlvdXMgbGF5b3V0cyB0byBjaG9vc2UgZnJvbSFcblxuU3VwcG9ydHMgR05PTUUgc2hlbGwgMy4zNiwgMy4zOCwgNDAsIGFuZCA0MS5cblxuRm9yIG9sZGVyIEdOT01FIHNoZWxsIHZlcnNpb25zIHZpc2l0IGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzEyMjgvYXJjLW1lbnUvXG5cbkNvbW1vbiBzb2x1dGlvbnMgZm9yIEVSUk9SIG1lc3NhZ2U6XG4tUmVzdGFydCB5b3VyIEdOT01FIHNlc3Npb24gYWZ0ZXIgdXBkYXRpbmcgQXJjTWVudS5cbi1JbnN0YWxsIG9uZSBvZiB0aGUgZm9sbG93aW5nIHBhY2thZ2VzOiAnZ2lyMS4yLWdtZW51LTMuMCcgb3IgJ2dub21lLW1lbnVzJ1xuXG5HZW5lcmFsIEhlbHA6XG4tVmlzaXQgaHR0cHM6Ly9naXRsYWIuY29tL2FyY21lbnUvQXJjTWVudS8tL3dpa2lzL2hvbWVcblxuUGxlYXNlIHJlcG9ydCBhbGwgYnVncyBvciBpc3N1ZXMgYXQgaHR0cHM6Ly9naXRsYWIuY29tL2FyY21lbnUvQXJjTWVudSIsCiAgImV4dGVuc2lvbi1pZCI6ICJhcmNtZW51IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYXJjbWVudSIsCiAgIm5hbWUiOiAiQXJjTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hcmNtZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9hcmNtZW51L0FyY01lbnUiLAogICJ1dWlkIjogImFyY21lbnVAYXJjbWVudS5jb20iLAogICJ2ZXJzaW9uIjogMTgKfQ=="}}}
+, {"uuid": "fixedimelist@alynx.one", "name": "Fixed IME List", "pname": "fixed-ime-list", "description": "Make the IME list in fixed sequence instead of MRU.", "link": "https://extensions.gnome.org/extension/3663/fixed-ime-list/", "shell_version_map": {"38": {"version": "6", "sha256": "0v99flnb23cjv32wr7r077q29jvgs7j5fjx6dc6qm2n04f1qiibz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIElNRSBsaXN0IGluIGZpeGVkIHNlcXVlbmNlIGluc3RlYWQgb2YgTVJVLiIsCiAgIm5hbWUiOiAiRml4ZWQgSU1FIExpc3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQWx5bnhaaG91L2dub21lLXNoZWxsLWV4dGVuc2lvbi1maXhlZC1pbWUtbGlzdC8iLAogICJ1dWlkIjogImZpeGVkaW1lbGlzdEBhbHlueC5vbmUiLAogICJ2ZXJzaW9uIjogNgp9"}, "40": {"version": "6", "sha256": "0v99flnb23cjv32wr7r077q29jvgs7j5fjx6dc6qm2n04f1qiibz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIElNRSBsaXN0IGluIGZpeGVkIHNlcXVlbmNlIGluc3RlYWQgb2YgTVJVLiIsCiAgIm5hbWUiOiAiRml4ZWQgSU1FIExpc3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQWx5bnhaaG91L2dub21lLXNoZWxsLWV4dGVuc2lvbi1maXhlZC1pbWUtbGlzdC8iLAogICJ1dWlkIjogImZpeGVkaW1lbGlzdEBhbHlueC5vbmUiLAogICJ2ZXJzaW9uIjogNgp9"}}}
, {"uuid": "topindicatorapp@quiro9.com", "name": "Top Indicator App", "pname": "top-indicator-app", "description": "This extension is 'appindicators' from ubuntu, renamed 'top indicator app' under the terms of the GPL v2 +. it is the extension itself that Ubuntu offers as a native experience on your system, but so you can install it in other distros since the current one in gnome-extensions is empty.I will offer stable updates when possible.", "link": "https://extensions.gnome.org/extension/3681/top-indicator-app/", "shell_version_map": {"38": {"version": "2", "sha256": "12r4fxgsgd7jn001vlzqrsd86ci62zxk0b9p3bkdqh6g5hj3la35", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGlzICdhcHBpbmRpY2F0b3JzJyBmcm9tIHVidW50dSwgcmVuYW1lZCAndG9wIGluZGljYXRvciBhcHAnIHVuZGVyIHRoZSB0ZXJtcyBvZiB0aGUgR1BMIHYyICsuIGl0IGlzIHRoZSBleHRlbnNpb24gaXRzZWxmIHRoYXQgVWJ1bnR1IG9mZmVycyBhcyBhIG5hdGl2ZSBleHBlcmllbmNlIG9uIHlvdXIgc3lzdGVtLCBidXQgc28geW91IGNhbiBpbnN0YWxsIGl0IGluIG90aGVyIGRpc3Ryb3Mgc2luY2UgdGhlIGN1cnJlbnQgb25lIGluIGdub21lLWV4dGVuc2lvbnMgaXMgZW1wdHkuSSB3aWxsIG9mZmVyIHN0YWJsZSB1cGRhdGVzIHdoZW4gcG9zc2libGUuIiwKICAibmFtZSI6ICJUb3AgSW5kaWNhdG9yIEFwcCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3VidW50dS9nbm9tZS1zaGVsbC1leHRlbnNpb24tYXBwaW5kaWNhdG9yIiwKICAidXVpZCI6ICJ0b3BpbmRpY2F0b3JhcHBAcXVpcm85LmNvbSIsCiAgInZlcnNpb24iOiAyCn0="}}}
, {"uuid": "reorder-workspaces@jer.dev", "name": "Reorder Workspaces", "pname": "reorder-workspaces", "description": "Move workspaces up or down in the overview with Alt+Up/Alt+Down", "link": "https://extensions.gnome.org/extension/3685/reorder-workspaces/", "shell_version_map": {"38": {"version": "6", "sha256": "1f0hl2dzl75dwcv8jzx3rk1laqd9y9m32g219nvbhsmk8rjxfc31", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgd29ya3NwYWNlcyB1cCBvciBkb3duIGluIHRoZSBvdmVydmlldyB3aXRoIEFsdCtVcC9BbHQrRG93biIsCiAgIm5hbWUiOiAiUmVvcmRlciBXb3Jrc3BhY2VzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnJlb3JkZXItd29ya3NwYWNlcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2plcmVtaWFobWVnZWwvZ25vbWUtcmVvcmRlci13b3Jrc3BhY2VzIiwKICAidXVpZCI6ICJyZW9yZGVyLXdvcmtzcGFjZXNAamVyLmRldiIsCiAgInZlcnNpb24iOiA2Cn0="}}}
, {"uuid": "eos-hack@endlessos.org", "name": "Hack", "pname": "hack", "description": "Add the Flip to Hack experience to the desktop", "link": "https://extensions.gnome.org/extension/3690/hack/", "shell_version_map": {"38": {"version": "7", "sha256": "1dm9471qpyghzh0vvwdcliqrshv3844wizkgcv4fiwccr346i6ga", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCB0aGUgRmxpcCB0byBIYWNrIGV4cGVyaWVuY2UgdG8gdGhlIGRlc2t0b3AiLAogICJuYW1lIjogIkhhY2siLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmVuZGxlc3Nvcy5oYWNrLWV4dGVuc2lvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2VuZGxlc3NtL2Vvcy1oYWNrLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiZW9zLWhhY2tAZW5kbGVzc29zLm9yZyIsCiAgInZlcnNpb24iOiA3Cn0="}}}
+, {"uuid": "desktop-scroller@calango", "name": "Desktop Scroller (GNOME 3.38)", "pname": "desktop-scroller-gnome-338", "description": "Switch between desktops scrolling at the upper edge of the screen, including in overview. Change your delay between scroll events in extension.js. If you want to scroll on more lines from the top of the screen just remember that other extensions or indicators will not be able to catch their scroll events.", "link": "https://extensions.gnome.org/extension/3709/desktop-scroller-gnome-338/", "shell_version_map": {"38": {"version": "1", "sha256": "11g4w7bbzb8p9w78w83jpslgbs74rc26np0b1v35dg7nc7x33q4i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaCBiZXR3ZWVuIGRlc2t0b3BzIHNjcm9sbGluZyBhdCB0aGUgdXBwZXIgZWRnZSBvZiB0aGUgc2NyZWVuLCBpbmNsdWRpbmcgaW4gb3ZlcnZpZXcuIENoYW5nZSB5b3VyIGRlbGF5IGJldHdlZW4gc2Nyb2xsIGV2ZW50cyBpbiBleHRlbnNpb24uanMuIElmIHlvdSB3YW50IHRvIHNjcm9sbCBvbiBtb3JlIGxpbmVzIGZyb20gdGhlIHRvcCBvZiB0aGUgc2NyZWVuIGp1c3QgcmVtZW1iZXIgdGhhdCBvdGhlciBleHRlbnNpb25zIG9yIGluZGljYXRvcnMgd2lsbCBub3QgYmUgYWJsZSB0byBjYXRjaCB0aGVpciBzY3JvbGwgZXZlbnRzLiIsCiAgIm5hbWUiOiAiRGVza3RvcCBTY3JvbGxlciAoR05PTUUgMy4zOCkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzguMSIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJkZXNrdG9wLXNjcm9sbGVyQGNhbGFuZ28iLAogICJ2ZXJzaW9uIjogMQp9"}}}
, {"uuid": "appmenu-color-icon@yanbab.gitlab.com", "name": "Colored AppMenu Icon", "pname": "color-app-menu-icon", "description": "Replace the symbolic application menu icon with the colored one", "link": "https://extensions.gnome.org/extension/3712/color-app-menu-icon/", "shell_version_map": {"38": {"version": "1", "sha256": "17bsnqimkanf6c3d3qjvhggi5r3xjp6pha05fyh6b1ak9m9lvg53", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2UgdGhlIHN5bWJvbGljIGFwcGxpY2F0aW9uIG1lbnUgaWNvbiB3aXRoIHRoZSBjb2xvcmVkIG9uZSIsCiAgIm5hbWUiOiAiQ29sb3JlZCBBcHBNZW51IEljb24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS95YW5iYWIvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXJlZ3VsYXItYXBwbWVudS1pY29uIiwKICAidXVpZCI6ICJhcHBtZW51LWNvbG9yLWljb25AeWFuYmFiLmdpdGxhYi5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}}}
-, {"uuid": "snap-manager@fthx", "name": "Snap Manager", "pname": "snap-manager", "description": "Popup menu in the top bar to easily manage snap tasks (list, changes, refresh, remove, install...). Update notification at session start-up.\n\n All the results of actions are displayed in GNOME Terminal, so you can exactly know what you are doing and what is processing. Very light extension, no background process, no periodic background task. You can turn off refresh check and associated notifications (just a variable to toggle in /extension.js). You can disable auto updates for a limited time (up to one month, renewable), consequently be notified of snap updates and manually install them. \n\n This is not an official Ubuntu/Canonical extension.", "link": "https://extensions.gnome.org/extension/3715/snap-manager/", "shell_version_map": {"38": {"version": "32", "sha256": "0baby2385cnk0j133vr0n8z92smps28shzxymn80ljk8dmdqz1gp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlBvcHVwIG1lbnUgaW4gdGhlIHRvcCBiYXIgdG8gZWFzaWx5IG1hbmFnZSBzbmFwIHRhc2tzIChsaXN0LCBjaGFuZ2VzLCByZWZyZXNoLCByZW1vdmUsIGluc3RhbGwuLi4pLiBVcGRhdGUgbm90aWZpY2F0aW9uIGF0IHNlc3Npb24gc3RhcnQtdXAuXG5cbiBBbGwgdGhlIHJlc3VsdHMgb2YgYWN0aW9ucyBhcmUgZGlzcGxheWVkIGluIEdOT01FIFRlcm1pbmFsLCBzbyB5b3UgY2FuIGV4YWN0bHkga25vdyB3aGF0IHlvdSBhcmUgZG9pbmcgYW5kIHdoYXQgaXMgcHJvY2Vzc2luZy4gVmVyeSBsaWdodCBleHRlbnNpb24sIG5vIGJhY2tncm91bmQgcHJvY2Vzcywgbm8gcGVyaW9kaWMgYmFja2dyb3VuZCB0YXNrLiBZb3UgY2FuIHR1cm4gb2ZmIHJlZnJlc2ggY2hlY2sgYW5kIGFzc29jaWF0ZWQgbm90aWZpY2F0aW9ucyAoanVzdCBhIHZhcmlhYmxlIHRvIHRvZ2dsZSBpbiA8ZXh0ZW5zaW9uX2ZvbGRlcj4vZXh0ZW5zaW9uLmpzKS4gWW91IGNhbiBkaXNhYmxlIGF1dG8gdXBkYXRlcyBmb3IgYSBsaW1pdGVkIHRpbWUgKHVwIHRvIG9uZSBtb250aCwgcmVuZXdhYmxlKSwgY29uc2VxdWVudGx5IGJlIG5vdGlmaWVkIG9mIHNuYXAgdXBkYXRlcyBhbmQgbWFudWFsbHkgaW5zdGFsbCB0aGVtLiBcblxuIFRoaXMgaXMgbm90IGFuIG9mZmljaWFsIFVidW50dS9DYW5vbmljYWwgZXh0ZW5zaW9uLiIsCiAgIm5hbWUiOiAiU25hcCBNYW5hZ2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvc25hcC1tYW5hZ2VyIiwKICAidXVpZCI6ICJzbmFwLW1hbmFnZXJAZnRoeCIsCiAgInZlcnNpb24iOiAzMgp9"}, "40": {"version": "32", "sha256": "0baby2385cnk0j133vr0n8z92smps28shzxymn80ljk8dmdqz1gp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlBvcHVwIG1lbnUgaW4gdGhlIHRvcCBiYXIgdG8gZWFzaWx5IG1hbmFnZSBzbmFwIHRhc2tzIChsaXN0LCBjaGFuZ2VzLCByZWZyZXNoLCByZW1vdmUsIGluc3RhbGwuLi4pLiBVcGRhdGUgbm90aWZpY2F0aW9uIGF0IHNlc3Npb24gc3RhcnQtdXAuXG5cbiBBbGwgdGhlIHJlc3VsdHMgb2YgYWN0aW9ucyBhcmUgZGlzcGxheWVkIGluIEdOT01FIFRlcm1pbmFsLCBzbyB5b3UgY2FuIGV4YWN0bHkga25vdyB3aGF0IHlvdSBhcmUgZG9pbmcgYW5kIHdoYXQgaXMgcHJvY2Vzc2luZy4gVmVyeSBsaWdodCBleHRlbnNpb24sIG5vIGJhY2tncm91bmQgcHJvY2Vzcywgbm8gcGVyaW9kaWMgYmFja2dyb3VuZCB0YXNrLiBZb3UgY2FuIHR1cm4gb2ZmIHJlZnJlc2ggY2hlY2sgYW5kIGFzc29jaWF0ZWQgbm90aWZpY2F0aW9ucyAoanVzdCBhIHZhcmlhYmxlIHRvIHRvZ2dsZSBpbiA8ZXh0ZW5zaW9uX2ZvbGRlcj4vZXh0ZW5zaW9uLmpzKS4gWW91IGNhbiBkaXNhYmxlIGF1dG8gdXBkYXRlcyBmb3IgYSBsaW1pdGVkIHRpbWUgKHVwIHRvIG9uZSBtb250aCwgcmVuZXdhYmxlKSwgY29uc2VxdWVudGx5IGJlIG5vdGlmaWVkIG9mIHNuYXAgdXBkYXRlcyBhbmQgbWFudWFsbHkgaW5zdGFsbCB0aGVtLiBcblxuIFRoaXMgaXMgbm90IGFuIG9mZmljaWFsIFVidW50dS9DYW5vbmljYWwgZXh0ZW5zaW9uLiIsCiAgIm5hbWUiOiAiU25hcCBNYW5hZ2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvc25hcC1tYW5hZ2VyIiwKICAidXVpZCI6ICJzbmFwLW1hbmFnZXJAZnRoeCIsCiAgInZlcnNpb24iOiAzMgp9"}}}
+, {"uuid": "snap-manager@fthx", "name": "Snap Manager", "pname": "snap-manager", "description": "Popup menu in the top bar to easily manage snap tasks (list, changes, refresh, remove, install...). Update notification at session start-up.\n\n All the results of actions are displayed in GNOME Terminal, so you can exactly know what you are doing and what is processing. Very light extension, no background process, no periodic background task. You can turn off refresh check and associated notifications (just a variable to toggle in /extension.js). You can disable auto updates for a limited time (up to one month, renewable), consequently be notified of snap updates and manually install them. \n\n This is not an official Ubuntu/Canonical extension.", "link": "https://extensions.gnome.org/extension/3715/snap-manager/", "shell_version_map": {"38": {"version": "35", "sha256": "1dc34krz3f42afjwilkp4vrv5b1k3fgwzhmy7ry1vp0czgzhbxi1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlBvcHVwIG1lbnUgaW4gdGhlIHRvcCBiYXIgdG8gZWFzaWx5IG1hbmFnZSBzbmFwIHRhc2tzIChsaXN0LCBjaGFuZ2VzLCByZWZyZXNoLCByZW1vdmUsIGluc3RhbGwuLi4pLiBVcGRhdGUgbm90aWZpY2F0aW9uIGF0IHNlc3Npb24gc3RhcnQtdXAuXG5cbiBBbGwgdGhlIHJlc3VsdHMgb2YgYWN0aW9ucyBhcmUgZGlzcGxheWVkIGluIEdOT01FIFRlcm1pbmFsLCBzbyB5b3UgY2FuIGV4YWN0bHkga25vdyB3aGF0IHlvdSBhcmUgZG9pbmcgYW5kIHdoYXQgaXMgcHJvY2Vzc2luZy4gVmVyeSBsaWdodCBleHRlbnNpb24sIG5vIGJhY2tncm91bmQgcHJvY2Vzcywgbm8gcGVyaW9kaWMgYmFja2dyb3VuZCB0YXNrLiBZb3UgY2FuIHR1cm4gb2ZmIHJlZnJlc2ggY2hlY2sgYW5kIGFzc29jaWF0ZWQgbm90aWZpY2F0aW9ucyAoanVzdCBhIHZhcmlhYmxlIHRvIHRvZ2dsZSBpbiA8ZXh0ZW5zaW9uX2ZvbGRlcj4vZXh0ZW5zaW9uLmpzKS4gWW91IGNhbiBkaXNhYmxlIGF1dG8gdXBkYXRlcyBmb3IgYSBsaW1pdGVkIHRpbWUgKHVwIHRvIG9uZSBtb250aCwgcmVuZXdhYmxlKSwgY29uc2VxdWVudGx5IGJlIG5vdGlmaWVkIG9mIHNuYXAgdXBkYXRlcyBhbmQgbWFudWFsbHkgaW5zdGFsbCB0aGVtLiBcblxuIFRoaXMgaXMgbm90IGFuIG9mZmljaWFsIFVidW50dS9DYW5vbmljYWwgZXh0ZW5zaW9uLiIsCiAgIm5hbWUiOiAiU25hcCBNYW5hZ2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L3NuYXAtbWFuYWdlciIsCiAgInV1aWQiOiAic25hcC1tYW5hZ2VyQGZ0aHgiLAogICJ2ZXJzaW9uIjogMzUKfQ=="}, "40": {"version": "35", "sha256": "1dc34krz3f42afjwilkp4vrv5b1k3fgwzhmy7ry1vp0czgzhbxi1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlBvcHVwIG1lbnUgaW4gdGhlIHRvcCBiYXIgdG8gZWFzaWx5IG1hbmFnZSBzbmFwIHRhc2tzIChsaXN0LCBjaGFuZ2VzLCByZWZyZXNoLCByZW1vdmUsIGluc3RhbGwuLi4pLiBVcGRhdGUgbm90aWZpY2F0aW9uIGF0IHNlc3Npb24gc3RhcnQtdXAuXG5cbiBBbGwgdGhlIHJlc3VsdHMgb2YgYWN0aW9ucyBhcmUgZGlzcGxheWVkIGluIEdOT01FIFRlcm1pbmFsLCBzbyB5b3UgY2FuIGV4YWN0bHkga25vdyB3aGF0IHlvdSBhcmUgZG9pbmcgYW5kIHdoYXQgaXMgcHJvY2Vzc2luZy4gVmVyeSBsaWdodCBleHRlbnNpb24sIG5vIGJhY2tncm91bmQgcHJvY2Vzcywgbm8gcGVyaW9kaWMgYmFja2dyb3VuZCB0YXNrLiBZb3UgY2FuIHR1cm4gb2ZmIHJlZnJlc2ggY2hlY2sgYW5kIGFzc29jaWF0ZWQgbm90aWZpY2F0aW9ucyAoanVzdCBhIHZhcmlhYmxlIHRvIHRvZ2dsZSBpbiA8ZXh0ZW5zaW9uX2ZvbGRlcj4vZXh0ZW5zaW9uLmpzKS4gWW91IGNhbiBkaXNhYmxlIGF1dG8gdXBkYXRlcyBmb3IgYSBsaW1pdGVkIHRpbWUgKHVwIHRvIG9uZSBtb250aCwgcmVuZXdhYmxlKSwgY29uc2VxdWVudGx5IGJlIG5vdGlmaWVkIG9mIHNuYXAgdXBkYXRlcyBhbmQgbWFudWFsbHkgaW5zdGFsbCB0aGVtLiBcblxuIFRoaXMgaXMgbm90IGFuIG9mZmljaWFsIFVidW50dS9DYW5vbmljYWwgZXh0ZW5zaW9uLiIsCiAgIm5hbWUiOiAiU25hcCBNYW5hZ2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L3NuYXAtbWFuYWdlciIsCiAgInV1aWQiOiAic25hcC1tYW5hZ2VyQGZ0aHgiLAogICJ2ZXJzaW9uIjogMzUKfQ=="}}}
, {"uuid": "hide-dash-forked@farnasirim.com", "name": "Hide Dash Forked", "pname": "hide-dash-forked", "description": "Hide dash menu from gnome overview", "link": "https://extensions.gnome.org/extension/3718/hide-dash-forked/", "shell_version_map": {"38": {"version": "3", "sha256": "1j0ca2nwj8bhnn2vv3fgjhglg8af9iqa992i7d2mvxx4zisj8rdq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgZGFzaCBtZW51IGZyb20gZ25vbWUgb3ZlcnZpZXciLAogICJuYW1lIjogIkhpZGUgRGFzaCBGb3JrZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mYXJuYXNpcmltL2dub21lLXNoZWxsLWV4dGVuc2lvbi1oaWRlLWRhc2gtZm9ya2VkIiwKICAidXVpZCI6ICJoaWRlLWRhc2gtZm9ya2VkQGZhcm5hc2lyaW0uY29tIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
-, {"uuid": "netspeedsimplified@prateekmedia.extension", "name": "Net speed Simplified", "pname": "net-speed-simplified", "description": "A Net Speed extension With Loads of Customization. Fork of simplenetspeed \n \nWhat's new\n☞ Add Limit Unit option \n☞ Tested on GNOME 40, 3.38 and 3.36 \n☞ Fix a bug where refresh time was getting reset to 6.5 \n☞ Used Min Width 3.0 by default \n \nFeatures \n1. Cleaner UI \n2. Adjustable Refresh rate \n3. Preferences to manage extension \n4. Vertical Alignment Support \n5. Two Icon sets for Indicators \n\n Feature Highlights for Preferences \n1. Lock Mouse Actions button to Freeze Mouse Events \n2. Advance Position options to pinpoint where to place the indicator on the Panel. \n3. Refresh time option by which you can change refresh rate value between 1.0 sec to 10.0 sec. \n\nModes \n- Total net speed in bits per second \n- Total net speed in Bytes per second \n- Up and down speed in bits per second \n- Up and down speed in Bytes per second \n- Total of downloaded in Bytes (Right click to reset counter) \n\nMouse Events \n- Left click to change modes \n- Right click to toggle total data usage visibility \n- Right click continuously for 4 times in any mode to toggle vertical alignment \n- Middle click to change font size", "link": "https://extensions.gnome.org/extension/3724/net-speed-simplified/", "shell_version_map": {"38": {"version": "27", "sha256": "1g2jk200v8fyl1f0yrw98jsvr3ymhmd3glq6min4lx531j80r948", "metadata": "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"}, "40": {"version": "27", "sha256": "1g2jk200v8fyl1f0yrw98jsvr3ymhmd3glq6min4lx531j80r948", "metadata": "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"}}}
+, {"uuid": "netspeedsimplified@prateekmedia.extension", "name": "Net speed Simplified", "pname": "net-speed-simplified", "description": "A Net Speed extension With Loads of Customization. Fork of simplenetspeed \n \nWhat's new\n☞ Add Use System Color Scheme option \n☞ Update Preferences logic \n☞ Filter more devices for net speed indicator \n\nFull CHANGELOG can be found on github releases page \n\nFeatures \n1. Clean UI \n2. Adjustable Refresh rate \n3. Preferences to manage extension \n4. Vertical Alignment Support \n5. Two Icon sets for Indicators \n\nFeature Highlights for Preferences \n1. Lock Mouse Actions option \n2. Advance Position options to pinpoint where to place the indicator on the Panel. \n3. Refresh time option by which you can change refresh rate value between 1.0 sec to 10.0 sec. \n4. Show Upload First option to show upload speed first \n5. Color Customizations for speed indicators \n6. Hide when Disconnected option \n7. Use Shorten Units option \n8. Limit Unit option and more... \n\nModes \n- Total net speed in b/s, kb/s, ... \n- Total net speed in B/s, KB/s, ... \n- Up & down speed in b/s, kb/s, ... \n- Up & down speed in B/s, KB/s, ... \n- Total downloads in B, KB, ... (Right click to reset counter) \n\nMouse Events \n- Left click to change modes \n- Right click(in 1-4 modes): Toggle the visibility of total loaded. \n- Right click(in 5th mode): Reset total downloaded. \n- Right Click(Four consecutive times): Toggle through horizontal/vertical alignment. \n- Middle click: Cycle through the font sizes.", "link": "https://extensions.gnome.org/extension/3724/net-speed-simplified/", "shell_version_map": {"38": {"version": "33", "sha256": "146p9vbwdp1d9h36jsvi6gkyklig06ic6gswln5cn5rck3w6m7bd", "metadata": "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"}, "40": {"version": "33", "sha256": "146p9vbwdp1d9h36jsvi6gkyklig06ic6gswln5cn5rck3w6m7bd", "metadata": "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"}}}
, {"uuid": "cpupower-governors@icar.github.com", "name": "CPU Power Governor", "pname": "cpu-power-governor", "description": "Enables the ability to swap between kernel governors for the CPU useful for laptops.\n\nRequires: polkit, cpupower\nGithub: https://github.com/juxuanu/cpupower-governors", "link": "https://extensions.gnome.org/extension/3727/cpu-power-governor/", "shell_version_map": {"38": {"version": "2", "sha256": "1hb239w4cpz6yzs3pzd3hhrwswh6w5c5xw6dqn57m26cazh843qk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZXMgdGhlIGFiaWxpdHkgdG8gc3dhcCBiZXR3ZWVuIGtlcm5lbCBnb3Zlcm5vcnMgZm9yIHRoZSBDUFUgdXNlZnVsIGZvciBsYXB0b3BzLlxuXG5SZXF1aXJlczogcG9sa2l0LCBjcHVwb3dlclxuR2l0aHViOiBodHRwczovL2dpdGh1Yi5jb20vanV4dWFudS9jcHVwb3dlci1nb3Zlcm5vcnMiLAogICJuYW1lIjogIkNQVSBQb3dlciBHb3Zlcm5vciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJjcHVwb3dlci1nb3Zlcm5vcnNAaWNhci5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
-, {"uuid": "floating-dock@nandoferreira_prof@hotmail.com", "name": "Floating Dock", "pname": "floating-dock", "description": "A Custom dash to dock fork, now you can change the margin and border radius of the dock.", "link": "https://extensions.gnome.org/extension/3730/floating-dock/", "shell_version_map": {"38": {"version": "1", "sha256": "1fsbj9v1j483x1dl40bbrfl88d2m00mryrl05s67cjd4mak5dm8z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgQ3VzdG9tIGRhc2ggdG8gZG9jayBmb3JrLCBub3cgeW91IGNhbiBjaGFuZ2UgdGhlIG1hcmdpbiBhbmQgYm9yZGVyIHJhZGl1cyBvZiB0aGUgZG9jay4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmbG9hdGluZ2RvY2siLAogICJuYW1lIjogIkZsb2F0aW5nIERvY2siLAogICJvcmlnaW5hbC1hdXRob3IiOiAibmFuZG9mZXJyZWlyYV9wcm9mQGhvdG1haWwuY29tIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZmVyLW1vcmVpcmEvZmxvYXRpbmctZG9jayIsCiAgInV1aWQiOiAiZmxvYXRpbmctZG9ja0BuYW5kb2ZlcnJlaXJhX3Byb2ZAaG90bWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}}}
-, {"uuid": "tiling-assistant@leleat-on-github", "name": "Tiling Assistant", "pname": "tiling-assistant", "description": "An extension which adds a Windows-like snap assist to GNOME. It also expands GNOME's 2 column tiling design and adds more features. Check out the settings on how use this extension or on how to get help.", "link": "https://extensions.gnome.org/extension/3733/tiling-assistant/", "shell_version_map": {"38": {"version": "20", "sha256": "09l1qhzqndjfaq8j5028pisw7qkhqv2f4qbz579q3s2snsknixxq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGV4dGVuc2lvbiB3aGljaCBhZGRzIGEgV2luZG93cy1saWtlIHNuYXAgYXNzaXN0IHRvIEdOT01FLiBJdCBhbHNvIGV4cGFuZHMgR05PTUUncyAyIGNvbHVtbiB0aWxpbmcgZGVzaWduIGFuZCBhZGRzIG1vcmUgZmVhdHVyZXMuIENoZWNrIG91dCB0aGUgc2V0dGluZ3Mgb24gaG93IHVzZSB0aGlzIGV4dGVuc2lvbiBvciBvbiBob3cgdG8gZ2V0IGhlbHAuIiwKICAibmFtZSI6ICJUaWxpbmcgQXNzaXN0YW50IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0xlbGVhdC9UaWxpbmctQXNzaXN0YW50IiwKICAidXVpZCI6ICJ0aWxpbmctYXNzaXN0YW50QGxlbGVhdC1vbi1naXRodWIiLAogICJ2ZXJzaW9uIjogMjAKfQ=="}, "40": {"version": "20", "sha256": "09l1qhzqndjfaq8j5028pisw7qkhqv2f4qbz579q3s2snsknixxq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGV4dGVuc2lvbiB3aGljaCBhZGRzIGEgV2luZG93cy1saWtlIHNuYXAgYXNzaXN0IHRvIEdOT01FLiBJdCBhbHNvIGV4cGFuZHMgR05PTUUncyAyIGNvbHVtbiB0aWxpbmcgZGVzaWduIGFuZCBhZGRzIG1vcmUgZmVhdHVyZXMuIENoZWNrIG91dCB0aGUgc2V0dGluZ3Mgb24gaG93IHVzZSB0aGlzIGV4dGVuc2lvbiBvciBvbiBob3cgdG8gZ2V0IGhlbHAuIiwKICAibmFtZSI6ICJUaWxpbmcgQXNzaXN0YW50IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0xlbGVhdC9UaWxpbmctQXNzaXN0YW50IiwKICAidXVpZCI6ICJ0aWxpbmctYXNzaXN0YW50QGxlbGVhdC1vbi1naXRodWIiLAogICJ2ZXJzaW9uIjogMjAKfQ=="}}}
+, {"uuid": "floating-dock@nandoferreira_prof@hotmail.com", "name": "Floating Dock", "pname": "floating-dock", "description": "A Custom dash to dock fork, now you can change the margin and border radius of the dock. Now fully working in GNOME 40", "link": "https://extensions.gnome.org/extension/3730/floating-dock/", "shell_version_map": {"38": {"version": "1", "sha256": "14qb8avkdlllwq2hk827a91j94qrr2q0mf0m09hqds3na81ay2k2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgQ3VzdG9tIGRhc2ggdG8gZG9jayBmb3JrLCBub3cgeW91IGNhbiBjaGFuZ2UgdGhlIG1hcmdpbiBhbmQgYm9yZGVyIHJhZGl1cyBvZiB0aGUgZG9jay4gTm93IGZ1bGx5IHdvcmtpbmcgaW4gR05PTUUgNDAiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmbG9hdGluZ2RvY2siLAogICJuYW1lIjogIkZsb2F0aW5nIERvY2siLAogICJvcmlnaW5hbC1hdXRob3IiOiAibmFuZG9mZXJyZWlyYV9wcm9mQGhvdG1haWwuY29tIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZmVyLW1vcmVpcmEvZmxvYXRpbmctZG9jayIsCiAgInV1aWQiOiAiZmxvYXRpbmctZG9ja0BuYW5kb2ZlcnJlaXJhX3Byb2ZAaG90bWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}, "40": {"version": "2", "sha256": "05i6l52y7svprkjpg84w8f15xhcys8g1bhyiz0f28x846bvp1kss", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgQ3VzdG9tIGRhc2ggdG8gZG9jayBmb3JrLCBub3cgeW91IGNhbiBjaGFuZ2UgdGhlIG1hcmdpbiBhbmQgYm9yZGVyIHJhZGl1cyBvZiB0aGUgZG9jay4gTm93IGZ1bGx5IHdvcmtpbmcgaW4gR05PTUUgNDAiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmbG9hdGluZ2RvY2siLAogICJuYW1lIjogIkZsb2F0aW5nIERvY2siLAogICJvcmlnaW5hbC1hdXRob3IiOiAibWljeGd4QGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZmVyLW1vcmVpcmEvZmxvYXRpbmctZG9jayIsCiAgInV1aWQiOiAiZmxvYXRpbmctZG9ja0BuYW5kb2ZlcnJlaXJhX3Byb2ZAaG90bWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMgp9"}}}
+, {"uuid": "tiling-assistant@leleat-on-github", "name": "Tiling Assistant", "pname": "tiling-assistant", "description": "Expand GNOME's 2 column tiling and add a Windows-snap-assist-inspired popup...", "link": "https://extensions.gnome.org/extension/3733/tiling-assistant/", "shell_version_map": {"38": {"version": "23", "sha256": "1b9hpll26ggwhw4f52wgflzjfqksmyfy5wyg1rpz41lr1dmva8vk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkV4cGFuZCBHTk9NRSdzIDIgY29sdW1uIHRpbGluZyBhbmQgYWRkIGEgV2luZG93cy1zbmFwLWFzc2lzdC1pbnNwaXJlZCBwb3B1cC4uLiIsCiAgIm5hbWUiOiAiVGlsaW5nIEFzc2lzdGFudCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTGVsZWF0L1RpbGluZy1Bc3Npc3RhbnQiLAogICJ1dWlkIjogInRpbGluZy1hc3Npc3RhbnRAbGVsZWF0LW9uLWdpdGh1YiIsCiAgInZlcnNpb24iOiAyMwp9"}, "40": {"version": "26", "sha256": "1a7blmsljvqnabig1bzb00w04bpmszk9jynx97477df1fv65y850", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkV4cGFuZCBHTk9NRSdzIDIgY29sdW1uIHRpbGluZyBhbmQgYWRkIGEgV2luZG93cy1zbmFwLWFzc2lzdC1pbnNwaXJlZCBwb3B1cC4uLiIsCiAgIm5hbWUiOiAiVGlsaW5nIEFzc2lzdGFudCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50aWxpbmctYXNzaXN0YW50IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9MZWxlYXQvVGlsaW5nLUFzc2lzdGFudCIsCiAgInV1aWQiOiAidGlsaW5nLWFzc2lzdGFudEBsZWxlYXQtb24tZ2l0aHViIiwKICAidmVyc2lvbiI6IDI2Cn0="}}}
, {"uuid": "airpods-battery-status@ju.wtf", "name": "Airpods Battery status", "pname": "airpods-battery-status", "description": "Show Airpods battery level in top bar\n\n/!\\ See requirements on repository page", "link": "https://extensions.gnome.org/extension/3736/airpods-battery-status/", "shell_version_map": {"38": {"version": "5", "sha256": "0m68va2yh1cs91wb9c1yzdxj9pr3abj5jsx9q05cyiibd2zmbl92", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgQWlycG9kcyBiYXR0ZXJ5IGxldmVsIGluIHRvcCBiYXJcblxuLyFcXCBTZWUgcmVxdWlyZW1lbnRzIG9uIHJlcG9zaXRvcnkgcGFnZSIsCiAgIm5hbWUiOiAiQWlycG9kcyBCYXR0ZXJ5IHN0YXR1cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2RlbHBoaWtpL2dub21lLWFpcnBvZHMtYmF0dGVyeS1zdGF0dXMiLAogICJ1dWlkIjogImFpcnBvZHMtYmF0dGVyeS1zdGF0dXNAanUud3RmIiwKICAidmVyc2lvbiI6IDUKfQ=="}, "40": {"version": "5", "sha256": "0m68va2yh1cs91wb9c1yzdxj9pr3abj5jsx9q05cyiibd2zmbl92", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgQWlycG9kcyBiYXR0ZXJ5IGxldmVsIGluIHRvcCBiYXJcblxuLyFcXCBTZWUgcmVxdWlyZW1lbnRzIG9uIHJlcG9zaXRvcnkgcGFnZSIsCiAgIm5hbWUiOiAiQWlycG9kcyBCYXR0ZXJ5IHN0YXR1cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2RlbHBoaWtpL2dub21lLWFpcnBvZHMtYmF0dGVyeS1zdGF0dXMiLAogICJ1dWlkIjogImFpcnBvZHMtYmF0dGVyeS1zdGF0dXNAanUud3RmIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
-, {"uuid": "hue-lights@chlumskyvaclav.gmail.com", "name": "Hue Lights", "pname": "hue-lights", "description": "This extension controls Philips Hue compatible lights using Philips Hue Bridge on your local network.\nIf you experiencing an error on the upgrade, please log out and log in again.", "link": "https://extensions.gnome.org/extension/3737/hue-lights/", "shell_version_map": {"38": {"version": "12", "sha256": "16wklxqmc85x9il9ry8p4pwi6cl09k3ssv1pbdzryqr8didh21gk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNvbnRyb2xzIFBoaWxpcHMgSHVlIGNvbXBhdGlibGUgbGlnaHRzIHVzaW5nIFBoaWxpcHMgSHVlIEJyaWRnZSBvbiB5b3VyIGxvY2FsIG5ldHdvcmsuXG5JZiB5b3UgZXhwZXJpZW5jaW5nIGFuIGVycm9yIG9uIHRoZSB1cGdyYWRlLCBwbGVhc2UgbG9nIG91dCBhbmQgbG9nIGluIGFnYWluLiIsCiAgImdldHRleHQtZG9tYWluIjogImh1ZS1saWdodHMiLAogICJuYW1lIjogIkh1ZSBMaWdodHMiLAogICJvcmlnaW5hbC1hdXRob3IiOiAiY2hsdW1za3l2YWNsYXZAZ21haWwuY29tIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3ZjaGx1bS9odWUtbGlnaHRzIiwKICAidXVpZCI6ICJodWUtbGlnaHRzQGNobHVtc2t5dmFjbGF2LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAxMgp9"}, "40": {"version": "12", "sha256": "16wklxqmc85x9il9ry8p4pwi6cl09k3ssv1pbdzryqr8didh21gk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNvbnRyb2xzIFBoaWxpcHMgSHVlIGNvbXBhdGlibGUgbGlnaHRzIHVzaW5nIFBoaWxpcHMgSHVlIEJyaWRnZSBvbiB5b3VyIGxvY2FsIG5ldHdvcmsuXG5JZiB5b3UgZXhwZXJpZW5jaW5nIGFuIGVycm9yIG9uIHRoZSB1cGdyYWRlLCBwbGVhc2UgbG9nIG91dCBhbmQgbG9nIGluIGFnYWluLiIsCiAgImdldHRleHQtZG9tYWluIjogImh1ZS1saWdodHMiLAogICJuYW1lIjogIkh1ZSBMaWdodHMiLAogICJvcmlnaW5hbC1hdXRob3IiOiAiY2hsdW1za3l2YWNsYXZAZ21haWwuY29tIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3ZjaGx1bS9odWUtbGlnaHRzIiwKICAidXVpZCI6ICJodWUtbGlnaHRzQGNobHVtc2t5dmFjbGF2LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAxMgp9"}}}
-, {"uuid": "compiz-alike-magic-lamp-effect@hermes83.github.com", "name": "Compiz alike magic lamp effect", "pname": "compiz-alike-magic-lamp-effect", "description": "Magic lamp effect inspired by the Compiz ones\n\nNB:\nIn case of update error please restart Gnome Shell (on Xorg press ALT+F2 then write r and press enter, on Wayland end the session and log in again)", "link": "https://extensions.gnome.org/extension/3740/compiz-alike-magic-lamp-effect/", "shell_version_map": {"38": {"version": "9", "sha256": "1nw527afjrw8bqjrgbj99klcq9x6755iz2frkzsc5mn8pmcd3y73", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hZ2ljIGxhbXAgZWZmZWN0IGluc3BpcmVkIGJ5IHRoZSBDb21waXogb25lc1xuXG5OQjpcbkluIGNhc2Ugb2YgdXBkYXRlIGVycm9yIHBsZWFzZSByZXN0YXJ0IEdub21lIFNoZWxsIChvbiBYb3JnIHByZXNzIEFMVCtGMiB0aGVuIHdyaXRlIHIgYW5kIHByZXNzIGVudGVyLCBvbiBXYXlsYW5kIGVuZCB0aGUgc2Vzc2lvbiBhbmQgbG9nIGluIGFnYWluKSIsCiAgIm5hbWUiOiAiQ29tcGl6IGFsaWtlIG1hZ2ljIGxhbXAgZWZmZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hlcm1lczgzL2NvbXBpei1hbGlrZS1tYWdpYy1sYW1wLWVmZmVjdCIsCiAgInV1aWQiOiAiY29tcGl6LWFsaWtlLW1hZ2ljLWxhbXAtZWZmZWN0QGhlcm1lczgzLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogOQp9"}, "40": {"version": "9", "sha256": "1nw527afjrw8bqjrgbj99klcq9x6755iz2frkzsc5mn8pmcd3y73", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hZ2ljIGxhbXAgZWZmZWN0IGluc3BpcmVkIGJ5IHRoZSBDb21waXogb25lc1xuXG5OQjpcbkluIGNhc2Ugb2YgdXBkYXRlIGVycm9yIHBsZWFzZSByZXN0YXJ0IEdub21lIFNoZWxsIChvbiBYb3JnIHByZXNzIEFMVCtGMiB0aGVuIHdyaXRlIHIgYW5kIHByZXNzIGVudGVyLCBvbiBXYXlsYW5kIGVuZCB0aGUgc2Vzc2lvbiBhbmQgbG9nIGluIGFnYWluKSIsCiAgIm5hbWUiOiAiQ29tcGl6IGFsaWtlIG1hZ2ljIGxhbXAgZWZmZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hlcm1lczgzL2NvbXBpei1hbGlrZS1tYWdpYy1sYW1wLWVmZmVjdCIsCiAgInV1aWQiOiAiY29tcGl6LWFsaWtlLW1hZ2ljLWxhbXAtZWZmZWN0QGhlcm1lczgzLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogOQp9"}}}
+, {"uuid": "hue-lights@chlumskyvaclav.gmail.com", "name": "Hue Lights", "pname": "hue-lights", "description": "This extension controls Philips Hue compatible lights using Philips Hue Bridge on your local network, it also allows controlling Philips Hue Sync Box. If you are experiencing an error on the upgrade, please log out and log in again.", "link": "https://extensions.gnome.org/extension/3737/hue-lights/", "shell_version_map": {"38": {"version": "16", "sha256": "01z0ddq6f1ab6wzw25x6i3150gdfgiqc47srj1kdk8gapi3kzb2y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNvbnRyb2xzIFBoaWxpcHMgSHVlIGNvbXBhdGlibGUgbGlnaHRzIHVzaW5nIFBoaWxpcHMgSHVlIEJyaWRnZSBvbiB5b3VyIGxvY2FsIG5ldHdvcmssIGl0IGFsc28gYWxsb3dzIGNvbnRyb2xsaW5nIFBoaWxpcHMgSHVlIFN5bmMgQm94LiBJZiB5b3UgYXJlIGV4cGVyaWVuY2luZyBhbiBlcnJvciBvbiB0aGUgdXBncmFkZSwgcGxlYXNlIGxvZyBvdXQgYW5kIGxvZyBpbiBhZ2Fpbi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJodWUtbGlnaHRzIiwKICAibmFtZSI6ICJIdWUgTGlnaHRzIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImNobHVtc2t5dmFjbGF2QGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdmNobHVtL2h1ZS1saWdodHMiLAogICJ1dWlkIjogImh1ZS1saWdodHNAY2hsdW1za3l2YWNsYXYuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDE2Cn0="}, "40": {"version": "16", "sha256": "01z0ddq6f1ab6wzw25x6i3150gdfgiqc47srj1kdk8gapi3kzb2y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNvbnRyb2xzIFBoaWxpcHMgSHVlIGNvbXBhdGlibGUgbGlnaHRzIHVzaW5nIFBoaWxpcHMgSHVlIEJyaWRnZSBvbiB5b3VyIGxvY2FsIG5ldHdvcmssIGl0IGFsc28gYWxsb3dzIGNvbnRyb2xsaW5nIFBoaWxpcHMgSHVlIFN5bmMgQm94LiBJZiB5b3UgYXJlIGV4cGVyaWVuY2luZyBhbiBlcnJvciBvbiB0aGUgdXBncmFkZSwgcGxlYXNlIGxvZyBvdXQgYW5kIGxvZyBpbiBhZ2Fpbi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJodWUtbGlnaHRzIiwKICAibmFtZSI6ICJIdWUgTGlnaHRzIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImNobHVtc2t5dmFjbGF2QGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdmNobHVtL2h1ZS1saWdodHMiLAogICJ1dWlkIjogImh1ZS1saWdodHNAY2hsdW1za3l2YWNsYXYuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDE2Cn0="}}}
+, {"uuid": "compiz-alike-magic-lamp-effect@hermes83.github.com", "name": "Compiz alike magic lamp effect", "pname": "compiz-alike-magic-lamp-effect", "description": "Magic lamp effect inspired by the Compiz ones\n\nNB:\nIn case of update error please restart Gnome Shell (on Xorg press ALT+F2 then write r and press enter, on Wayland end the session and log in again)", "link": "https://extensions.gnome.org/extension/3740/compiz-alike-magic-lamp-effect/", "shell_version_map": {"38": {"version": "10", "sha256": "1mkykm2ql888bksgw94wgvwfqlqnzr19rn629a4k6hph4b5rw5sf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hZ2ljIGxhbXAgZWZmZWN0IGluc3BpcmVkIGJ5IHRoZSBDb21waXogb25lc1xuXG5OQjpcbkluIGNhc2Ugb2YgdXBkYXRlIGVycm9yIHBsZWFzZSByZXN0YXJ0IEdub21lIFNoZWxsIChvbiBYb3JnIHByZXNzIEFMVCtGMiB0aGVuIHdyaXRlIHIgYW5kIHByZXNzIGVudGVyLCBvbiBXYXlsYW5kIGVuZCB0aGUgc2Vzc2lvbiBhbmQgbG9nIGluIGFnYWluKSIsCiAgIm5hbWUiOiAiQ29tcGl6IGFsaWtlIG1hZ2ljIGxhbXAgZWZmZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9oZXJtZXM4My9jb21waXotYWxpa2UtbWFnaWMtbGFtcC1lZmZlY3QiLAogICJ1dWlkIjogImNvbXBpei1hbGlrZS1tYWdpYy1sYW1wLWVmZmVjdEBoZXJtZXM4My5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEwCn0="}, "40": {"version": "10", "sha256": "1mkykm2ql888bksgw94wgvwfqlqnzr19rn629a4k6hph4b5rw5sf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hZ2ljIGxhbXAgZWZmZWN0IGluc3BpcmVkIGJ5IHRoZSBDb21waXogb25lc1xuXG5OQjpcbkluIGNhc2Ugb2YgdXBkYXRlIGVycm9yIHBsZWFzZSByZXN0YXJ0IEdub21lIFNoZWxsIChvbiBYb3JnIHByZXNzIEFMVCtGMiB0aGVuIHdyaXRlIHIgYW5kIHByZXNzIGVudGVyLCBvbiBXYXlsYW5kIGVuZCB0aGUgc2Vzc2lvbiBhbmQgbG9nIGluIGFnYWluKSIsCiAgIm5hbWUiOiAiQ29tcGl6IGFsaWtlIG1hZ2ljIGxhbXAgZWZmZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9oZXJtZXM4My9jb21waXotYWxpa2UtbWFnaWMtbGFtcC1lZmZlY3QiLAogICJ1dWlkIjogImNvbXBpei1hbGlrZS1tYWdpYy1sYW1wLWVmZmVjdEBoZXJtZXM4My5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEwCn0="}}}
, {"uuid": "latency-monitor@gitlab.labsatho.me", "name": "Latency Monitor", "pname": "latency-monitor", "description": "A simple extension for displaying latency information using pings in GNOME Shell.", "link": "https://extensions.gnome.org/extension/3746/latency-monitor/", "shell_version_map": {"38": {"version": "6", "sha256": "0k2y1qrq7irkn2c72pk4c5x4fwzaxkfp3jj7qvhzih6zmkifdzcd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc2ltcGxlIGV4dGVuc2lvbiBmb3IgZGlzcGxheWluZyBsYXRlbmN5IGluZm9ybWF0aW9uIHVzaW5nIHBpbmdzIGluIEdOT01FIFNoZWxsLiIsCiAgIm5hbWUiOiAiTGF0ZW5jeSBNb25pdG9yIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmxhdGVuY3ktbW9uaXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL3dhbGthZndhbGthL2dub21lLXNoZWxsLWV4dGVuc2lvbi1sYXRlbmN5LW1vbml0b3IiLAogICJ1dWlkIjogImxhdGVuY3ktbW9uaXRvckBnaXRsYWIubGFic2F0aG8ubWUiLAogICJ2ZXJzaW9uIjogNgp9"}}}
, {"uuid": "the-circles-widget@xenlism.github.io", "name": "The Circles - Desktop Widget", "pname": "the-circles-desktop-widget", "description": "Show System Infomations on Desktop as Circles Desktop Widget\n\nmore info \nhttps://www.linuxuprising.com/2020/11/display-clock-ram-and-cpu-usage-as.html", "link": "https://extensions.gnome.org/extension/3748/the-circles-desktop-widget/", "shell_version_map": {"38": {"version": "6", "sha256": "0kxync9gdjgcfq3vfhf5z0065n30jw5y5jl00hdgarsh4pkbji04", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgU3lzdGVtIEluZm9tYXRpb25zIG9uIERlc2t0b3AgYXMgQ2lyY2xlcyBEZXNrdG9wIFdpZGdldFxuXG5tb3JlIGluZm8gXG5odHRwczovL3d3dy5saW51eHVwcmlzaW5nLmNvbS8yMDIwLzExL2Rpc3BsYXktY2xvY2stcmFtLWFuZC1jcHUtdXNhZ2UtYXMuaHRtbCIsCiAgImV4dGVuc2lvbi1pZCI6ICJ0aGUtY2lyY2xlcy13aWRnZXQiLAogICJuYW1lIjogIlRoZSBDaXJjbGVzIC0gRGVza3RvcCBXaWRnZXQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudGhlLWNpcmNsZXMtd2lkZ2V0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20veGVubGlzbS9zaG93dGltZSIsCiAgInV1aWQiOiAidGhlLWNpcmNsZXMtd2lkZ2V0QHhlbmxpc20uZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDYKfQ=="}, "40": {"version": "12", "sha256": "0ngn00y97dqv667z47xahfv53dlb2asm0jbk9harlv4516jdrg0s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgU3lzdGVtIEluZm9tYXRpb25zIG9uIERlc2t0b3AgYXMgQ2lyY2xlcyBEZXNrdG9wIFdpZGdldFxuXG5tb3JlIGluZm8gXG5odHRwczovL3d3dy5saW51eHVwcmlzaW5nLmNvbS8yMDIwLzExL2Rpc3BsYXktY2xvY2stcmFtLWFuZC1jcHUtdXNhZ2UtYXMuaHRtbCIsCiAgImV4dGVuc2lvbi1pZCI6ICJ0aGUtY2lyY2xlcy13aWRnZXQiLAogICJuYW1lIjogIlRoZSBDaXJjbGVzIC0gRGVza3RvcCBXaWRnZXQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudGhlLWNpcmNsZXMtd2lkZ2V0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3hlbmxpc20vc2hvd3RpbWUiLAogICJ1dWlkIjogInRoZS1jaXJjbGVzLXdpZGdldEB4ZW5saXNtLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxMgp9"}}}
, {"uuid": "overview_cleaner@gonza.com", "name": "Cleaner Overview", "pname": "cleaner-overview", "description": "Makes all the windows in the overview the same height and orders them by last recent used.", "link": "https://extensions.gnome.org/extension/3759/cleaner-overview/", "shell_version_map": {"38": {"version": "2", "sha256": "0k1q3b0x83ygjlysp39pv2i076zrhsxdlzf7yg3dq76nk98q3l2n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIGFsbCB0aGUgd2luZG93cyBpbiB0aGUgb3ZlcnZpZXcgdGhlIHNhbWUgaGVpZ2h0IGFuZCBvcmRlcnMgdGhlbSBieSBsYXN0IHJlY2VudCB1c2VkLiIsCiAgIm5hbWUiOiAiQ2xlYW5lciBPdmVydmlldyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9nb256YWFyY3IvdG91Y2hwYWQtd2luZG93LXN3aXRjaGVyLWdub21lLWV4dC9ibG9iL21hc3Rlci90b3VjaHBhZF93aW5kb3dfc3dpdGNoZXIlNDBnb256YS5jb20vb3ZlcnZpZXdDbGVhbmVyLmpzIiwKICAidXVpZCI6ICJvdmVydmlld19jbGVhbmVyQGdvbnphLmNvbSIsCiAgInZlcnNpb24iOiAyCn0="}, "40": {"version": "2", "sha256": "0k1q3b0x83ygjlysp39pv2i076zrhsxdlzf7yg3dq76nk98q3l2n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIGFsbCB0aGUgd2luZG93cyBpbiB0aGUgb3ZlcnZpZXcgdGhlIHNhbWUgaGVpZ2h0IGFuZCBvcmRlcnMgdGhlbSBieSBsYXN0IHJlY2VudCB1c2VkLiIsCiAgIm5hbWUiOiAiQ2xlYW5lciBPdmVydmlldyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9nb256YWFyY3IvdG91Y2hwYWQtd2luZG93LXN3aXRjaGVyLWdub21lLWV4dC9ibG9iL21hc3Rlci90b3VjaHBhZF93aW5kb3dfc3dpdGNoZXIlNDBnb256YS5jb20vb3ZlcnZpZXdDbGVhbmVyLmpzIiwKICAidXVpZCI6ICJvdmVydmlld19jbGVhbmVyQGdvbnphLmNvbSIsCiAgInZlcnNpb24iOiAyCn0="}}}
+, {"uuid": "battery-status@atareao.es", "name": "Battery Status", "pname": "battery-status", "description": "Get information about your battery status", "link": "https://extensions.gnome.org/extension/3763/battery-status/", "shell_version_map": {"40": {"version": "5", "sha256": "0mg30q1cgzmf70ikbm623fflhz392xkan0d8cpslnmkgx0z6nczv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdldCBpbmZvcm1hdGlvbiBhYm91dCB5b3VyIGJhdHRlcnkgc3RhdHVzIiwKICAiZXh0ZW5zaW9uLWlkIjogImJhdHRlcnktc3RhdHVzQGF0YXJlYW8uZXMiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJiYXR0ZXJ5LXN0YXR1c0BhdGFyZWFvLmVzIiwKICAiaWNvbiI6ICJiYXR0ZXJ5LXN0YXR1cy1pY29uIiwKICAibmFtZSI6ICJCYXR0ZXJ5IFN0YXR1cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJlcy5hdGFyZWFvLmJhdHRlcnktc3RhdHVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2F0YXJlYW8vYmF0dGVyeS1zdGF0dXMiLAogICJ1dWlkIjogImJhdHRlcnktc3RhdHVzQGF0YXJlYW8uZXMiLAogICJ2ZXJzaW9uIjogNQp9"}}}
, {"uuid": "distinct@sireliah.com", "name": "Distinct Windows", "pname": "distinct-windows", "description": "Visually differentiate windows with colors and symbols", "link": "https://extensions.gnome.org/extension/3769/distinct-windows/", "shell_version_map": {"38": {"version": "4", "sha256": "1iqga92l9mk3ykf8bdy9igvqfx9k78jasdmqsrrz9zcz33d7k4h7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpc3VhbGx5IGRpZmZlcmVudGlhdGUgd2luZG93cyB3aXRoIGNvbG9ycyBhbmQgc3ltYm9scyIsCiAgIm5hbWUiOiAiRGlzdGluY3QgV2luZG93cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NpcmVsaWFoL2Rpc3RpbmN0LXdpbmRvd3MiLAogICJ1dWlkIjogImRpc3RpbmN0QHNpcmVsaWFoLmNvbSIsCiAgInZlcnNpb24iOiA0Cn0="}}}
, {"uuid": "miniCal2@breiq", "name": "Minimalist Calendar 2", "pname": "minimalist-calendar-2", "description": "Remove event list and clock/calendar app buttons from the calendar window.", "link": "https://extensions.gnome.org/extension/3775/minimalist-calendar-2/", "shell_version_map": {"38": {"version": "1", "sha256": "1nh10ik3zk3r4jr31mr8nw8nnamgj3mk1f3im06657wv18x9wvam", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSBldmVudCBsaXN0IGFuZCBjbG9jay9jYWxlbmRhciBhcHAgYnV0dG9ucyBmcm9tIHRoZSBjYWxlbmRhciB3aW5kb3cuIiwKICAibmFtZSI6ICJNaW5pbWFsaXN0IENhbGVuZGFyIDIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAibWluaUNhbDJAYnJlaXEiLAogICJ2ZXJzaW9uIjogMQp9"}}}
-, {"uuid": "ddterm@amezin.github.com", "name": "ddterm", "pname": "ddterm", "description": "Another drop down terminal extension for GNOME Shell. With tabs. Works on Wayland natively.\n\nPlease use GitHub (\"Extension Homepage\") to report issues or request features.", "link": "https://extensions.gnome.org/extension/3780/ddterm/", "shell_version_map": {"38": {"version": "16", "sha256": "1csn3x3ffm828469lgnm7imxa70zhjhndqmvvchjkx6iyjx4kjkc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseS5cblxuUGxlYXNlIHVzZSBHaXRIdWIgKFwiRXh0ZW5zaW9uIEhvbWVwYWdlXCIpIHRvIHJlcG9ydCBpc3N1ZXMgb3IgcmVxdWVzdCBmZWF0dXJlcy4iLAogICJuYW1lIjogImRkdGVybSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJjb20uZ2l0aHViLmFtZXppbi5kZHRlcm0iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYW1lemluL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxNgp9"}, "40": {"version": "16", "sha256": "1csn3x3ffm828469lgnm7imxa70zhjhndqmvvchjkx6iyjx4kjkc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseS5cblxuUGxlYXNlIHVzZSBHaXRIdWIgKFwiRXh0ZW5zaW9uIEhvbWVwYWdlXCIpIHRvIHJlcG9ydCBpc3N1ZXMgb3IgcmVxdWVzdCBmZWF0dXJlcy4iLAogICJuYW1lIjogImRkdGVybSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJjb20uZ2l0aHViLmFtZXppbi5kZHRlcm0iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYW1lemluL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxNgp9"}}}
+, {"uuid": "ddterm@amezin.github.com", "name": "ddterm", "pname": "ddterm", "description": "Another drop down terminal extension for GNOME Shell. With tabs. Works on Wayland natively", "link": "https://extensions.gnome.org/extension/3780/ddterm/", "shell_version_map": {"38": {"version": "21", "sha256": "09a1sa7fvq74zcpndrspcaxbn65lzpbm8z0gl9qvzix2xkhcgn6y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYW1lemluL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAyMQp9"}, "40": {"version": "21", "sha256": "09a1sa7fvq74zcpndrspcaxbn65lzpbm8z0gl9qvzix2xkhcgn6y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYW1lemluL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAyMQp9"}}}
, {"uuid": "favorites-only-dash@nahuelwexd.github.io", "name": "Favorites-only Dash", "pname": "favorites-only-dash", "description": "Show only favorite apps on Dash", "link": "https://extensions.gnome.org/extension/3789/favorites-only-dash/", "shell_version_map": {"38": {"version": "1", "sha256": "110h019563j33gksaq5fs0z71sz1mslq1sbsmhk9mj3qggd9vs65", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgb25seSBmYXZvcml0ZSBhcHBzIG9uIERhc2giLAogICJuYW1lIjogIkZhdm9yaXRlcy1vbmx5IERhc2giLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAiZmF2b3JpdGVzLW9ubHktZGFzaEBuYWh1ZWx3ZXhkLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxCn0="}}}
-, {"uuid": "notification-timeout@chlumskyvaclav.gmail.com", "name": "Notification Timeout", "pname": "notification-timeout", "description": "This extension allows configuring the same timeout for all notifications. It also allows ignoring the idle state.", "link": "https://extensions.gnome.org/extension/3795/notification-timeout/", "shell_version_map": {"38": {"version": "2", "sha256": "160cavym08xkvyvfgmch56pckd6h6lv9pf2lnm40xw0mgj0a8ad7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFsbG93cyBjb25maWd1cmluZyB0aGUgc2FtZSB0aW1lb3V0IGZvciBhbGwgbm90aWZpY2F0aW9ucy4gSXQgYWxzbyBhbGxvd3MgaWdub3JpbmcgdGhlIGlkbGUgc3RhdGUuIiwKICAibmFtZSI6ICJOb3RpZmljYXRpb24gVGltZW91dCIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJjaGx1bXNreXZhY2xhdkBnbWFpbC5jb20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92Y2hsdW0vbm90aWZpY2F0aW9uLXRpbWVvdXQiLAogICJ1dWlkIjogIm5vdGlmaWNhdGlvbi10aW1lb3V0QGNobHVtc2t5dmFjbGF2LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAyCn0="}}}
+, {"uuid": "notification-timeout@chlumskyvaclav.gmail.com", "name": "Notification Timeout", "pname": "notification-timeout", "description": "This extension allows configuring the same timeout for all notifications. It also allows ignoring the idle state.", "link": "https://extensions.gnome.org/extension/3795/notification-timeout/", "shell_version_map": {"38": {"version": "3", "sha256": "16cpl12jk90pw8nyvzara6fhb3jfiivf2qnvnsnrd237sjz344yw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFsbG93cyBjb25maWd1cmluZyB0aGUgc2FtZSB0aW1lb3V0IGZvciBhbGwgbm90aWZpY2F0aW9ucy4gSXQgYWxzbyBhbGxvd3MgaWdub3JpbmcgdGhlIGlkbGUgc3RhdGUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAibm90aWZpY2F0aW9uLXRpbWVvdXQiLAogICJuYW1lIjogIk5vdGlmaWNhdGlvbiBUaW1lb3V0IiwKICAib3JpZ2luYWwtYXV0aG9yIjogImNobHVtc2t5dmFjbGF2QGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92Y2hsdW0vbm90aWZpY2F0aW9uLXRpbWVvdXQiLAogICJ1dWlkIjogIm5vdGlmaWNhdGlvbi10aW1lb3V0QGNobHVtc2t5dmFjbGF2LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}, "40": {"version": "3", "sha256": "16cpl12jk90pw8nyvzara6fhb3jfiivf2qnvnsnrd237sjz344yw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFsbG93cyBjb25maWd1cmluZyB0aGUgc2FtZSB0aW1lb3V0IGZvciBhbGwgbm90aWZpY2F0aW9ucy4gSXQgYWxzbyBhbGxvd3MgaWdub3JpbmcgdGhlIGlkbGUgc3RhdGUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAibm90aWZpY2F0aW9uLXRpbWVvdXQiLAogICJuYW1lIjogIk5vdGlmaWNhdGlvbiBUaW1lb3V0IiwKICAib3JpZ2luYWwtYXV0aG9yIjogImNobHVtc2t5dmFjbGF2QGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92Y2hsdW0vbm90aWZpY2F0aW9uLXRpbWVvdXQiLAogICJ1dWlkIjogIm5vdGlmaWNhdGlvbi10aW1lb3V0QGNobHVtc2t5dmFjbGF2LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}}}
, {"uuid": "podman-as-docker@alberto.yomerengues.xyz", "name": "Podman and Docker", "pname": "podman-as-docker", "description": "podman extension as docker\nIn order to get it work on podman, you just need to create an alias\nSimply put: alias docker=podman\nand install podman-docker", "link": "https://extensions.gnome.org/extension/3799/podman-as-docker/", "shell_version_map": {"38": {"version": "1", "sha256": "18bkd6z5hm6zidh7xv8v3jvj36lmxzx4dar7nwa7nq3p51km6crz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogInBvZG1hbiBleHRlbnNpb24gYXMgZG9ja2VyXG5JbiBvcmRlciB0byBnZXQgaXQgd29yayBvbiBwb2RtYW4sIHlvdSBqdXN0IG5lZWQgdG8gY3JlYXRlIGFuIGFsaWFzXG5TaW1wbHkgcHV0OiBhbGlhcyBkb2NrZXI9cG9kbWFuXG5hbmQgaW5zdGFsbCBwb2RtYW4tZG9ja2VyIiwKICAibmFtZSI6ICJQb2RtYW4gYW5kIERvY2tlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJwb2RtYW4tYXMtZG9ja2VyQGFsYmVydG8ueW9tZXJlbmd1ZXMueHl6IiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
, {"uuid": "wacom-indicator@fthx", "name": "Wacom Indicator", "pname": "wacom-indicator", "description": "Wacom tablet indicator in the top bar: connection state and power level.\n\n On click: open GNOME Settings Wacom section. On hover or every minute: update connection state and power level.\n\n Settings (in extension.js file headers): show/hide model label, refresh delay, update/do nothing on indicator hover, show/hide if disconnected.", "link": "https://extensions.gnome.org/extension/3809/wacom-indicator/", "shell_version_map": {"38": {"version": "7", "sha256": "1sda66n1s3d28jd98xcznw5ljv10iwndpcbq7bfm5hqzrk8zimid", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldhY29tIHRhYmxldCBpbmRpY2F0b3IgaW4gdGhlIHRvcCBiYXI6IGNvbm5lY3Rpb24gc3RhdGUgYW5kIHBvd2VyIGxldmVsLlxuXG4gT24gY2xpY2s6IG9wZW4gR05PTUUgU2V0dGluZ3MgV2Fjb20gc2VjdGlvbi4gT24gaG92ZXIgb3IgZXZlcnkgbWludXRlOiB1cGRhdGUgY29ubmVjdGlvbiBzdGF0ZSBhbmQgcG93ZXIgbGV2ZWwuXG5cbiBTZXR0aW5ncyAoaW4gZXh0ZW5zaW9uLmpzIGZpbGUgaGVhZGVycyk6IHNob3cvaGlkZSBtb2RlbCBsYWJlbCwgcmVmcmVzaCBkZWxheSwgdXBkYXRlL2RvIG5vdGhpbmcgb24gaW5kaWNhdG9yIGhvdmVyLCBzaG93L2hpZGUgaWYgZGlzY29ubmVjdGVkLiIsCiAgIm5hbWUiOiAiV2Fjb20gSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvd2Fjb20taW5kaWNhdG9yIiwKICAidXVpZCI6ICJ3YWNvbS1pbmRpY2F0b3JAZnRoeCIsCiAgInZlcnNpb24iOiA3Cn0="}, "40": {"version": "7", "sha256": "1sda66n1s3d28jd98xcznw5ljv10iwndpcbq7bfm5hqzrk8zimid", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldhY29tIHRhYmxldCBpbmRpY2F0b3IgaW4gdGhlIHRvcCBiYXI6IGNvbm5lY3Rpb24gc3RhdGUgYW5kIHBvd2VyIGxldmVsLlxuXG4gT24gY2xpY2s6IG9wZW4gR05PTUUgU2V0dGluZ3MgV2Fjb20gc2VjdGlvbi4gT24gaG92ZXIgb3IgZXZlcnkgbWludXRlOiB1cGRhdGUgY29ubmVjdGlvbiBzdGF0ZSBhbmQgcG93ZXIgbGV2ZWwuXG5cbiBTZXR0aW5ncyAoaW4gZXh0ZW5zaW9uLmpzIGZpbGUgaGVhZGVycyk6IHNob3cvaGlkZSBtb2RlbCBsYWJlbCwgcmVmcmVzaCBkZWxheSwgdXBkYXRlL2RvIG5vdGhpbmcgb24gaW5kaWNhdG9yIGhvdmVyLCBzaG93L2hpZGUgaWYgZGlzY29ubmVjdGVkLiIsCiAgIm5hbWUiOiAiV2Fjb20gSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvd2Fjb20taW5kaWNhdG9yIiwKICAidXVpZCI6ICJ3YWNvbS1pbmRpY2F0b3JAZnRoeCIsCiAgInZlcnNpb24iOiA3Cn0="}}}
-, {"uuid": "hibernate@dafne.rocks", "name": "System Action - Hibernate", "pname": "system-action-hibernate", "description": "A GNOME extension that adds the option to hibernate amongst other system actions", "link": "https://extensions.gnome.org/extension/3814/system-action-hibernate/", "shell_version_map": {"38": {"version": "6", "sha256": "0j7xrkw830h34i6v5yxayk94nrfca3wvcm84z7l6jcfzsqp8d8qs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgZXh0ZW5zaW9uIHRoYXQgYWRkcyB0aGUgb3B0aW9uIHRvIGhpYmVybmF0ZSBhbW9uZ3N0IG90aGVyIHN5c3RlbSBhY3Rpb25zIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiaGliZXJuYXRlQGRhZm5lLnJvY2tzIiwKICAibmFtZSI6ICJTeXN0ZW0gQWN0aW9uIC0gSGliZXJuYXRlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2NvZGViZXJnLm9yZy9raXl1aS9nbm9tZS1zaGVsbC1oaWJlcm5hdGUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJoaWJlcm5hdGVAZGFmbmUucm9ja3MiLAogICJ2ZXJzaW9uIjogNgp9"}, "40": {"version": "6", "sha256": "0j7xrkw830h34i6v5yxayk94nrfca3wvcm84z7l6jcfzsqp8d8qs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgZXh0ZW5zaW9uIHRoYXQgYWRkcyB0aGUgb3B0aW9uIHRvIGhpYmVybmF0ZSBhbW9uZ3N0IG90aGVyIHN5c3RlbSBhY3Rpb25zIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiaGliZXJuYXRlQGRhZm5lLnJvY2tzIiwKICAibmFtZSI6ICJTeXN0ZW0gQWN0aW9uIC0gSGliZXJuYXRlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2NvZGViZXJnLm9yZy9raXl1aS9nbm9tZS1zaGVsbC1oaWJlcm5hdGUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJoaWJlcm5hdGVAZGFmbmUucm9ja3MiLAogICJ2ZXJzaW9uIjogNgp9"}}}
+, {"uuid": "hibernate@dafne.rocks", "name": "System Action - Hibernate", "pname": "system-action-hibernate", "description": "A GNOME extension that adds the option to hibernate amongst other system actions", "link": "https://extensions.gnome.org/extension/3814/system-action-hibernate/", "shell_version_map": {"38": {"version": "8", "sha256": "1rriknzx54f4wlfjm6wrcy9s86vchi5n3p3qp8lx52dsv36a22an", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgZXh0ZW5zaW9uIHRoYXQgYWRkcyB0aGUgb3B0aW9uIHRvIGhpYmVybmF0ZSBhbW9uZ3N0IG90aGVyIHN5c3RlbSBhY3Rpb25zIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiaGliZXJuYXRlQGRhZm5lLnJvY2tzIiwKICAibmFtZSI6ICJTeXN0ZW0gQWN0aW9uIC0gSGliZXJuYXRlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2NvZGViZXJnLm9yZy9raXl1aS9nbm9tZS1zaGVsbC1oaWJlcm5hdGUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJoaWJlcm5hdGVAZGFmbmUucm9ja3MiLAogICJ2ZXJzaW9uIjogOAp9"}, "40": {"version": "8", "sha256": "1rriknzx54f4wlfjm6wrcy9s86vchi5n3p3qp8lx52dsv36a22an", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR05PTUUgZXh0ZW5zaW9uIHRoYXQgYWRkcyB0aGUgb3B0aW9uIHRvIGhpYmVybmF0ZSBhbW9uZ3N0IG90aGVyIHN5c3RlbSBhY3Rpb25zIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiaGliZXJuYXRlQGRhZm5lLnJvY2tzIiwKICAibmFtZSI6ICJTeXN0ZW0gQWN0aW9uIC0gSGliZXJuYXRlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2NvZGViZXJnLm9yZy9raXl1aS9nbm9tZS1zaGVsbC1oaWJlcm5hdGUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJoaWJlcm5hdGVAZGFmbmUucm9ja3MiLAogICJ2ZXJzaW9uIjogOAp9"}}}
, {"uuid": "click-to-close-overview@l3nn4rt.github.io", "name": "Click to close overview", "pname": "click-to-close-overview", "description": "Allow to close the overview by clicking empty space.\n\nIf you have any problem, please open an issue on the extension web page.", "link": "https://extensions.gnome.org/extension/3826/click-to-close-overview/", "shell_version_map": {"38": {"version": "3", "sha256": "0y9xq7frbydjabw8y49nrj0r04z9rkd11xmsvj143sn82vq6vgcv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IHRvIGNsb3NlIHRoZSBvdmVydmlldyBieSBjbGlja2luZyBlbXB0eSBzcGFjZS5cblxuSWYgeW91IGhhdmUgYW55IHByb2JsZW0sIHBsZWFzZSBvcGVuIGFuIGlzc3VlIG9uIHRoZSBleHRlbnNpb24gd2ViIHBhZ2UuIiwKICAibmFtZSI6ICJDbGljayB0byBjbG9zZSBvdmVydmlldyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2wzbm40cnQvY2xpY2stdG8tY2xvc2Utb3ZlcnZpZXciLAogICJ1dWlkIjogImNsaWNrLXRvLWNsb3NlLW92ZXJ2aWV3QGwzbm40cnQuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
-, {"uuid": "just-perfection-desktop@just-perfection", "name": "Just Perfection", "pname": "just-perfection", "description": "Tweak GNOME Shell and Disable UI Elements\n\nThis extension allows you to do the following:\n\n# Visibility\n\n- OSD\n- Search\n- Dash\n- Workspace Switcher\n- Workspace Popup\n- Panel\n- Background Menu\n- Activities button\n- App Menu\n- Clock Menu\n- Keyboard Layout\n- Accessibility Menu\n- System Menu (Aggregate Menu)\n- Applications Button\n\n# Icons Visibility\n\n- App Menu Icon\n- Power Icon\n- Panel Notification icon\n- Window Picker Icon (Only GNOME 40.0)\n- Panel Arrow (Only GNOME 3.36 and 3.38)\n- Activities Button Icon\n\n# Behavior\n\n- Disable Type to Search\n- Hot Corner\n- App Gesture (Only GNOME 3.36 and 3.38)\n- Window Demands Attention Focus\n\n# Customize\n\n- Panel Position\n- Panel Round Corner Size\n- Clock Menu Position\n- Workspace Switcher Size (Only GNOME 40.0)\n- Animation Speed or Disable it\n- Dash Icon Size\n\n# Override\n\n- GNOME shell theme (You don't need to have user-theme-extension)", "link": "https://extensions.gnome.org/extension/3843/just-perfection/", "shell_version_map": {"38": {"version": "11", "sha256": "0qcs3g138ic756fddla5icgkhdn16sf6pz2z3hcqk5xr930464bm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlR3ZWFrIEdOT01FIFNoZWxsIGFuZCBEaXNhYmxlIFVJIEVsZW1lbnRzXG5cblRoaXMgZXh0ZW5zaW9uIGFsbG93cyB5b3UgdG8gZG8gdGhlIGZvbGxvd2luZzpcblxuIyBWaXNpYmlsaXR5XG5cbi0gT1NEXG4tIFNlYXJjaFxuLSBEYXNoXG4tIFdvcmtzcGFjZSBTd2l0Y2hlclxuLSBXb3Jrc3BhY2UgUG9wdXBcbi0gUGFuZWxcbi0gQmFja2dyb3VuZCBNZW51XG4tIEFjdGl2aXRpZXMgYnV0dG9uXG4tIEFwcCBNZW51XG4tIENsb2NrIE1lbnVcbi0gS2V5Ym9hcmQgTGF5b3V0XG4tIEFjY2Vzc2liaWxpdHkgTWVudVxuLSBTeXN0ZW0gTWVudSAoQWdncmVnYXRlIE1lbnUpXG4tIEFwcGxpY2F0aW9ucyBCdXR0b25cblxuIyBJY29ucyBWaXNpYmlsaXR5XG5cbi0gQXBwIE1lbnUgSWNvblxuLSBQb3dlciBJY29uXG4tIFBhbmVsIE5vdGlmaWNhdGlvbiBpY29uXG4tIFdpbmRvdyBQaWNrZXIgSWNvbiAoT25seSBHTk9NRSA0MC4wKVxuLSBQYW5lbCBBcnJvdyAoT25seSBHTk9NRSAzLjM2IGFuZCAzLjM4KVxuLSBBY3Rpdml0aWVzIEJ1dHRvbiBJY29uXG5cbiMgQmVoYXZpb3JcblxuLSBEaXNhYmxlIFR5cGUgdG8gU2VhcmNoXG4tIEhvdCBDb3JuZXJcbi0gQXBwIEdlc3R1cmUgKE9ubHkgR05PTUUgMy4zNiBhbmQgMy4zOClcbi0gV2luZG93IERlbWFuZHMgQXR0ZW50aW9uIEZvY3VzXG5cbiMgQ3VzdG9taXplXG5cbi0gUGFuZWwgUG9zaXRpb25cbi0gUGFuZWwgUm91bmQgQ29ybmVyIFNpemVcbi0gQ2xvY2sgTWVudSBQb3NpdGlvblxuLSBXb3Jrc3BhY2UgU3dpdGNoZXIgU2l6ZSAoT25seSBHTk9NRSA0MC4wKVxuLSBBbmltYXRpb24gU3BlZWQgb3IgRGlzYWJsZSBpdFxuLSBEYXNoIEljb24gU2l6ZVxuXG4jIE92ZXJyaWRlXG5cbi0gR05PTUUgc2hlbGwgdGhlbWUgKFlvdSBkb24ndCBuZWVkIHRvIGhhdmUgdXNlci10aGVtZS1leHRlbnNpb24pIiwKICAiZ2V0dGV4dC1kb21haW4iOiAianVzdC1wZXJmZWN0aW9uIiwKICAibmFtZSI6ICJKdXN0IFBlcmZlY3Rpb24iLAogICJzY2hlbWEtaWQiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuanVzdC1wZXJmZWN0aW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL2pyYWhtYXR6YWRlaC9qdXN0LXBlcmZlY3Rpb24iLAogICJ1dWlkIjogImp1c3QtcGVyZmVjdGlvbi1kZXNrdG9wQGp1c3QtcGVyZmVjdGlvbiIsCiAgInZlcnNpb24iOiAxMQp9"}, "40": {"version": "11", "sha256": "0qcs3g138ic756fddla5icgkhdn16sf6pz2z3hcqk5xr930464bm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlR3ZWFrIEdOT01FIFNoZWxsIGFuZCBEaXNhYmxlIFVJIEVsZW1lbnRzXG5cblRoaXMgZXh0ZW5zaW9uIGFsbG93cyB5b3UgdG8gZG8gdGhlIGZvbGxvd2luZzpcblxuIyBWaXNpYmlsaXR5XG5cbi0gT1NEXG4tIFNlYXJjaFxuLSBEYXNoXG4tIFdvcmtzcGFjZSBTd2l0Y2hlclxuLSBXb3Jrc3BhY2UgUG9wdXBcbi0gUGFuZWxcbi0gQmFja2dyb3VuZCBNZW51XG4tIEFjdGl2aXRpZXMgYnV0dG9uXG4tIEFwcCBNZW51XG4tIENsb2NrIE1lbnVcbi0gS2V5Ym9hcmQgTGF5b3V0XG4tIEFjY2Vzc2liaWxpdHkgTWVudVxuLSBTeXN0ZW0gTWVudSAoQWdncmVnYXRlIE1lbnUpXG4tIEFwcGxpY2F0aW9ucyBCdXR0b25cblxuIyBJY29ucyBWaXNpYmlsaXR5XG5cbi0gQXBwIE1lbnUgSWNvblxuLSBQb3dlciBJY29uXG4tIFBhbmVsIE5vdGlmaWNhdGlvbiBpY29uXG4tIFdpbmRvdyBQaWNrZXIgSWNvbiAoT25seSBHTk9NRSA0MC4wKVxuLSBQYW5lbCBBcnJvdyAoT25seSBHTk9NRSAzLjM2IGFuZCAzLjM4KVxuLSBBY3Rpdml0aWVzIEJ1dHRvbiBJY29uXG5cbiMgQmVoYXZpb3JcblxuLSBEaXNhYmxlIFR5cGUgdG8gU2VhcmNoXG4tIEhvdCBDb3JuZXJcbi0gQXBwIEdlc3R1cmUgKE9ubHkgR05PTUUgMy4zNiBhbmQgMy4zOClcbi0gV2luZG93IERlbWFuZHMgQXR0ZW50aW9uIEZvY3VzXG5cbiMgQ3VzdG9taXplXG5cbi0gUGFuZWwgUG9zaXRpb25cbi0gUGFuZWwgUm91bmQgQ29ybmVyIFNpemVcbi0gQ2xvY2sgTWVudSBQb3NpdGlvblxuLSBXb3Jrc3BhY2UgU3dpdGNoZXIgU2l6ZSAoT25seSBHTk9NRSA0MC4wKVxuLSBBbmltYXRpb24gU3BlZWQgb3IgRGlzYWJsZSBpdFxuLSBEYXNoIEljb24gU2l6ZVxuXG4jIE92ZXJyaWRlXG5cbi0gR05PTUUgc2hlbGwgdGhlbWUgKFlvdSBkb24ndCBuZWVkIHRvIGhhdmUgdXNlci10aGVtZS1leHRlbnNpb24pIiwKICAiZ2V0dGV4dC1kb21haW4iOiAianVzdC1wZXJmZWN0aW9uIiwKICAibmFtZSI6ICJKdXN0IFBlcmZlY3Rpb24iLAogICJzY2hlbWEtaWQiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuanVzdC1wZXJmZWN0aW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL2pyYWhtYXR6YWRlaC9qdXN0LXBlcmZlY3Rpb24iLAogICJ1dWlkIjogImp1c3QtcGVyZmVjdGlvbi1kZXNrdG9wQGp1c3QtcGVyZmVjdGlvbiIsCiAgInZlcnNpb24iOiAxMQp9"}}}
-, {"uuid": "workspaces-bar@fthx", "name": "Workspaces Bar", "pname": "workspaces-bar", "description": "Replace 'Activities' button by all current workspaces buttons. Switch workspace or toggle overview by clicking on these buttons.\n\n You can use names for workspaces: there are two ways for that. 1) Edit the string array 'org.gnome.desktop.wm.preferences.workspace-names' gsettings key (through dconf editor, e.g.). 2) Use official GNOME extension Workspaces Indicator's settings. You don't have to write a long enough list: numbers are displayed if no workspace name is defined.", "link": "https://extensions.gnome.org/extension/3851/workspaces-bar/", "shell_version_map": {"38": {"version": "7", "sha256": "0h02ykcq1v33whrnhf8z15pql5qx8f072shv14md9ff30q4aw13q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2UgJ0FjdGl2aXRpZXMnIGJ1dHRvbiBieSBhbGwgY3VycmVudCB3b3Jrc3BhY2VzIGJ1dHRvbnMuIFN3aXRjaCB3b3Jrc3BhY2Ugb3IgdG9nZ2xlIG92ZXJ2aWV3IGJ5IGNsaWNraW5nIG9uIHRoZXNlIGJ1dHRvbnMuXG5cbiBZb3UgY2FuIHVzZSBuYW1lcyBmb3Igd29ya3NwYWNlczogdGhlcmUgYXJlIHR3byB3YXlzIGZvciB0aGF0LiAxKSBFZGl0IHRoZSBzdHJpbmcgYXJyYXkgJ29yZy5nbm9tZS5kZXNrdG9wLndtLnByZWZlcmVuY2VzLndvcmtzcGFjZS1uYW1lcycgZ3NldHRpbmdzIGtleSAodGhyb3VnaCBkY29uZiBlZGl0b3IsIGUuZy4pLiAyKSBVc2Ugb2ZmaWNpYWwgR05PTUUgZXh0ZW5zaW9uIFdvcmtzcGFjZXMgSW5kaWNhdG9yJ3Mgc2V0dGluZ3MuIFlvdSBkb24ndCBoYXZlIHRvIHdyaXRlIGEgbG9uZyBlbm91Z2ggbGlzdDogbnVtYmVycyBhcmUgZGlzcGxheWVkIGlmIG5vIHdvcmtzcGFjZSBuYW1lIGlzIGRlZmluZWQuIiwKICAibmFtZSI6ICJXb3Jrc3BhY2VzIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L3dvcmtzcGFjZXMtYmFyIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2VzLWJhckBmdGh4IiwKICAidmVyc2lvbiI6IDcKfQ=="}, "40": {"version": "7", "sha256": "0h02ykcq1v33whrnhf8z15pql5qx8f072shv14md9ff30q4aw13q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2UgJ0FjdGl2aXRpZXMnIGJ1dHRvbiBieSBhbGwgY3VycmVudCB3b3Jrc3BhY2VzIGJ1dHRvbnMuIFN3aXRjaCB3b3Jrc3BhY2Ugb3IgdG9nZ2xlIG92ZXJ2aWV3IGJ5IGNsaWNraW5nIG9uIHRoZXNlIGJ1dHRvbnMuXG5cbiBZb3UgY2FuIHVzZSBuYW1lcyBmb3Igd29ya3NwYWNlczogdGhlcmUgYXJlIHR3byB3YXlzIGZvciB0aGF0LiAxKSBFZGl0IHRoZSBzdHJpbmcgYXJyYXkgJ29yZy5nbm9tZS5kZXNrdG9wLndtLnByZWZlcmVuY2VzLndvcmtzcGFjZS1uYW1lcycgZ3NldHRpbmdzIGtleSAodGhyb3VnaCBkY29uZiBlZGl0b3IsIGUuZy4pLiAyKSBVc2Ugb2ZmaWNpYWwgR05PTUUgZXh0ZW5zaW9uIFdvcmtzcGFjZXMgSW5kaWNhdG9yJ3Mgc2V0dGluZ3MuIFlvdSBkb24ndCBoYXZlIHRvIHdyaXRlIGEgbG9uZyBlbm91Z2ggbGlzdDogbnVtYmVycyBhcmUgZGlzcGxheWVkIGlmIG5vIHdvcmtzcGFjZSBuYW1lIGlzIGRlZmluZWQuIiwKICAibmFtZSI6ICJXb3Jrc3BhY2VzIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L3dvcmtzcGFjZXMtYmFyIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2VzLWJhckBmdGh4IiwKICAidmVyc2lvbiI6IDcKfQ=="}}}
+, {"uuid": "just-perfection-desktop@just-perfection", "name": "Just Perfection", "pname": "just-perfection", "description": "Tweak Tool to Customize GNOME Shell and Disable UI Elements\n\n- Accessibility Menu Visibility\n- Activities Button Icon Visibility\n- Activities button Visibility\n- Always Show Workspace Switcher on Dynamic Workspaces (40, 41)\n- Animation Speed or Disable it\n- App Gesture (3.36, 3.38)\n- Applications Button Visibility\n- App Menu Icon Visibility\n- App Menu Visibility\n- Background Menu Visibility\n- Clock Menu Position\n- Clock Menu Visibility\n- Dash Icon Size\n- Dash Visibility\n- Disable Type to Search\n- GNOME Shell Theme Override\n- Hot Corner\n- Keyboard Layout Visibility\n- Notification Banner Position\n- OSD Visibility\n- Panel Arrow Visibility(3.36, 3.38)\n- Panel Button Padding Size\n- Panel Height\n- Panel Indicator Padding Size\n- Panel Notification icon Visibility\n- Panel Position\n- Panel Round Corner Size\n- Panel Visibility\n- Power Icon Visibility\n- Search Visibility\n- Startup Status(40, 41)\n- System Menu (Aggregate Menu) Visibility\n- Window Demands Attention Focus\n- Window Picker Caption Visibility\n- Window Picker Close Button Visibility\n- Window Picker Icon(40, 41)\n- Workspace Background Corner Size in Overview(40, 41)\n- Workspace Popup Visibility\n- Workspaces in app grid Visibility (40, 41)\n- Workspace Switcher Size (40, 41)\n- Workspace Switcher Visibility\n- Workspace Wraparound", "link": "https://extensions.gnome.org/extension/3843/just-perfection/", "shell_version_map": {"38": {"version": "15", "sha256": "0g049gwmnnppiqqjfsc6snyw4ybg50bc0zk827n8w79ncmhigypd", "metadata": "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"}, "40": {"version": "15", "sha256": "0g049gwmnnppiqqjfsc6snyw4ybg50bc0zk827n8w79ncmhigypd", "metadata": "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"}}}
+, {"uuid": "workspaces-bar@fthx", "name": "Workspaces Bar", "pname": "workspaces-bar", "description": "Replace 'Activities' button by all current workspaces buttons. Switch workspace or toggle overview by clicking on these buttons.\n\n You can use names for workspaces: there are two ways for that. 1) Edit the string array 'org.gnome.desktop.wm.preferences.workspace-names' gsettings key (through dconf editor, e.g.). 2) Use official GNOME extension Workspaces Indicator's settings. You don't have to write a long enough list: numbers are displayed if no workspace name is defined.", "link": "https://extensions.gnome.org/extension/3851/workspaces-bar/", "shell_version_map": {"38": {"version": "11", "sha256": "04lp3d2xfl024nrvnqwb4vv2qd7fbwhfq3gbaz1j0ds7dhq7bhir", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2UgJ0FjdGl2aXRpZXMnIGJ1dHRvbiBieSBhbGwgY3VycmVudCB3b3Jrc3BhY2VzIGJ1dHRvbnMuIFN3aXRjaCB3b3Jrc3BhY2Ugb3IgdG9nZ2xlIG92ZXJ2aWV3IGJ5IGNsaWNraW5nIG9uIHRoZXNlIGJ1dHRvbnMuXG5cbiBZb3UgY2FuIHVzZSBuYW1lcyBmb3Igd29ya3NwYWNlczogdGhlcmUgYXJlIHR3byB3YXlzIGZvciB0aGF0LiAxKSBFZGl0IHRoZSBzdHJpbmcgYXJyYXkgJ29yZy5nbm9tZS5kZXNrdG9wLndtLnByZWZlcmVuY2VzLndvcmtzcGFjZS1uYW1lcycgZ3NldHRpbmdzIGtleSAodGhyb3VnaCBkY29uZiBlZGl0b3IsIGUuZy4pLiAyKSBVc2Ugb2ZmaWNpYWwgR05PTUUgZXh0ZW5zaW9uIFdvcmtzcGFjZXMgSW5kaWNhdG9yJ3Mgc2V0dGluZ3MuIFlvdSBkb24ndCBoYXZlIHRvIHdyaXRlIGEgbG9uZyBlbm91Z2ggbGlzdDogbnVtYmVycyBhcmUgZGlzcGxheWVkIGlmIG5vIHdvcmtzcGFjZSBuYW1lIGlzIGRlZmluZWQuIiwKICAibmFtZSI6ICJXb3Jrc3BhY2VzIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L3dvcmtzcGFjZXMtYmFyIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2VzLWJhckBmdGh4IiwKICAidmVyc2lvbiI6IDExCn0="}, "40": {"version": "11", "sha256": "04lp3d2xfl024nrvnqwb4vv2qd7fbwhfq3gbaz1j0ds7dhq7bhir", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2UgJ0FjdGl2aXRpZXMnIGJ1dHRvbiBieSBhbGwgY3VycmVudCB3b3Jrc3BhY2VzIGJ1dHRvbnMuIFN3aXRjaCB3b3Jrc3BhY2Ugb3IgdG9nZ2xlIG92ZXJ2aWV3IGJ5IGNsaWNraW5nIG9uIHRoZXNlIGJ1dHRvbnMuXG5cbiBZb3UgY2FuIHVzZSBuYW1lcyBmb3Igd29ya3NwYWNlczogdGhlcmUgYXJlIHR3byB3YXlzIGZvciB0aGF0LiAxKSBFZGl0IHRoZSBzdHJpbmcgYXJyYXkgJ29yZy5nbm9tZS5kZXNrdG9wLndtLnByZWZlcmVuY2VzLndvcmtzcGFjZS1uYW1lcycgZ3NldHRpbmdzIGtleSAodGhyb3VnaCBkY29uZiBlZGl0b3IsIGUuZy4pLiAyKSBVc2Ugb2ZmaWNpYWwgR05PTUUgZXh0ZW5zaW9uIFdvcmtzcGFjZXMgSW5kaWNhdG9yJ3Mgc2V0dGluZ3MuIFlvdSBkb24ndCBoYXZlIHRvIHdyaXRlIGEgbG9uZyBlbm91Z2ggbGlzdDogbnVtYmVycyBhcmUgZGlzcGxheWVkIGlmIG5vIHdvcmtzcGFjZSBuYW1lIGlzIGRlZmluZWQuIiwKICAibmFtZSI6ICJXb3Jrc3BhY2VzIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L3dvcmtzcGFjZXMtYmFyIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2VzLWJhckBmdGh4IiwKICAidmVyc2lvbiI6IDExCn0="}}}
, {"uuid": "scanlines-effect@muratcileli.com", "name": "Scanlines Effect", "pname": "scanlines-effect", "description": "Retro monitor / CRT TV effect.", "link": "https://extensions.gnome.org/extension/3860/scanlines-effect/", "shell_version_map": {"38": {"version": "1", "sha256": "1xcsd700s9hkqmrqlv56ldiya2jk0d46j8k4a4i3m9c6k40c5z7v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJldHJvIG1vbml0b3IgLyBDUlQgVFYgZWZmZWN0LiIsCiAgIm5hbWUiOiAiU2NhbmxpbmVzIEVmZmVjdCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJjb20ubXVyYXRjaWxlbGkuc2NhbmxpbmVzLWVmZmVjdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL211cmF0LWNpbGVsaS9nbm9tZS1zaGVsbC1leHRlbnNpb24tc2NhbmxpbmVzLWVmZmVjdCIsCiAgInV1aWQiOiAic2NhbmxpbmVzLWVmZmVjdEBtdXJhdGNpbGVsaS5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}}}
, {"uuid": "workspaces-thumbnails-applet@blogdron", "name": "Workspaces Thumbnails Applet", "pname": "workspaces-thumbnails-applet", "description": "Notice!\n\nThis is a fork of the extension https://extensions.gnome.org/extension/2557/workspaces-thumbnails/ It hasn't been updated in a long time, but it only needs a small fix to work. Until the author fixes the problem, I will keep this fork. Please, if you have the opportunity to contact the author of the original extension, let him fix the problem for himself.\n\n\nPut an indicator on the panel showing all the workspaces thumbnails, allowing to switch between them or moving windows to another workspace.\n\n This is a very tiny fork of the original (GNOME official extension) Workspace Indicator, allowing to use it like when the Horizontal Workspaces extension is activated. The vertical workspaces layout is not modified; the workspaces layout is horizontal only in the top panel and the workspaces switcher in the overview is still showed. Please note that any global bug should be reported against the Workspace Indicator extension. https://extensions.gnome.org/extension/21/workspace-indicator", "link": "https://extensions.gnome.org/extension/3872/workspaces-thumbnails-applet/", "shell_version_map": {"38": {"version": "1", "sha256": "02823b6rfnibb0mhnx848pdxc3birw2aqp8607wjf93mvy5388hm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5vdGljZSFcblxuVGhpcyBpcyBhIGZvcmsgb2YgdGhlIGV4dGVuc2lvbiBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi8yNTU3L3dvcmtzcGFjZXMtdGh1bWJuYWlscy8gSXQgaGFzbid0IGJlZW4gdXBkYXRlZCBpbiBhIGxvbmcgdGltZSwgYnV0IGl0IG9ubHkgbmVlZHMgYSBzbWFsbCBmaXggdG8gd29yay4gVW50aWwgdGhlIGF1dGhvciBmaXhlcyB0aGUgcHJvYmxlbSwgSSB3aWxsIGtlZXAgdGhpcyBmb3JrLiBQbGVhc2UsIGlmIHlvdSBoYXZlIHRoZSBvcHBvcnR1bml0eSB0byBjb250YWN0IHRoZSBhdXRob3Igb2YgdGhlIG9yaWdpbmFsIGV4dGVuc2lvbiwgbGV0IGhpbSBmaXggdGhlIHByb2JsZW0gZm9yIGhpbXNlbGYuXG5cblxuUHV0IGFuIGluZGljYXRvciBvbiB0aGUgcGFuZWwgc2hvd2luZyBhbGwgdGhlIHdvcmtzcGFjZXMgdGh1bWJuYWlscywgYWxsb3dpbmcgdG8gc3dpdGNoIGJldHdlZW4gdGhlbSBvciBtb3Zpbmcgd2luZG93cyB0byBhbm90aGVyIHdvcmtzcGFjZS5cblxuIFRoaXMgaXMgYSB2ZXJ5IHRpbnkgZm9yayBvZiB0aGUgb3JpZ2luYWwgKEdOT01FIG9mZmljaWFsIGV4dGVuc2lvbikgV29ya3NwYWNlIEluZGljYXRvciwgYWxsb3dpbmcgdG8gdXNlIGl0IGxpa2Ugd2hlbiB0aGUgSG9yaXpvbnRhbCBXb3Jrc3BhY2VzIGV4dGVuc2lvbiBpcyBhY3RpdmF0ZWQuIFRoZSB2ZXJ0aWNhbCB3b3Jrc3BhY2VzIGxheW91dCBpcyBub3QgbW9kaWZpZWQ7IHRoZSB3b3Jrc3BhY2VzIGxheW91dCBpcyBob3Jpem9udGFsIG9ubHkgaW4gdGhlIHRvcCBwYW5lbCBhbmQgdGhlIHdvcmtzcGFjZXMgc3dpdGNoZXIgaW4gdGhlIG92ZXJ2aWV3IGlzIHN0aWxsIHNob3dlZC4gUGxlYXNlIG5vdGUgdGhhdCBhbnkgZ2xvYmFsIGJ1ZyBzaG91bGQgYmUgcmVwb3J0ZWQgYWdhaW5zdCB0aGUgV29ya3NwYWNlIEluZGljYXRvciBleHRlbnNpb24uIGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzIxL3dvcmtzcGFjZS1pbmRpY2F0b3IiLAogICJleHRlbnNpb24taWQiOiAid29ya3NwYWNlcy10aHVtYm5haWxzLWFwcGxldCIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIldvcmtzcGFjZXMgVGh1bWJuYWlscyBBcHBsZXQiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgImZ0aHgiLAogICAgImJsb2dkcm9uIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3Jrc3BhY2UtaW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIndvcmtzcGFjZXMtdGh1bWJuYWlscy1hcHBsZXRAYmxvZ2Ryb24iLAogICJ2ZXJzaW9uIjogMQp9"}}}
, {"uuid": "simple-monitor@fcaballerop.github.io", "name": "Simple monitor", "pname": "simple-monitor", "description": "A simple panel button that shows CPU and RAM memory usage. Clicking shows the top 10 processes using CPU and memory.", "link": "https://extensions.gnome.org/extension/3891/simple-monitor/", "shell_version_map": {"38": {"version": "5", "sha256": "0qhicn9is6b5g8xc8n4dvxxmzmlhzb9w9wrn6rf1jv8q5igyacs3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc2ltcGxlIHBhbmVsIGJ1dHRvbiB0aGF0IHNob3dzIENQVSBhbmQgUkFNIG1lbW9yeSB1c2FnZS4gQ2xpY2tpbmcgc2hvd3MgdGhlIHRvcCAxMCBwcm9jZXNzZXMgdXNpbmcgQ1BVIGFuZCBtZW1vcnkuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZXhhbXBsZSIsCiAgIm5hbWUiOiAiU2ltcGxlIG1vbml0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc21vbml0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAic2ltcGxlLW1vbml0b3JAZmNhYmFsbGVyb3AuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
, {"uuid": "NetworkSpeed@m0hithreddy", "name": "Network Speed", "pname": "network-speed", "description": "Highly customizable Network Speed Monitor.\n\nQuick install: \n\n/bin/bash -c \"$(curl -sL https://git.io/JkFoh)\"\n\nWhat's in this extension:\n\n* Preference Menu to customize the extension.\n* Five configurable network speed modes.\n 1. Total net speed in [g, m, k]b/s.\n 2. Total net speed in [G, M, K]B/s.\n 3. Up and down the speed in [g, m, k]b/s.\n 4. Up and down the speed in [G, M, K]B/s.\n 5. Total Downloaded in [G, M, K]B.\n* Extension color can be customizable.\n* Option to show upload speed first in modes 3 and 4.\n* Align the extension horizontally or vertically.\n* Different font sizes.\n* Option to autohide the extension, when idle.\n\nMouse click events on the extension:\n\n* Left click: Cycle through the modes.\n* Right-click in the first four modes: Toggle the visibility of total downloaded.\n* Right-click in the fifth mode: Reset total downloaded.\n* Four consecutive right-clicks: Toggle the horizontal/vertical alignment.\n* Middle click: Cycle through the font sizes.\n\nThanks to bijignome of creating the extension. Special thanks to prateekmedia for giving rebirth to the extension.\n\nWhat I added:\n\n* Revamping the whole codebase to create a roadmap for many feature additions.\n* Color customizations, Upload speed first, AutoHide.\n* Adopted Make build system to manage the extension (for developers).\n* Quick install method.", "link": "https://extensions.gnome.org/extension/3896/network-speed/", "shell_version_map": {"38": {"version": "1", "sha256": "0029mjirbg2hdk1ms95p29hmf8ahvfjyrsw9079nbds9p7za9f9n", "metadata": "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"}}}
, {"uuid": "eye-extended-fix@als.kz", "name": "Eye and Mouse Extended", "pname": "eye-and-mouse-extended", "description": "Adds an eye to the indicator bar that follows your cursor \nYou can also display the mouse indicator, perhaps it will help you with the problem of displaying the mouse cursor in Skype. Import fix of original extension https://github.com/alexeylovchikov/eye-extended-shell-extension", "link": "https://extensions.gnome.org/extension/3902/eye-and-mouse-extended/", "shell_version_map": {"38": {"version": "1", "sha256": "1yxs70vlxyf4ns0nd37fl85lp188j84662lsl2l16rdm39w2c2wf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYW4gZXllIHRvIHRoZSBpbmRpY2F0b3IgYmFyIHRoYXQgZm9sbG93cyB5b3VyIGN1cnNvciBcbllvdSBjYW4gYWxzbyBkaXNwbGF5IHRoZSBtb3VzZSBpbmRpY2F0b3IsIHBlcmhhcHMgaXQgd2lsbCBoZWxwIHlvdSB3aXRoIHRoZSBwcm9ibGVtIG9mIGRpc3BsYXlpbmcgdGhlIG1vdXNlIGN1cnNvciBpbiBTa3lwZS4gSW1wb3J0IGZpeCBvZiBvcmlnaW5hbCBleHRlbnNpb24gaHR0cHM6Ly9naXRodWIuY29tL2FsZXhleWxvdmNoaWtvdi9leWUtZXh0ZW5kZWQtc2hlbGwtZXh0ZW5zaW9uIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiRXllRXh0ZW5kZWQiLAogICJuYW1lIjogIkV5ZSBhbmQgTW91c2UgRXh0ZW5kZWQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAia3ouYWxzLmV5ZS1leHRlbmRlZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOC4xIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaXBlYWNvY2tzL2V5ZS1leHRlbmRlZC1zaGVsbC1leHRlbnNpb24iLAogICJ1dWlkIjogImV5ZS1leHRlbmRlZC1maXhAYWxzLmt6IiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
-, {"uuid": "RemoveAppMenu@Dragon8oy.com", "name": "Remove App Menu", "pname": "remove-app-menu", "description": "Remove the application menu from the top bar", "link": "https://extensions.gnome.org/extension/3906/remove-app-menu/", "shell_version_map": {"38": {"version": "6", "sha256": "0373ghnm1r0f4igxqj3bgsl41gil2c5xzbcqjgiym7qmbsi8rf7n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSB0aGUgYXBwbGljYXRpb24gbWVudSBmcm9tIHRoZSB0b3AgYmFyIiwKICAibmFtZSI6ICJSZW1vdmUgQXBwIE1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc3R1YXJ0aGF5aHVyc3QvcmVtb3ZlLWFwcC1tZW51LWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiUmVtb3ZlQXBwTWVudUBEcmFnb244b3kuY29tIiwKICAidmVyc2lvbiI6IDYKfQ=="}, "40": {"version": "6", "sha256": "0373ghnm1r0f4igxqj3bgsl41gil2c5xzbcqjgiym7qmbsi8rf7n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSB0aGUgYXBwbGljYXRpb24gbWVudSBmcm9tIHRoZSB0b3AgYmFyIiwKICAibmFtZSI6ICJSZW1vdmUgQXBwIE1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc3R1YXJ0aGF5aHVyc3QvcmVtb3ZlLWFwcC1tZW51LWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiUmVtb3ZlQXBwTWVudUBEcmFnb244b3kuY29tIiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
+, {"uuid": "RemoveAppMenu@Dragon8oy.com", "name": "Remove App Menu", "pname": "remove-app-menu", "description": "Remove the application menu from the top bar", "link": "https://extensions.gnome.org/extension/3906/remove-app-menu/", "shell_version_map": {"38": {"version": "8", "sha256": "0sjiiyr56b4vj75kn4mi8zj3vx0i1gsxk50n9h0bbxxmhjagz6cg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSB0aGUgYXBwbGljYXRpb24gbWVudSBmcm9tIHRoZSB0b3AgYmFyIiwKICAibmFtZSI6ICJSZW1vdmUgQXBwIE1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0dWFydGhheWh1cnN0L3JlbW92ZS1hcHAtbWVudS1leHRlbnNpb24iLAogICJ1dWlkIjogIlJlbW92ZUFwcE1lbnVARHJhZ29uOG95LmNvbSIsCiAgInZlcnNpb24iOiA4Cn0="}, "40": {"version": "8", "sha256": "0sjiiyr56b4vj75kn4mi8zj3vx0i1gsxk50n9h0bbxxmhjagz6cg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSB0aGUgYXBwbGljYXRpb24gbWVudSBmcm9tIHRoZSB0b3AgYmFyIiwKICAibmFtZSI6ICJSZW1vdmUgQXBwIE1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0dWFydGhheWh1cnN0L3JlbW92ZS1hcHAtbWVudS1leHRlbnNpb24iLAogICJ1dWlkIjogIlJlbW92ZUFwcE1lbnVARHJhZ29uOG95LmNvbSIsCiAgInZlcnNpb24iOiA4Cn0="}}}
, {"uuid": "snow@endlessos.org", "name": "Snow", "pname": "snow", "description": "Let it snow", "link": "https://extensions.gnome.org/extension/3912/snow/", "shell_version_map": {"38": {"version": "3", "sha256": "1a6qkxm0s9cd8brha1zdpmrilv32h8bfkx0gnyx1zzxprmw04nmp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxldCBpdCBzbm93IiwKICAibmFtZSI6ICJTbm93IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInNub3dAZW5kbGVzc29zLm9yZyIsCiAgInZlcnNpb24iOiAzCn0="}}}
, {"uuid": "sticky-terminal@fthx", "name": "Sticky Terminal", "pname": "sticky-terminal", "description": "Toggle a sticky GNOME terminal window. Your terminal window will always be in foreground while you use another app.\n\nA button in panel allows to toggle the visibility of the terminal. Window is resizeable.\n\nYou can easily change it to default another terminal app or another app. Some other settings. See comments in extension.js file.", "link": "https://extensions.gnome.org/extension/3915/sticky-terminal/", "shell_version_map": {"38": {"version": "2", "sha256": "0j65ihfhama6scp2b8qbqr1h4gamy4v0w1ygxrfglhvl3jhjm5zz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZSBhIHN0aWNreSBHTk9NRSB0ZXJtaW5hbCB3aW5kb3cuIFlvdXIgdGVybWluYWwgd2luZG93IHdpbGwgYWx3YXlzIGJlIGluIGZvcmVncm91bmQgd2hpbGUgeW91IHVzZSBhbm90aGVyIGFwcC5cblxuQSBidXR0b24gaW4gcGFuZWwgYWxsb3dzIHRvIHRvZ2dsZSB0aGUgdmlzaWJpbGl0eSBvZiB0aGUgdGVybWluYWwuIFdpbmRvdyBpcyByZXNpemVhYmxlLlxuXG5Zb3UgY2FuIGVhc2lseSBjaGFuZ2UgaXQgdG8gZGVmYXVsdCBhbm90aGVyIHRlcm1pbmFsIGFwcCBvciBhbm90aGVyIGFwcC4gU29tZSBvdGhlciBzZXR0aW5ncy4gU2VlIGNvbW1lbnRzIGluIGV4dGVuc2lvbi5qcyBmaWxlLiIsCiAgIm5hbWUiOiAiU3RpY2t5IFRlcm1pbmFsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZnRoeC9zdGlja3ktdGVybWluYWwiLAogICJ1dWlkIjogInN0aWNreS10ZXJtaW5hbEBmdGh4IiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
, {"uuid": "sticky-r-terminal@fthx", "name": "Sticky R Terminal", "pname": "sticky-r-terminal", "description": "Toggle a sticky R terminal window. Your R window will always be in foreground while you use another app.\n\nYou can easily change it to default another terminal app or another app. Some other settings. See comments in extension.js file. Same extension only running a terminal: https://extensions.gnome.org/extension/3915/sticky-terminal . You can use it with R if this extension does not work for your R installation setup.\n\nKeywords: stat, stats, statistics, statistical, r-cran.", "link": "https://extensions.gnome.org/extension/3916/sticky-r-terminal/", "shell_version_map": {"38": {"version": "1", "sha256": "17x84g6fb785jmcl5jz41nw4rgzaad48mc5zllh5b3a485731f0s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZSBhIHN0aWNreSBSIHRlcm1pbmFsIHdpbmRvdy4gWW91ciBSIHdpbmRvdyB3aWxsIGFsd2F5cyBiZSBpbiBmb3JlZ3JvdW5kIHdoaWxlIHlvdSB1c2UgYW5vdGhlciBhcHAuXG5cbllvdSBjYW4gZWFzaWx5IGNoYW5nZSBpdCB0byBkZWZhdWx0IGFub3RoZXIgdGVybWluYWwgYXBwIG9yIGFub3RoZXIgYXBwLiBTb21lIG90aGVyIHNldHRpbmdzLiBTZWUgY29tbWVudHMgaW4gZXh0ZW5zaW9uLmpzIGZpbGUuIFNhbWUgZXh0ZW5zaW9uIG9ubHkgcnVubmluZyBhIHRlcm1pbmFsOiBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi8zOTE1L3N0aWNreS10ZXJtaW5hbCAuIFlvdSBjYW4gdXNlIGl0IHdpdGggUiBpZiB0aGlzIGV4dGVuc2lvbiBkb2VzIG5vdCB3b3JrIGZvciB5b3VyIFIgaW5zdGFsbGF0aW9uIHNldHVwLlxuXG5LZXl3b3Jkczogc3RhdCwgc3RhdHMsIHN0YXRpc3RpY3MsIHN0YXRpc3RpY2FsLCByLWNyYW4uIiwKICAibmFtZSI6ICJTdGlja3kgUiBUZXJtaW5hbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvc3RpY2t5LXItdGVybWluYWwiLAogICJ1dWlkIjogInN0aWNreS1yLXRlcm1pbmFsQGZ0aHgiLAogICJ2ZXJzaW9uIjogMQp9"}}}
, {"uuid": "onedrive@diegobazzanella.com", "name": "One Drive", "pname": "one-drive", "description": "One Drive extension", "link": "https://extensions.gnome.org/extension/3919/one-drive/", "shell_version_map": {"38": {"version": "4", "sha256": "0h37rr9hw6azrlf465ngl7w7miii9bm4sh33wkr0x8q51rz62ydd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk9uZSBEcml2ZSBleHRlbnNpb24iLAogICJuYW1lIjogIk9uZSBEcml2ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2RiYXp6YS9vbmVEcml2ZSIsCiAgInV1aWQiOiAib25lZHJpdmVAZGllZ29iYXp6YW5lbGxhLmNvbSIsCiAgInZlcnNpb24iOiA0Cn0="}}}
, {"uuid": "snowy@exposedcat", "name": "Snowy", "pname": "snowy", "description": "Make you festive mood with falling snow on your GNOME DE system", "link": "https://extensions.gnome.org/extension/3921/snowy/", "shell_version_map": {"38": {"version": "4", "sha256": "0yghnayfjw8bvlbxkgfcf7k8fq2kx4s2bxnyahhm9qqp1mw1wm5h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgeW91IGZlc3RpdmUgbW9vZCB3aXRoIGZhbGxpbmcgc25vdyBvbiB5b3VyIEdOT01FIERFIHN5c3RlbSIsCiAgIm5hbWUiOiAiU25vd3kiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9FeHBvc2VkQ2F0L3Nub3d5IiwKICAidXVpZCI6ICJzbm93eUBleHBvc2VkY2F0IiwKICAidmVyc2lvbiI6IDQKfQ=="}, "40": {"version": "4", "sha256": "0yghnayfjw8bvlbxkgfcf7k8fq2kx4s2bxnyahhm9qqp1mw1wm5h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgeW91IGZlc3RpdmUgbW9vZCB3aXRoIGZhbGxpbmcgc25vdyBvbiB5b3VyIEdOT01FIERFIHN5c3RlbSIsCiAgIm5hbWUiOiAiU25vd3kiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9FeHBvc2VkQ2F0L3Nub3d5IiwKICAidXVpZCI6ICJzbm93eUBleHBvc2VkY2F0IiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
-, {"uuid": "focus@scaryrawr.github.io", "name": "Focus", "pname": "focus", "description": "Transparent inactive windows", "link": "https://extensions.gnome.org/extension/3924/focus/", "shell_version_map": {"38": {"version": "5", "sha256": "006ybc872bxskq30dh0hmqlcs861hmghjkq8ks6wmfdw8562v4sv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYW5zcGFyZW50IGluYWN0aXZlIHdpbmRvd3MiLAogICJuYW1lIjogIkZvY3VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc2NhcnlyYXdyL2dub21lLWZvY3VzIiwKICAidXVpZCI6ICJmb2N1c0BzY2FyeXJhd3IuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
-, {"uuid": "kaskadeur@dev-ninjas-org", "name": "Kaskadeur", "pname": "kaskadeur", "description": "Move and resize windows into a cascade", "link": "https://extensions.gnome.org/extension/3925/kaskadeur/", "shell_version_map": {"38": {"version": "1", "sha256": "0bf8sssgv0k3gki6j3wmgdpb9sf1kblagbbm130n8y12f837l3pl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgYW5kIHJlc2l6ZSB3aW5kb3dzIGludG8gYSBjYXNjYWRlIiwKICAibmFtZSI6ICJLYXNrYWRldXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMua2Fza2FkZXVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vZGV2LW5pbmphcy1vcmcvZ25vbWUtc2hlbGwta2Fza2FkZXVyIiwKICAidXVpZCI6ICJrYXNrYWRldXJAZGV2LW5pbmphcy1vcmciLAogICJ2ZXJzaW9uIjogMQp9"}}}
+, {"uuid": "focus@scaryrawr.github.io", "name": "Focus", "pname": "focus", "description": "Transparent inactive windows", "link": "https://extensions.gnome.org/extension/3924/focus/", "shell_version_map": {"38": {"version": "6", "sha256": "1ks47h0m50hssq5m25586lv5fa3yhwhdnb1jxgkfnb547k6qxb6n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYW5zcGFyZW50IGluYWN0aXZlIHdpbmRvd3MiLAogICJuYW1lIjogIkZvY3VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MC5iZXRhIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc2NhcnlyYXdyL2dub21lLWZvY3VzIiwKICAidXVpZCI6ICJmb2N1c0BzY2FyeXJhd3IuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDYKfQ=="}, "40": {"version": "6", "sha256": "1ks47h0m50hssq5m25586lv5fa3yhwhdnb1jxgkfnb547k6qxb6n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRyYW5zcGFyZW50IGluYWN0aXZlIHdpbmRvd3MiLAogICJuYW1lIjogIkZvY3VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MC5iZXRhIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc2NhcnlyYXdyL2dub21lLWZvY3VzIiwKICAidXVpZCI6ICJmb2N1c0BzY2FyeXJhd3IuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
, {"uuid": "autoselectheadset@josephlbarnett.github.com", "name": "Auto select headset", "pname": "auto-select-headset", "description": "Auto selects headsets when possible instead of showing a dialog", "link": "https://extensions.gnome.org/extension/3928/auto-select-headset/", "shell_version_map": {"38": {"version": "3", "sha256": "097q3xgkm5g75vjy76v9y8q7n5jb1wvxv2ffvj1f0nk0ag7y909d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkF1dG8gc2VsZWN0cyBoZWFkc2V0cyB3aGVuIHBvc3NpYmxlIGluc3RlYWQgb2Ygc2hvd2luZyBhIGRpYWxvZyIsCiAgIm5hbWUiOiAiQXV0byBzZWxlY3QgaGVhZHNldCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9qb3NlcGhsYmFybmV0dC9hdXRvc2VsZWN0aGVhZHNldC1nbm9tZS1zaGVsbC1leHRlbnNpb24iLAogICJ1dWlkIjogImF1dG9zZWxlY3RoZWFkc2V0QGpvc2VwaGxiYXJuZXR0LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "3", "sha256": "097q3xgkm5g75vjy76v9y8q7n5jb1wvxv2ffvj1f0nk0ag7y909d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkF1dG8gc2VsZWN0cyBoZWFkc2V0cyB3aGVuIHBvc3NpYmxlIGluc3RlYWQgb2Ygc2hvd2luZyBhIGRpYWxvZyIsCiAgIm5hbWUiOiAiQXV0byBzZWxlY3QgaGVhZHNldCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9qb3NlcGhsYmFybmV0dC9hdXRvc2VsZWN0aGVhZHNldC1nbm9tZS1zaGVsbC1leHRlbnNpb24iLAogICJ1dWlkIjogImF1dG9zZWxlY3RoZWFkc2V0QGpvc2VwaGxiYXJuZXR0LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}}}
, {"uuid": "toggle-night-light@cansozbir.github.io", "name": "Toggle Night Light", "pname": "toggle-night-light", "description": "This extension lets you toggle night-light from the top-bar by clicking it.", "link": "https://extensions.gnome.org/extension/3933/toggle-night-light/", "shell_version_map": {"38": {"version": "3", "sha256": "1shlfdmwnz6cxivhcd8gijhrnlcdyk1l9ypj8xx14lpzwzx50c5g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGxldHMgeW91IHRvZ2dsZSBuaWdodC1saWdodCBmcm9tIHRoZSB0b3AtYmFyIGJ5IGNsaWNraW5nIGl0LiIsCiAgIm5hbWUiOiAiVG9nZ2xlIE5pZ2h0IExpZ2h0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NhbnNvemJpci9nbm9tZS1zaGVsbC10b2dnbGUtbmlnaHQtbGlnaHQtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJ0b2dnbGUtbmlnaHQtbGlnaHRAY2Fuc296YmlyLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAzCn0="}, "40": {"version": "3", "sha256": "1shlfdmwnz6cxivhcd8gijhrnlcdyk1l9ypj8xx14lpzwzx50c5g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGxldHMgeW91IHRvZ2dsZSBuaWdodC1saWdodCBmcm9tIHRoZSB0b3AtYmFyIGJ5IGNsaWNraW5nIGl0LiIsCiAgIm5hbWUiOiAiVG9nZ2xlIE5pZ2h0IExpZ2h0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NhbnNvemJpci9nbm9tZS1zaGVsbC10b2dnbGUtbmlnaHQtbGlnaHQtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJ0b2dnbGUtbmlnaHQtbGlnaHRAY2Fuc296YmlyLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAzCn0="}}}
, {"uuid": "adwaita-theme-switcher@fthx", "name": "Adwaita Theme Switcher", "pname": "adwaita-theme-switcher", "description": "Button in panel: switch between Adwaita dark and light themes.", "link": "https://extensions.gnome.org/extension/3936/adwaita-theme-switcher/", "shell_version_map": {"38": {"version": "2", "sha256": "1rdmyf8wr3f1kizsirpjs61bdll507knls50c5ximjq14mk92b3q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJ1dHRvbiBpbiBwYW5lbDogc3dpdGNoIGJldHdlZW4gQWR3YWl0YSBkYXJrIGFuZCBsaWdodCB0aGVtZXMuIiwKICAibmFtZSI6ICJBZHdhaXRhIFRoZW1lIFN3aXRjaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvYWR3YWl0YS10aGVtZS1zd2l0Y2hlciIsCiAgInV1aWQiOiAiYWR3YWl0YS10aGVtZS1zd2l0Y2hlckBmdGh4IiwKICAidmVyc2lvbiI6IDIKfQ=="}, "40": {"version": "2", "sha256": "1rdmyf8wr3f1kizsirpjs61bdll507knls50c5ximjq14mk92b3q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJ1dHRvbiBpbiBwYW5lbDogc3dpdGNoIGJldHdlZW4gQWR3YWl0YSBkYXJrIGFuZCBsaWdodCB0aGVtZXMuIiwKICAibmFtZSI6ICJBZHdhaXRhIFRoZW1lIFN3aXRjaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvYWR3YWl0YS10aGVtZS1zd2l0Y2hlciIsCiAgInV1aWQiOiAiYWR3YWl0YS10aGVtZS1zd2l0Y2hlckBmdGh4IiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
-, {"uuid": "fnlock-switch-tp-comp-usb-kb@goloshubov.github.io", "name": "FnLock switch (ThinkPad Compact USB Keyboard)", "pname": "fnlock-switch-thinkpad-compact-usb-keyboard", "description": "FnLock switch for Lenovo ThinkPad Compact USB Keyboard ", "link": "https://extensions.gnome.org/extension/3939/fnlock-switch-thinkpad-compact-usb-keyboard/", "shell_version_map": {"38": {"version": "2", "sha256": "063sr180ppam2q43ky19xc9lizqk7vbkn9gkcl5krhjcr5c0arrm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZuTG9jayBzd2l0Y2ggZm9yIExlbm92byBUaGlua1BhZCBDb21wYWN0IFVTQiBLZXlib2FyZCAiLAogICJuYW1lIjogIkZuTG9jayBzd2l0Y2ggKFRoaW5rUGFkIENvbXBhY3QgVVNCIEtleWJvYXJkKSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dvbG9zaHVib3YvZm5sb2NrLXN3aXRjaC10cC1jb21wLXVzYi1rYiIsCiAgInV1aWQiOiAiZm5sb2NrLXN3aXRjaC10cC1jb21wLXVzYi1rYkBnb2xvc2h1Ym92LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAyCn0="}}}
-, {"uuid": "toggle-alacritty@itstime.tech", "name": "Toggle Alacritty", "pname": "toggle-alacritty", "description": "Toggles Alacritty window via hotkey: Alt+z\n\nIf Alacritty is not launched, attempts to start it (/usr/bin/alacritty)\n\nWorks under both Wayland and X11\n\nTo change hotkey please follow instruction in the README.md:\nhttps://github.com/axxapy/gnome-alacritty-toggle", "link": "https://extensions.gnome.org/extension/3942/toggle-alacritty/", "shell_version_map": {"38": {"version": "2", "sha256": "1y3f5cypx78f29pb1acq9ql42j8r20ikd4cl5bbxsmmvzzdrf3ri", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZXMgQWxhY3JpdHR5IHdpbmRvdyB2aWEgaG90a2V5OiBBbHQrelxuXG5JZiBBbGFjcml0dHkgaXMgbm90IGxhdW5jaGVkLCBhdHRlbXB0cyB0byBzdGFydCBpdCAoL3Vzci9iaW4vYWxhY3JpdHR5KVxuXG5Xb3JrcyB1bmRlciBib3RoIFdheWxhbmQgYW5kIFgxMVxuXG5UbyBjaGFuZ2UgaG90a2V5IHBsZWFzZSBmb2xsb3cgaW5zdHJ1Y3Rpb24gaW4gdGhlIFJFQURNRS5tZDpcbmh0dHBzOi8vZ2l0aHViLmNvbS9heHhhcHkvZ25vbWUtYWxhY3JpdHR5LXRvZ2dsZSIsCiAgIm5hbWUiOiAiVG9nZ2xlIEFsYWNyaXR0eSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50b2dnbGUtYWxhY3JpdHR5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJ0b2dnbGUtYWxhY3JpdHR5QGl0c3RpbWUudGVjaCIsCiAgInZlcnNpb24iOiAyCn0="}, "40": {"version": "2", "sha256": "1y3f5cypx78f29pb1acq9ql42j8r20ikd4cl5bbxsmmvzzdrf3ri", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZXMgQWxhY3JpdHR5IHdpbmRvdyB2aWEgaG90a2V5OiBBbHQrelxuXG5JZiBBbGFjcml0dHkgaXMgbm90IGxhdW5jaGVkLCBhdHRlbXB0cyB0byBzdGFydCBpdCAoL3Vzci9iaW4vYWxhY3JpdHR5KVxuXG5Xb3JrcyB1bmRlciBib3RoIFdheWxhbmQgYW5kIFgxMVxuXG5UbyBjaGFuZ2UgaG90a2V5IHBsZWFzZSBmb2xsb3cgaW5zdHJ1Y3Rpb24gaW4gdGhlIFJFQURNRS5tZDpcbmh0dHBzOi8vZ2l0aHViLmNvbS9heHhhcHkvZ25vbWUtYWxhY3JpdHR5LXRvZ2dsZSIsCiAgIm5hbWUiOiAiVG9nZ2xlIEFsYWNyaXR0eSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50b2dnbGUtYWxhY3JpdHR5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJ0b2dnbGUtYWxhY3JpdHR5QGl0c3RpbWUudGVjaCIsCiAgInZlcnNpb24iOiAyCn0="}}}
-, {"uuid": "hide-panel@fthx", "name": "Hide Panel", "pname": "hide-panel", "description": "Hide top panel except in overview. Switch button in panel.\n\nVery very light extension.", "link": "https://extensions.gnome.org/extension/3948/hide-panel/", "shell_version_map": {"38": {"version": "6", "sha256": "0rf8bixqmh3l76lk1n2ac00kxnbviinfqhm08xkdf3hxf7aym9hc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgdG9wIHBhbmVsIGV4Y2VwdCBpbiBvdmVydmlldy4gU3dpdGNoIGJ1dHRvbiBpbiBwYW5lbC5cblxuVmVyeSB2ZXJ5IGxpZ2h0IGV4dGVuc2lvbi4iLAogICJuYW1lIjogIkhpZGUgUGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L2hpZGUtcGFuZWwiLAogICJ1dWlkIjogImhpZGUtcGFuZWxAZnRoeCIsCiAgInZlcnNpb24iOiA2Cn0="}, "40": {"version": "6", "sha256": "0rf8bixqmh3l76lk1n2ac00kxnbviinfqhm08xkdf3hxf7aym9hc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgdG9wIHBhbmVsIGV4Y2VwdCBpbiBvdmVydmlldy4gU3dpdGNoIGJ1dHRvbiBpbiBwYW5lbC5cblxuVmVyeSB2ZXJ5IGxpZ2h0IGV4dGVuc2lvbi4iLAogICJuYW1lIjogIkhpZGUgUGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L2hpZGUtcGFuZWwiLAogICJ1dWlkIjogImhpZGUtcGFuZWxAZnRoeCIsCiAgInZlcnNpb24iOiA2Cn0="}}}
-, {"uuid": "persistent-email-notifications@fthx", "name": "Persistent Email Notifications", "pname": "persistent-email-notifications", "description": "Never hide a new mail notification, except if you close it.\n\nVery very light extension. Email clients supported: Thunderbird, Evolution, Geary, Mailspring, TypeApp. Please ask for another email client if needed.", "link": "https://extensions.gnome.org/extension/3951/persistent-email-notifications/", "shell_version_map": {"38": {"version": "2", "sha256": "0hz7kqpx5msld9jjp2d37yj5b58zblr8iichas4ynsd811z523li", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5ldmVyIGhpZGUgYSBuZXcgbWFpbCBub3RpZmljYXRpb24sIGV4Y2VwdCBpZiB5b3UgY2xvc2UgaXQuXG5cblZlcnkgdmVyeSBsaWdodCBleHRlbnNpb24uIEVtYWlsIGNsaWVudHMgc3VwcG9ydGVkOiBUaHVuZGVyYmlyZCwgRXZvbHV0aW9uLCBHZWFyeSwgTWFpbHNwcmluZywgVHlwZUFwcC4gUGxlYXNlIGFzayBmb3IgYW5vdGhlciBlbWFpbCBjbGllbnQgaWYgbmVlZGVkLiIsCiAgIm5hbWUiOiAiUGVyc2lzdGVudCBFbWFpbCBOb3RpZmljYXRpb25zIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvcGVyc2lzdGVudC1lbWFpbC1ub3RpZmljYXRpb25zIiwKICAidXVpZCI6ICJwZXJzaXN0ZW50LWVtYWlsLW5vdGlmaWNhdGlvbnNAZnRoeCIsCiAgInZlcnNpb24iOiAyCn0="}, "40": {"version": "2", "sha256": "0hz7kqpx5msld9jjp2d37yj5b58zblr8iichas4ynsd811z523li", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5ldmVyIGhpZGUgYSBuZXcgbWFpbCBub3RpZmljYXRpb24sIGV4Y2VwdCBpZiB5b3UgY2xvc2UgaXQuXG5cblZlcnkgdmVyeSBsaWdodCBleHRlbnNpb24uIEVtYWlsIGNsaWVudHMgc3VwcG9ydGVkOiBUaHVuZGVyYmlyZCwgRXZvbHV0aW9uLCBHZWFyeSwgTWFpbHNwcmluZywgVHlwZUFwcC4gUGxlYXNlIGFzayBmb3IgYW5vdGhlciBlbWFpbCBjbGllbnQgaWYgbmVlZGVkLiIsCiAgIm5hbWUiOiAiUGVyc2lzdGVudCBFbWFpbCBOb3RpZmljYXRpb25zIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvcGVyc2lzdGVudC1lbWFpbC1ub3RpZmljYXRpb25zIiwKICAidXVpZCI6ICJwZXJzaXN0ZW50LWVtYWlsLW5vdGlmaWNhdGlvbnNAZnRoeCIsCiAgInZlcnNpb24iOiAyCn0="}}}
+, {"uuid": "fnlock-switch-tp-comp-usb-kb@goloshubov.github.io", "name": "FnLock switch (ThinkPad Compact USB Keyboard) ", "pname": "fnlock-switch-thinkpad-compact-usb-keyboard", "description": "FnLock switch for Lenovo ThinkPad Compact USB Keyboard ", "link": "https://extensions.gnome.org/extension/3939/fnlock-switch-thinkpad-compact-usb-keyboard/", "shell_version_map": {"38": {"version": "3", "sha256": "09a0i4zdf98j5cr7c8x79sk8yf2n35skkkz3chi6cc7q3va9ylg8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZuTG9jayBzd2l0Y2ggZm9yIExlbm92byBUaGlua1BhZCBDb21wYWN0IFVTQiBLZXlib2FyZCAiLAogICJuYW1lIjogIkZuTG9jayBzd2l0Y2ggKFRoaW5rUGFkIENvbXBhY3QgVVNCIEtleWJvYXJkKSAiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZ29sb3NodWJvdi9mbmxvY2stc3dpdGNoLXRwLWNvbXAtdXNiLWtiIiwKICAidXVpZCI6ICJmbmxvY2stc3dpdGNoLXRwLWNvbXAtdXNiLWtiQGdvbG9zaHVib3YuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDMKfQ=="}, "40": {"version": "3", "sha256": "09a0i4zdf98j5cr7c8x79sk8yf2n35skkkz3chi6cc7q3va9ylg8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZuTG9jayBzd2l0Y2ggZm9yIExlbm92byBUaGlua1BhZCBDb21wYWN0IFVTQiBLZXlib2FyZCAiLAogICJuYW1lIjogIkZuTG9jayBzd2l0Y2ggKFRoaW5rUGFkIENvbXBhY3QgVVNCIEtleWJvYXJkKSAiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZ29sb3NodWJvdi9mbmxvY2stc3dpdGNoLXRwLWNvbXAtdXNiLWtiIiwKICAidXVpZCI6ICJmbmxvY2stc3dpdGNoLXRwLWNvbXAtdXNiLWtiQGdvbG9zaHVib3YuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
+, {"uuid": "toggle-alacritty@itstime.tech", "name": "Toggle Alacritty", "pname": "toggle-alacritty", "description": "Toggles Alacritty window via hotkey: Alt+z\n\nIf Alacritty is not launched, attempts to start it (/usr/bin/alacritty)\n\nWorks under both Wayland and X11\n\nTo change hotkey please follow instruction in the README.md:", "link": "https://extensions.gnome.org/extension/3942/toggle-alacritty/", "shell_version_map": {"38": {"version": "4", "sha256": "1kz5a8x9fpvilcd4p9pn6cmsj6gvq44cg85yhkgdi9x2qpd52fn1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZXMgQWxhY3JpdHR5IHdpbmRvdyB2aWEgaG90a2V5OiBBbHQrelxuXG5JZiBBbGFjcml0dHkgaXMgbm90IGxhdW5jaGVkLCBhdHRlbXB0cyB0byBzdGFydCBpdCAoL3Vzci9iaW4vYWxhY3JpdHR5KVxuXG5Xb3JrcyB1bmRlciBib3RoIFdheWxhbmQgYW5kIFgxMVxuXG5UbyBjaGFuZ2UgaG90a2V5IHBsZWFzZSBmb2xsb3cgaW5zdHJ1Y3Rpb24gaW4gdGhlIFJFQURNRS5tZDoiLAogICJuYW1lIjogIlRvZ2dsZSBBbGFjcml0dHkiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudG9nZ2xlLWFsYWNyaXR0eSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYXh4YXB5L2dub21lLWFsYWNyaXR0eS10b2dnbGUiLAogICJ1dWlkIjogInRvZ2dsZS1hbGFjcml0dHlAaXRzdGltZS50ZWNoIiwKICAidmVyc2lvbiI6IDQKfQ=="}, "40": {"version": "4", "sha256": "1kz5a8x9fpvilcd4p9pn6cmsj6gvq44cg85yhkgdi9x2qpd52fn1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZXMgQWxhY3JpdHR5IHdpbmRvdyB2aWEgaG90a2V5OiBBbHQrelxuXG5JZiBBbGFjcml0dHkgaXMgbm90IGxhdW5jaGVkLCBhdHRlbXB0cyB0byBzdGFydCBpdCAoL3Vzci9iaW4vYWxhY3JpdHR5KVxuXG5Xb3JrcyB1bmRlciBib3RoIFdheWxhbmQgYW5kIFgxMVxuXG5UbyBjaGFuZ2UgaG90a2V5IHBsZWFzZSBmb2xsb3cgaW5zdHJ1Y3Rpb24gaW4gdGhlIFJFQURNRS5tZDoiLAogICJuYW1lIjogIlRvZ2dsZSBBbGFjcml0dHkiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudG9nZ2xlLWFsYWNyaXR0eSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYXh4YXB5L2dub21lLWFsYWNyaXR0eS10b2dnbGUiLAogICJ1dWlkIjogInRvZ2dsZS1hbGFjcml0dHlAaXRzdGltZS50ZWNoIiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
+, {"uuid": "hide-panel@fthx", "name": "Hide Panel", "pname": "hide-panel", "description": "Hide top panel except in overview. Switch button in panel.\n\nVery very light extension. There is a 1 pixel wide line at the top of the screen that allows to blindly use the panel menus. This is needed to keep the native hot corner active without having to recreate it.", "link": "https://extensions.gnome.org/extension/3948/hide-panel/", "shell_version_map": {"38": {"version": "8", "sha256": "0nrj0kxfdxx7nmw0zai070ca5lv5r43bpgm2binv31xjyh385849", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgdG9wIHBhbmVsIGV4Y2VwdCBpbiBvdmVydmlldy4gU3dpdGNoIGJ1dHRvbiBpbiBwYW5lbC5cblxuVmVyeSB2ZXJ5IGxpZ2h0IGV4dGVuc2lvbi4gVGhlcmUgaXMgYSAxIHBpeGVsIHdpZGUgbGluZSBhdCB0aGUgdG9wIG9mIHRoZSBzY3JlZW4gdGhhdCBhbGxvd3MgdG8gYmxpbmRseSB1c2UgdGhlIHBhbmVsIG1lbnVzLiBUaGlzIGlzIG5lZWRlZCB0byBrZWVwIHRoZSBuYXRpdmUgaG90IGNvcm5lciBhY3RpdmUgd2l0aG91dCBoYXZpbmcgdG8gcmVjcmVhdGUgaXQuIiwKICAibmFtZSI6ICJIaWRlIFBhbmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvaGlkZS1wYW5lbCIsCiAgInV1aWQiOiAiaGlkZS1wYW5lbEBmdGh4IiwKICAidmVyc2lvbiI6IDgKfQ=="}, "40": {"version": "8", "sha256": "0nrj0kxfdxx7nmw0zai070ca5lv5r43bpgm2binv31xjyh385849", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgdG9wIHBhbmVsIGV4Y2VwdCBpbiBvdmVydmlldy4gU3dpdGNoIGJ1dHRvbiBpbiBwYW5lbC5cblxuVmVyeSB2ZXJ5IGxpZ2h0IGV4dGVuc2lvbi4gVGhlcmUgaXMgYSAxIHBpeGVsIHdpZGUgbGluZSBhdCB0aGUgdG9wIG9mIHRoZSBzY3JlZW4gdGhhdCBhbGxvd3MgdG8gYmxpbmRseSB1c2UgdGhlIHBhbmVsIG1lbnVzLiBUaGlzIGlzIG5lZWRlZCB0byBrZWVwIHRoZSBuYXRpdmUgaG90IGNvcm5lciBhY3RpdmUgd2l0aG91dCBoYXZpbmcgdG8gcmVjcmVhdGUgaXQuIiwKICAibmFtZSI6ICJIaWRlIFBhbmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvaGlkZS1wYW5lbCIsCiAgInV1aWQiOiAiaGlkZS1wYW5lbEBmdGh4IiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
+, {"uuid": "persistent-email-notifications@fthx", "name": "Persistent Email Notifications", "pname": "persistent-email-notifications", "description": "Never hide a new mail notification, except if you close it.\n\nVery very light extension. Email clients supported: Thunderbird, Evolution, Geary, Mailspring, TypeApp, BlueMail. Please ask for another email client if needed.", "link": "https://extensions.gnome.org/extension/3951/persistent-email-notifications/", "shell_version_map": {"38": {"version": "3", "sha256": "06m6fhs50vlrwkgdk6cvkcl5f155a1w8szs1g9pzryf8mmldgmdd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5ldmVyIGhpZGUgYSBuZXcgbWFpbCBub3RpZmljYXRpb24sIGV4Y2VwdCBpZiB5b3UgY2xvc2UgaXQuXG5cblZlcnkgdmVyeSBsaWdodCBleHRlbnNpb24uIEVtYWlsIGNsaWVudHMgc3VwcG9ydGVkOiBUaHVuZGVyYmlyZCwgRXZvbHV0aW9uLCBHZWFyeSwgTWFpbHNwcmluZywgVHlwZUFwcCwgQmx1ZU1haWwuIFBsZWFzZSBhc2sgZm9yIGFub3RoZXIgZW1haWwgY2xpZW50IGlmIG5lZWRlZC4iLAogICJuYW1lIjogIlBlcnNpc3RlbnQgRW1haWwgTm90aWZpY2F0aW9ucyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L3BlcnNpc3RlbnQtZW1haWwtbm90aWZpY2F0aW9ucyIsCiAgInV1aWQiOiAicGVyc2lzdGVudC1lbWFpbC1ub3RpZmljYXRpb25zQGZ0aHgiLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "3", "sha256": "06m6fhs50vlrwkgdk6cvkcl5f155a1w8szs1g9pzryf8mmldgmdd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5ldmVyIGhpZGUgYSBuZXcgbWFpbCBub3RpZmljYXRpb24sIGV4Y2VwdCBpZiB5b3UgY2xvc2UgaXQuXG5cblZlcnkgdmVyeSBsaWdodCBleHRlbnNpb24uIEVtYWlsIGNsaWVudHMgc3VwcG9ydGVkOiBUaHVuZGVyYmlyZCwgRXZvbHV0aW9uLCBHZWFyeSwgTWFpbHNwcmluZywgVHlwZUFwcCwgQmx1ZU1haWwuIFBsZWFzZSBhc2sgZm9yIGFub3RoZXIgZW1haWwgY2xpZW50IGlmIG5lZWRlZC4iLAogICJuYW1lIjogIlBlcnNpc3RlbnQgRW1haWwgTm90aWZpY2F0aW9ucyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L3BlcnNpc3RlbnQtZW1haWwtbm90aWZpY2F0aW9ucyIsCiAgInV1aWQiOiAicGVyc2lzdGVudC1lbWFpbC1ub3RpZmljYXRpb25zQGZ0aHgiLAogICJ2ZXJzaW9uIjogMwp9"}}}
, {"uuid": "horizontal-workspace-indicator@tty2.io", "name": "Workspace indicator", "pname": "workspace-indicator", "description": "Workspace indicator shows the amount of opened workspaces and highlights the current one using unicode characters.\n\nYou can use it as an indicator only but widget is clickable. Left button click: move to left, right click: move right.\n\nPay attention:\n1) there could be an error with the extension after install or update. The solution is to logout and login again.\n2) there could be an error if you have another extension with the same name \"workspace indicator\" already installed. The solution is to remove the old one.", "link": "https://extensions.gnome.org/extension/3952/workspace-indicator/", "shell_version_map": {"38": {"version": "7", "sha256": "1hkpa86vfzdcy9plvggaa1vd4mkjsi6i71bfj5p2bblqh5h1dqrp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldvcmtzcGFjZSBpbmRpY2F0b3Igc2hvd3MgdGhlIGFtb3VudCBvZiBvcGVuZWQgd29ya3NwYWNlcyBhbmQgaGlnaGxpZ2h0cyB0aGUgY3VycmVudCBvbmUgdXNpbmcgdW5pY29kZSBjaGFyYWN0ZXJzLlxuXG5Zb3UgY2FuIHVzZSBpdCBhcyBhbiBpbmRpY2F0b3Igb25seSBidXQgd2lkZ2V0IGlzIGNsaWNrYWJsZS4gTGVmdCBidXR0b24gY2xpY2s6IG1vdmUgdG8gbGVmdCwgcmlnaHQgY2xpY2s6IG1vdmUgcmlnaHQuXG5cblBheSBhdHRlbnRpb246XG4xKSB0aGVyZSBjb3VsZCBiZSBhbiBlcnJvciB3aXRoIHRoZSBleHRlbnNpb24gYWZ0ZXIgaW5zdGFsbCBvciB1cGRhdGUuIFRoZSBzb2x1dGlvbiBpcyB0byBsb2dvdXQgYW5kIGxvZ2luIGFnYWluLlxuMikgdGhlcmUgY291bGQgYmUgYW4gZXJyb3IgaWYgeW91IGhhdmUgYW5vdGhlciBleHRlbnNpb24gd2l0aCB0aGUgc2FtZSBuYW1lIFwid29ya3NwYWNlIGluZGljYXRvclwiIGFscmVhZHkgaW5zdGFsbGVkLiBUaGUgc29sdXRpb24gaXMgdG8gcmVtb3ZlIHRoZSBvbGQgb25lLiIsCiAgIm5hbWUiOiAiV29ya3NwYWNlIGluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAuMCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dHkyL2hvcml6b250YWwtd29ya3NwYWNlLWluZGljYXRvciIsCiAgInV1aWQiOiAiaG9yaXpvbnRhbC13b3Jrc3BhY2UtaW5kaWNhdG9yQHR0eTIuaW8iLAogICJ2ZXJzaW9uIjogNwp9"}, "40": {"version": "7", "sha256": "1hkpa86vfzdcy9plvggaa1vd4mkjsi6i71bfj5p2bblqh5h1dqrp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldvcmtzcGFjZSBpbmRpY2F0b3Igc2hvd3MgdGhlIGFtb3VudCBvZiBvcGVuZWQgd29ya3NwYWNlcyBhbmQgaGlnaGxpZ2h0cyB0aGUgY3VycmVudCBvbmUgdXNpbmcgdW5pY29kZSBjaGFyYWN0ZXJzLlxuXG5Zb3UgY2FuIHVzZSBpdCBhcyBhbiBpbmRpY2F0b3Igb25seSBidXQgd2lkZ2V0IGlzIGNsaWNrYWJsZS4gTGVmdCBidXR0b24gY2xpY2s6IG1vdmUgdG8gbGVmdCwgcmlnaHQgY2xpY2s6IG1vdmUgcmlnaHQuXG5cblBheSBhdHRlbnRpb246XG4xKSB0aGVyZSBjb3VsZCBiZSBhbiBlcnJvciB3aXRoIHRoZSBleHRlbnNpb24gYWZ0ZXIgaW5zdGFsbCBvciB1cGRhdGUuIFRoZSBzb2x1dGlvbiBpcyB0byBsb2dvdXQgYW5kIGxvZ2luIGFnYWluLlxuMikgdGhlcmUgY291bGQgYmUgYW4gZXJyb3IgaWYgeW91IGhhdmUgYW5vdGhlciBleHRlbnNpb24gd2l0aCB0aGUgc2FtZSBuYW1lIFwid29ya3NwYWNlIGluZGljYXRvclwiIGFscmVhZHkgaW5zdGFsbGVkLiBUaGUgc29sdXRpb24gaXMgdG8gcmVtb3ZlIHRoZSBvbGQgb25lLiIsCiAgIm5hbWUiOiAiV29ya3NwYWNlIGluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAuMCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dHkyL2hvcml6b250YWwtd29ya3NwYWNlLWluZGljYXRvciIsCiAgInV1aWQiOiAiaG9yaXpvbnRhbC13b3Jrc3BhY2UtaW5kaWNhdG9yQHR0eTIuaW8iLAogICJ2ZXJzaW9uIjogNwp9"}}}
-, {"uuid": "kitchentimer@blackjackshellac.ca", "name": "Kitchen Timer", "pname": "kitchen-timer", "description": "General purpose timer extension for Gnome Shell\n\nPlease report issues on github\nIf updating the extension reports an ERROR, it should work after the next reboot or if you logout and login again.", "link": "https://extensions.gnome.org/extension/3955/kitchen-timer/", "shell_version_map": {"38": {"version": "28", "sha256": "1i16v51jc09al8ggmajk8m7w4nvlynr60c8f7fvwj039baq5fr9h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdlbmVyYWwgcHVycG9zZSB0aW1lciBleHRlbnNpb24gZm9yIEdub21lIFNoZWxsXG5cblBsZWFzZSByZXBvcnQgaXNzdWVzIG9uIGdpdGh1YlxuSWYgdXBkYXRpbmcgdGhlIGV4dGVuc2lvbiByZXBvcnRzIGFuIEVSUk9SLCBpdCBzaG91bGQgd29yayBhZnRlciB0aGUgbmV4dCByZWJvb3Qgb3IgaWYgeW91IGxvZ291dCBhbmQgbG9naW4gYWdhaW4uIiwKICAiZ2V0dGV4dC1kb21haW4iOiAia2l0Y2hlbi10aW1lci1ibGFja2phY2tzaGVsbGFjIiwKICAibmFtZSI6ICJLaXRjaGVuIFRpbWVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmtpdGNoZW4tdGltZXItYmxhY2tqYWNrc2hlbGxhYyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9ibGFja2phY2tzaGVsbGFjL2tpdGNoZW5UaW1lciIsCiAgInV1aWQiOiAia2l0Y2hlbnRpbWVyQGJsYWNramFja3NoZWxsYWMuY2EiLAogICJ2ZXJzaW9uIjogMjgKfQ=="}, "40": {"version": "28", "sha256": "1i16v51jc09al8ggmajk8m7w4nvlynr60c8f7fvwj039baq5fr9h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdlbmVyYWwgcHVycG9zZSB0aW1lciBleHRlbnNpb24gZm9yIEdub21lIFNoZWxsXG5cblBsZWFzZSByZXBvcnQgaXNzdWVzIG9uIGdpdGh1YlxuSWYgdXBkYXRpbmcgdGhlIGV4dGVuc2lvbiByZXBvcnRzIGFuIEVSUk9SLCBpdCBzaG91bGQgd29yayBhZnRlciB0aGUgbmV4dCByZWJvb3Qgb3IgaWYgeW91IGxvZ291dCBhbmQgbG9naW4gYWdhaW4uIiwKICAiZ2V0dGV4dC1kb21haW4iOiAia2l0Y2hlbi10aW1lci1ibGFja2phY2tzaGVsbGFjIiwKICAibmFtZSI6ICJLaXRjaGVuIFRpbWVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmtpdGNoZW4tdGltZXItYmxhY2tqYWNrc2hlbGxhYyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9ibGFja2phY2tzaGVsbGFjL2tpdGNoZW5UaW1lciIsCiAgInV1aWQiOiAia2l0Y2hlbnRpbWVyQGJsYWNramFja3NoZWxsYWMuY2EiLAogICJ2ZXJzaW9uIjogMjgKfQ=="}}}
-, {"uuid": "gnome-fuzzy-app-search@gnome-shell-extensions.Czarlie.gitlab.com", "name": "GNOME Fuzzy App Search", "pname": "gnome-fuzzy-app-search", "description": "Fuzzy application search results for Gnome Search", "link": "https://extensions.gnome.org/extension/3956/gnome-fuzzy-app-search/", "shell_version_map": {"38": {"version": "2", "sha256": "0lsjcgj4jpjzgindssw9bkmvvg22l4dshqn4h41vnbm229zhvlgx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dGhvciI6ICJDemFybGllIDxsZWVlNDlAZ21haWwuY29tPiIsCiAgImF1dGhvci1odG1sIjogIkN6YXJsaWUgJmx0OzxhIGhyZWY9XCJtYWlsdG86bGVlZTQ5Ljd4N0BnbWFpbC5jb21cIj5sZWVlNDkuN3g3QGdtYWlsLmNvbTwvYT4mZ3Q7IiwKICAiZGVzY3JpcHRpb24iOiAiRnV6enkgYXBwbGljYXRpb24gc2VhcmNoIHJlc3VsdHMgZm9yIEdub21lIFNlYXJjaCIsCiAgImRlc2NyaXB0aW9uLWh0bWwiOiAiPGEgaHJlZj1cImh0dHBzOi8vZW4ud2lraXBlZGlhLm9yZy93aWtpL0FwcHJveGltYXRlX3N0cmluZ19tYXRjaGluZ1wiPkZ1enp5PC9hPiBhcHBsaWNhdGlvbiBzZWFyY2ggcmVzdWx0cyBmb3IgPGEgaHJlZj1cImh0dHBzOi8vZGV2ZWxvcGVyLmdub21lLm9yZy9TZWFyY2hQcm92aWRlci9cIj5Hbm9tZSBTZWFyY2g8L2E+LiIsCiAgImVtYWlsIjogImxlZWU0OS43eDdAZ21haWwuY29tIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtZnV6enktYXBwLXNlYXJjaCIsCiAgImxpY2Vuc2UiOiAiR05VIEdlbmVyYWwgUHVibGljIExpY2Vuc2UgdjMuMCIsCiAgImxpY2Vuc2UtaHRtbCI6ICJUaGlzIHByb2dyYW0gY29tZXMgd2l0aCBBQlNPTFVURUxZIE5PIFdBUlJBTlRZLlxuU2VlIHRoZSA8YSBocmVmPVwiaHR0cHM6Ly93d3cuZ251Lm9yZy9saWNlbnNlcy9ncGwtMy4wLmh0bWxcIj5HTlUgR2VuZXJhbCBQdWJsaWMgTGljZW5zZSB2My4wPC9hPiBmb3IgZGV0YWlscy4iLAogICJuYW1lIjogIkdOT01FIEZ1enp5IEFwcCBTZWFyY2giLAogICJvcmlnaW5hbC1hdXRob3IiOiAiRnJhbmpvIEZpbG8gPGZmZmlsbzY2NkBnbWFpbC5jb20+IiwKICAib3JpZ2luYWwtYXV0aG9yLWh0bWwiOiAiRnJhbmpvIEZpbG8gJmx0OzxhIGhyZWY9XCJtYWlsdG86ZmZmaWxvNjY2QGdtYWlsLmNvbVwiPmZmZmlsbzY2NkBnbWFpbC5jb208L2E+Jmd0OyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5nbm9tZS1mdXp6eS1hcHAtc2VhcmNoIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjIxLjIiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9DemFybGllL2dub21lLWZ1enp5LWFwcC1zZWFyY2giLAogICJ1dWlkIjogImdub21lLWZ1enp5LWFwcC1zZWFyY2hAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5DemFybGllLmdpdGxhYi5jb20iLAogICJ2ZXJzaW9uIjogMgp9"}}}
-, {"uuid": "e-ink-mode@fujimo-t.github.io", "name": "E Ink Mode", "pname": "e-ink-mode", "description": "Make desktop suitable for E Ink monitors.\n\n Features:\n* Switch Shell, GTK, icon, and cursor theme to light high contrast one\n* Disable animations\n\n Dependencies:\n* High Constrast theme for GTK and icon\n* DMZ-White cursor theme", "link": "https://extensions.gnome.org/extension/3957/e-ink-mode/", "shell_version_map": {"40": {"version": "2", "sha256": "02jnbp8pfz9hdlj11jjdsd55yg55si69f1hzjcq1qya2a20v2xqv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgZGVza3RvcCBzdWl0YWJsZSBmb3IgRSBJbmsgbW9uaXRvcnMuXG5cbiBGZWF0dXJlczpcbiogU3dpdGNoIFNoZWxsLCBHVEssIGljb24sIGFuZCBjdXJzb3IgdGhlbWUgdG8gbGlnaHQgaGlnaCBjb250cmFzdCBvbmVcbiogRGlzYWJsZSBhbmltYXRpb25zXG5cbiBEZXBlbmRlbmNpZXM6XG4qIEhpZ2ggQ29uc3RyYXN0IHRoZW1lIGZvciBHVEsgYW5kIGljb25cbiogRE1aLVdoaXRlIGN1cnNvciB0aGVtZSIsCiAgIm5hbWUiOiAiRSBJbmsgTW9kZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdWppbW8tdC9nbm9tZS1zaGVsbC1leHRlbnNpb24tZS1pbmstbW9kZSIsCiAgInV1aWQiOiAiZS1pbmstbW9kZUBmdWppbW8tdC5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMgp9"}}}
+, {"uuid": "kitchentimer@blackjackshellac.ca", "name": "Kitchen Timer", "pname": "kitchen-timer", "description": "General purpose timer extension for Gnome Shell\n\nPlease report issues on github\n\nIf updating the extension reports an ERROR, it should work after the next reboot or if you logout and login again.", "link": "https://extensions.gnome.org/extension/3955/kitchen-timer/", "shell_version_map": {"38": {"version": "28", "sha256": "0k1ahswl2ipjz1v1z1j96lndbk26rgfr2ra2g78lvzjiv6j42sdf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdlbmVyYWwgcHVycG9zZSB0aW1lciBleHRlbnNpb24gZm9yIEdub21lIFNoZWxsXG5cblBsZWFzZSByZXBvcnQgaXNzdWVzIG9uIGdpdGh1YlxuXG5JZiB1cGRhdGluZyB0aGUgZXh0ZW5zaW9uIHJlcG9ydHMgYW4gRVJST1IsIGl0IHNob3VsZCB3b3JrIGFmdGVyIHRoZSBuZXh0IHJlYm9vdCBvciBpZiB5b3UgbG9nb3V0IGFuZCBsb2dpbiBhZ2Fpbi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJraXRjaGVuLXRpbWVyLWJsYWNramFja3NoZWxsYWMiLAogICJuYW1lIjogIktpdGNoZW4gVGltZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMua2l0Y2hlbi10aW1lci1ibGFja2phY2tzaGVsbGFjIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JsYWNramFja3NoZWxsYWMva2l0Y2hlblRpbWVyIiwKICAidXVpZCI6ICJraXRjaGVudGltZXJAYmxhY2tqYWNrc2hlbGxhYy5jYSIsCiAgInZlcnNpb24iOiAyOAp9"}, "40": {"version": "28", "sha256": "0k1ahswl2ipjz1v1z1j96lndbk26rgfr2ra2g78lvzjiv6j42sdf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdlbmVyYWwgcHVycG9zZSB0aW1lciBleHRlbnNpb24gZm9yIEdub21lIFNoZWxsXG5cblBsZWFzZSByZXBvcnQgaXNzdWVzIG9uIGdpdGh1YlxuXG5JZiB1cGRhdGluZyB0aGUgZXh0ZW5zaW9uIHJlcG9ydHMgYW4gRVJST1IsIGl0IHNob3VsZCB3b3JrIGFmdGVyIHRoZSBuZXh0IHJlYm9vdCBvciBpZiB5b3UgbG9nb3V0IGFuZCBsb2dpbiBhZ2Fpbi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJraXRjaGVuLXRpbWVyLWJsYWNramFja3NoZWxsYWMiLAogICJuYW1lIjogIktpdGNoZW4gVGltZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMua2l0Y2hlbi10aW1lci1ibGFja2phY2tzaGVsbGFjIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JsYWNramFja3NoZWxsYWMva2l0Y2hlblRpbWVyIiwKICAidXVpZCI6ICJraXRjaGVudGltZXJAYmxhY2tqYWNrc2hlbGxhYy5jYSIsCiAgInZlcnNpb24iOiAyOAp9"}}}
+, {"uuid": "gnome-fuzzy-app-search@gnome-shell-extensions.Czarlie.gitlab.com", "name": "GNOME Fuzzy App Search", "pname": "gnome-fuzzy-app-search", "description": "Fuzzy application search results for Gnome Search", "link": "https://extensions.gnome.org/extension/3956/gnome-fuzzy-app-search/", "shell_version_map": {"38": {"version": "3", "sha256": "0jxh2wcckwnijgabg9k7b7mi785j63pjkbjya4pbvnmiw4n4qbn0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dGhvciI6ICJDemFybGllIDxsZWVlNDlAZ21haWwuY29tPiIsCiAgImF1dGhvci1odG1sIjogIkN6YXJsaWUgJmx0OzxhIGhyZWY9XCJtYWlsdG86bGVlZTQ5Ljd4N0BnbWFpbC5jb21cIj5sZWVlNDkuN3g3QGdtYWlsLmNvbTwvYT4mZ3Q7IiwKICAiZGVzY3JpcHRpb24iOiAiRnV6enkgYXBwbGljYXRpb24gc2VhcmNoIHJlc3VsdHMgZm9yIEdub21lIFNlYXJjaCIsCiAgImRlc2NyaXB0aW9uLWh0bWwiOiAiPGEgaHJlZj1cImh0dHBzOi8vZW4ud2lraXBlZGlhLm9yZy93aWtpL0FwcHJveGltYXRlX3N0cmluZ19tYXRjaGluZ1wiPkZ1enp5PC9hPiBhcHBsaWNhdGlvbiBzZWFyY2ggcmVzdWx0cyBmb3IgPGEgaHJlZj1cImh0dHBzOi8vZGV2ZWxvcGVyLmdub21lLm9yZy9TZWFyY2hQcm92aWRlci9cIj5Hbm9tZSBTZWFyY2g8L2E+LiIsCiAgImVtYWlsIjogImxlZWU0OS43eDdAZ21haWwuY29tIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtZnV6enktYXBwLXNlYXJjaCIsCiAgImxpY2Vuc2UiOiAiR05VIEdlbmVyYWwgUHVibGljIExpY2Vuc2UgdjMuMCIsCiAgImxpY2Vuc2UtaHRtbCI6ICJUaGlzIHByb2dyYW0gY29tZXMgd2l0aCBBQlNPTFVURUxZIE5PIFdBUlJBTlRZLlxuU2VlIHRoZSA8YSBocmVmPVwiaHR0cHM6Ly93d3cuZ251Lm9yZy9saWNlbnNlcy9ncGwtMy4wLmh0bWxcIj5HTlUgR2VuZXJhbCBQdWJsaWMgTGljZW5zZSB2My4wPC9hPiBmb3IgZGV0YWlscy4iLAogICJuYW1lIjogIkdOT01FIEZ1enp5IEFwcCBTZWFyY2giLAogICJvcmlnaW5hbC1hdXRob3IiOiAiRnJhbmpvIEZpbG8gPGZmZmlsbzY2NkBnbWFpbC5jb20+IiwKICAib3JpZ2luYWwtYXV0aG9yLWh0bWwiOiAiRnJhbmpvIEZpbG8gJmx0OzxhIGhyZWY9XCJtYWlsdG86ZmZmaWxvNjY2QGdtYWlsLmNvbVwiPmZmZmlsbzY2NkBnbWFpbC5jb208L2E+Jmd0OyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5nbm9tZS1mdXp6eS1hcHAtc2VhcmNoIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjIxLjIiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL0N6YXJsaWUvZ25vbWUtZnV6enktYXBwLXNlYXJjaCIsCiAgInV1aWQiOiAiZ25vbWUtZnV6enktYXBwLXNlYXJjaEBnbm9tZS1zaGVsbC1leHRlbnNpb25zLkN6YXJsaWUuZ2l0bGFiLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}, "40": {"version": "3", "sha256": "0jxh2wcckwnijgabg9k7b7mi785j63pjkbjya4pbvnmiw4n4qbn0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dGhvciI6ICJDemFybGllIDxsZWVlNDlAZ21haWwuY29tPiIsCiAgImF1dGhvci1odG1sIjogIkN6YXJsaWUgJmx0OzxhIGhyZWY9XCJtYWlsdG86bGVlZTQ5Ljd4N0BnbWFpbC5jb21cIj5sZWVlNDkuN3g3QGdtYWlsLmNvbTwvYT4mZ3Q7IiwKICAiZGVzY3JpcHRpb24iOiAiRnV6enkgYXBwbGljYXRpb24gc2VhcmNoIHJlc3VsdHMgZm9yIEdub21lIFNlYXJjaCIsCiAgImRlc2NyaXB0aW9uLWh0bWwiOiAiPGEgaHJlZj1cImh0dHBzOi8vZW4ud2lraXBlZGlhLm9yZy93aWtpL0FwcHJveGltYXRlX3N0cmluZ19tYXRjaGluZ1wiPkZ1enp5PC9hPiBhcHBsaWNhdGlvbiBzZWFyY2ggcmVzdWx0cyBmb3IgPGEgaHJlZj1cImh0dHBzOi8vZGV2ZWxvcGVyLmdub21lLm9yZy9TZWFyY2hQcm92aWRlci9cIj5Hbm9tZSBTZWFyY2g8L2E+LiIsCiAgImVtYWlsIjogImxlZWU0OS43eDdAZ21haWwuY29tIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtZnV6enktYXBwLXNlYXJjaCIsCiAgImxpY2Vuc2UiOiAiR05VIEdlbmVyYWwgUHVibGljIExpY2Vuc2UgdjMuMCIsCiAgImxpY2Vuc2UtaHRtbCI6ICJUaGlzIHByb2dyYW0gY29tZXMgd2l0aCBBQlNPTFVURUxZIE5PIFdBUlJBTlRZLlxuU2VlIHRoZSA8YSBocmVmPVwiaHR0cHM6Ly93d3cuZ251Lm9yZy9saWNlbnNlcy9ncGwtMy4wLmh0bWxcIj5HTlUgR2VuZXJhbCBQdWJsaWMgTGljZW5zZSB2My4wPC9hPiBmb3IgZGV0YWlscy4iLAogICJuYW1lIjogIkdOT01FIEZ1enp5IEFwcCBTZWFyY2giLAogICJvcmlnaW5hbC1hdXRob3IiOiAiRnJhbmpvIEZpbG8gPGZmZmlsbzY2NkBnbWFpbC5jb20+IiwKICAib3JpZ2luYWwtYXV0aG9yLWh0bWwiOiAiRnJhbmpvIEZpbG8gJmx0OzxhIGhyZWY9XCJtYWlsdG86ZmZmaWxvNjY2QGdtYWlsLmNvbVwiPmZmZmlsbzY2NkBnbWFpbC5jb208L2E+Jmd0OyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5nbm9tZS1mdXp6eS1hcHAtc2VhcmNoIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjIxLjIiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL0N6YXJsaWUvZ25vbWUtZnV6enktYXBwLXNlYXJjaCIsCiAgInV1aWQiOiAiZ25vbWUtZnV6enktYXBwLXNlYXJjaEBnbm9tZS1zaGVsbC1leHRlbnNpb25zLkN6YXJsaWUuZ2l0bGFiLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}}}
+, {"uuid": "e-ink-mode@fujimo-t.github.io", "name": "E Ink Mode", "pname": "e-ink-mode", "description": "# GNOME Shell Extension: E Ink Mode\nMake desktop suitable for E Ink monitors.\n\n## Features\n* Switch Shell, GTK and icon theme to light high contrast one\n* Disable animations\n* Change background to white color\n\n## Dependencies\n* `High Constrast` theme for GTK and icon", "link": "https://extensions.gnome.org/extension/3957/e-ink-mode/", "shell_version_map": {"40": {"version": "3", "sha256": "1bzcv10c9wd2qga4z3swlyf0v0bv1s0j90vjxlmvylfr1nnbsjc9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiMgR05PTUUgU2hlbGwgRXh0ZW5zaW9uOiBFIEluayBNb2RlXG5NYWtlIGRlc2t0b3Agc3VpdGFibGUgZm9yIEUgSW5rIG1vbml0b3JzLlxuXG4jIyBGZWF0dXJlc1xuKiBTd2l0Y2ggU2hlbGwsIEdUSyBhbmQgaWNvbiB0aGVtZSB0byBsaWdodCBoaWdoIGNvbnRyYXN0IG9uZVxuKiBEaXNhYmxlIGFuaW1hdGlvbnNcbiogQ2hhbmdlIGJhY2tncm91bmQgdG8gd2hpdGUgY29sb3JcblxuIyMgRGVwZW5kZW5jaWVzXG4qIGBIaWdoIENvbnN0cmFzdGAgdGhlbWUgZm9yIEdUSyBhbmQgaWNvbiIsCiAgIm5hbWUiOiAiRSBJbmsgTW9kZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdWppbW8tdC9nbm9tZS1zaGVsbC1leHRlbnNpb24tZS1pbmstbW9kZSIsCiAgInV1aWQiOiAiZS1pbmstbW9kZUBmdWppbW8tdC5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMwp9"}}}
, {"uuid": "transparent-top-bar@ftpix.com", "name": "Transparent Top Bar (Adjustable transparency)", "pname": "transparent-top-bar-adjustable-transparency", "description": "Fork of: https://github.com/zhanghai/gnome-shell-extension-transparent-top-bar\n\nBring back the transparent top bar in GNOME Shell with adjustable transparency.\n\nDoes not work well with custom shell themes.", "link": "https://extensions.gnome.org/extension/3960/transparent-top-bar-adjustable-transparency/", "shell_version_map": {"38": {"version": "5", "sha256": "09mym8h6lpb53b18c72vzl2y7myl1xg1lyg9jryf3nijna9adnr9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvcmsgb2Y6IGh0dHBzOi8vZ2l0aHViLmNvbS96aGFuZ2hhaS9nbm9tZS1zaGVsbC1leHRlbnNpb24tdHJhbnNwYXJlbnQtdG9wLWJhclxuXG5CcmluZyBiYWNrIHRoZSB0cmFuc3BhcmVudCB0b3AgYmFyIGluIEdOT01FIFNoZWxsIHdpdGggYWRqdXN0YWJsZSB0cmFuc3BhcmVuY3kuXG5cbkRvZXMgbm90IHdvcmsgd2VsbCB3aXRoIGN1c3RvbSBzaGVsbCB0aGVtZXMuIiwKICAibmFtZSI6ICJUcmFuc3BhcmVudCBUb3AgQmFyIChBZGp1c3RhYmxlIHRyYW5zcGFyZW5jeSkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbGFtYXJpb3MvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRyYW5zcGFyZW50LXRvcC1iYXIiLAogICJ1dWlkIjogInRyYW5zcGFyZW50LXRvcC1iYXJAZnRwaXguY29tIiwKICAidmVyc2lvbiI6IDUKfQ=="}, "40": {"version": "5", "sha256": "09mym8h6lpb53b18c72vzl2y7myl1xg1lyg9jryf3nijna9adnr9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvcmsgb2Y6IGh0dHBzOi8vZ2l0aHViLmNvbS96aGFuZ2hhaS9nbm9tZS1zaGVsbC1leHRlbnNpb24tdHJhbnNwYXJlbnQtdG9wLWJhclxuXG5CcmluZyBiYWNrIHRoZSB0cmFuc3BhcmVudCB0b3AgYmFyIGluIEdOT01FIFNoZWxsIHdpdGggYWRqdXN0YWJsZSB0cmFuc3BhcmVuY3kuXG5cbkRvZXMgbm90IHdvcmsgd2VsbCB3aXRoIGN1c3RvbSBzaGVsbCB0aGVtZXMuIiwKICAibmFtZSI6ICJUcmFuc3BhcmVudCBUb3AgQmFyIChBZGp1c3RhYmxlIHRyYW5zcGFyZW5jeSkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbGFtYXJpb3MvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRyYW5zcGFyZW50LXRvcC1iYXIiLAogICJ1dWlkIjogInRyYW5zcGFyZW50LXRvcC1iYXJAZnRwaXguY29tIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
-, {"uuid": "improved-workspace-indicator@michaelaquilina.github.io", "name": "Improved Workspace Indicator", "pname": "improved-workspace-indicator", "description": "Slightly improved workspace indicator that shows both current and in use workspaces similar to i3/sway", "link": "https://extensions.gnome.org/extension/3968/improved-workspace-indicator/", "shell_version_map": {"38": {"version": "3", "sha256": "0ina2cslibhixaz9dw8gp53c8s0dkphdn0sa7yzig3624zbsy13k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNsaWdodGx5IGltcHJvdmVkIHdvcmtzcGFjZSBpbmRpY2F0b3IgdGhhdCBzaG93cyBib3RoIGN1cnJlbnQgYW5kIGluIHVzZSB3b3Jrc3BhY2VzIHNpbWlsYXIgdG8gaTMvc3dheSIsCiAgIm5hbWUiOiAiSW1wcm92ZWQgV29ya3NwYWNlIEluZGljYXRvciIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAibWljaGFlbGFxdWlsaW5hQGdtYWlsLmNvbSIKICBdLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTWljaGFlbEFxdWlsaW5hL2ltcHJvdmVkLXdvcmtzcGFjZS1pbmRpY2F0b3IiLAogICJ1dWlkIjogImltcHJvdmVkLXdvcmtzcGFjZS1pbmRpY2F0b3JAbWljaGFlbGFxdWlsaW5hLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAzCn0="}, "40": {"version": "3", "sha256": "0ina2cslibhixaz9dw8gp53c8s0dkphdn0sa7yzig3624zbsy13k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNsaWdodGx5IGltcHJvdmVkIHdvcmtzcGFjZSBpbmRpY2F0b3IgdGhhdCBzaG93cyBib3RoIGN1cnJlbnQgYW5kIGluIHVzZSB3b3Jrc3BhY2VzIHNpbWlsYXIgdG8gaTMvc3dheSIsCiAgIm5hbWUiOiAiSW1wcm92ZWQgV29ya3NwYWNlIEluZGljYXRvciIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAibWljaGFlbGFxdWlsaW5hQGdtYWlsLmNvbSIKICBdLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTWljaGFlbEFxdWlsaW5hL2ltcHJvdmVkLXdvcmtzcGFjZS1pbmRpY2F0b3IiLAogICJ1dWlkIjogImltcHJvdmVkLXdvcmtzcGFjZS1pbmRpY2F0b3JAbWljaGFlbGFxdWlsaW5hLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAzCn0="}}}
-, {"uuid": "guillotine@fopdoodle.net", "name": "Guillotine", "pname": "guillotine", "description": "Guillotine is a gnome extension designed for efficiently carrying out executions of commands from a customizable menu. Simply speaking: it is a highly customizable menu that enables you to launch commands and toggle services.", "link": "https://extensions.gnome.org/extension/3981/guillotine/", "shell_version_map": {"38": {"version": "3", "sha256": "0jpm8q47naxv5ld9yx6jjsnlm5snlqybilkmr8kvxq1an6pj36yw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkd1aWxsb3RpbmUgaXMgYSBnbm9tZSBleHRlbnNpb24gZGVzaWduZWQgZm9yIGVmZmljaWVudGx5IGNhcnJ5aW5nIG91dCBleGVjdXRpb25zIG9mIGNvbW1hbmRzIGZyb20gYSBjdXN0b21pemFibGUgbWVudS4gU2ltcGx5IHNwZWFraW5nOiBpdCBpcyBhIGhpZ2hseSBjdXN0b21pemFibGUgbWVudSB0aGF0IGVuYWJsZXMgeW91IHRvIGxhdW5jaCBjb21tYW5kcyBhbmQgdG9nZ2xlIHNlcnZpY2VzLiIsCiAgIm5hbWUiOiAiR3VpbGxvdGluZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5ndWlsbG90aW5lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZW50ZTc2L2d1aWxsb3RpbmUvIiwKICAidXVpZCI6ICJndWlsbG90aW5lQGZvcGRvb2RsZS5uZXQiLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "5", "sha256": "12d35cdwwdsmmkhsb65xcqlkaisn0l0pl5b5x033c90v0jgd7k6i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkd1aWxsb3RpbmUgaXMgYSBnbm9tZSBleHRlbnNpb24gZGVzaWduZWQgZm9yIGVmZmljaWVudGx5IGNhcnJ5aW5nIG91dCBleGVjdXRpb25zIG9mIGNvbW1hbmRzIGZyb20gYSBjdXN0b21pemFibGUgbWVudS4gU2ltcGx5IHNwZWFraW5nOiBpdCBpcyBhIGhpZ2hseSBjdXN0b21pemFibGUgbWVudSB0aGF0IGVuYWJsZXMgeW91IHRvIGxhdW5jaCBjb21tYW5kcyBhbmQgdG9nZ2xlIHNlcnZpY2VzLiIsCiAgIm5hbWUiOiAiR3VpbGxvdGluZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5ndWlsbG90aW5lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZW50ZTc2L2d1aWxsb3RpbmUvIiwKICAidXVpZCI6ICJndWlsbG90aW5lQGZvcGRvb2RsZS5uZXQiLAogICJ2ZXJzaW9uIjogNQp9"}}}
-, {"uuid": "extensions-in-system-menu@leleat-on-github", "name": "Extensions & Tweaks in system menu", "pname": "extensions-in-system-menu", "description": "No longer maintained. Starting with GNOME 40 'Tweaks-in-system-menu' also supports the extensions app. Please use that https://extensions.gnome.org/extension/1653/tweaks-in-system-menu/\n\n--------------------\n\nPut the Extensions and/or the Tweaks app into the system menu.", "link": "https://extensions.gnome.org/extension/3984/extensions-in-system-menu/", "shell_version_map": {"38": {"version": "6", "sha256": "0hdjgf4ancpj6lsqd6gf2lp7jf3zan16yrfap5g4hnbb72ihbpvc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5vIGxvbmdlciBtYWludGFpbmVkLiBTdGFydGluZyB3aXRoIEdOT01FIDQwICdUd2Vha3MtaW4tc3lzdGVtLW1lbnUnIGFsc28gc3VwcG9ydHMgdGhlIGV4dGVuc2lvbnMgYXBwLiBQbGVhc2UgdXNlIHRoYXQgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vMTY1My90d2Vha3MtaW4tc3lzdGVtLW1lbnUvXG5cbi0tLS0tLS0tLS0tLS0tLS0tLS0tXG5cblB1dCB0aGUgRXh0ZW5zaW9ucyBhbmQvb3IgdGhlIFR3ZWFrcyBhcHAgaW50byB0aGUgc3lzdGVtIG1lbnUuIiwKICAibmFtZSI6ICJFeHRlbnNpb25zICYgVHdlYWtzIGluIHN5c3RlbSBtZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIiwKICAgICI0MC5iZXRhIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTGVsZWF0L2V4dGVuc2lvbnMtaW4tc3lzdGVtLW1lbnUiLAogICJ1dWlkIjogImV4dGVuc2lvbnMtaW4tc3lzdGVtLW1lbnVAbGVsZWF0LW9uLWdpdGh1YiIsCiAgInZlcnNpb24iOiA2Cn0="}, "40": {"version": "6", "sha256": "0hdjgf4ancpj6lsqd6gf2lp7jf3zan16yrfap5g4hnbb72ihbpvc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5vIGxvbmdlciBtYWludGFpbmVkLiBTdGFydGluZyB3aXRoIEdOT01FIDQwICdUd2Vha3MtaW4tc3lzdGVtLW1lbnUnIGFsc28gc3VwcG9ydHMgdGhlIGV4dGVuc2lvbnMgYXBwLiBQbGVhc2UgdXNlIHRoYXQgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vMTY1My90d2Vha3MtaW4tc3lzdGVtLW1lbnUvXG5cbi0tLS0tLS0tLS0tLS0tLS0tLS0tXG5cblB1dCB0aGUgRXh0ZW5zaW9ucyBhbmQvb3IgdGhlIFR3ZWFrcyBhcHAgaW50byB0aGUgc3lzdGVtIG1lbnUuIiwKICAibmFtZSI6ICJFeHRlbnNpb25zICYgVHdlYWtzIGluIHN5c3RlbSBtZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIiwKICAgICI0MC5iZXRhIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTGVsZWF0L2V4dGVuc2lvbnMtaW4tc3lzdGVtLW1lbnUiLAogICJ1dWlkIjogImV4dGVuc2lvbnMtaW4tc3lzdGVtLW1lbnVAbGVsZWF0LW9uLWdpdGh1YiIsCiAgInZlcnNpb24iOiA2Cn0="}}}
-, {"uuid": "shuzhi@tuberry", "name": "Shu Zhi", "pname": "shu-zhi", "description": "A wallpaper generation extension for gnome shell, inspired by Jizhi\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/3985/shu-zhi/", "shell_version_map": {"38": {"version": "7", "sha256": "0fwncbkg7xpijydpwp3p8qx6czgvrxh7krasgjcsj4g35nh65i7k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgd2FsbHBhcGVyIGdlbmVyYXRpb24gZXh0ZW5zaW9uIGZvciBnbm9tZSBzaGVsbCwgaW5zcGlyZWQgYnkgSml6aGlcblxuRm9yIHN1cHBvcnQsIHBsZWFzZSByZXBvcnQgYW55IGlzc3VlcyB2aWEgdGhlIGhvbWVwYWdlIGxpbmsgYmVsb3cuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2h1emhpIiwKICAibmFtZSI6ICJTaHUgWmhpIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNodXpoaSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3R1YmVycnkvc2h1emhpIiwKICAidXVpZCI6ICJzaHV6aGlAdHViZXJyeSIsCiAgInZlcnNpb24iOiA3Cn0="}, "40": {"version": "11", "sha256": "02ny3dyshhw4m44d091lhqqdx0g2iciq5d7lcdqx9p3gwa5046d4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgd2FsbHBhcGVyIGdlbmVyYXRpb24gZXh0ZW5zaW9uIGZvciBnbm9tZSBzaGVsbCwgaW5zcGlyZWQgYnkgSml6aGlcblxuRm9yIHN1cHBvcnQsIHBsZWFzZSByZXBvcnQgYW55IGlzc3VlcyB2aWEgdGhlIGhvbWVwYWdlIGxpbmsgYmVsb3cuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2h1emhpIiwKICAibmFtZSI6ICJTaHUgWmhpIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNodXpoaSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dWJlcnJ5L3NodXpoaSIsCiAgInV1aWQiOiAic2h1emhpQHR1YmVycnkiLAogICJ2ZXJzaW9uIjogMTEKfQ=="}}}
+, {"uuid": "improved-workspace-indicator@michaelaquilina.github.io", "name": "Improved Workspace Indicator", "pname": "improved-workspace-indicator", "description": "Slightly improved workspace indicator that shows both current and in use workspaces similar to i3/sway", "link": "https://extensions.gnome.org/extension/3968/improved-workspace-indicator/", "shell_version_map": {"38": {"version": "8", "sha256": "0jgs5hl6qh56j6zash5n1gbgn93qwcf75fi7mrr80bdkyay0wv5j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNsaWdodGx5IGltcHJvdmVkIHdvcmtzcGFjZSBpbmRpY2F0b3IgdGhhdCBzaG93cyBib3RoIGN1cnJlbnQgYW5kIGluIHVzZSB3b3Jrc3BhY2VzIHNpbWlsYXIgdG8gaTMvc3dheSIsCiAgIm5hbWUiOiAiSW1wcm92ZWQgV29ya3NwYWNlIEluZGljYXRvciIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAibWljaGFlbGFxdWlsaW5hQGdtYWlsLmNvbSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaW1wcm92ZWQtd29ya3NwYWNlLWluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTWljaGFlbEFxdWlsaW5hL2ltcHJvdmVkLXdvcmtzcGFjZS1pbmRpY2F0b3IiLAogICJ1dWlkIjogImltcHJvdmVkLXdvcmtzcGFjZS1pbmRpY2F0b3JAbWljaGFlbGFxdWlsaW5hLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA4Cn0="}, "40": {"version": "8", "sha256": "0jgs5hl6qh56j6zash5n1gbgn93qwcf75fi7mrr80bdkyay0wv5j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNsaWdodGx5IGltcHJvdmVkIHdvcmtzcGFjZSBpbmRpY2F0b3IgdGhhdCBzaG93cyBib3RoIGN1cnJlbnQgYW5kIGluIHVzZSB3b3Jrc3BhY2VzIHNpbWlsYXIgdG8gaTMvc3dheSIsCiAgIm5hbWUiOiAiSW1wcm92ZWQgV29ya3NwYWNlIEluZGljYXRvciIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAibWljaGFlbGFxdWlsaW5hQGdtYWlsLmNvbSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaW1wcm92ZWQtd29ya3NwYWNlLWluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTWljaGFlbEFxdWlsaW5hL2ltcHJvdmVkLXdvcmtzcGFjZS1pbmRpY2F0b3IiLAogICJ1dWlkIjogImltcHJvdmVkLXdvcmtzcGFjZS1pbmRpY2F0b3JAbWljaGFlbGFxdWlsaW5hLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA4Cn0="}}}
+, {"uuid": "guillotine@fopdoodle.net", "name": "Guillotine", "pname": "guillotine", "description": "Guillotine is a gnome extension designed for efficiently carrying out executions of commands from a customizable menu. Simply speaking: it is a highly customizable menu that enables you to launch commands and toggle services.", "link": "https://extensions.gnome.org/extension/3981/guillotine/", "shell_version_map": {"38": {"version": "3", "sha256": "0jpm8q47naxv5ld9yx6jjsnlm5snlqybilkmr8kvxq1an6pj36yw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkd1aWxsb3RpbmUgaXMgYSBnbm9tZSBleHRlbnNpb24gZGVzaWduZWQgZm9yIGVmZmljaWVudGx5IGNhcnJ5aW5nIG91dCBleGVjdXRpb25zIG9mIGNvbW1hbmRzIGZyb20gYSBjdXN0b21pemFibGUgbWVudS4gU2ltcGx5IHNwZWFraW5nOiBpdCBpcyBhIGhpZ2hseSBjdXN0b21pemFibGUgbWVudSB0aGF0IGVuYWJsZXMgeW91IHRvIGxhdW5jaCBjb21tYW5kcyBhbmQgdG9nZ2xlIHNlcnZpY2VzLiIsCiAgIm5hbWUiOiAiR3VpbGxvdGluZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5ndWlsbG90aW5lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZW50ZTc2L2d1aWxsb3RpbmUvIiwKICAidXVpZCI6ICJndWlsbG90aW5lQGZvcGRvb2RsZS5uZXQiLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "6", "sha256": "06f3k0g96a29s5nrh5h1qk6inai65sf44i12bfsgdh33hrdfpayj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkd1aWxsb3RpbmUgaXMgYSBnbm9tZSBleHRlbnNpb24gZGVzaWduZWQgZm9yIGVmZmljaWVudGx5IGNhcnJ5aW5nIG91dCBleGVjdXRpb25zIG9mIGNvbW1hbmRzIGZyb20gYSBjdXN0b21pemFibGUgbWVudS4gU2ltcGx5IHNwZWFraW5nOiBpdCBpcyBhIGhpZ2hseSBjdXN0b21pemFibGUgbWVudSB0aGF0IGVuYWJsZXMgeW91IHRvIGxhdW5jaCBjb21tYW5kcyBhbmQgdG9nZ2xlIHNlcnZpY2VzLiIsCiAgIm5hbWUiOiAiR3VpbGxvdGluZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5ndWlsbG90aW5lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2VudGU3Ni9ndWlsbG90aW5lLyIsCiAgInV1aWQiOiAiZ3VpbGxvdGluZUBmb3Bkb29kbGUubmV0IiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
+, {"uuid": "shuzhi@tuberry", "name": "Shu Zhi", "pname": "shu-zhi", "description": "A wallpaper generation extension for gnome shell, inspired by Jizhi\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/3985/shu-zhi/", "shell_version_map": {"38": {"version": "7", "sha256": "0fwncbkg7xpijydpwp3p8qx6czgvrxh7krasgjcsj4g35nh65i7k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgd2FsbHBhcGVyIGdlbmVyYXRpb24gZXh0ZW5zaW9uIGZvciBnbm9tZSBzaGVsbCwgaW5zcGlyZWQgYnkgSml6aGlcblxuRm9yIHN1cHBvcnQsIHBsZWFzZSByZXBvcnQgYW55IGlzc3VlcyB2aWEgdGhlIGhvbWVwYWdlIGxpbmsgYmVsb3cuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2h1emhpIiwKICAibmFtZSI6ICJTaHUgWmhpIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNodXpoaSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3R1YmVycnkvc2h1emhpIiwKICAidXVpZCI6ICJzaHV6aGlAdHViZXJyeSIsCiAgInZlcnNpb24iOiA3Cn0="}, "40": {"version": "17", "sha256": "13b1lsig8rbaydv0d799w9as6r53j29zxx7hgpshyw7svjshwrrb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgd2FsbHBhcGVyIGdlbmVyYXRpb24gZXh0ZW5zaW9uIGZvciBnbm9tZSBzaGVsbCwgaW5zcGlyZWQgYnkgSml6aGlcblxuRm9yIHN1cHBvcnQsIHBsZWFzZSByZXBvcnQgYW55IGlzc3VlcyB2aWEgdGhlIGhvbWVwYWdlIGxpbmsgYmVsb3cuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXNodXpoaSIsCiAgIm5hbWUiOiAiU2h1IFpoaSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaHV6aGkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdHViZXJyeS9zaHV6aGkiLAogICJ1dWlkIjogInNodXpoaUB0dWJlcnJ5IiwKICAidmVyc2lvbiI6IDE3Cn0="}}}
, {"uuid": "zilence@apankowski.github.com", "name": "Zilence", "pname": "zilence", "description": "Turns off notifications while sharing screen during a Zoom call", "link": "https://extensions.gnome.org/extension/3988/zilence/", "shell_version_map": {"38": {"version": "1", "sha256": "09j017cpiimqi97rk2zp1bidrvf026dv469w80wiikbq67r22c9w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNvbW1pdCI6ICIzNzBiODIzYmQ5NTM4MDY4MThmMmYwNzhiNGNmZWYyODIzMmVjOTMwIiwKICAiZGVzY3JpcHRpb24iOiAiVHVybnMgb2ZmIG5vdGlmaWNhdGlvbnMgd2hpbGUgc2hhcmluZyBzY3JlZW4gZHVyaW5nIGEgWm9vbSBjYWxsIiwKICAibmFtZSI6ICJaaWxlbmNlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYXBhbmtvd3NraS96aWxlbmNlIiwKICAidXVpZCI6ICJ6aWxlbmNlQGFwYW5rb3dza2kuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxCn0="}}}
-, {"uuid": "bluetooth-battery@michalw.github.com", "name": "Bluetooth battery indicator", "pname": "bluetooth-battery", "description": "Bluetooth battery indicator", "link": "https://extensions.gnome.org/extension/3991/bluetooth-battery/", "shell_version_map": {"38": {"version": "11", "sha256": "0l5z65nkm75jrnqhvsahl8wg7cm5fphzwdahi16nrjs9hz05p2gg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJsdWV0b290aCBiYXR0ZXJ5IGluZGljYXRvciIsCiAgIm5hbWUiOiAiQmx1ZXRvb3RoIGJhdHRlcnkgaW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTWljaGFsVy9nbm9tZS1ibHVldG9vdGgtYmF0dGVyeS1pbmRpY2F0b3IiLAogICJ1dWlkIjogImJsdWV0b290aC1iYXR0ZXJ5QG1pY2hhbHcuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMQp9"}}}
+, {"uuid": "bluetooth-battery@michalw.github.com", "name": "Bluetooth battery indicator", "pname": "bluetooth-battery", "description": "Bluetooth battery indicator", "link": "https://extensions.gnome.org/extension/3991/bluetooth-battery/", "shell_version_map": {"38": {"version": "16", "sha256": "1galmr8r1417rx42rdp6qhhswqn540rggf8hrkh02vg79h9iclv7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJsdWV0b290aCBiYXR0ZXJ5IGluZGljYXRvciIsCiAgImdldHRleHQtZG9tYWluIjogImJsdWV0b290aF9iYXR0ZXJ5X2luZGljYXRvciIsCiAgIm5hbWUiOiAiQmx1ZXRvb3RoIGJhdHRlcnkgaW5kaWNhdG9yIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aF9iYXR0ZXJ5X2luZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9NaWNoYWxXL2dub21lLWJsdWV0b290aC1iYXR0ZXJ5LWluZGljYXRvciIsCiAgInV1aWQiOiAiYmx1ZXRvb3RoLWJhdHRlcnlAbWljaGFsdy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE2Cn0="}, "40": {"version": "16", "sha256": "1galmr8r1417rx42rdp6qhhswqn540rggf8hrkh02vg79h9iclv7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJsdWV0b290aCBiYXR0ZXJ5IGluZGljYXRvciIsCiAgImdldHRleHQtZG9tYWluIjogImJsdWV0b290aF9iYXR0ZXJ5X2luZGljYXRvciIsCiAgIm5hbWUiOiAiQmx1ZXRvb3RoIGJhdHRlcnkgaW5kaWNhdG9yIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aF9iYXR0ZXJ5X2luZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9NaWNoYWxXL2dub21lLWJsdWV0b290aC1iYXR0ZXJ5LWluZGljYXRvciIsCiAgInV1aWQiOiAiYmx1ZXRvb3RoLWJhdHRlcnlAbWljaGFsdy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE2Cn0="}}}
, {"uuid": "gnome-extension-all-ip-addresses@havekes.eu", "name": "All IP Addresses", "pname": "all-ip-addresses", "description": "Show IP addresses for LAN, WAN IPv6 and VPN in the GNOME panel. Click on the address to cycle trough different interfaces.", "link": "https://extensions.gnome.org/extension/3994/all-ip-addresses/", "shell_version_map": {"38": {"version": "2", "sha256": "1vas3mvgmi28dc3lzghbwgp66p0daa1kc4m8ayr6dmc618ry2nj0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgSVAgYWRkcmVzc2VzIGZvciBMQU4sIFdBTiBJUHY2IGFuZCBWUE4gaW4gdGhlIEdOT01FIHBhbmVsLiBDbGljayBvbiB0aGUgYWRkcmVzcyB0byBjeWNsZSB0cm91Z2ggZGlmZmVyZW50IGludGVyZmFjZXMuIiwKICAibmFtZSI6ICJBbGwgSVAgQWRkcmVzc2VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcGhhdmVrZXMvZ25vbWUtZXh0ZW5zaW9uLWFsbC1pcC1hZGRyZXNzZXMiLAogICJ1dWlkIjogImdub21lLWV4dGVuc2lvbi1hbGwtaXAtYWRkcmVzc2VzQGhhdmVrZXMuZXUiLAogICJ2ZXJzaW9uIjogMgp9"}}}
-, {"uuid": "app-grid-tweaks@Selenium-H", "name": "App Grid Tweaks", "pname": "app-grid-tweaks", "description": "Customize the application grid view.\n\nSet the rows, columns and the app icon size for a particular configuration to work.\nIf the screen space is out numbered, reduce the icon size to fit all the rows and columns.\nOr reduce the number of rows and columns.\n\nPress the Refresh button on the left of header bar to apply changes", "link": "https://extensions.gnome.org/extension/3997/app-grid-tweaks/", "shell_version_map": {"38": {"version": "2", "sha256": "1cdg8mzp29l1jv25cb5wdikriphbknrkfi3g2zi432js083wi8j7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNvbW1lbnQiOiAiQ3VzdG9taXplIHRoZSBhcHBsaWNhdGlvbiBncmlkIHZpZXcuIiwKICAiZGVzY3JpcHRpb24iOiAiQ3VzdG9taXplIHRoZSBhcHBsaWNhdGlvbiBncmlkIHZpZXcuXG5cblNldCB0aGUgcm93cywgY29sdW1ucyBhbmQgdGhlIGFwcCBpY29uIHNpemUgZm9yIGEgcGFydGljdWxhciBjb25maWd1cmF0aW9uIHRvIHdvcmsuXG5JZiB0aGUgc2NyZWVuIHNwYWNlIGlzIG91dCBudW1iZXJlZCwgcmVkdWNlIHRoZSBpY29uIHNpemUgdG8gZml0IGFsbCB0aGUgcm93cyBhbmQgY29sdW1ucy5cbk9yIHJlZHVjZSB0aGUgbnVtYmVyIG9mIHJvd3MgYW5kIGNvbHVtbnMuXG5cblByZXNzIHRoZSBSZWZyZXNoIGJ1dHRvbiBvbiB0aGUgbGVmdCBvZiBoZWFkZXIgYmFyIHRvIGFwcGx5IGNoYW5nZXMiLAogICJuYW1lIjogIkFwcCBHcmlkIFR3ZWFrcyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hcHAtZ3JpZC10d2Vha3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAic3RhdHVzIjogIiAiLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NlbGVuaXVtLUgvQXBwLUdyaWQtVHdlYWtzIiwKICAidXVpZCI6ICJhcHAtZ3JpZC10d2Vha3NAU2VsZW5pdW0tSCIsCiAgInZlcnNpb24iOiAyCn0="}}}
-, {"uuid": "babar@fthx", "name": "BaBar Task Bar", "pname": "babar", "description": "Task bar. App grid, favorites, workspaces and tasks in panel. Light extension.\n\n Replace 'Activities' button by all current workspaces and apps buttons. Switch workspace/app or toggle overview by clicking on these buttons. Drag and drop favorite, task, dash item or app grid item to any workspace (you cannot reorder tasks inside a workspace). Persistent window preview with right-click (right-click again or click on preview to close it). You can move this preview anywhere. Change 'Places' label to an icon. Settings in preferences UI.\n\n You can use names for workspaces: there are two ways for that. 1) Edit the string array 'org.gnome.desktop.wm.preferences.workspace-names' gsettings key (through dconf editor, e.g.). 2) Use official GNOME extension Workspaces Indicator's settings. You don't have to write a long enough list: numbers are displayed if no workspace name is defined.\n\n Changelog: https://github.com/fthx/babar/issues/2", "link": "https://extensions.gnome.org/extension/4000/babar/", "shell_version_map": {"38": {"version": "54", "sha256": "058nbfv1libk6gjkdd7lr4imm225s25vp2ick5w0jwglszmc084x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRhc2sgYmFyLiBBcHAgZ3JpZCwgZmF2b3JpdGVzLCB3b3Jrc3BhY2VzIGFuZCB0YXNrcyBpbiBwYW5lbC4gTGlnaHQgZXh0ZW5zaW9uLlxuXG4gUmVwbGFjZSAnQWN0aXZpdGllcycgYnV0dG9uIGJ5IGFsbCBjdXJyZW50IHdvcmtzcGFjZXMgYW5kIGFwcHMgYnV0dG9ucy4gU3dpdGNoIHdvcmtzcGFjZS9hcHAgb3IgdG9nZ2xlIG92ZXJ2aWV3IGJ5IGNsaWNraW5nIG9uIHRoZXNlIGJ1dHRvbnMuIERyYWcgYW5kIGRyb3AgZmF2b3JpdGUsIHRhc2ssIGRhc2ggaXRlbSBvciBhcHAgZ3JpZCBpdGVtIHRvIGFueSB3b3Jrc3BhY2UgKHlvdSBjYW5ub3QgcmVvcmRlciB0YXNrcyBpbnNpZGUgYSB3b3Jrc3BhY2UpLiBQZXJzaXN0ZW50IHdpbmRvdyBwcmV2aWV3IHdpdGggcmlnaHQtY2xpY2sgKHJpZ2h0LWNsaWNrIGFnYWluIG9yIGNsaWNrIG9uIHByZXZpZXcgdG8gY2xvc2UgaXQpLiBZb3UgY2FuIG1vdmUgdGhpcyBwcmV2aWV3IGFueXdoZXJlLiBDaGFuZ2UgJ1BsYWNlcycgbGFiZWwgdG8gYW4gaWNvbi4gU2V0dGluZ3MgaW4gcHJlZmVyZW5jZXMgVUkuXG5cbiBZb3UgY2FuIHVzZSBuYW1lcyBmb3Igd29ya3NwYWNlczogdGhlcmUgYXJlIHR3byB3YXlzIGZvciB0aGF0LiAxKSBFZGl0IHRoZSBzdHJpbmcgYXJyYXkgJ29yZy5nbm9tZS5kZXNrdG9wLndtLnByZWZlcmVuY2VzLndvcmtzcGFjZS1uYW1lcycgZ3NldHRpbmdzIGtleSAodGhyb3VnaCBkY29uZiBlZGl0b3IsIGUuZy4pLiAyKSBVc2Ugb2ZmaWNpYWwgR05PTUUgZXh0ZW5zaW9uIFdvcmtzcGFjZXMgSW5kaWNhdG9yJ3Mgc2V0dGluZ3MuIFlvdSBkb24ndCBoYXZlIHRvIHdyaXRlIGEgbG9uZyBlbm91Z2ggbGlzdDogbnVtYmVycyBhcmUgZGlzcGxheWVkIGlmIG5vIHdvcmtzcGFjZSBuYW1lIGlzIGRlZmluZWQuXG5cbiBDaGFuZ2Vsb2c6IGh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L2JhYmFyL2lzc3Vlcy8yIiwKICAibmFtZSI6ICJCYUJhciBUYXNrIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L2JhYmFyIiwKICAidXVpZCI6ICJiYWJhckBmdGh4IiwKICAidmVyc2lvbiI6IDU0Cn0="}, "40": {"version": "54", "sha256": "058nbfv1libk6gjkdd7lr4imm225s25vp2ick5w0jwglszmc084x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRhc2sgYmFyLiBBcHAgZ3JpZCwgZmF2b3JpdGVzLCB3b3Jrc3BhY2VzIGFuZCB0YXNrcyBpbiBwYW5lbC4gTGlnaHQgZXh0ZW5zaW9uLlxuXG4gUmVwbGFjZSAnQWN0aXZpdGllcycgYnV0dG9uIGJ5IGFsbCBjdXJyZW50IHdvcmtzcGFjZXMgYW5kIGFwcHMgYnV0dG9ucy4gU3dpdGNoIHdvcmtzcGFjZS9hcHAgb3IgdG9nZ2xlIG92ZXJ2aWV3IGJ5IGNsaWNraW5nIG9uIHRoZXNlIGJ1dHRvbnMuIERyYWcgYW5kIGRyb3AgZmF2b3JpdGUsIHRhc2ssIGRhc2ggaXRlbSBvciBhcHAgZ3JpZCBpdGVtIHRvIGFueSB3b3Jrc3BhY2UgKHlvdSBjYW5ub3QgcmVvcmRlciB0YXNrcyBpbnNpZGUgYSB3b3Jrc3BhY2UpLiBQZXJzaXN0ZW50IHdpbmRvdyBwcmV2aWV3IHdpdGggcmlnaHQtY2xpY2sgKHJpZ2h0LWNsaWNrIGFnYWluIG9yIGNsaWNrIG9uIHByZXZpZXcgdG8gY2xvc2UgaXQpLiBZb3UgY2FuIG1vdmUgdGhpcyBwcmV2aWV3IGFueXdoZXJlLiBDaGFuZ2UgJ1BsYWNlcycgbGFiZWwgdG8gYW4gaWNvbi4gU2V0dGluZ3MgaW4gcHJlZmVyZW5jZXMgVUkuXG5cbiBZb3UgY2FuIHVzZSBuYW1lcyBmb3Igd29ya3NwYWNlczogdGhlcmUgYXJlIHR3byB3YXlzIGZvciB0aGF0LiAxKSBFZGl0IHRoZSBzdHJpbmcgYXJyYXkgJ29yZy5nbm9tZS5kZXNrdG9wLndtLnByZWZlcmVuY2VzLndvcmtzcGFjZS1uYW1lcycgZ3NldHRpbmdzIGtleSAodGhyb3VnaCBkY29uZiBlZGl0b3IsIGUuZy4pLiAyKSBVc2Ugb2ZmaWNpYWwgR05PTUUgZXh0ZW5zaW9uIFdvcmtzcGFjZXMgSW5kaWNhdG9yJ3Mgc2V0dGluZ3MuIFlvdSBkb24ndCBoYXZlIHRvIHdyaXRlIGEgbG9uZyBlbm91Z2ggbGlzdDogbnVtYmVycyBhcmUgZGlzcGxheWVkIGlmIG5vIHdvcmtzcGFjZSBuYW1lIGlzIGRlZmluZWQuXG5cbiBDaGFuZ2Vsb2c6IGh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L2JhYmFyL2lzc3Vlcy8yIiwKICAibmFtZSI6ICJCYUJhciBUYXNrIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L2JhYmFyIiwKICAidXVpZCI6ICJiYWJhckBmdGh4IiwKICAidmVyc2lvbiI6IDU0Cn0="}}}
-, {"uuid": "kitsch@fopdoodle.net", "name": "Kitsch", "pname": "kitsch", "description": "Kitsch is a collection of cheap, popular, and marketable improvements to Gnome. One could consider it applying a cosmetic surgery to Gnome.\n\nKitsch can easily be configured and offers the following features:\n- remove application menu from the top bar\n- remove the activity button from the top bar\n- periodically change the background picture\n\nThis extension does not come with any button on the panel. Visit the website for a documentation.", "link": "https://extensions.gnome.org/extension/4001/kitsch/", "shell_version_map": {"38": {"version": "2", "sha256": "16zwz0p4f8zizr14k4jmdixgnjd0a67d0i3w6clrqgfl49cpdcdv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIktpdHNjaCBpcyBhIGNvbGxlY3Rpb24gb2YgY2hlYXAsIHBvcHVsYXIsIGFuZCBtYXJrZXRhYmxlIGltcHJvdmVtZW50cyB0byBHbm9tZS4gT25lIGNvdWxkIGNvbnNpZGVyIGl0IGFwcGx5aW5nIGEgY29zbWV0aWMgc3VyZ2VyeSB0byBHbm9tZS5cblxuS2l0c2NoIGNhbiBlYXNpbHkgYmUgY29uZmlndXJlZCBhbmQgb2ZmZXJzIHRoZSBmb2xsb3dpbmcgZmVhdHVyZXM6XG4tIHJlbW92ZSBhcHBsaWNhdGlvbiBtZW51IGZyb20gdGhlIHRvcCBiYXJcbi0gcmVtb3ZlIHRoZSBhY3Rpdml0eSBidXR0b24gZnJvbSB0aGUgdG9wIGJhclxuLSBwZXJpb2RpY2FsbHkgY2hhbmdlIHRoZSBiYWNrZ3JvdW5kIHBpY3R1cmVcblxuVGhpcyBleHRlbnNpb24gZG9lcyBub3QgY29tZSB3aXRoIGFueSBidXR0b24gb24gdGhlIHBhbmVsLiBWaXNpdCB0aGUgd2Vic2l0ZSBmb3IgYSBkb2N1bWVudGF0aW9uLiIsCiAgIm5hbWUiOiAiS2l0c2NoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmtpdHNjaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2VudGU3Ni9raXRzY2gvIiwKICAidXVpZCI6ICJraXRzY2hAZm9wZG9vZGxlLm5ldCIsCiAgInZlcnNpb24iOiAyCn0="}, "40": {"version": "3", "sha256": "18nbf68r84ynbf9j2664lgchvcrs275knik9xwbysc2a2b1h6i1x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIktpdHNjaCBpcyBhIGNvbGxlY3Rpb24gb2YgY2hlYXAsIHBvcHVsYXIsIGFuZCBtYXJrZXRhYmxlIGltcHJvdmVtZW50cyB0byBHbm9tZS4gT25lIGNvdWxkIGNvbnNpZGVyIGl0IGFwcGx5aW5nIGEgY29zbWV0aWMgc3VyZ2VyeSB0byBHbm9tZS5cblxuS2l0c2NoIGNhbiBlYXNpbHkgYmUgY29uZmlndXJlZCBhbmQgb2ZmZXJzIHRoZSBmb2xsb3dpbmcgZmVhdHVyZXM6XG4tIHJlbW92ZSBhcHBsaWNhdGlvbiBtZW51IGZyb20gdGhlIHRvcCBiYXJcbi0gcmVtb3ZlIHRoZSBhY3Rpdml0eSBidXR0b24gZnJvbSB0aGUgdG9wIGJhclxuLSBwZXJpb2RpY2FsbHkgY2hhbmdlIHRoZSBiYWNrZ3JvdW5kIHBpY3R1cmVcblxuVGhpcyBleHRlbnNpb24gZG9lcyBub3QgY29tZSB3aXRoIGFueSBidXR0b24gb24gdGhlIHBhbmVsLiBWaXNpdCB0aGUgd2Vic2l0ZSBmb3IgYSBkb2N1bWVudGF0aW9uLiIsCiAgIm5hbWUiOiAiS2l0c2NoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmtpdHNjaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2VudGU3Ni9raXRzY2gvIiwKICAidXVpZCI6ICJraXRzY2hAZm9wZG9vZGxlLm5ldCIsCiAgInZlcnNpb24iOiAzCn0="}}}
+, {"uuid": "app-grid-tweaks@Selenium-H", "name": "App Grid Tweaks", "pname": "app-grid-tweaks", "description": "Customize the application grid view.\n\nSet the rows, columns and the app icon size for a particular configuration to work.\nIf the screen space is out numbered, reduce the icon size to fit all the rows and columns.\nOr reduce the number of rows and columns.\n\nPress the Refresh button on the left of header bar to apply changes\n\nIn case of any errors due to update, Please try resetting the extension. Reset button is present in Extension Preferences window in Top Right Application Menu > Preferences > Reset App Grid Tweaks Extension. ", "link": "https://extensions.gnome.org/extension/3997/app-grid-tweaks/", "shell_version_map": {"38": {"version": "3", "sha256": "1zszxj4d4vdahc369jsk1544f6zrjnilpxa4ihdfx6ci3rgc16p6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNvbW1lbnQiOiAiQ3VzdG9taXplIHRoZSBhcHBsaWNhdGlvbiBncmlkIHZpZXcuIiwKICAiZGVzY3JpcHRpb24iOiAiQ3VzdG9taXplIHRoZSBhcHBsaWNhdGlvbiBncmlkIHZpZXcuXG5cblNldCB0aGUgcm93cywgY29sdW1ucyBhbmQgdGhlIGFwcCBpY29uIHNpemUgZm9yIGEgcGFydGljdWxhciBjb25maWd1cmF0aW9uIHRvIHdvcmsuXG5JZiB0aGUgc2NyZWVuIHNwYWNlIGlzIG91dCBudW1iZXJlZCwgcmVkdWNlIHRoZSBpY29uIHNpemUgdG8gZml0IGFsbCB0aGUgcm93cyBhbmQgY29sdW1ucy5cbk9yIHJlZHVjZSB0aGUgbnVtYmVyIG9mIHJvd3MgYW5kIGNvbHVtbnMuXG5cblByZXNzIHRoZSBSZWZyZXNoIGJ1dHRvbiBvbiB0aGUgbGVmdCBvZiBoZWFkZXIgYmFyIHRvIGFwcGx5IGNoYW5nZXNcblxuSW4gY2FzZSBvZiBhbnkgZXJyb3JzIGR1ZSB0byB1cGRhdGUsIFBsZWFzZSB0cnkgcmVzZXR0aW5nIHRoZSBleHRlbnNpb24uICBSZXNldCBidXR0b24gaXMgcHJlc2VudCBpbiBFeHRlbnNpb24gUHJlZmVyZW5jZXMgd2luZG93IGluIFRvcCBSaWdodCBBcHBsaWNhdGlvbiBNZW51ID4gUHJlZmVyZW5jZXMgPiBSZXNldCBBcHAgR3JpZCBUd2Vha3MgRXh0ZW5zaW9uLiAgIiwKICAibmFtZSI6ICJBcHAgR3JpZCBUd2Vha3MiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXBwLWdyaWQtdHdlYWtzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJzdGF0dXMiOiAiIiwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9TZWxlbml1bS1IL0FwcC1HcmlkLVR3ZWFrcyIsCiAgInV1aWQiOiAiYXBwLWdyaWQtdHdlYWtzQFNlbGVuaXVtLUgiLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "3", "sha256": "1zszxj4d4vdahc369jsk1544f6zrjnilpxa4ihdfx6ci3rgc16p6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNvbW1lbnQiOiAiQ3VzdG9taXplIHRoZSBhcHBsaWNhdGlvbiBncmlkIHZpZXcuIiwKICAiZGVzY3JpcHRpb24iOiAiQ3VzdG9taXplIHRoZSBhcHBsaWNhdGlvbiBncmlkIHZpZXcuXG5cblNldCB0aGUgcm93cywgY29sdW1ucyBhbmQgdGhlIGFwcCBpY29uIHNpemUgZm9yIGEgcGFydGljdWxhciBjb25maWd1cmF0aW9uIHRvIHdvcmsuXG5JZiB0aGUgc2NyZWVuIHNwYWNlIGlzIG91dCBudW1iZXJlZCwgcmVkdWNlIHRoZSBpY29uIHNpemUgdG8gZml0IGFsbCB0aGUgcm93cyBhbmQgY29sdW1ucy5cbk9yIHJlZHVjZSB0aGUgbnVtYmVyIG9mIHJvd3MgYW5kIGNvbHVtbnMuXG5cblByZXNzIHRoZSBSZWZyZXNoIGJ1dHRvbiBvbiB0aGUgbGVmdCBvZiBoZWFkZXIgYmFyIHRvIGFwcGx5IGNoYW5nZXNcblxuSW4gY2FzZSBvZiBhbnkgZXJyb3JzIGR1ZSB0byB1cGRhdGUsIFBsZWFzZSB0cnkgcmVzZXR0aW5nIHRoZSBleHRlbnNpb24uICBSZXNldCBidXR0b24gaXMgcHJlc2VudCBpbiBFeHRlbnNpb24gUHJlZmVyZW5jZXMgd2luZG93IGluIFRvcCBSaWdodCBBcHBsaWNhdGlvbiBNZW51ID4gUHJlZmVyZW5jZXMgPiBSZXNldCBBcHAgR3JpZCBUd2Vha3MgRXh0ZW5zaW9uLiAgIiwKICAibmFtZSI6ICJBcHAgR3JpZCBUd2Vha3MiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXBwLWdyaWQtdHdlYWtzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJzdGF0dXMiOiAiIiwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9TZWxlbml1bS1IL0FwcC1HcmlkLVR3ZWFrcyIsCiAgInV1aWQiOiAiYXBwLWdyaWQtdHdlYWtzQFNlbGVuaXVtLUgiLAogICJ2ZXJzaW9uIjogMwp9"}}}
+, {"uuid": "babar@fthx", "name": "BaBar Task Bar", "pname": "babar", "description": "Task bar. App grid, favorites, workspaces and tasks in panel. Light extension.\n\n Replace 'Activities' button by all current workspaces and apps buttons. Switch workspace/app or toggle overview by clicking on these buttons. Drag and drop favorite, task, dash item or app grid item to any workspace (you cannot reorder tasks inside a workspace). Persistent window preview with right-click (right-click again or click on preview to close it). You can move this preview anywhere. Change 'Places' label to an icon. Settings in preferences UI.\n\n You can use names for workspaces: there are two ways for that. 1) Edit the string array 'org.gnome.desktop.wm.preferences.workspace-names' gsettings key (through dconf editor, e.g.). 2) Use official GNOME extension Workspaces Indicator's settings. You don't have to write a long enough list: numbers are displayed if no workspace name is defined.\n\n Changelog: https://github.com/fthx/babar/issues/2", "link": "https://extensions.gnome.org/extension/4000/babar/", "shell_version_map": {"38": {"version": "56", "sha256": "1iwk1fi2kslpnjfy2xmq9baryrvijm9rh8m9zk15mbka4jmmkqnk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRhc2sgYmFyLiBBcHAgZ3JpZCwgZmF2b3JpdGVzLCB3b3Jrc3BhY2VzIGFuZCB0YXNrcyBpbiBwYW5lbC4gTGlnaHQgZXh0ZW5zaW9uLlxuXG4gUmVwbGFjZSAnQWN0aXZpdGllcycgYnV0dG9uIGJ5IGFsbCBjdXJyZW50IHdvcmtzcGFjZXMgYW5kIGFwcHMgYnV0dG9ucy4gU3dpdGNoIHdvcmtzcGFjZS9hcHAgb3IgdG9nZ2xlIG92ZXJ2aWV3IGJ5IGNsaWNraW5nIG9uIHRoZXNlIGJ1dHRvbnMuIERyYWcgYW5kIGRyb3AgZmF2b3JpdGUsIHRhc2ssIGRhc2ggaXRlbSBvciBhcHAgZ3JpZCBpdGVtIHRvIGFueSB3b3Jrc3BhY2UgKHlvdSBjYW5ub3QgcmVvcmRlciB0YXNrcyBpbnNpZGUgYSB3b3Jrc3BhY2UpLiBQZXJzaXN0ZW50IHdpbmRvdyBwcmV2aWV3IHdpdGggcmlnaHQtY2xpY2sgKHJpZ2h0LWNsaWNrIGFnYWluIG9yIGNsaWNrIG9uIHByZXZpZXcgdG8gY2xvc2UgaXQpLiBZb3UgY2FuIG1vdmUgdGhpcyBwcmV2aWV3IGFueXdoZXJlLiBDaGFuZ2UgJ1BsYWNlcycgbGFiZWwgdG8gYW4gaWNvbi4gU2V0dGluZ3MgaW4gcHJlZmVyZW5jZXMgVUkuXG5cbiBZb3UgY2FuIHVzZSBuYW1lcyBmb3Igd29ya3NwYWNlczogdGhlcmUgYXJlIHR3byB3YXlzIGZvciB0aGF0LiAxKSBFZGl0IHRoZSBzdHJpbmcgYXJyYXkgJ29yZy5nbm9tZS5kZXNrdG9wLndtLnByZWZlcmVuY2VzLndvcmtzcGFjZS1uYW1lcycgZ3NldHRpbmdzIGtleSAodGhyb3VnaCBkY29uZiBlZGl0b3IsIGUuZy4pLiAyKSBVc2Ugb2ZmaWNpYWwgR05PTUUgZXh0ZW5zaW9uIFdvcmtzcGFjZXMgSW5kaWNhdG9yJ3Mgc2V0dGluZ3MuIFlvdSBkb24ndCBoYXZlIHRvIHdyaXRlIGEgbG9uZyBlbm91Z2ggbGlzdDogbnVtYmVycyBhcmUgZGlzcGxheWVkIGlmIG5vIHdvcmtzcGFjZSBuYW1lIGlzIGRlZmluZWQuXG5cbiBDaGFuZ2Vsb2c6IGh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L2JhYmFyL2lzc3Vlcy8yIiwKICAibmFtZSI6ICJCYUJhciBUYXNrIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvYmFiYXIiLAogICJ1dWlkIjogImJhYmFyQGZ0aHgiLAogICJ2ZXJzaW9uIjogNTYKfQ=="}, "40": {"version": "56", "sha256": "1iwk1fi2kslpnjfy2xmq9baryrvijm9rh8m9zk15mbka4jmmkqnk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRhc2sgYmFyLiBBcHAgZ3JpZCwgZmF2b3JpdGVzLCB3b3Jrc3BhY2VzIGFuZCB0YXNrcyBpbiBwYW5lbC4gTGlnaHQgZXh0ZW5zaW9uLlxuXG4gUmVwbGFjZSAnQWN0aXZpdGllcycgYnV0dG9uIGJ5IGFsbCBjdXJyZW50IHdvcmtzcGFjZXMgYW5kIGFwcHMgYnV0dG9ucy4gU3dpdGNoIHdvcmtzcGFjZS9hcHAgb3IgdG9nZ2xlIG92ZXJ2aWV3IGJ5IGNsaWNraW5nIG9uIHRoZXNlIGJ1dHRvbnMuIERyYWcgYW5kIGRyb3AgZmF2b3JpdGUsIHRhc2ssIGRhc2ggaXRlbSBvciBhcHAgZ3JpZCBpdGVtIHRvIGFueSB3b3Jrc3BhY2UgKHlvdSBjYW5ub3QgcmVvcmRlciB0YXNrcyBpbnNpZGUgYSB3b3Jrc3BhY2UpLiBQZXJzaXN0ZW50IHdpbmRvdyBwcmV2aWV3IHdpdGggcmlnaHQtY2xpY2sgKHJpZ2h0LWNsaWNrIGFnYWluIG9yIGNsaWNrIG9uIHByZXZpZXcgdG8gY2xvc2UgaXQpLiBZb3UgY2FuIG1vdmUgdGhpcyBwcmV2aWV3IGFueXdoZXJlLiBDaGFuZ2UgJ1BsYWNlcycgbGFiZWwgdG8gYW4gaWNvbi4gU2V0dGluZ3MgaW4gcHJlZmVyZW5jZXMgVUkuXG5cbiBZb3UgY2FuIHVzZSBuYW1lcyBmb3Igd29ya3NwYWNlczogdGhlcmUgYXJlIHR3byB3YXlzIGZvciB0aGF0LiAxKSBFZGl0IHRoZSBzdHJpbmcgYXJyYXkgJ29yZy5nbm9tZS5kZXNrdG9wLndtLnByZWZlcmVuY2VzLndvcmtzcGFjZS1uYW1lcycgZ3NldHRpbmdzIGtleSAodGhyb3VnaCBkY29uZiBlZGl0b3IsIGUuZy4pLiAyKSBVc2Ugb2ZmaWNpYWwgR05PTUUgZXh0ZW5zaW9uIFdvcmtzcGFjZXMgSW5kaWNhdG9yJ3Mgc2V0dGluZ3MuIFlvdSBkb24ndCBoYXZlIHRvIHdyaXRlIGEgbG9uZyBlbm91Z2ggbGlzdDogbnVtYmVycyBhcmUgZGlzcGxheWVkIGlmIG5vIHdvcmtzcGFjZSBuYW1lIGlzIGRlZmluZWQuXG5cbiBDaGFuZ2Vsb2c6IGh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L2JhYmFyL2lzc3Vlcy8yIiwKICAibmFtZSI6ICJCYUJhciBUYXNrIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvYmFiYXIiLAogICJ1dWlkIjogImJhYmFyQGZ0aHgiLAogICJ2ZXJzaW9uIjogNTYKfQ=="}}}
+, {"uuid": "kitsch@fopdoodle.net", "name": "Kitsch", "pname": "kitsch", "description": "Kitsch is a collection of cheap, popular, and marketable improvements to Gnome. One could consider it applying a cosmetic surgery to Gnome.\n\nKitsch can easily be configured and offers the following features:\n- remove application menu from the top bar\n- remove the activity button from the top bar\n- periodically change the background picture\n\nThis extension does not come with any button on the panel. Visit the website for a documentation.", "link": "https://extensions.gnome.org/extension/4001/kitsch/", "shell_version_map": {"38": {"version": "2", "sha256": "16zwz0p4f8zizr14k4jmdixgnjd0a67d0i3w6clrqgfl49cpdcdv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIktpdHNjaCBpcyBhIGNvbGxlY3Rpb24gb2YgY2hlYXAsIHBvcHVsYXIsIGFuZCBtYXJrZXRhYmxlIGltcHJvdmVtZW50cyB0byBHbm9tZS4gT25lIGNvdWxkIGNvbnNpZGVyIGl0IGFwcGx5aW5nIGEgY29zbWV0aWMgc3VyZ2VyeSB0byBHbm9tZS5cblxuS2l0c2NoIGNhbiBlYXNpbHkgYmUgY29uZmlndXJlZCBhbmQgb2ZmZXJzIHRoZSBmb2xsb3dpbmcgZmVhdHVyZXM6XG4tIHJlbW92ZSBhcHBsaWNhdGlvbiBtZW51IGZyb20gdGhlIHRvcCBiYXJcbi0gcmVtb3ZlIHRoZSBhY3Rpdml0eSBidXR0b24gZnJvbSB0aGUgdG9wIGJhclxuLSBwZXJpb2RpY2FsbHkgY2hhbmdlIHRoZSBiYWNrZ3JvdW5kIHBpY3R1cmVcblxuVGhpcyBleHRlbnNpb24gZG9lcyBub3QgY29tZSB3aXRoIGFueSBidXR0b24gb24gdGhlIHBhbmVsLiBWaXNpdCB0aGUgd2Vic2l0ZSBmb3IgYSBkb2N1bWVudGF0aW9uLiIsCiAgIm5hbWUiOiAiS2l0c2NoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmtpdHNjaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2VudGU3Ni9raXRzY2gvIiwKICAidXVpZCI6ICJraXRzY2hAZm9wZG9vZGxlLm5ldCIsCiAgInZlcnNpb24iOiAyCn0="}, "40": {"version": "5", "sha256": "1bbbdhf73sv240bid4s604g6r8axd40ph2yyf23z5fpj3679g2xr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIktpdHNjaCBpcyBhIGNvbGxlY3Rpb24gb2YgY2hlYXAsIHBvcHVsYXIsIGFuZCBtYXJrZXRhYmxlIGltcHJvdmVtZW50cyB0byBHbm9tZS4gT25lIGNvdWxkIGNvbnNpZGVyIGl0IGFwcGx5aW5nIGEgY29zbWV0aWMgc3VyZ2VyeSB0byBHbm9tZS5cblxuS2l0c2NoIGNhbiBlYXNpbHkgYmUgY29uZmlndXJlZCBhbmQgb2ZmZXJzIHRoZSBmb2xsb3dpbmcgZmVhdHVyZXM6XG4tIHJlbW92ZSBhcHBsaWNhdGlvbiBtZW51IGZyb20gdGhlIHRvcCBiYXJcbi0gcmVtb3ZlIHRoZSBhY3Rpdml0eSBidXR0b24gZnJvbSB0aGUgdG9wIGJhclxuLSBwZXJpb2RpY2FsbHkgY2hhbmdlIHRoZSBiYWNrZ3JvdW5kIHBpY3R1cmVcblxuVGhpcyBleHRlbnNpb24gZG9lcyBub3QgY29tZSB3aXRoIGFueSBidXR0b24gb24gdGhlIHBhbmVsLiBWaXNpdCB0aGUgd2Vic2l0ZSBmb3IgYSBkb2N1bWVudGF0aW9uLiIsCiAgIm5hbWUiOiAiS2l0c2NoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmtpdHNjaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9lbnRlNzYva2l0c2NoLyIsCiAgInV1aWQiOiAia2l0c2NoQGZvcGRvb2RsZS5uZXQiLAogICJ2ZXJzaW9uIjogNQp9"}}}
, {"uuid": "gnome-visuals-top-bar@evendanan.net", "name": "Top Bar Visuals - transparent and blur", "pname": "top-bar-visuals-transparent-and-blur", "description": "Fork of: https://github.com/lamarios/gnome-shell-extension-transparent-top-bar\n\nBring back the adjustable transparency top bar (panel) in GNOME Shell and add blur while at it.\n\nDoes not work well with custom shell themes.", "link": "https://extensions.gnome.org/extension/4003/top-bar-visuals-transparent-and-blur/", "shell_version_map": {"38": {"version": "2", "sha256": "0h1qx7bh4gb3xkc8g8byynfa3imxkx332kh88pm4g9zaa20fxzwr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvcmsgb2Y6IGh0dHBzOi8vZ2l0aHViLmNvbS9sYW1hcmlvcy9nbm9tZS1zaGVsbC1leHRlbnNpb24tdHJhbnNwYXJlbnQtdG9wLWJhclxuXG5CcmluZyBiYWNrIHRoZSBhZGp1c3RhYmxlIHRyYW5zcGFyZW5jeSB0b3AgYmFyIChwYW5lbCkgaW4gR05PTUUgU2hlbGwgYW5kIGFkZCBibHVyIHdoaWxlIGF0IGl0LlxuXG5Eb2VzIG5vdCB3b3JrIHdlbGwgd2l0aCBjdXN0b20gc2hlbGwgdGhlbWVzLiIsCiAgIm5hbWUiOiAiVG9wIEJhciBWaXN1YWxzIC0gdHJhbnNwYXJlbnQgYW5kIGJsdXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tZW5ueS9nbm9tZS1zaGVsbC1leHRlbnNpb24tdHJhbnNwYXJlbnQtdG9wLWJhciIsCiAgInV1aWQiOiAiZ25vbWUtdmlzdWFscy10b3AtYmFyQGV2ZW5kYW5hbi5uZXQiLAogICJ2ZXJzaW9uIjogMgp9"}}}
-, {"uuid": "desktop-lyric@tuberry", "name": "Desktop Lyric", "pname": "desktop-lyric", "description": "Show lyric of the playing song on the desktop\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/4006/desktop-lyric/", "shell_version_map": {"38": {"version": "3", "sha256": "015w7abqa8zqh7vc2hln61i859mfac7lcjp8lvccm9jbakdxqpvn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgbHlyaWMgb2YgdGhlIHBsYXlpbmcgc29uZyBvbiB0aGUgZGVza3RvcFxuXG5Gb3Igc3VwcG9ydCwgcGxlYXNlIHJlcG9ydCBhbnkgaXNzdWVzIHZpYSB0aGUgaG9tZXBhZ2UgbGluayBiZWxvdy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJkZXNrdG9wLWx5cmljIiwKICAibmFtZSI6ICJEZXNrdG9wIEx5cmljIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtbHlyaWMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dWJlcnJ5L2Rlc2t0b3AtbHlyaWMiLAogICJ1dWlkIjogImRlc2t0b3AtbHlyaWNAdHViZXJyeSIsCiAgInZlcnNpb24iOiAzCn0="}, "40": {"version": "6", "sha256": "0mvc180z8kc56vzrg6fb5vvqqi4w183383wiqmdb1l5zks54fahg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgbHlyaWMgb2YgdGhlIHBsYXlpbmcgc29uZyBvbiB0aGUgZGVza3RvcFxuXG5Gb3Igc3VwcG9ydCwgcGxlYXNlIHJlcG9ydCBhbnkgaXNzdWVzIHZpYSB0aGUgaG9tZXBhZ2UgbGluayBiZWxvdy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJkZXNrdG9wLWx5cmljIiwKICAibmFtZSI6ICJEZXNrdG9wIEx5cmljIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtbHlyaWMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdHViZXJyeS9kZXNrdG9wLWx5cmljIiwKICAidXVpZCI6ICJkZXNrdG9wLWx5cmljQHR1YmVycnkiLAogICJ2ZXJzaW9uIjogNgp9"}}}
+, {"uuid": "desktop-lyric@tuberry", "name": "Desktop Lyric", "pname": "desktop-lyric", "description": "Show lyric of the playing song on the desktop\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/4006/desktop-lyric/", "shell_version_map": {"38": {"version": "3", "sha256": "015w7abqa8zqh7vc2hln61i859mfac7lcjp8lvccm9jbakdxqpvn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgbHlyaWMgb2YgdGhlIHBsYXlpbmcgc29uZyBvbiB0aGUgZGVza3RvcFxuXG5Gb3Igc3VwcG9ydCwgcGxlYXNlIHJlcG9ydCBhbnkgaXNzdWVzIHZpYSB0aGUgaG9tZXBhZ2UgbGluayBiZWxvdy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJkZXNrdG9wLWx5cmljIiwKICAibmFtZSI6ICJEZXNrdG9wIEx5cmljIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtbHlyaWMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dWJlcnJ5L2Rlc2t0b3AtbHlyaWMiLAogICJ1dWlkIjogImRlc2t0b3AtbHlyaWNAdHViZXJyeSIsCiAgInZlcnNpb24iOiAzCn0="}, "40": {"version": "7", "sha256": "13vhzga43d4byrp98nj2v6vf8lll1c6gzkrrna32g8amp0vhkly8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgbHlyaWMgb2YgdGhlIHBsYXlpbmcgc29uZyBvbiB0aGUgZGVza3RvcFxuXG5Gb3Igc3VwcG9ydCwgcGxlYXNlIHJlcG9ydCBhbnkgaXNzdWVzIHZpYSB0aGUgaG9tZXBhZ2UgbGluayBiZWxvdy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJkZXNrdG9wLWx5cmljIiwKICAibmFtZSI6ICJEZXNrdG9wIEx5cmljIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtbHlyaWMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdHViZXJyeS9kZXNrdG9wLWx5cmljIiwKICAidXVpZCI6ICJkZXNrdG9wLWx5cmljQHR1YmVycnkiLAogICJ2ZXJzaW9uIjogNwp9"}}}
, {"uuid": "alttab-mod@leleat-on-github", "name": "AltTab Mod", "pname": "alttab-mod", "description": "Alt/Super+Tab can also be navigated with WASD and hjkl. Q just closes the selected item and only the first window will be raised on app activation. Optionally, only show windows from the current workspace or monitor and remove the slight popup delay.", "link": "https://extensions.gnome.org/extension/4007/alttab-mod/", "shell_version_map": {"38": {"version": "5", "sha256": "13fg9v0pxxrnxgwd7xhy8wi6lxd7h3y88v2g0zpr1p9lprgh4cl6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsdC9TdXBlcitUYWIgY2FuIGFsc28gYmUgbmF2aWdhdGVkIHdpdGggV0FTRCBhbmQgaGprbC4gUSBqdXN0IGNsb3NlcyB0aGUgc2VsZWN0ZWQgaXRlbSBhbmQgb25seSB0aGUgZmlyc3Qgd2luZG93IHdpbGwgYmUgcmFpc2VkIG9uIGFwcCBhY3RpdmF0aW9uLiBPcHRpb25hbGx5LCBvbmx5IHNob3cgd2luZG93cyBmcm9tIHRoZSBjdXJyZW50IHdvcmtzcGFjZSBvciBtb25pdG9yIGFuZCByZW1vdmUgdGhlIHNsaWdodCBwb3B1cCBkZWxheS4iLAogICJuYW1lIjogIkFsdFRhYiBNb2QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTGVsZWF0L0FsdFRhYi1Nb2QiLAogICJ1dWlkIjogImFsdHRhYi1tb2RAbGVsZWF0LW9uLWdpdGh1YiIsCiAgInZlcnNpb24iOiA1Cn0="}, "40": {"version": "5", "sha256": "13fg9v0pxxrnxgwd7xhy8wi6lxd7h3y88v2g0zpr1p9lprgh4cl6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsdC9TdXBlcitUYWIgY2FuIGFsc28gYmUgbmF2aWdhdGVkIHdpdGggV0FTRCBhbmQgaGprbC4gUSBqdXN0IGNsb3NlcyB0aGUgc2VsZWN0ZWQgaXRlbSBhbmQgb25seSB0aGUgZmlyc3Qgd2luZG93IHdpbGwgYmUgcmFpc2VkIG9uIGFwcCBhY3RpdmF0aW9uLiBPcHRpb25hbGx5LCBvbmx5IHNob3cgd2luZG93cyBmcm9tIHRoZSBjdXJyZW50IHdvcmtzcGFjZSBvciBtb25pdG9yIGFuZCByZW1vdmUgdGhlIHNsaWdodCBwb3B1cCBkZWxheS4iLAogICJuYW1lIjogIkFsdFRhYiBNb2QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTGVsZWF0L0FsdFRhYi1Nb2QiLAogICJ1dWlkIjogImFsdHRhYi1tb2RAbGVsZWF0LW9uLWdpdGh1YiIsCiAgInZlcnNpb24iOiA1Cn0="}}}
, {"uuid": "personalize@Selenium-H", "name": "Personalize", "pname": "personalize", "description": "Personalize the looks of GNOME Desktop.\n\nSet the theme variant, window corner curvature and select accent color.\nThe Colors section contains colors generated from the selected accent color.\nThe color generation is not accurate. However, individual colors can be customised.\n\nNot all settings are applied automatically.\nPress Refresh button on the left of the headerbar to reload the extension \n\nCurrently, only Adwaita theme is supported. Also, Adwaita and Adwaita-dark gtk-2 themes\nmust be installed for the extension to work properly. Not all widgets are themed perfectly.", "link": "https://extensions.gnome.org/extension/4010/personalize/", "shell_version_map": {"38": {"version": "1", "sha256": "1rgh2zq7086ymf0222pbrx5n8q11v3f45095w4x3ikw7k12j9s0n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImNvbW1lbnQiOiAiUGVyc29uYWxpemUgR05PTUUgdGhlbWUgYW5kIGN1c3RvbWl6ZSB0aGVtLiIsCiAgImRlc2NyaXB0aW9uIjogIlBlcnNvbmFsaXplIHRoZSBsb29rcyBvZiBHTk9NRSBEZXNrdG9wLlxuXG5TZXQgdGhlIHRoZW1lIHZhcmlhbnQsIHdpbmRvdyBjb3JuZXIgY3VydmF0dXJlIGFuZCBzZWxlY3QgYWNjZW50IGNvbG9yLlxuVGhlIENvbG9ycyBzZWN0aW9uIGNvbnRhaW5zIGNvbG9ycyBnZW5lcmF0ZWQgZnJvbSB0aGUgc2VsZWN0ZWQgYWNjZW50IGNvbG9yLlxuVGhlIGNvbG9yIGdlbmVyYXRpb24gaXMgbm90IGFjY3VyYXRlLiBIb3dldmVyLCBpbmRpdmlkdWFsIGNvbG9ycyBjYW4gYmUgY3VzdG9taXNlZC5cblxuTm90IGFsbCBzZXR0aW5ncyBhcmUgYXBwbGllZCBhdXRvbWF0aWNhbGx5LlxuUHJlc3MgUmVmcmVzaCBidXR0b24gb24gdGhlIGxlZnQgb2YgdGhlIGhlYWRlcmJhciB0byByZWxvYWQgdGhlIGV4dGVuc2lvbiBcblxuQ3VycmVudGx5LCBvbmx5IEFkd2FpdGEgdGhlbWUgaXMgc3VwcG9ydGVkLiBBbHNvLCBBZHdhaXRhIGFuZCBBZHdhaXRhLWRhcmsgZ3RrLTIgdGhlbWVzXG5tdXN0IGJlIGluc3RhbGxlZCBmb3IgdGhlIGV4dGVuc2lvbiB0byB3b3JrIHByb3Blcmx5LiBOb3QgYWxsIHdpZGdldHMgYXJlIHRoZW1lZCBwZXJmZWN0bHkuIiwKICAibmFtZSI6ICJQZXJzb25hbGl6ZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5wZXJzb25hbGl6ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJzdGF0dXMiOiAiICIsCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vU2VsZW5pdW0tSC9QZXJzb25hbGl6ZSIsCiAgInV1aWQiOiAicGVyc29uYWxpemVAU2VsZW5pdW0tSCIsCiAgInZlcnNpb24iOiAxCn0="}}}
-, {"uuid": "gnomebedtime@ionutbortis.gmail.com", "name": "Gnome Bedtime", "pname": "gnome-bedtime", "description": "Hey Gnome, it's bedtime! Converts to grayscale the entire Gnome workspace by using a smooth transition. Best to use during evening/night.\n\nThis behaviour is similar to Android's bedtime mode which converts the phone screen to grayscale. It should somewhat make your device less appealing and limit the usage of it before bedtime. On my side, at least, it still requires a fair amount of self control in order to make that happen.\n\nThe extension has a nice Settings UI where you can customize it to your liking:\n- Set an automatic schedule for turning on/off the Bedtime Mode\n- Add an On Demand button to Top Bar or System Menu\n- Control the On Demand button visibility and position in Top Bar\n\nIf you want to use a keyboard shortcut in order to toggle the Bedtime Mode then you can use this command for the shortcut (applies to version 3.0 and beyond):\n\nbash -c 'schema_id=org.gnome.shell.extensions.gnomebedtime; schema_dir=~/.local/share/gnome-shell/extensions/gnomebedtime@ionutbortis.gmail.com/schemas/; if [[ $(gsettings --schemadir $schema_dir get $schema_id bedtime-mode-active) == \"true\" ]]; then turn_on=false; else turn_on=true; fi; gsettings --schemadir $schema_dir set $schema_id bedtime-mode-active $turn_on;'", "link": "https://extensions.gnome.org/extension/4012/gnome-bedtime/", "shell_version_map": {"38": {"version": "4", "sha256": "18g92v2q8idmj077rmfzxpx4zcbc36f2ywrc4713r0z86yipwly7", "metadata": "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"}, "40": {"version": "4", "sha256": "18g92v2q8idmj077rmfzxpx4zcbc36f2ywrc4713r0z86yipwly7", "metadata": "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"}}}
+, {"uuid": "gnomebedtime@ionutbortis.gmail.com", "name": "Bedtime Mode", "pname": "gnome-bedtime", "description": "Hey Gnome, it's bedtime! Converts to grayscale the entire Gnome workspace by using a smooth transition. Best to use during evening/night.\n\nThis behaviour is similar to Android's bedtime mode which converts the phone screen to grayscale. It should somewhat make your device less appealing and limit the usage of it before bedtime. On my side, at least, it still requires a fair amount of self control in order to make that happen.\n\nThe extension has a nice Settings UI where you can customize it to your liking:\n- Set an automatic schedule for turning on/off the Bedtime Mode\n- Add an On Demand button to Top Bar or System Menu to manually toggle the mode\n- Control the On Demand button visibility, appearance and position in Top Bar\n- Choose another color preset and apply factor, if you prefer a different color scheme\n\nMulti language support is also available, please check the GitHub page if you want to help with the translations. So far, the extension is fully translated to English, Spanish and Romanian.\n\nIf you want to use a keyboard shortcut in order to toggle the Bedtime Mode then you can use this command for the shortcut:\n\nbash -c 'schema_id=org.gnome.shell.extensions.bedtime-mode; schema_dir=~/.local/share/gnome-shell/extensions/gnomebedtime@ionutbortis.gmail.com/schemas/; if [[ $(gsettings --schemadir $schema_dir get $schema_id bedtime-mode-active) == \"true\" ]]; then turn_on=false; else turn_on=true; fi; gsettings --schemadir $schema_dir set $schema_id bedtime-mode-active $turn_on;'\n", "link": "https://extensions.gnome.org/extension/4012/gnome-bedtime/", "shell_version_map": {"38": {"version": "6", "sha256": "1xv3c9mwxv8p83l0shnddab7mwxddjx1y0a2fb4klq1vrymamk1h", "metadata": "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"}, "40": {"version": "6", "sha256": "1xv3c9mwxv8p83l0shnddab7mwxddjx1y0a2fb4klq1vrymamk1h", "metadata": "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"}}}
, {"uuid": "transparentwindows.mdirshad07", "name": "Transparent Window", "pname": "transparent-window", "description": "Change the opacity of windows by compiz-style shortcut Alt+scroll.\nYou can customize hotkey in Preference page if Alt key doesn't work.", "link": "https://extensions.gnome.org/extension/4016/transparent-window/", "shell_version_map": {"38": {"version": "2", "sha256": "12d8ad0s3b2cd8gczsa2l2x5wf3rag9xfr12ljw2jlrzf99vnr70", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZSB0aGUgb3BhY2l0eSBvZiB3aW5kb3dzIGJ5IGNvbXBpei1zdHlsZSBzaG9ydGN1dCBBbHQrc2Nyb2xsLlxuWW91IGNhbiBjdXN0b21pemUgaG90a2V5IGluIFByZWZlcmVuY2UgcGFnZSBpZiBBbHQga2V5IGRvZXNuJ3Qgd29yay4iLAogICJuYW1lIjogIlRyYW5zcGFyZW50IFdpbmRvdyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5UcmFuc3BhcmVudFdpbmRvdyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOC4xIiwKICAgICIzLjM2LjEiLAogICAgIjMuMzguMSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3BieHFkb3duL2dub21lLXNoZWxsLWV4dGVuc2lvbi10cmFuc3BhcmVudC13aW5kb3ciLAogICJ1dWlkIjogInRyYW5zcGFyZW50d2luZG93cy5tZGlyc2hhZDA3IiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
-, {"uuid": "wandering-pixel@justinrdonnelly.github.com", "name": "Wandering Pixel", "pname": "wandering-pixel", "description": "Slide 1 pixel back and forth in the top bar as a workaround for various bugs in GNOME Shell and/or Mutter.", "link": "https://extensions.gnome.org/extension/4028/wandering-pixel/", "shell_version_map": {"38": {"version": "3", "sha256": "1n4ycw6yrf0aqczyvc5vdgxszcpa51xh2mzrs8sqs78wxdggzs89", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNsaWRlIDEgcGl4ZWwgYmFjayBhbmQgZm9ydGggaW4gdGhlIHRvcCBiYXIgYXMgYSB3b3JrYXJvdW5kIGZvciB2YXJpb3VzIGJ1Z3MgaW4gR05PTUUgU2hlbGwgYW5kL29yIE11dHRlci4iLAogICJuYW1lIjogIldhbmRlcmluZyBQaXhlbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2p1c3RpbnJkb25uZWxseS93YW5kZXJpbmctcGl4ZWwiLAogICJ1dWlkIjogIndhbmRlcmluZy1waXhlbEBqdXN0aW5yZG9ubmVsbHkuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}, "40": {"version": "3", "sha256": "1n4ycw6yrf0aqczyvc5vdgxszcpa51xh2mzrs8sqs78wxdggzs89", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNsaWRlIDEgcGl4ZWwgYmFjayBhbmQgZm9ydGggaW4gdGhlIHRvcCBiYXIgYXMgYSB3b3JrYXJvdW5kIGZvciB2YXJpb3VzIGJ1Z3MgaW4gR05PTUUgU2hlbGwgYW5kL29yIE11dHRlci4iLAogICJuYW1lIjogIldhbmRlcmluZyBQaXhlbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2p1c3RpbnJkb25uZWxseS93YW5kZXJpbmctcGl4ZWwiLAogICJ1dWlkIjogIndhbmRlcmluZy1waXhlbEBqdXN0aW5yZG9ubmVsbHkuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}}}
+, {"uuid": "wandering-pixel@justinrdonnelly.github.com", "name": "Wandering Pixel", "pname": "wandering-pixel", "description": "Slide 1 pixel back and forth in the top bar as a workaround for various bugs in GNOME Shell and/or Mutter.", "link": "https://extensions.gnome.org/extension/4028/wandering-pixel/", "shell_version_map": {"38": {"version": "4", "sha256": "03hq51krmqm43vkrm3fpvy5da0y75wfpkjhfnch1cz5y3112d13q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNsaWRlIDEgcGl4ZWwgYmFjayBhbmQgZm9ydGggaW4gdGhlIHRvcCBiYXIgYXMgYSB3b3JrYXJvdW5kIGZvciB2YXJpb3VzIGJ1Z3MgaW4gR05PTUUgU2hlbGwgYW5kL29yIE11dHRlci4iLAogICJuYW1lIjogIldhbmRlcmluZyBQaXhlbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vanVzdGlucmRvbm5lbGx5L3dhbmRlcmluZy1waXhlbCIsCiAgInV1aWQiOiAid2FuZGVyaW5nLXBpeGVsQGp1c3RpbnJkb25uZWxseS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQKfQ=="}, "40": {"version": "4", "sha256": "03hq51krmqm43vkrm3fpvy5da0y75wfpkjhfnch1cz5y3112d13q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNsaWRlIDEgcGl4ZWwgYmFjayBhbmQgZm9ydGggaW4gdGhlIHRvcCBiYXIgYXMgYSB3b3JrYXJvdW5kIGZvciB2YXJpb3VzIGJ1Z3MgaW4gR05PTUUgU2hlbGwgYW5kL29yIE11dHRlci4iLAogICJuYW1lIjogIldhbmRlcmluZyBQaXhlbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vanVzdGlucmRvbm5lbGx5L3dhbmRlcmluZy1waXhlbCIsCiAgInV1aWQiOiAid2FuZGVyaW5nLXBpeGVsQGp1c3RpbnJkb25uZWxseS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
, {"uuid": "screenshot-directory@fawtytoo", "name": "Screenshot Directory", "pname": "screenshot-directory", "description": "The default screenshot directory is ~/Pictures. This extension changes that to use whatever is set if you used the Gnome Screenshot app. This can be found in the dconf setting: /org/gnome/gnome-screenshot/auto-save-directory. If that directory doesn't exist, the extension will use the Home directory instead.\n\nNote: If the dconf setting doesn't exist, you need to install the Gnome Screenshot app.\n\nThe idea was taken from the extension: Screenshot Locations.", "link": "https://extensions.gnome.org/extension/4031/screenshot-directory/", "shell_version_map": {"38": {"version": "6", "sha256": "15m291jjqfrfcg79nlsqq8zcqp218qrakp3044ik9xjl00yhjf1b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBkZWZhdWx0IHNjcmVlbnNob3QgZGlyZWN0b3J5IGlzIH4vUGljdHVyZXMuIFRoaXMgZXh0ZW5zaW9uIGNoYW5nZXMgdGhhdCB0byB1c2Ugd2hhdGV2ZXIgaXMgc2V0IGlmIHlvdSB1c2VkIHRoZSBHbm9tZSBTY3JlZW5zaG90IGFwcC4gVGhpcyBjYW4gYmUgZm91bmQgaW4gdGhlIGRjb25mIHNldHRpbmc6IC9vcmcvZ25vbWUvZ25vbWUtc2NyZWVuc2hvdC9hdXRvLXNhdmUtZGlyZWN0b3J5LiBJZiB0aGF0IGRpcmVjdG9yeSBkb2Vzbid0IGV4aXN0LCB0aGUgZXh0ZW5zaW9uIHdpbGwgdXNlIHRoZSBIb21lIGRpcmVjdG9yeSBpbnN0ZWFkLlxuXG5Ob3RlOiBJZiB0aGUgZGNvbmYgc2V0dGluZyBkb2Vzbid0IGV4aXN0LCB5b3UgbmVlZCB0byBpbnN0YWxsIHRoZSBHbm9tZSBTY3JlZW5zaG90IGFwcC5cblxuVGhlIGlkZWEgd2FzIHRha2VuIGZyb20gdGhlIGV4dGVuc2lvbjogU2NyZWVuc2hvdCBMb2NhdGlvbnMuIiwKICAibmFtZSI6ICJTY3JlZW5zaG90IERpcmVjdG9yeSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAic2NyZWVuc2hvdC1kaXJlY3RvcnlAZmF3dHl0b28iLAogICJ2ZXJzaW9uIjogNgp9"}, "40": {"version": "6", "sha256": "15m291jjqfrfcg79nlsqq8zcqp218qrakp3044ik9xjl00yhjf1b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBkZWZhdWx0IHNjcmVlbnNob3QgZGlyZWN0b3J5IGlzIH4vUGljdHVyZXMuIFRoaXMgZXh0ZW5zaW9uIGNoYW5nZXMgdGhhdCB0byB1c2Ugd2hhdGV2ZXIgaXMgc2V0IGlmIHlvdSB1c2VkIHRoZSBHbm9tZSBTY3JlZW5zaG90IGFwcC4gVGhpcyBjYW4gYmUgZm91bmQgaW4gdGhlIGRjb25mIHNldHRpbmc6IC9vcmcvZ25vbWUvZ25vbWUtc2NyZWVuc2hvdC9hdXRvLXNhdmUtZGlyZWN0b3J5LiBJZiB0aGF0IGRpcmVjdG9yeSBkb2Vzbid0IGV4aXN0LCB0aGUgZXh0ZW5zaW9uIHdpbGwgdXNlIHRoZSBIb21lIGRpcmVjdG9yeSBpbnN0ZWFkLlxuXG5Ob3RlOiBJZiB0aGUgZGNvbmYgc2V0dGluZyBkb2Vzbid0IGV4aXN0LCB5b3UgbmVlZCB0byBpbnN0YWxsIHRoZSBHbm9tZSBTY3JlZW5zaG90IGFwcC5cblxuVGhlIGlkZWEgd2FzIHRha2VuIGZyb20gdGhlIGV4dGVuc2lvbjogU2NyZWVuc2hvdCBMb2NhdGlvbnMuIiwKICAibmFtZSI6ICJTY3JlZW5zaG90IERpcmVjdG9yeSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAic2NyZWVuc2hvdC1kaXJlY3RvcnlAZmF3dHl0b28iLAogICJ2ZXJzaW9uIjogNgp9"}}}
-, {"uuid": "x11gestures@joseexposito.github.io", "name": "X11 Gestures", "pname": "x11-gestures", "description": "Enable GNOME Shell multi-touch gestures on X11.\nRequires Touchégg https://github.com/JoseExposito/touchegg#readme", "link": "https://extensions.gnome.org/extension/4033/x11-gestures/", "shell_version_map": {"38": {"version": "8", "sha256": "1qyk622i6rrbgn45frqgsrj5zcky7a0clkk89z5b89ckk5rqprhr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZSBHTk9NRSBTaGVsbCBtdWx0aS10b3VjaCBnZXN0dXJlcyBvbiBYMTEuXG5SZXF1aXJlcyBUb3VjaFx1MDBlOWdnIGh0dHBzOi8vZ2l0aHViLmNvbS9Kb3NlRXhwb3NpdG8vdG91Y2hlZ2cjcmVhZG1lIiwKICAibmFtZSI6ICJYMTEgR2VzdHVyZXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLjAiLAogICAgIjQwLjEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Kb3NlRXhwb3NpdG8vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXgxMWdlc3R1cmVzIiwKICAidXVpZCI6ICJ4MTFnZXN0dXJlc0Bqb3NlZXhwb3NpdG8uZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDgKfQ=="}, "40": {"version": "8", "sha256": "1qyk622i6rrbgn45frqgsrj5zcky7a0clkk89z5b89ckk5rqprhr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZSBHTk9NRSBTaGVsbCBtdWx0aS10b3VjaCBnZXN0dXJlcyBvbiBYMTEuXG5SZXF1aXJlcyBUb3VjaFx1MDBlOWdnIGh0dHBzOi8vZ2l0aHViLmNvbS9Kb3NlRXhwb3NpdG8vdG91Y2hlZ2cjcmVhZG1lIiwKICAibmFtZSI6ICJYMTEgR2VzdHVyZXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwLjAiLAogICAgIjQwLjEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Kb3NlRXhwb3NpdG8vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXgxMWdlc3R1cmVzIiwKICAidXVpZCI6ICJ4MTFnZXN0dXJlc0Bqb3NlZXhwb3NpdG8uZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
+, {"uuid": "x11gestures@joseexposito.github.io", "name": "X11 Gestures", "pname": "x11-gestures", "description": "Enable GNOME Shell multi-touch gestures on X11.\nRequires Touchégg https://github.com/JoseExposito/touchegg#readme", "link": "https://extensions.gnome.org/extension/4033/x11-gestures/", "shell_version_map": {"38": {"version": "11", "sha256": "1896jds78iwja8h8jlgjz3jzxqv94ippyqyxmd7mymc63z23qyil", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZSBHTk9NRSBTaGVsbCBtdWx0aS10b3VjaCBnZXN0dXJlcyBvbiBYMTEuXG5SZXF1aXJlcyBUb3VjaFx1MDBlOWdnIGh0dHBzOi8vZ2l0aHViLmNvbS9Kb3NlRXhwb3NpdG8vdG91Y2hlZ2cjcmVhZG1lIiwKICAibmFtZSI6ICJYMTEgR2VzdHVyZXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0pvc2VFeHBvc2l0by9nbm9tZS1zaGVsbC1leHRlbnNpb24teDExZ2VzdHVyZXMiLAogICJ1dWlkIjogIngxMWdlc3R1cmVzQGpvc2VleHBvc2l0by5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMTEKfQ=="}, "40": {"version": "11", "sha256": "1896jds78iwja8h8jlgjz3jzxqv94ippyqyxmd7mymc63z23qyil", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZSBHTk9NRSBTaGVsbCBtdWx0aS10b3VjaCBnZXN0dXJlcyBvbiBYMTEuXG5SZXF1aXJlcyBUb3VjaFx1MDBlOWdnIGh0dHBzOi8vZ2l0aHViLmNvbS9Kb3NlRXhwb3NpdG8vdG91Y2hlZ2cjcmVhZG1lIiwKICAibmFtZSI6ICJYMTEgR2VzdHVyZXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0pvc2VFeHBvc2l0by9nbm9tZS1zaGVsbC1leHRlbnNpb24teDExZ2VzdHVyZXMiLAogICJ1dWlkIjogIngxMWdlc3R1cmVzQGpvc2VleHBvc2l0by5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMTEKfQ=="}}}
, {"uuid": "get-out-of-the-way@michaelmob.com", "name": "Get Out Of The Way!", "pname": "get-out-of-the-way", "description": "Push 'Always-on-Top' windows out of the way of the focused window.", "link": "https://extensions.gnome.org/extension/4034/get-out-of-the-way/", "shell_version_map": {"38": {"version": "1", "sha256": "1jpjqi2l6wjn9zbgpck04gm9vbspi066chby1j6k9km6dwljfbk7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlB1c2ggJ0Fsd2F5cy1vbi1Ub3AnIHdpbmRvd3Mgb3V0IG9mIHRoZSB3YXkgb2YgdGhlIGZvY3VzZWQgd2luZG93LiIsCiAgIm5hbWUiOiAiR2V0IE91dCBPZiBUaGUgV2F5ISIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJnZXQtb3V0LW9mLXRoZS13YXlAbWljaGFlbG1vYi5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}}}
, {"uuid": "VPNStatus@jesusalc@intuivo.com", "name": "VPNStatus Indicator", "pname": "vpnstatus-indicator", "description": "displays the current state of VPNStatus VPN\n\nchecks, if /proc/net/route contains entries for device nmcli?, this is the VPNStatus network device.\n", "link": "https://extensions.gnome.org/extension/4039/vpnstatus-indicator/", "shell_version_map": {"38": {"version": "1", "sha256": "1y4ym6lpwfi03rc6186yjc7mns01q5nrwiqizghls7hiyfg3kqrn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImRpc3BsYXlzIHRoZSBjdXJyZW50IHN0YXRlIG9mIFZQTlN0YXR1cyBWUE5cblxuY2hlY2tzLCBpZiAvcHJvYy9uZXQvcm91dGUgY29udGFpbnMgZW50cmllcyBmb3IgZGV2aWNlIG5tY2xpPywgdGhpcyBpcyB0aGUgVlBOU3RhdHVzIG5ldHdvcmsgZGV2aWNlLlxuIiwKICAibmFtZSI6ICJWUE5TdGF0dXMgSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIlZQTlN0YXR1c0BqZXN1c2FsY0BpbnR1aXZvLmNvbSIsCiAgInZlcnNpb24iOiAxCn0="}}}
-, {"uuid": "switchtwolayouts@qtmax.dev", "name": "Switch Two Layouts", "pname": "switch-two-layouts", "description": "This extension makes XKB shortcuts to switch keyboard layouts (such as Caps Lock, Ctrl+Shift, etc.) cycle between the two first layouts. The other ones still can be selected via the menu or using GNOME's shortcuts (Super+Space, Shift+Super+Space). It's useful when you have two primary layouts and more additional, which are used more rarely.", "link": "https://extensions.gnome.org/extension/4042/switch-two-layouts/", "shell_version_map": {"38": {"version": "1", "sha256": "1z4nrv0szs8g4jp84fimp0dnljlz8qqjkd509pl3prqdbm97hwzy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIG1ha2VzIFhLQiBzaG9ydGN1dHMgdG8gc3dpdGNoIGtleWJvYXJkIGxheW91dHMgKHN1Y2ggYXMgQ2FwcyBMb2NrLCBDdHJsK1NoaWZ0LCBldGMuKSBjeWNsZSBiZXR3ZWVuIHRoZSB0d28gZmlyc3QgbGF5b3V0cy4gVGhlIG90aGVyIG9uZXMgc3RpbGwgY2FuIGJlIHNlbGVjdGVkIHZpYSB0aGUgbWVudSBvciB1c2luZyBHTk9NRSdzIHNob3J0Y3V0cyAoU3VwZXIrU3BhY2UsIFNoaWZ0K1N1cGVyK1NwYWNlKS4gSXQncyB1c2VmdWwgd2hlbiB5b3UgaGF2ZSB0d28gcHJpbWFyeSBsYXlvdXRzIGFuZCBtb3JlIGFkZGl0aW9uYWwsIHdoaWNoIGFyZSB1c2VkIG1vcmUgcmFyZWx5LiIsCiAgIm5hbWUiOiAiU3dpdGNoIFR3byBMYXlvdXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInN3aXRjaHR3b2xheW91dHNAcXRtYXguZGV2IiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
+, {"uuid": "switchtwolayouts@qtmax.dev", "name": "Switch Two Layouts", "pname": "switch-two-layouts", "description": "This extension makes XKB shortcuts to switch keyboard layouts (such as Caps Lock, Ctrl+Shift, etc.) cycle between the two first layouts. The other ones still can be selected via the menu or using GNOME's shortcuts (Super+Space, Shift+Super+Space). It's useful when you have two primary layouts and more additional, which are used more rarely.", "link": "https://extensions.gnome.org/extension/4042/switch-two-layouts/", "shell_version_map": {"38": {"version": "2", "sha256": "16cz9fx4sgjp58x4agxpbskzqp8a1s6w9w5kaxpm6fjiakw896vz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIG1ha2VzIFhLQiBzaG9ydGN1dHMgdG8gc3dpdGNoIGtleWJvYXJkIGxheW91dHMgKHN1Y2ggYXMgQ2FwcyBMb2NrLCBDdHJsK1NoaWZ0LCBldGMuKSBjeWNsZSBiZXR3ZWVuIHRoZSB0d28gZmlyc3QgbGF5b3V0cy4gVGhlIG90aGVyIG9uZXMgc3RpbGwgY2FuIGJlIHNlbGVjdGVkIHZpYSB0aGUgbWVudSBvciB1c2luZyBHTk9NRSdzIHNob3J0Y3V0cyAoU3VwZXIrU3BhY2UsIFNoaWZ0K1N1cGVyK1NwYWNlKS4gSXQncyB1c2VmdWwgd2hlbiB5b3UgaGF2ZSB0d28gcHJpbWFyeSBsYXlvdXRzIGFuZCBtb3JlIGFkZGl0aW9uYWwsIHdoaWNoIGFyZSB1c2VkIG1vcmUgcmFyZWx5LiIsCiAgIm5hbWUiOiAiU3dpdGNoIFR3byBMYXlvdXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJzd2l0Y2h0d29sYXlvdXRzQHF0bWF4LmRldiIsCiAgInZlcnNpb24iOiAyCn0="}, "40": {"version": "2", "sha256": "16cz9fx4sgjp58x4agxpbskzqp8a1s6w9w5kaxpm6fjiakw896vz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIG1ha2VzIFhLQiBzaG9ydGN1dHMgdG8gc3dpdGNoIGtleWJvYXJkIGxheW91dHMgKHN1Y2ggYXMgQ2FwcyBMb2NrLCBDdHJsK1NoaWZ0LCBldGMuKSBjeWNsZSBiZXR3ZWVuIHRoZSB0d28gZmlyc3QgbGF5b3V0cy4gVGhlIG90aGVyIG9uZXMgc3RpbGwgY2FuIGJlIHNlbGVjdGVkIHZpYSB0aGUgbWVudSBvciB1c2luZyBHTk9NRSdzIHNob3J0Y3V0cyAoU3VwZXIrU3BhY2UsIFNoaWZ0K1N1cGVyK1NwYWNlKS4gSXQncyB1c2VmdWwgd2hlbiB5b3UgaGF2ZSB0d28gcHJpbWFyeSBsYXlvdXRzIGFuZCBtb3JlIGFkZGl0aW9uYWwsIHdoaWNoIGFyZSB1c2VkIG1vcmUgcmFyZWx5LiIsCiAgIm5hbWUiOiAiU3dpdGNoIFR3byBMYXlvdXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJzd2l0Y2h0d29sYXlvdXRzQHF0bWF4LmRldiIsCiAgInZlcnNpb24iOiAyCn0="}}}
, {"uuid": "notification-dismiss@kronosoul.xyz", "name": "Dismiss Notifications on Right Click", "pname": "dismiss-notifications-on-right-click", "description": "Simple extension that removes notification popups when they are right clicked.", "link": "https://extensions.gnome.org/extension/4048/dismiss-notifications-on-right-click/", "shell_version_map": {"38": {"version": "1", "sha256": "19pdz3lg1ybmgvpahfwzzhwk8fyhm1sr3wawddz5z66i22spcgjj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImFwcGxpY2F0aW9uLWlkIjogIm9yZy5rcm9ub3NvdWwubm90aWZpY2F0aW9uLWRpc21pc3MiLAogICJkZXNjcmlwdGlvbiI6ICJTaW1wbGUgZXh0ZW5zaW9uIHRoYXQgcmVtb3ZlcyBub3RpZmljYXRpb24gcG9wdXBzIHdoZW4gdGhleSBhcmUgcmlnaHQgY2xpY2tlZC4iLAogICJleHRlbnNpb24taWQiOiAiZ2R0b29scyIsCiAgImdldHRleHQtZG9tYWluIjogIndvcmtzZXRzIiwKICAibmFtZSI6ICJEaXNtaXNzIE5vdGlmaWNhdGlvbnMgb24gUmlnaHQgQ2xpY2siLAogICJvcmlnaW5hbC1hdXRob3IiOiAiYWRtaW5Aa3Jvbm9zb3VsLnh5eiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4wIiwKICAgICIzLjEwIiwKICAgICIzLjEyIiwKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYmxpcGsvIiwKICAidXVpZCI6ICJub3RpZmljYXRpb24tZGlzbWlzc0Brcm9ub3NvdWwueHl6IiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
+, {"uuid": "disable-gestures-2021@verycrazydog.gmail.com", "name": "Disable Gestures 2021", "pname": "disable-gestures-2021", "description": "Disable all GNOME built-in gestures. Useful for kiosks and touch screen apps.", "link": "https://extensions.gnome.org/extension/4049/disable-gestures-2021/", "shell_version_map": {"40": {"version": "2", "sha256": "006xbxws1cvflsis129hl9sca22nig5prwaxfysxi7m13786rp0h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgYWxsIEdOT01FIGJ1aWx0LWluIGdlc3R1cmVzLiBVc2VmdWwgZm9yIGtpb3NrcyBhbmQgdG91Y2ggc2NyZWVuIGFwcHMuIiwKICAibmFtZSI6ICJEaXNhYmxlIEdlc3R1cmVzIDIwMjEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjQwLjAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9WZXJ5Q3JhenlEb2cvZ25vbWUtZGlzYWJsZS1nZXN0dXJlcyIsCiAgInV1aWQiOiAiZGlzYWJsZS1nZXN0dXJlcy0yMDIxQHZlcnljcmF6eWRvZy5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMgp9"}}}
, {"uuid": "pi-hole@fnxweb.com", "name": "pi-hole", "pname": "pi-hole", "description": "Status and basic controls of local Pi-Hole", "link": "https://extensions.gnome.org/extension/4051/pi-hole/", "shell_version_map": {"38": {"version": "1", "sha256": "0m19lv8zfhh8vqn0ln4a8g4g4hw9p6h98gb656vb0hblp5gsycfm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN0YXR1cyBhbmQgYmFzaWMgY29udHJvbHMgb2YgbG9jYWwgUGktSG9sZSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1mbnh3ZWItcGktaG9sZSIsCiAgIm5hbWUiOiAicGktaG9sZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mbnh3ZWItcGktaG9sZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ZueHdlYi9nbm9tZS1zaGVsbC1waS1ob2xlIiwKICAidXVpZCI6ICJwaS1ob2xlQGZueHdlYi5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}}}
, {"uuid": "miniCal3@mtharpe", "name": "Minimalist Calendar 3", "pname": "minimalist-calendar-3", "description": "Remove event list and clock/calendar app buttons from the calendar window. This is just an updated version of v2 by breiq", "link": "https://extensions.gnome.org/extension/4052/minimalist-calendar-3/", "shell_version_map": {"38": {"version": "2", "sha256": "19y4c1r52j0iabkib6pm65gslrl65l0ckhglqy8gxiw9hr7a0lwk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSBldmVudCBsaXN0IGFuZCBjbG9jay9jYWxlbmRhciBhcHAgYnV0dG9ucyBmcm9tIHRoZSBjYWxlbmRhciB3aW5kb3cuIFRoaXMgaXMganVzdCBhbiB1cGRhdGVkIHZlcnNpb24gb2YgdjIgYnkgYnJlaXEiLAogICJuYW1lIjogIk1pbmltYWxpc3QgQ2FsZW5kYXIgMyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tdGhhcnBlL2dub21lLW1pbkNhbDMtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJtaW5pQ2FsM0BtdGhhcnBlIiwKICAidmVyc2lvbiI6IDIKfQ=="}, "40": {"version": "2", "sha256": "19y4c1r52j0iabkib6pm65gslrl65l0ckhglqy8gxiw9hr7a0lwk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSBldmVudCBsaXN0IGFuZCBjbG9jay9jYWxlbmRhciBhcHAgYnV0dG9ucyBmcm9tIHRoZSBjYWxlbmRhciB3aW5kb3cuIFRoaXMgaXMganVzdCBhbiB1cGRhdGVkIHZlcnNpb24gb2YgdjIgYnkgYnJlaXEiLAogICJuYW1lIjogIk1pbmltYWxpc3QgQ2FsZW5kYXIgMyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tdGhhcnBlL2dub21lLW1pbkNhbDMtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJtaW5pQ2FsM0BtdGhhcnBlIiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
-, {"uuid": "spotify-artwork-fixer@wjt.me.uk", "name": "Spotify Artwork Fixer", "pname": "spotify-artwork-fixer", "description": "Fix Spotify artwork in media notification", "link": "https://extensions.gnome.org/extension/4055/spotify-artwork-fixer/", "shell_version_map": {"38": {"version": "2", "sha256": "19n0j3xd9x5vcd45nawhdic7axv5188clwdg883qb4bbkfdm41zl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZpeCBTcG90aWZ5IGFydHdvcmsgaW4gbWVkaWEgbm90aWZpY2F0aW9uIiwKICAibmFtZSI6ICJTcG90aWZ5IEFydHdvcmsgRml4ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAic3BvdGlmeS1hcnR3b3JrLWZpeGVyQHdqdC5tZS51ayIsCiAgInZlcnNpb24iOiAyCn0="}}}
-, {"uuid": "custom-vpn-toggler@giteduberger.fr", "name": "Custom VPN Toggler (and indicator)", "pname": "custom-vpn-toggler", "description": "Custom VPN Toggler (and indicator) allows to see the status of a VPN (with its icon), see IP address associated and permit to start and stop VPN (from a menu).\n\nThis plugin required an additional script to interact with VPN. \nAn example for netExtender is available on extension repository. \nFollow the link to Extension Web Site and see README.", "link": "https://extensions.gnome.org/extension/4061/custom-vpn-toggler/", "shell_version_map": {"38": {"version": "4", "sha256": "0i5dvcpsqif1bpilv974wfnwg9l79j89fzr5vy67wlcizid6z31i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbSBWUE4gVG9nZ2xlciAoYW5kIGluZGljYXRvcikgYWxsb3dzIHRvIHNlZSB0aGUgc3RhdHVzIG9mIGEgVlBOICh3aXRoIGl0cyBpY29uKSwgc2VlIElQIGFkZHJlc3MgYXNzb2NpYXRlZCBhbmQgcGVybWl0IHRvIHN0YXJ0IGFuZCBzdG9wIFZQTiAoZnJvbSBhIG1lbnUpLlxuXG5UaGlzIHBsdWdpbiByZXF1aXJlZCBhbiBhZGRpdGlvbmFsIHNjcmlwdCB0byBpbnRlcmFjdCB3aXRoIFZQTi4gXG5BbiBleGFtcGxlIGZvciBuZXRFeHRlbmRlciBpcyBhdmFpbGFibGUgb24gZXh0ZW5zaW9uIHJlcG9zaXRvcnkuIFxuRm9sbG93IHRoZSBsaW5rIHRvIEV4dGVuc2lvbiBXZWIgU2l0ZSBhbmQgc2VlIFJFQURNRS4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJjdXN0b20tdnBuLXRvZ2dsZXJAZ2l0ZWR1YmVyZ2VyLmZyIiwKICAibmFtZSI6ICJDdXN0b20gVlBOIFRvZ2dsZXIgKGFuZCBpbmRpY2F0b3IpIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImZyLmdpdGVkdWJlcmdlci5jdXN0b20tdnBuLXRvZ2dsZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9YYXZpZXJCZXJnZXIvY3VzdG9tLXZwbi10b2dnbGVyIiwKICAidXVpZCI6ICJjdXN0b20tdnBuLXRvZ2dsZXJAZ2l0ZWR1YmVyZ2VyLmZyIiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
+, {"uuid": "spotify-artwork-fixer@wjt.me.uk", "name": "Spotify Artwork Fixer", "pname": "spotify-artwork-fixer", "description": "Fix Spotify artwork missing in media notification", "link": "https://extensions.gnome.org/extension/4055/spotify-artwork-fixer/", "shell_version_map": {"38": {"version": "6", "sha256": "0jvvz9p576x95l6592icnswcbs2nhm0i01wpb8a45xy6iwb07nfn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZpeCBTcG90aWZ5IGFydHdvcmsgbWlzc2luZyBpbiBtZWRpYSBub3RpZmljYXRpb24iLAogICJuYW1lIjogIlNwb3RpZnkgQXJ0d29yayBGaXhlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc3R1YXJ0aGF5aHVyc3QvZ25vbWUtc2hlbGwtc3BvdGlmeS1hcnR3b3JrLWZpeGVyIiwKICAidXVpZCI6ICJzcG90aWZ5LWFydHdvcmstZml4ZXJAd2p0Lm1lLnVrIiwKICAidmVyc2lvbiI6IDYKfQ=="}, "40": {"version": "6", "sha256": "0jvvz9p576x95l6592icnswcbs2nhm0i01wpb8a45xy6iwb07nfn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZpeCBTcG90aWZ5IGFydHdvcmsgbWlzc2luZyBpbiBtZWRpYSBub3RpZmljYXRpb24iLAogICJuYW1lIjogIlNwb3RpZnkgQXJ0d29yayBGaXhlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc3R1YXJ0aGF5aHVyc3QvZ25vbWUtc2hlbGwtc3BvdGlmeS1hcnR3b3JrLWZpeGVyIiwKICAidXVpZCI6ICJzcG90aWZ5LWFydHdvcmstZml4ZXJAd2p0Lm1lLnVrIiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
+, {"uuid": "custom-vpn-toggler@giteduberger.fr", "name": "Custom VPN Toggler (and indicator)", "pname": "custom-vpn-toggler", "description": "Custom VPN Toggler (and indicator) allows to see the status of a VPN (with its icon), see IP address associated and permit to start and stop VPN (from a menu).\n\nThis plugin required an additional script to interact with VPN. \nAn example for netExtender and GlobalProtect are available on extension repository. \nFollow the link to Extension Web Site and see README.", "link": "https://extensions.gnome.org/extension/4061/custom-vpn-toggler/", "shell_version_map": {"38": {"version": "5", "sha256": "09axc2jx7s2bak956yyv6c5826yl54ghriiwdgsajly5lncmypxw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbSBWUE4gVG9nZ2xlciAoYW5kIGluZGljYXRvcikgYWxsb3dzIHRvIHNlZSB0aGUgc3RhdHVzIG9mIGEgVlBOICh3aXRoIGl0cyBpY29uKSwgc2VlIElQIGFkZHJlc3MgYXNzb2NpYXRlZCBhbmQgcGVybWl0IHRvIHN0YXJ0IGFuZCBzdG9wIFZQTiAoZnJvbSBhIG1lbnUpLlxuXG5UaGlzIHBsdWdpbiByZXF1aXJlZCBhbiBhZGRpdGlvbmFsIHNjcmlwdCB0byBpbnRlcmFjdCB3aXRoIFZQTi4gXG5BbiBleGFtcGxlIGZvciBuZXRFeHRlbmRlciBhbmQgR2xvYmFsUHJvdGVjdCBhcmUgYXZhaWxhYmxlIG9uIGV4dGVuc2lvbiByZXBvc2l0b3J5LiBcbkZvbGxvdyB0aGUgbGluayB0byBFeHRlbnNpb24gV2ViIFNpdGUgYW5kIHNlZSBSRUFETUUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiY3VzdG9tLXZwbi10b2dnbGVyQGdpdGVkdWJlcmdlci5mciIsCiAgIm5hbWUiOiAiQ3VzdG9tIFZQTiBUb2dnbGVyIChhbmQgaW5kaWNhdG9yKSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJmci5naXRlZHViZXJnZXIuY3VzdG9tLXZwbi10b2dnbGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vWGF2aWVyQmVyZ2VyL2N1c3RvbS12cG4tdG9nZ2xlciIsCiAgInV1aWQiOiAiY3VzdG9tLXZwbi10b2dnbGVyQGdpdGVkdWJlcmdlci5mciIsCiAgInZlcnNpb24iOiA1Cn0="}}}
, {"uuid": "geary-tray-icon@taylantatli.github.com", "name": "Geary Tray Icon", "pname": "geary-tray-icon", "description": "Show a tray icon for Geary\n\nhttps://github.com/TaylanTatli/geary-tray-icon", "link": "https://extensions.gnome.org/extension/4073/geary-tray-icon/", "shell_version_map": {"38": {"version": "1", "sha256": "11kv47pz5p69j10r23zf8ls3fmanldx7diwsy34fhyxqfxjcd614", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgYSB0cmF5IGljb24gZm9yIEdlYXJ5XG5cbmh0dHBzOi8vZ2l0aHViLmNvbS9UYXlsYW5UYXRsaS9nZWFyeS10cmF5LWljb24iLAogICJuYW1lIjogIkdlYXJ5IFRyYXkgSWNvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJnZWFyeS10cmF5LWljb25AdGF5bGFudGF0bGkuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxCn0="}}}
-, {"uuid": "iqair@wotmshuaisi_github", "name": "Iqair Gnome Extension", "pname": "iqair-gnome-extension", "description": "Gnome extension for tracking air quality in real-time. data provider: https://iqair.com/. to get an API token: https://www.iqair.com/us/dashboard/api", "link": "https://extensions.gnome.org/extension/4082/iqair-gnome-extension/", "shell_version_map": {"38": {"version": "6", "sha256": "150rn9gk6nzba30g38bjpgjyqr2a25cysg6fd6p1is92w8lknls4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIGV4dGVuc2lvbiBmb3IgdHJhY2tpbmcgYWlyIHF1YWxpdHkgaW4gcmVhbC10aW1lLiBkYXRhIHByb3ZpZGVyOiBodHRwczovL2lxYWlyLmNvbS8uIHRvIGdldCBhbiBBUEkgdG9rZW46IGh0dHBzOi8vd3d3LmlxYWlyLmNvbS91cy9kYXNoYm9hcmQvYXBpIiwKICAibmFtZSI6ICJJcWFpciBHbm9tZSBFeHRlbnNpb24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS93b3Rtc2h1YWlzaS9pcWFpckdub21lRXh0ZW5zaW9uIiwKICAidXVpZCI6ICJpcWFpckB3b3Rtc2h1YWlzaV9naXRodWIiLAogICJ2ZXJzaW9uIjogNgp9"}, "40": {"version": "8", "sha256": "15w1cgvqc20lijffzvpbbr960hasrzs6qq48mjji5kryvy4rw9bi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIGV4dGVuc2lvbiBmb3IgdHJhY2tpbmcgYWlyIHF1YWxpdHkgaW4gcmVhbC10aW1lLiBkYXRhIHByb3ZpZGVyOiBodHRwczovL2lxYWlyLmNvbS8uIHRvIGdldCBhbiBBUEkgdG9rZW46IGh0dHBzOi8vd3d3LmlxYWlyLmNvbS91cy9kYXNoYm9hcmQvYXBpIiwKICAibmFtZSI6ICJJcWFpciBHbm9tZSBFeHRlbnNpb24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vd290bXNodWFpc2kvaXFhaXJHbm9tZUV4dGVuc2lvbiIsCiAgInV1aWQiOiAiaXFhaXJAd290bXNodWFpc2lfZ2l0aHViIiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
-, {"uuid": "bigSur-StatusArea@ordissimo.com", "name": "Big Sur Status Area", "pname": "big-sur-status-area", "description": "Move the Power/Network/Volume/User/Date/Notifications menus to the status area. It is a fork of :https://github.com/Fausto-Korpsvart/Big-Sur-StatusArea", "link": "https://extensions.gnome.org/extension/4085/big-sur-status-area/", "shell_version_map": {"38": {"version": "23", "sha256": "0gysa7gz161n7lx119f1xhqhfywqd3hj7yf8dkxa208m6hmsya5n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgdGhlIFBvd2VyL05ldHdvcmsvVm9sdW1lL1VzZXIvRGF0ZS9Ob3RpZmljYXRpb25zIG1lbnVzIHRvIHRoZSBzdGF0dXMgYXJlYS4gSXQgaXMgYSBmb3JrIG9mIDpodHRwczovL2dpdGh1Yi5jb20vRmF1c3RvLUtvcnBzdmFydC9CaWctU3VyLVN0YXR1c0FyZWEiLAogICJuYW1lIjogIkJpZyBTdXIgU3RhdHVzIEFyZWEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9PcmRpc3NpbW8vQmlnLVN1ci1TdGF0dXNBcmVhL3RyZWUvNDAucmMiLAogICJ1dWlkIjogImJpZ1N1ci1TdGF0dXNBcmVhQG9yZGlzc2ltby5jb20iLAogICJ2ZXJzaW9uIjogMjMKfQ=="}, "40": {"version": "24", "sha256": "14r84jdp8vdwzfxy3nvfkzggky2fq1qnwk7zpnzgqvpncsnam2a7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgdGhlIFBvd2VyL05ldHdvcmsvVm9sdW1lL1VzZXIvRGF0ZS9Ob3RpZmljYXRpb25zIG1lbnVzIHRvIHRoZSBzdGF0dXMgYXJlYS4gSXQgaXMgYSBmb3JrIG9mIDpodHRwczovL2dpdGh1Yi5jb20vRmF1c3RvLUtvcnBzdmFydC9CaWctU3VyLVN0YXR1c0FyZWEiLAogICJuYW1lIjogIkJpZyBTdXIgU3RhdHVzIEFyZWEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwLjAiLAogICAgIjQwLnJjIiwKICAgICI0MC4xIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vT3JkaXNzaW1vL0JpZy1TdXItU3RhdHVzQXJlYS90cmVlLzQwLnJjIiwKICAidXVpZCI6ICJiaWdTdXItU3RhdHVzQXJlYUBvcmRpc3NpbW8uY29tIiwKICAidmVyc2lvbiI6IDI0Cn0="}}}
+, {"uuid": "iqair@wotmshuaisi_github", "name": "Iqair Gnome Extension", "pname": "iqair-gnome-extension", "description": "Gnome extension for tracking air quality in real-time. data provider: https://iqair.com/. to get an API token: https://www.iqair.com/us/dashboard/api", "link": "https://extensions.gnome.org/extension/4082/iqair-gnome-extension/", "shell_version_map": {"38": {"version": "6", "sha256": "150rn9gk6nzba30g38bjpgjyqr2a25cysg6fd6p1is92w8lknls4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIGV4dGVuc2lvbiBmb3IgdHJhY2tpbmcgYWlyIHF1YWxpdHkgaW4gcmVhbC10aW1lLiBkYXRhIHByb3ZpZGVyOiBodHRwczovL2lxYWlyLmNvbS8uIHRvIGdldCBhbiBBUEkgdG9rZW46IGh0dHBzOi8vd3d3LmlxYWlyLmNvbS91cy9kYXNoYm9hcmQvYXBpIiwKICAibmFtZSI6ICJJcWFpciBHbm9tZSBFeHRlbnNpb24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS93b3Rtc2h1YWlzaS9pcWFpckdub21lRXh0ZW5zaW9uIiwKICAidXVpZCI6ICJpcWFpckB3b3Rtc2h1YWlzaV9naXRodWIiLAogICJ2ZXJzaW9uIjogNgp9"}, "40": {"version": "9", "sha256": "0mhbn1zlv8914y0fy4l3g4613js09mn6i93g87k8wr4l10qsp4nf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIGV4dGVuc2lvbiBmb3IgdHJhY2tpbmcgYWlyIHF1YWxpdHkgaW4gcmVhbC10aW1lLiBkYXRhIHByb3ZpZGVyOiBodHRwczovL2lxYWlyLmNvbS8uIHRvIGdldCBhbiBBUEkgdG9rZW46IGh0dHBzOi8vd3d3LmlxYWlyLmNvbS91cy9kYXNoYm9hcmQvYXBpIiwKICAibmFtZSI6ICJJcWFpciBHbm9tZSBFeHRlbnNpb24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vd290bXNodWFpc2kvaXFhaXJHbm9tZUV4dGVuc2lvbiIsCiAgInV1aWQiOiAiaXFhaXJAd290bXNodWFpc2lfZ2l0aHViIiwKICAidmVyc2lvbiI6IDkKfQ=="}}}
+, {"uuid": "bigSur-StatusArea@ordissimo.com", "name": "Big Sur Status Area", "pname": "big-sur-status-area", "description": "Move the Power/Network/Volume/User/Date/Notifications menus to the status area. It is a fork of :https://github.com/Fausto-Korpsvart/Big-Sur-StatusArea", "link": "https://extensions.gnome.org/extension/4085/big-sur-status-area/", "shell_version_map": {"38": {"version": "25", "sha256": "0syp7ka1rakzw4rlhhl1klszg56pbhyz787hvkg738j9ycl1spyq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgdGhlIFBvd2VyL05ldHdvcmsvVm9sdW1lL1VzZXIvRGF0ZS9Ob3RpZmljYXRpb25zIG1lbnVzIHRvIHRoZSBzdGF0dXMgYXJlYS4gSXQgaXMgYSBmb3JrIG9mIDpodHRwczovL2dpdGh1Yi5jb20vRmF1c3RvLUtvcnBzdmFydC9CaWctU3VyLVN0YXR1c0FyZWEiLAogICJuYW1lIjogIkJpZyBTdXIgU3RhdHVzIEFyZWEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9PcmRpc3NpbW8vQmlnLVN1ci1TdGF0dXNBcmVhL3RyZWUvNDEiLAogICJ1dWlkIjogImJpZ1N1ci1TdGF0dXNBcmVhQG9yZGlzc2ltby5jb20iLAogICJ2ZXJzaW9uIjogMjUKfQ=="}, "40": {"version": "26", "sha256": "0yb0r44h7qv9agncwr2aw6dj1qm82xxvr3811b77nyapcha9cagh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgdGhlIFBvd2VyL05ldHdvcmsvVm9sdW1lL1VzZXIvRGF0ZS9Ob3RpZmljYXRpb25zIG1lbnVzIHRvIHRoZSBzdGF0dXMgYXJlYS4gSXQgaXMgYSBmb3JrIG9mIDpodHRwczovL2dpdGh1Yi5jb20vRmF1c3RvLUtvcnBzdmFydC9CaWctU3VyLVN0YXR1c0FyZWEiLAogICJuYW1lIjogIkJpZyBTdXIgU3RhdHVzIEFyZWEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwLjAiLAogICAgIjQwLnJjIiwKICAgICI0MC4xIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vT3JkaXNzaW1vL0JpZy1TdXItU3RhdHVzQXJlYS90cmVlLzQxIiwKICAidXVpZCI6ICJiaWdTdXItU3RhdHVzQXJlYUBvcmRpc3NpbW8uY29tIiwKICAidmVyc2lvbiI6IDI2Cn0="}}}
, {"uuid": "disable-touch-osk@pardus.org.tr", "name": "disable-touch-osk", "pname": "disable-touch-osk", "description": "Disable on screen keyboard for touchscreens", "link": "https://extensions.gnome.org/extension/4087/disable-touch-osk/", "shell_version_map": {"38": {"version": "3", "sha256": "10ljbjbswzn9y30n2h39iiz673hhmazr2h14lhhws05m71xvbbfy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgb24gc2NyZWVuIGtleWJvYXJkIGZvciB0b3VjaHNjcmVlbnMiLAogICJuYW1lIjogImRpc2FibGUtdG91Y2gtb3NrIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL3d3dy5wYXJkdXMub3JnLnRyLyIsCiAgInV1aWQiOiAiZGlzYWJsZS10b3VjaC1vc2tAcGFyZHVzLm9yZy50ciIsCiAgInZlcnNpb24iOiAzCn0="}}}
, {"uuid": "right_click_for_apps@briansayre", "name": "Right Click for Apps", "pname": "right-click-for-apps", "description": "Allows you to right-click the Activities button to reveal the application menu.", "link": "https://extensions.gnome.org/extension/4090/right-click-for-apps/", "shell_version_map": {"38": {"version": "1", "sha256": "1rzx8ksl48badrwyqxwbgvfgf48z642mdwl57aq280ng61nrndaf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93cyB5b3UgdG8gcmlnaHQtY2xpY2sgdGhlIEFjdGl2aXRpZXMgYnV0dG9uIHRvIHJldmVhbCB0aGUgYXBwbGljYXRpb24gbWVudS4iLAogICJuYW1lIjogIlJpZ2h0IENsaWNrIGZvciBBcHBzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYnJpYW5zYXlyZS9SaWdodC1DbGljay1Gb3ItQXBwcyIsCiAgInV1aWQiOiAicmlnaHRfY2xpY2tfZm9yX2FwcHNAYnJpYW5zYXlyZSIsCiAgInZlcnNpb24iOiAxCn0="}}}
+, {"uuid": "devbar@ludvigbostrom", "name": "DevBar", "pname": "devbar", "description": "This extension helps you keep track of your development workflow.", "link": "https://extensions.gnome.org/extension/4091/devbar/", "shell_version_map": {"38": {"version": "7", "sha256": "0gpmrsd5dva2d6aisr9fazipfxr2qw8p8bsn9avq8rijkya67c0v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGhlbHBzIHlvdSBrZWVwIHRyYWNrIG9mIHlvdXIgZGV2ZWxvcG1lbnQgd29ya2Zsb3cuIiwKICAibmFtZSI6ICJEZXZCYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2x1ZHZpZ2Jvc3Ryb20vRGV2QmFyR25vbWUiLAogICJ1dWlkIjogImRldmJhckBsdWR2aWdib3N0cm9tIiwKICAidmVyc2lvbiI6IDcKfQ=="}, "40": {"version": "7", "sha256": "0gpmrsd5dva2d6aisr9fazipfxr2qw8p8bsn9avq8rijkya67c0v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGhlbHBzIHlvdSBrZWVwIHRyYWNrIG9mIHlvdXIgZGV2ZWxvcG1lbnQgd29ya2Zsb3cuIiwKICAibmFtZSI6ICJEZXZCYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2x1ZHZpZ2Jvc3Ryb20vRGV2QmFyR25vbWUiLAogICJ1dWlkIjogImRldmJhckBsdWR2aWdib3N0cm9tIiwKICAidmVyc2lvbiI6IDcKfQ=="}}}
, {"uuid": "notifications_to_file@fawtytoo", "name": "Notifications To File", "pname": "notifications-to-file", "description": "Notifications are appended to a file in $HOME/.notifications/ with one file created per day.\nEntries show the following information:\nTimestamp\nWhether the banner was shown\nThe urgency\nThe title\nBanner text", "link": "https://extensions.gnome.org/extension/4093/notifications-to-file/", "shell_version_map": {"38": {"version": "2", "sha256": "0rn0c6ma6k129pkjk39dk05j6civxkm32zacv919zd3vvifckr1k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5vdGlmaWNhdGlvbnMgYXJlIGFwcGVuZGVkIHRvIGEgZmlsZSBpbiAkSE9NRS8ubm90aWZpY2F0aW9ucy8gd2l0aCBvbmUgZmlsZSBjcmVhdGVkIHBlciBkYXkuXG5FbnRyaWVzIHNob3cgdGhlIGZvbGxvd2luZyBpbmZvcm1hdGlvbjpcblRpbWVzdGFtcFxuV2hldGhlciB0aGUgYmFubmVyIHdhcyBzaG93blxuVGhlIHVyZ2VuY3lcblRoZSB0aXRsZVxuQmFubmVyIHRleHQiLAogICJuYW1lIjogIk5vdGlmaWNhdGlvbnMgVG8gRmlsZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAibm90aWZpY2F0aW9uc190b19maWxlQGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDIKfQ=="}, "40": {"version": "2", "sha256": "0rn0c6ma6k129pkjk39dk05j6civxkm32zacv919zd3vvifckr1k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5vdGlmaWNhdGlvbnMgYXJlIGFwcGVuZGVkIHRvIGEgZmlsZSBpbiAkSE9NRS8ubm90aWZpY2F0aW9ucy8gd2l0aCBvbmUgZmlsZSBjcmVhdGVkIHBlciBkYXkuXG5FbnRyaWVzIHNob3cgdGhlIGZvbGxvd2luZyBpbmZvcm1hdGlvbjpcblRpbWVzdGFtcFxuV2hldGhlciB0aGUgYmFubmVyIHdhcyBzaG93blxuVGhlIHVyZ2VuY3lcblRoZSB0aXRsZVxuQmFubmVyIHRleHQiLAogICJuYW1lIjogIk5vdGlmaWNhdGlvbnMgVG8gRmlsZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAibm90aWZpY2F0aW9uc190b19maWxlQGZhd3R5dG9vIiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
-, {"uuid": "change_desktop_background_when_empty@fawtytoo", "name": "Change Desktop Background When Workspace Empty", "pname": "change-desktop-background-when-workspace-empty", "description": "Changes the desktop background when the workspace is or becomes empty, such as switching to an empty workspace, when all windows on a workspace are closed, or after login.\nNo folder needs to be set explicitly, as it will use the folder that the current background is in.", "link": "https://extensions.gnome.org/extension/4096/change-desktop-background-when-workspace-empty/", "shell_version_map": {"38": {"version": "4", "sha256": "04fbcdgpw3gpvgzdrji0w7qla021qb4jc3ad5whi8w0zpci7g4jk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZXMgdGhlIGRlc2t0b3AgYmFja2dyb3VuZCB3aGVuIHRoZSB3b3Jrc3BhY2UgaXMgb3IgYmVjb21lcyBlbXB0eSwgc3VjaCBhcyBzd2l0Y2hpbmcgdG8gYW4gZW1wdHkgd29ya3NwYWNlLCB3aGVuIGFsbCB3aW5kb3dzIG9uIGEgd29ya3NwYWNlIGFyZSBjbG9zZWQsIG9yIGFmdGVyIGxvZ2luLlxuTm8gZm9sZGVyIG5lZWRzIHRvIGJlIHNldCBleHBsaWNpdGx5LCBhcyBpdCB3aWxsIHVzZSB0aGUgZm9sZGVyIHRoYXQgdGhlIGN1cnJlbnQgYmFja2dyb3VuZCBpcyBpbi4iLAogICJuYW1lIjogIkNoYW5nZSBEZXNrdG9wIEJhY2tncm91bmQgV2hlbiBXb3Jrc3BhY2UgRW1wdHkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImNoYW5nZV9kZXNrdG9wX2JhY2tncm91bmRfd2hlbl9lbXB0eUBmYXd0eXRvbyIsCiAgInZlcnNpb24iOiA0Cn0="}, "40": {"version": "4", "sha256": "04fbcdgpw3gpvgzdrji0w7qla021qb4jc3ad5whi8w0zpci7g4jk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZXMgdGhlIGRlc2t0b3AgYmFja2dyb3VuZCB3aGVuIHRoZSB3b3Jrc3BhY2UgaXMgb3IgYmVjb21lcyBlbXB0eSwgc3VjaCBhcyBzd2l0Y2hpbmcgdG8gYW4gZW1wdHkgd29ya3NwYWNlLCB3aGVuIGFsbCB3aW5kb3dzIG9uIGEgd29ya3NwYWNlIGFyZSBjbG9zZWQsIG9yIGFmdGVyIGxvZ2luLlxuTm8gZm9sZGVyIG5lZWRzIHRvIGJlIHNldCBleHBsaWNpdGx5LCBhcyBpdCB3aWxsIHVzZSB0aGUgZm9sZGVyIHRoYXQgdGhlIGN1cnJlbnQgYmFja2dyb3VuZCBpcyBpbi4iLAogICJuYW1lIjogIkNoYW5nZSBEZXNrdG9wIEJhY2tncm91bmQgV2hlbiBXb3Jrc3BhY2UgRW1wdHkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImNoYW5nZV9kZXNrdG9wX2JhY2tncm91bmRfd2hlbl9lbXB0eUBmYXd0eXRvbyIsCiAgInZlcnNpb24iOiA0Cn0="}}}
-, {"uuid": "translate-clipboard@lsnow.github.io", "name": "translate-clipboard", "pname": "translate-clipboard", "description": "translate selected text\n\nFor ubuntu20.04, download version 3.38", "link": "https://extensions.gnome.org/extension/4097/translate-clipboard/", "shell_version_map": {"38": {"version": "1", "sha256": "01i8dx0hbcy28xzrj0imadv1027nnxmlz98sl4p72fykp08j728y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogInRyYW5zbGF0ZSBzZWxlY3RlZCB0ZXh0XG5cbkZvciB1YnVudHUyMC4wNCwgZG93bmxvYWQgdmVyc2lvbiAzLjM4IiwKICAibmFtZSI6ICJ0cmFuc2xhdGUtY2xpcGJvYXJkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbHNub3cvdHJhbnNsYXRlLWNsaXBib2FyZCIsCiAgInV1aWQiOiAidHJhbnNsYXRlLWNsaXBib2FyZEBsc25vdy5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMQp9"}, "40": {"version": "4", "sha256": "0jr0fqcnx2yzgm470hjj9pk93fxd5ninsg4mwb73vqvlvq9jmjqs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogInRyYW5zbGF0ZSBzZWxlY3RlZCB0ZXh0XG5cbkZvciB1YnVudHUyMC4wNCwgZG93bmxvYWQgdmVyc2lvbiAzLjM4IiwKICAibmFtZSI6ICJ0cmFuc2xhdGUtY2xpcGJvYXJkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2xzbm93L3RyYW5zbGF0ZS1jbGlwYm9hcmQiLAogICJ1dWlkIjogInRyYW5zbGF0ZS1jbGlwYm9hcmRAbHNub3cuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
-, {"uuid": "no-overview@fthx", "name": "No overview at start-up", "pname": "no-overview", "description": "No overview at start-up. For GNOME Shell 40+.", "link": "https://extensions.gnome.org/extension/4099/no-overview/", "shell_version_map": {"40": {"version": "8", "sha256": "15y0qyknm2c3p94n8lvq3x13vk8j90mfwzl8g2vpraiavgid52fm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5vIG92ZXJ2aWV3IGF0IHN0YXJ0LXVwLiBGb3IgR05PTUUgU2hlbGwgNDArLiIsCiAgIm5hbWUiOiAiTm8gb3ZlcnZpZXcgYXQgc3RhcnQtdXAiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgImZ0aHgiCiAgXSwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvbm8tb3ZlcnZpZXciLAogICJ1dWlkIjogIm5vLW92ZXJ2aWV3QGZ0aHgiLAogICJ2ZXJzaW9uIjogOAp9"}}}
+, {"uuid": "change_desktop_background_when_empty@fawtytoo", "name": "Change Desktop Background When Workspace Empty", "pname": "change-desktop-background-when-workspace-empty", "description": "Changes the desktop background when the workspace is or becomes empty, such as switching to an empty workspace, when all windows on a workspace are closed, or after login.\nNo folder needs to be set explicitly, as it will use the folder that the current background is in.", "link": "https://extensions.gnome.org/extension/4096/change-desktop-background-when-workspace-empty/", "shell_version_map": {"38": {"version": "7", "sha256": "1jlr37qcj1gqnaivjrc5qq7hr62r7nfj6v8rh3mj6946a70sy6gw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZXMgdGhlIGRlc2t0b3AgYmFja2dyb3VuZCB3aGVuIHRoZSB3b3Jrc3BhY2UgaXMgb3IgYmVjb21lcyBlbXB0eSwgc3VjaCBhcyBzd2l0Y2hpbmcgdG8gYW4gZW1wdHkgd29ya3NwYWNlLCB3aGVuIGFsbCB3aW5kb3dzIG9uIGEgd29ya3NwYWNlIGFyZSBjbG9zZWQsIG9yIGFmdGVyIGxvZ2luLlxuTm8gZm9sZGVyIG5lZWRzIHRvIGJlIHNldCBleHBsaWNpdGx5LCBhcyBpdCB3aWxsIHVzZSB0aGUgZm9sZGVyIHRoYXQgdGhlIGN1cnJlbnQgYmFja2dyb3VuZCBpcyBpbi4iLAogICJuYW1lIjogIkNoYW5nZSBEZXNrdG9wIEJhY2tncm91bmQgV2hlbiBXb3Jrc3BhY2UgRW1wdHkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImNoYW5nZV9kZXNrdG9wX2JhY2tncm91bmRfd2hlbl9lbXB0eUBmYXd0eXRvbyIsCiAgInZlcnNpb24iOiA3Cn0="}, "40": {"version": "7", "sha256": "1jlr37qcj1gqnaivjrc5qq7hr62r7nfj6v8rh3mj6946a70sy6gw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZXMgdGhlIGRlc2t0b3AgYmFja2dyb3VuZCB3aGVuIHRoZSB3b3Jrc3BhY2UgaXMgb3IgYmVjb21lcyBlbXB0eSwgc3VjaCBhcyBzd2l0Y2hpbmcgdG8gYW4gZW1wdHkgd29ya3NwYWNlLCB3aGVuIGFsbCB3aW5kb3dzIG9uIGEgd29ya3NwYWNlIGFyZSBjbG9zZWQsIG9yIGFmdGVyIGxvZ2luLlxuTm8gZm9sZGVyIG5lZWRzIHRvIGJlIHNldCBleHBsaWNpdGx5LCBhcyBpdCB3aWxsIHVzZSB0aGUgZm9sZGVyIHRoYXQgdGhlIGN1cnJlbnQgYmFja2dyb3VuZCBpcyBpbi4iLAogICJuYW1lIjogIkNoYW5nZSBEZXNrdG9wIEJhY2tncm91bmQgV2hlbiBXb3Jrc3BhY2UgRW1wdHkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImNoYW5nZV9kZXNrdG9wX2JhY2tncm91bmRfd2hlbl9lbXB0eUBmYXd0eXRvbyIsCiAgInZlcnNpb24iOiA3Cn0="}}}
+, {"uuid": "translate-clipboard@lsnow.github.io", "name": "translate-clipboard", "pname": "translate-clipboard", "description": "translate clipboard text", "link": "https://extensions.gnome.org/extension/4097/translate-clipboard/", "shell_version_map": {"38": {"version": "1", "sha256": "091aywnrmaz5j2nzjv1n968a25k8hm6a7ykifrl1b1nswybx5ark", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogInRyYW5zbGF0ZSBjbGlwYm9hcmQgdGV4dCIsCiAgIm5hbWUiOiAidHJhbnNsYXRlLWNsaXBib2FyZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2xzbm93L3RyYW5zbGF0ZS1jbGlwYm9hcmQiLAogICJ1dWlkIjogInRyYW5zbGF0ZS1jbGlwYm9hcmRAbHNub3cuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDEKfQ=="}, "40": {"version": "8", "sha256": "0af7fwsfp6pvhx5ipzzjmwwdjb77sgysyhmy5im8492a31p43f2h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogInRyYW5zbGF0ZSBjbGlwYm9hcmQgdGV4dCIsCiAgIm5hbWUiOiAidHJhbnNsYXRlLWNsaXBib2FyZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9sc25vdy90cmFuc2xhdGUtY2xpcGJvYXJkIiwKICAidXVpZCI6ICJ0cmFuc2xhdGUtY2xpcGJvYXJkQGxzbm93LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA4Cn0="}}}
+, {"uuid": "no-overview@fthx", "name": "No overview at start-up", "pname": "no-overview", "description": "No overview at start-up. For GNOME Shell 40+.", "link": "https://extensions.gnome.org/extension/4099/no-overview/", "shell_version_map": {"40": {"version": "10", "sha256": "0syw56wbchxpkrkc7jkwd4sq5dw7j88ai6sy2q0hvgj87fyx6wm7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5vIG92ZXJ2aWV3IGF0IHN0YXJ0LXVwLiBGb3IgR05PTUUgU2hlbGwgNDArLiIsCiAgIm5hbWUiOiAiTm8gb3ZlcnZpZXcgYXQgc3RhcnQtdXAiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgImZ0aHgiCiAgXSwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZnRoeC9uby1vdmVydmlldyIsCiAgInV1aWQiOiAibm8tb3ZlcnZpZXdAZnRoeCIsCiAgInZlcnNpb24iOiAxMAp9"}}}
, {"uuid": "notification-position@drugo.dev", "name": "Notification Banner Position", "pname": "notification-banner-position", "description": "Changes position of the notification banner from the default to the right side of the screen.", "link": "https://extensions.gnome.org/extension/4105/notification-banner-position/", "shell_version_map": {"38": {"version": "2", "sha256": "10c3z6b9gwnjybyshrgg4mh9gcj7pv7mwhci32bprsai9nbdid4p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZXMgcG9zaXRpb24gb2YgdGhlIG5vdGlmaWNhdGlvbiBiYW5uZXIgZnJvbSB0aGUgZGVmYXVsdCB0byB0aGUgcmlnaHQgc2lkZSBvZiB0aGUgc2NyZWVuLiIsCiAgIm5hbWUiOiAiTm90aWZpY2F0aW9uIEJhbm5lciBQb3NpdGlvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9icnVub2RydWdvd2ljay9ub3RpZmljYXRpb24tcG9zaXRpb24tZ25vbWUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJub3RpZmljYXRpb24tcG9zaXRpb25AZHJ1Z28uZGV2IiwKICAidmVyc2lvbiI6IDIKfQ=="}, "40": {"version": "2", "sha256": "10c3z6b9gwnjybyshrgg4mh9gcj7pv7mwhci32bprsai9nbdid4p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZXMgcG9zaXRpb24gb2YgdGhlIG5vdGlmaWNhdGlvbiBiYW5uZXIgZnJvbSB0aGUgZGVmYXVsdCB0byB0aGUgcmlnaHQgc2lkZSBvZiB0aGUgc2NyZWVuLiIsCiAgIm5hbWUiOiAiTm90aWZpY2F0aW9uIEJhbm5lciBQb3NpdGlvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9icnVub2RydWdvd2ljay9ub3RpZmljYXRpb24tcG9zaXRpb24tZ25vbWUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJub3RpZmljYXRpb24tcG9zaXRpb25AZHJ1Z28uZGV2IiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
-, {"uuid": "volume_scroller@trflynn89.pm.me", "name": "Volume Scroller", "pname": "volume-scroller", "description": "Scroll up or down in the Top Bar to adjust volume.", "link": "https://extensions.gnome.org/extension/4109/volume-scroller/", "shell_version_map": {"38": {"version": "2", "sha256": "0wd7k5ryjq4w949qi73k5g1pi5nl5wvjxsw155ihyg3yinr8qjxs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNjcm9sbCB1cCBvciBkb3duIGluIHRoZSBUb3AgQmFyIHRvIGFkanVzdCB2b2x1bWUuIiwKICAibmFtZSI6ICJWb2x1bWUgU2Nyb2xsZXIiLAogICJvcmlnaW5hbC1hdXRob3IiOiAidHJmbHlubjg5QHBtLm1lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdHJmbHlubjg5L2dub21lLXNoZWxsLXZvbHVtZS1zY3JvbGxlciIsCiAgInV1aWQiOiAidm9sdW1lX3Njcm9sbGVyQHRyZmx5bm44OS5wbS5tZSIsCiAgInZlcnNpb24iOiAyCn0="}}}
-, {"uuid": "customize-ibus@hollowman.ml", "name": "Customize IBus", "pname": "customize-ibus", "description": "Customize IBus for orientation, animation, font, ASCII mode auto-switch, reposition, system tray menu entries, input source indicator. Theme and background picture follow GNOME Night Light Mode.", "link": "https://extensions.gnome.org/extension/4112/customize-ibus/", "shell_version_map": {"38": {"version": "45", "sha256": "08v5j2sfjhlpl796l579w3yv32v1brr1gkny2qrl16lii5cl44dh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbWl6ZSBJQnVzIGZvciBvcmllbnRhdGlvbiwgYW5pbWF0aW9uLCBmb250LCBBU0NJSSBtb2RlIGF1dG8tc3dpdGNoLCByZXBvc2l0aW9uLCBzeXN0ZW0gdHJheSBtZW51IGVudHJpZXMsIGlucHV0IHNvdXJjZSBpbmRpY2F0b3IuIFRoZW1lIGFuZCBiYWNrZ3JvdW5kIHBpY3R1cmUgZm9sbG93IEdOT01FIE5pZ2h0IExpZ2h0IE1vZGUuIiwKICAiZXh0ZW5zaW9uLWlkIjogImN1c3RvbWl6ZS1pYnVzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiY3VzdG9taXplLWlidXMiLAogICJuYW1lIjogIkN1c3RvbWl6ZSBJQnVzIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJob2xsb3dtYW5AaG9sbG93bWFuLm1sIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5jdXN0b21pemUtaWJ1cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hvbGxvd21hbjYvY3VzdG9taXplLWlidXMiLAogICJ1dWlkIjogImN1c3RvbWl6ZS1pYnVzQGhvbGxvd21hbi5tbCIsCiAgInZlcnNpb24iOiA0NQp9"}, "40": {"version": "46", "sha256": "0nsyikhpbjwdx0mybab4v0nh61ahgcp1d3j2bjyqkrapif58mpfy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbWl6ZSBJQnVzIGZvciBvcmllbnRhdGlvbiwgYW5pbWF0aW9uLCBmb250LCBBU0NJSSBtb2RlIGF1dG8tc3dpdGNoLCByZXBvc2l0aW9uLCBzeXN0ZW0gdHJheSBtZW51IGVudHJpZXMsIGlucHV0IHNvdXJjZSBpbmRpY2F0b3IuIFRoZW1lIGFuZCBiYWNrZ3JvdW5kIHBpY3R1cmUgZm9sbG93IEdOT01FIE5pZ2h0IExpZ2h0IE1vZGUuIiwKICAiZXh0ZW5zaW9uLWlkIjogImN1c3RvbWl6ZS1pYnVzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiY3VzdG9taXplLWlidXMiLAogICJuYW1lIjogIkN1c3RvbWl6ZSBJQnVzIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJob2xsb3dtYW5AaG9sbG93bWFuLm1sIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5jdXN0b21pemUtaWJ1cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hvbGxvd21hbjYvY3VzdG9taXplLWlidXMiLAogICJ1dWlkIjogImN1c3RvbWl6ZS1pYnVzQGhvbGxvd21hbi5tbCIsCiAgInZlcnNpb24iOiA0Ngp9"}}}
+, {"uuid": "volume_scroller@trflynn89.pm.me", "name": "Volume Scroller", "pname": "volume-scroller", "description": "Scroll up or down in the Top Bar to adjust volume.", "link": "https://extensions.gnome.org/extension/4109/volume-scroller/", "shell_version_map": {"38": {"version": "5", "sha256": "035gkl1g3k3jqmksgmh2aip3v4k8kkbc6gdnndg6lnhz6czn9c12", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNjcm9sbCB1cCBvciBkb3duIGluIHRoZSBUb3AgQmFyIHRvIGFkanVzdCB2b2x1bWUuIiwKICAibmFtZSI6ICJWb2x1bWUgU2Nyb2xsZXIiLAogICJvcmlnaW5hbC1hdXRob3IiOiAidHJmbHlubjg5QHBtLm1lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3RyZmx5bm44OS9nbm9tZS1zaGVsbC12b2x1bWUtc2Nyb2xsZXIiLAogICJ1dWlkIjogInZvbHVtZV9zY3JvbGxlckB0cmZseW5uODkucG0ubWUiLAogICJ2ZXJzaW9uIjogNQp9"}, "40": {"version": "5", "sha256": "035gkl1g3k3jqmksgmh2aip3v4k8kkbc6gdnndg6lnhz6czn9c12", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNjcm9sbCB1cCBvciBkb3duIGluIHRoZSBUb3AgQmFyIHRvIGFkanVzdCB2b2x1bWUuIiwKICAibmFtZSI6ICJWb2x1bWUgU2Nyb2xsZXIiLAogICJvcmlnaW5hbC1hdXRob3IiOiAidHJmbHlubjg5QHBtLm1lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3RyZmx5bm44OS9nbm9tZS1zaGVsbC12b2x1bWUtc2Nyb2xsZXIiLAogICJ1dWlkIjogInZvbHVtZV9zY3JvbGxlckB0cmZseW5uODkucG0ubWUiLAogICJ2ZXJzaW9uIjogNQp9"}}}
+, {"uuid": "customize-ibus@hollowman.ml", "name": "Customize IBus", "pname": "customize-ibus", "description": "Full customization of appearance, behavior, system tray and input source indicator for IBus\n\nSupport Customization of:\n* Candidate Box Orientation\n* Candidate Box Animation\n* Right-click Candidate Box to Switch the Input Mode or Open the Tray Menu\n* Scroll on Candidate Box to Switch among Pages or Candidates\n* Fix Candidate Box to Not Follow the Caret and Set Fixed Position\n* Candidate Box Font\n* Input Mode Remember and Auto-switch by APP\n* Change Candidate Box Opacity\n* Fix IME List Order\n* Drag Candidate Box to Reposition\n* Show or Hide Candidate Box Page Buttons\n* System Tray Menus and Interaction Settings\n* * Show or Hide Tray Icon\n* * Directly Click Tray Icon to Switch Input Mode\n* * Add Additional Menu\n* Input Source Indicator Appearance and Interaction Settings\n* * Enable Indicator\n* * Only Indicate when Switching Input Mode\n* * Only Indicate when Using ASCII Input Mode\n* * Right-click Indicator to Hide\n* * Scroll on Indicator to Switch Input Mode\n* * Indicator Animation\n* * Customize Font\n* * Left-click Indicator to Drag to Move Indicator or Switch Input Mode\n* * Change Opacity\n* * Enable Auto-hide Indicator and Configure Auto-hide Timeout\n* Theme (Stylesheet Provided or Extracted from GNOME Shell Themes, Refer to Help Instructions in Extension for More)\n* Candidate Box Background and its Displaying Style\n* Theme and Background Picture Follow GNOME Night Light Mode\n\nUser Guide: https://hollowmansblog.wordpress.com/2021/08/21/customize-ibus-user-guide/\n\n深度定制 IBus 的外观、行为、系统托盘以及输入指示\n\n支持自定义:\n* 候选框方向\n* 候选框动画\n* 右键单击候选框以切换输入源或打开任务栏菜单\n* 候选框上滚动以切换页面或候选词\n* 固定候选框使其不跟随光标以及设定固定位置\n* 候选框字体\n* 输入模式根据应用记忆并自动切换\n* 更改候选框透明度\n* 固定输入法列表顺序\n* 拖拽移动候选框\n* 显示或隐藏候选框调页按钮\n* 系统任务栏托盘显示和交互设置\n* * 显示或隐藏托盘图标\n* * 直接点击托盘图标切换输入源\n* * 添加额外菜单\n* 输入源指示器及其显示和交互设置\n* * 启用指示器\n* * 仅在切换输入法时指示\n* * 仅在英文输入时指示\n* * 右击指示器来将其隐藏\n* * 指示器上滚动来切换输入源\n* * 指示器显示动画\n* * 自定义字体\n* * 左击指示器以拖拽移动或者切换输入源\n* * 更改透明度\n* * 启用自动隐藏以及配置自动隐藏时延\n* 皮肤样式主题(提供的或者从GNOME Shell主题中提取的样式表,参见扩展的帮助部分来获取更多指导)\n* 候选框背景图片及其显示样式\n* 主题和背景图片跟随GNOME夜灯\n\n使用指南:https://blog.csdn.net/qq_18572023/article/details/116331601", "link": "https://extensions.gnome.org/extension/4112/customize-ibus/", "shell_version_map": {"38": {"version": "75", "sha256": "1hagdzn3k27aal1kcsjsfzbas1xbnzv8z3hdmriypxvy531adz2d", "metadata": "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"}, "40": {"version": "75", "sha256": "1hagdzn3k27aal1kcsjsfzbas1xbnzv8z3hdmriypxvy531adz2d", "metadata": "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"}}}
, {"uuid": "fildemGMenu@gonza.com", "name": "Fildem global menu", "pname": "fildem-global-menu", "description": "Global menu and HUD for Gnome\n\nThis extension requires the installation of an external program. Please, check the instructions on Github.\n\nThis extension works on gnome 40 but the preferences doesn’t work, if you want you can install it manually modifing the version supported on metadata.", "link": "https://extensions.gnome.org/extension/4114/fildem-global-menu/", "shell_version_map": {"38": {"version": "1", "sha256": "0zgkf4cb4hl0r6r1kzwlvnr4f2svlpwayrw7xqs39fa19cc1zasv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdsb2JhbCBtZW51IGFuZCBIVUQgZm9yIEdub21lXG5cblRoaXMgZXh0ZW5zaW9uIHJlcXVpcmVzIHRoZSBpbnN0YWxsYXRpb24gb2YgYW4gZXh0ZXJuYWwgcHJvZ3JhbS4gUGxlYXNlLCBjaGVjayB0aGUgaW5zdHJ1Y3Rpb25zIG9uIEdpdGh1Yi5cblxuVGhpcyBleHRlbnNpb24gd29ya3Mgb24gZ25vbWUgNDAgYnV0IHRoZSBwcmVmZXJlbmNlcyBkb2Vzblx1MjAxOXQgd29yaywgaWYgeW91IHdhbnQgeW91IGNhbiBpbnN0YWxsIGl0IG1hbnVhbGx5IG1vZGlmaW5nIHRoZSB2ZXJzaW9uIHN1cHBvcnRlZCBvbiBtZXRhZGF0YS4iLAogICJuYW1lIjogIkZpbGRlbSBnbG9iYWwgbWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5maWxkZW0tZ2xvYmFsLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9nb256YWFyY3IvRmlsZGVtIiwKICAidXVpZCI6ICJmaWxkZW1HTWVudUBnb256YS5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}}}
, {"uuid": "remove-panel@sulincix", "name": "RemovePanel", "pname": "removepanel", "description": "remove top panel from gnome-shell", "link": "https://extensions.gnome.org/extension/4118/removepanel/", "shell_version_map": {"38": {"version": "4", "sha256": "0f6p5cd68qlb082favqf2h7q4vn8c6rh0y48g3s2d9fxgccvc1di", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogInJlbW92ZSB0b3AgcGFuZWwgZnJvbSBnbm9tZS1zaGVsbCIsCiAgIm5hbWUiOiAiUmVtb3ZlUGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAicmVtb3ZlLXBhbmVsQHN1bGluY2l4IiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
, {"uuid": "bottom-triggers-activities@papjul", "name": "Bottom triggers Activities overview", "pname": "bottom-triggers-activities-overview", "description": "DEPRECATION NOTICE: No longer maintained. Please migrate to another extension such as Hot Edge https://extensions.gnome.org/extension/4222/hot-edge/\n\nAllows to toggle Activities overview when the mouse reaches the bottom edge of the screen.\nPLEASE report your bugs to the extension homepage link below. I cannot reply to you if you write a review here.\n\nThis is a fork of Dash to Dock focusing only on doing this, it adds support for Gnome Shell 40 and is mainly aimed at Gnome Shell 40 users to reduce mouse travel.\n\nI may backport fixes from upstream, but I don't intend to add any new feature and may not fix any bug if I'm not affected/cannot reproduce. However, I will accept pull requests that keep the extension in the KISS principle. It could be adding a prefs UI for toggle delay and edge(s) triggered, or supporting multiple monitors.\n\nYou can customize the following parameters from the constructor of extension.js:\n this._position = St.Side.BOTTOM;\n this._toggleDelay = 0.25;", "link": "https://extensions.gnome.org/extension/4120/bottom-triggers-activities-overview/", "shell_version_map": {"38": {"version": "2", "sha256": "1q1ahz612w2fzbvky8ydwns2kykbj2vqcr5ddyncg16lhrfzx9vq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRFUFJFQ0FUSU9OIE5PVElDRTogTm8gbG9uZ2VyIG1haW50YWluZWQuIFBsZWFzZSBtaWdyYXRlIHRvIGFub3RoZXIgZXh0ZW5zaW9uIHN1Y2ggYXMgSG90IEVkZ2UgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vNDIyMi9ob3QtZWRnZS9cblxuQWxsb3dzIHRvIHRvZ2dsZSBBY3Rpdml0aWVzIG92ZXJ2aWV3IHdoZW4gdGhlIG1vdXNlIHJlYWNoZXMgdGhlIGJvdHRvbSBlZGdlIG9mIHRoZSBzY3JlZW4uXG5QTEVBU0UgcmVwb3J0IHlvdXIgYnVncyB0byB0aGUgZXh0ZW5zaW9uIGhvbWVwYWdlIGxpbmsgYmVsb3cuIEkgY2Fubm90IHJlcGx5IHRvIHlvdSBpZiB5b3Ugd3JpdGUgYSByZXZpZXcgaGVyZS5cblxuVGhpcyBpcyBhIGZvcmsgb2YgRGFzaCB0byBEb2NrIGZvY3VzaW5nIG9ubHkgb24gZG9pbmcgdGhpcywgaXQgYWRkcyBzdXBwb3J0IGZvciBHbm9tZSBTaGVsbCA0MCBhbmQgaXMgbWFpbmx5IGFpbWVkIGF0IEdub21lIFNoZWxsIDQwIHVzZXJzIHRvIHJlZHVjZSBtb3VzZSB0cmF2ZWwuXG5cbkkgbWF5IGJhY2twb3J0IGZpeGVzIGZyb20gdXBzdHJlYW0sIGJ1dCBJIGRvbid0IGludGVuZCB0byBhZGQgYW55IG5ldyBmZWF0dXJlIGFuZCBtYXkgbm90IGZpeCBhbnkgYnVnIGlmIEknbSBub3QgYWZmZWN0ZWQvY2Fubm90IHJlcHJvZHVjZS4gSG93ZXZlciwgSSB3aWxsIGFjY2VwdCBwdWxsIHJlcXVlc3RzIHRoYXQga2VlcCB0aGUgZXh0ZW5zaW9uIGluIHRoZSBLSVNTIHByaW5jaXBsZS4gSXQgY291bGQgYmUgYWRkaW5nIGEgcHJlZnMgVUkgZm9yIHRvZ2dsZSBkZWxheSBhbmQgZWRnZShzKSB0cmlnZ2VyZWQsIG9yIHN1cHBvcnRpbmcgbXVsdGlwbGUgbW9uaXRvcnMuXG5cbllvdSBjYW4gY3VzdG9taXplIHRoZSBmb2xsb3dpbmcgcGFyYW1ldGVycyBmcm9tIHRoZSBjb25zdHJ1Y3RvciBvZiBleHRlbnNpb24uanM6XG4gICAgICAgIHRoaXMuX3Bvc2l0aW9uID0gU3QuU2lkZS5CT1RUT007XG4gICAgICAgIHRoaXMuX3RvZ2dsZURlbGF5ID0gMC4yNTsiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJib3R0b210cmlnZ2Vyc2FjdGl2aXRpZXMiLAogICJuYW1lIjogIkJvdHRvbSB0cmlnZ2VycyBBY3Rpdml0aWVzIG92ZXJ2aWV3IiwKICAib3JpZ2luYWwtYXV0aG9yIjogInBhcGp1bCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9wYXBqdWwvYm90dG9tLXRyaWdnZXJzLWFjdGl2aXRpZXMiLAogICJ1dWlkIjogImJvdHRvbS10cmlnZ2Vycy1hY3Rpdml0aWVzQHBhcGp1bCIsCiAgInZlcnNpb24iOiAyCn0="}, "40": {"version": "2", "sha256": "1q1ahz612w2fzbvky8ydwns2kykbj2vqcr5ddyncg16lhrfzx9vq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRFUFJFQ0FUSU9OIE5PVElDRTogTm8gbG9uZ2VyIG1haW50YWluZWQuIFBsZWFzZSBtaWdyYXRlIHRvIGFub3RoZXIgZXh0ZW5zaW9uIHN1Y2ggYXMgSG90IEVkZ2UgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vNDIyMi9ob3QtZWRnZS9cblxuQWxsb3dzIHRvIHRvZ2dsZSBBY3Rpdml0aWVzIG92ZXJ2aWV3IHdoZW4gdGhlIG1vdXNlIHJlYWNoZXMgdGhlIGJvdHRvbSBlZGdlIG9mIHRoZSBzY3JlZW4uXG5QTEVBU0UgcmVwb3J0IHlvdXIgYnVncyB0byB0aGUgZXh0ZW5zaW9uIGhvbWVwYWdlIGxpbmsgYmVsb3cuIEkgY2Fubm90IHJlcGx5IHRvIHlvdSBpZiB5b3Ugd3JpdGUgYSByZXZpZXcgaGVyZS5cblxuVGhpcyBpcyBhIGZvcmsgb2YgRGFzaCB0byBEb2NrIGZvY3VzaW5nIG9ubHkgb24gZG9pbmcgdGhpcywgaXQgYWRkcyBzdXBwb3J0IGZvciBHbm9tZSBTaGVsbCA0MCBhbmQgaXMgbWFpbmx5IGFpbWVkIGF0IEdub21lIFNoZWxsIDQwIHVzZXJzIHRvIHJlZHVjZSBtb3VzZSB0cmF2ZWwuXG5cbkkgbWF5IGJhY2twb3J0IGZpeGVzIGZyb20gdXBzdHJlYW0sIGJ1dCBJIGRvbid0IGludGVuZCB0byBhZGQgYW55IG5ldyBmZWF0dXJlIGFuZCBtYXkgbm90IGZpeCBhbnkgYnVnIGlmIEknbSBub3QgYWZmZWN0ZWQvY2Fubm90IHJlcHJvZHVjZS4gSG93ZXZlciwgSSB3aWxsIGFjY2VwdCBwdWxsIHJlcXVlc3RzIHRoYXQga2VlcCB0aGUgZXh0ZW5zaW9uIGluIHRoZSBLSVNTIHByaW5jaXBsZS4gSXQgY291bGQgYmUgYWRkaW5nIGEgcHJlZnMgVUkgZm9yIHRvZ2dsZSBkZWxheSBhbmQgZWRnZShzKSB0cmlnZ2VyZWQsIG9yIHN1cHBvcnRpbmcgbXVsdGlwbGUgbW9uaXRvcnMuXG5cbllvdSBjYW4gY3VzdG9taXplIHRoZSBmb2xsb3dpbmcgcGFyYW1ldGVycyBmcm9tIHRoZSBjb25zdHJ1Y3RvciBvZiBleHRlbnNpb24uanM6XG4gICAgICAgIHRoaXMuX3Bvc2l0aW9uID0gU3QuU2lkZS5CT1RUT007XG4gICAgICAgIHRoaXMuX3RvZ2dsZURlbGF5ID0gMC4yNTsiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJib3R0b210cmlnZ2Vyc2FjdGl2aXRpZXMiLAogICJuYW1lIjogIkJvdHRvbSB0cmlnZ2VycyBBY3Rpdml0aWVzIG92ZXJ2aWV3IiwKICAib3JpZ2luYWwtYXV0aG9yIjogInBhcGp1bCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9wYXBqdWwvYm90dG9tLXRyaWdnZXJzLWFjdGl2aXRpZXMiLAogICJ1dWlkIjogImJvdHRvbS10cmlnZ2Vycy1hY3Rpdml0aWVzQHBhcGp1bCIsCiAgInZlcnNpb24iOiAyCn0="}}}
, {"uuid": "gnome-shell-Google-search-provider@MrNinso", "name": "Google Search Provider", "pname": "google-search-provider", "description": "Add Google search to Gnome Shell Search \n TODO: \n - Add google suggestions (For now using duckduckGo suggestions)", "link": "https://extensions.gnome.org/extension/4132/google-search-provider/", "shell_version_map": {"38": {"version": "5", "sha256": "056d2x5v2kzlkdab0bf88r7hs93iwdm6nhlf5q5fhjmqgc88ap1b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBHb29nbGUgc2VhcmNoIHRvIEdub21lIFNoZWxsIFNlYXJjaCBcbiBUT0RPOiBcbiAtIEFkZCBnb29nbGUgc3VnZ2VzdGlvbnMgKEZvciBub3cgdXNpbmcgZHVja2R1Y2tHbyBzdWdnZXN0aW9ucykiLAogICJuYW1lIjogIkdvb2dsZSBTZWFyY2ggUHJvdmlkZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZ29vZ2xlLXNlYXJjaC1wcm92aWRlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Nck5pbnNvL2dub21lLXNoZWxsLWdvb2dsZS1zZWFyY2gtcHJvdmlkZXIiLAogICJ1dWlkIjogImdub21lLXNoZWxsLUdvb2dsZS1zZWFyY2gtcHJvdmlkZXJATXJOaW5zbyIsCiAgInZlcnNpb24iOiA1Cn0="}, "40": {"version": "5", "sha256": "056d2x5v2kzlkdab0bf88r7hs93iwdm6nhlf5q5fhjmqgc88ap1b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBHb29nbGUgc2VhcmNoIHRvIEdub21lIFNoZWxsIFNlYXJjaCBcbiBUT0RPOiBcbiAtIEFkZCBnb29nbGUgc3VnZ2VzdGlvbnMgKEZvciBub3cgdXNpbmcgZHVja2R1Y2tHbyBzdWdnZXN0aW9ucykiLAogICJuYW1lIjogIkdvb2dsZSBTZWFyY2ggUHJvdmlkZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZ29vZ2xlLXNlYXJjaC1wcm92aWRlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Nck5pbnNvL2dub21lLXNoZWxsLWdvb2dsZS1zZWFyY2gtcHJvdmlkZXIiLAogICJ1dWlkIjogImdub21lLXNoZWxsLUdvb2dsZS1zZWFyY2gtcHJvdmlkZXJATXJOaW5zbyIsCiAgInZlcnNpb24iOiA1Cn0="}}}
, {"uuid": "disable-menu-switching@selfmade.pl", "name": "Disable Menu Switching", "pname": "disable-menu-switching", "description": "Disable changing menu on hover another panel button.", "link": "https://extensions.gnome.org/extension/4133/disable-menu-switching/", "shell_version_map": {"40": {"version": "3", "sha256": "12879vn6knafdcphg3wwbpbram5hrw79v68z9c9d5z4f9k781ksq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgY2hhbmdpbmcgbWVudSBvbiBob3ZlciBhbm90aGVyIHBhbmVsIGJ1dHRvbi4iLAogICJuYW1lIjogIkRpc2FibGUgTWVudSBTd2l0Y2hpbmciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTWFydGluUEwvRGlzYWJsZS1NZW51LVN3aXRjaGluZyIsCiAgInV1aWQiOiAiZGlzYWJsZS1tZW51LXN3aXRjaGluZ0BzZWxmbWFkZS5wbCIsCiAgInZlcnNpb24iOiAzCn0="}}}
-, {"uuid": "espresso@coadmunkee.github.com", "name": "Espresso", "pname": "espresso", "description": "Espresso provides a topbar icon that allows you to disable or enable the screensaver and auto suspend. You can also define other conditions that will disable the screensaver and auto suspend such as when any application is running in full screen mode and/or when any one of a defined list of applications is running.\nEspresso has been fully derived from a fork of Caffeine and provides the same functionality. ", "link": "https://extensions.gnome.org/extension/4135/espresso/", "shell_version_map": {"40": {"version": "1", "sha256": "1jg3zrgisbw08ar38blic3fn2pcdbgph5h0ph9bks2393azlzxn6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVzcHJlc3NvIHByb3ZpZGVzIGEgdG9wYmFyIGljb24gdGhhdCBhbGxvd3MgeW91IHRvIGRpc2FibGUgb3IgZW5hYmxlIHRoZSBzY3JlZW5zYXZlciBhbmQgYXV0byBzdXNwZW5kLiBZb3UgY2FuIGFsc28gZGVmaW5lIG90aGVyIGNvbmRpdGlvbnMgdGhhdCB3aWxsIGRpc2FibGUgdGhlIHNjcmVlbnNhdmVyIGFuZCBhdXRvIHN1c3BlbmQgc3VjaCBhcyB3aGVuIGFueSBhcHBsaWNhdGlvbiBpcyBydW5uaW5nIGluIGZ1bGwgc2NyZWVuIG1vZGUgYW5kL29yIHdoZW4gYW55IG9uZSBvZiBhIGRlZmluZWQgbGlzdCBvZiBhcHBsaWNhdGlvbnMgaXMgcnVubmluZy5cbkVzcHJlc3NvIGhhcyBiZWVuIGZ1bGx5IGRlcml2ZWQgZnJvbSBhIGZvcmsgb2YgQ2FmZmVpbmUgYW5kIHByb3ZpZGVzIHRoZSBzYW1lIGZ1bmN0aW9uYWxpdHkuICIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1lc3ByZXNzbyIsCiAgIm5hbWUiOiAiRXNwcmVzc28iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZXNwcmVzc28iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY29hZG11bmtlZS9nbm9tZS1zaGVsbC1leHRlbnNpb24tZXNwcmVzc28iLAogICJ1dWlkIjogImVzcHJlc3NvQGNvYWRtdW5rZWUuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxCn0="}}}
+, {"uuid": "espresso@coadmunkee.github.com", "name": "Espresso", "pname": "espresso", "description": "Set conditions to disable the screensaver and auto suspend. Espresso is a fork of the Caffeine extension, and Espresso only supports Gnome 40 and Gnome 41. Please leave feedback or report issues through the Extension Homepage", "link": "https://extensions.gnome.org/extension/4135/espresso/", "shell_version_map": {"40": {"version": "4", "sha256": "1cvbi9yb4iz9178sjf3fppr1c4n8pm345b66njf1ij81qbllm9aw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldCBjb25kaXRpb25zIHRvIGRpc2FibGUgdGhlIHNjcmVlbnNhdmVyIGFuZCBhdXRvIHN1c3BlbmQuIEVzcHJlc3NvIGlzIGEgZm9yayBvZiB0aGUgQ2FmZmVpbmUgZXh0ZW5zaW9uLCBhbmQgRXNwcmVzc28gb25seSBzdXBwb3J0cyBHbm9tZSA0MCBhbmQgR25vbWUgNDEuIFBsZWFzZSBsZWF2ZSBmZWVkYmFjayBvciByZXBvcnQgaXNzdWVzIHRocm91Z2ggdGhlIEV4dGVuc2lvbiBIb21lcGFnZSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1lc3ByZXNzbyIsCiAgIm5hbWUiOiAiRXNwcmVzc28iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZXNwcmVzc28iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NvYWRtdW5rZWUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWVzcHJlc3NvIiwKICAidXVpZCI6ICJlc3ByZXNzb0Bjb2FkbXVua2VlLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNAp9"}}}
, {"uuid": "salat-dz@salat-dz.com", "name": "Salat Dz", "pname": "salat-dz", "description": "Show next salat using Salat Dz API", "link": "https://extensions.gnome.org/extension/4136/salat-dz/", "shell_version_map": {"38": {"version": "1", "sha256": "1kga5w2yp375h6lj3fmzr1agg43phyj5f45d7hjv04c405d32p8s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgbmV4dCBzYWxhdCB1c2luZyBTYWxhdCBEeiBBUEkiLAogICJuYW1lIjogIlNhbGF0IER6IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL3NhbGF0LnB5dGhvbmFueXdoZXJlLmNvbSIsCiAgInV1aWQiOiAic2FsYXQtZHpAc2FsYXQtZHouY29tIiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
-, {"uuid": "user-at-host@cmm.github.com", "name": "Add user@host to top panel", "pname": "add-userhost-to-panel", "description": "Adds user@host to the top panel, in the center to the right of the clock.\n\nNothing fancy, no support -- fork at will, the thing is very simple.", "link": "https://extensions.gnome.org/extension/4141/add-userhost-to-panel/", "shell_version_map": {"38": {"version": "2", "sha256": "1d2pj1phh7z2h9mdhsll5kmwzz2pr1x3ca6ng5l50ga5b55i9af0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgdXNlckBob3N0IHRvIHRoZSB0b3AgcGFuZWwsIGluIHRoZSBjZW50ZXIgdG8gdGhlIHJpZ2h0IG9mIHRoZSBjbG9jay5cblxuTm90aGluZyBmYW5jeSwgbm8gc3VwcG9ydCAtLSBmb3JrIGF0IHdpbGwsIHRoZSB0aGluZyBpcyB2ZXJ5IHNpbXBsZS4iLAogICJuYW1lIjogIkFkZCB1c2VyQGhvc3QgdG8gdG9wIHBhbmVsIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImRhbmRhbWFuOTYiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY21tL2dub21lLXVzZXItYXQtaG9zdCIsCiAgInV1aWQiOiAidXNlci1hdC1ob3N0QGNtbS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIKfQ=="}, "40": {"version": "2", "sha256": "1d2pj1phh7z2h9mdhsll5kmwzz2pr1x3ca6ng5l50ga5b55i9af0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgdXNlckBob3N0IHRvIHRoZSB0b3AgcGFuZWwsIGluIHRoZSBjZW50ZXIgdG8gdGhlIHJpZ2h0IG9mIHRoZSBjbG9jay5cblxuTm90aGluZyBmYW5jeSwgbm8gc3VwcG9ydCAtLSBmb3JrIGF0IHdpbGwsIHRoZSB0aGluZyBpcyB2ZXJ5IHNpbXBsZS4iLAogICJuYW1lIjogIkFkZCB1c2VyQGhvc3QgdG8gdG9wIHBhbmVsIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImRhbmRhbWFuOTYiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY21tL2dub21lLXVzZXItYXQtaG9zdCIsCiAgInV1aWQiOiAidXNlci1hdC1ob3N0QGNtbS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
-, {"uuid": "vertical-overview@RensAlthuis.github.com", "name": "Vertical overview", "pname": "vertical-overview", "description": "Gnome has had vertically stacked workspaces for a long time. The Gnome 40 update unfortunately made the switch to a horizontal layout. A choice that many Gnome users disagree with. This extension Aims to replace the new Gnome overview with something that resembles the old style. \n\nhttps://github.com/RensAlthuis/vertical-overview", "link": "https://extensions.gnome.org/extension/4144/vertical-overview/", "shell_version_map": {"40": {"version": "5", "sha256": "04lvqn2l4wvsxk9g34n18h5sdqbyms2iwx72fd7il6rs21r0l1cb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIGhhcyBoYWQgdmVydGljYWxseSBzdGFja2VkIHdvcmtzcGFjZXMgZm9yIGEgbG9uZyB0aW1lLiBUaGUgR25vbWUgNDAgdXBkYXRlIHVuZm9ydHVuYXRlbHkgbWFkZSB0aGUgc3dpdGNoIHRvIGEgaG9yaXpvbnRhbCBsYXlvdXQuIEEgY2hvaWNlIHRoYXQgbWFueSBHbm9tZSB1c2VycyBkaXNhZ3JlZSB3aXRoLiBUaGlzIGV4dGVuc2lvbiBBaW1zIHRvIHJlcGxhY2UgdGhlIG5ldyBHbm9tZSBvdmVydmlldyB3aXRoIHNvbWV0aGluZyB0aGF0IHJlc2VtYmxlcyB0aGUgb2xkIHN0eWxlLiBcblxuaHR0cHM6Ly9naXRodWIuY29tL1JlbnNBbHRodWlzL3ZlcnRpY2FsLW92ZXJ2aWV3IiwKICAibmFtZSI6ICJWZXJ0aWNhbCBvdmVydmlldyIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJyZW5zLmFsdGh1aXNAZ21haWwuY29tIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJ2ZXJ0aWNhbC1vdmVydmlld0BSZW5zQWx0aHVpcy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
+, {"uuid": "user-at-host@cmm.github.com", "name": "Add user@host to top panel", "pname": "add-userhost-to-panel", "description": "Adds user@host to the top panel, in the center to the right of the clock.\n\nNothing fancy, no support -- fork at will, the thing is very simple.", "link": "https://extensions.gnome.org/extension/4141/add-userhost-to-panel/", "shell_version_map": {"38": {"version": "3", "sha256": "07112y510hmhsb8jy03apjnk5nww29xl4mhx9ag5z557xpfsckja", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgdXNlckBob3N0IHRvIHRoZSB0b3AgcGFuZWwsIGluIHRoZSBjZW50ZXIgdG8gdGhlIHJpZ2h0IG9mIHRoZSBjbG9jay5cblxuTm90aGluZyBmYW5jeSwgbm8gc3VwcG9ydCAtLSBmb3JrIGF0IHdpbGwsIHRoZSB0aGluZyBpcyB2ZXJ5IHNpbXBsZS4iLAogICJuYW1lIjogIkFkZCB1c2VyQGhvc3QgdG8gdG9wIHBhbmVsIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImRhbmRhbWFuOTYiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY21tL2dub21lLXVzZXItYXQtaG9zdCIsCiAgInV1aWQiOiAidXNlci1hdC1ob3N0QGNtbS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDMKfQ=="}, "40": {"version": "3", "sha256": "07112y510hmhsb8jy03apjnk5nww29xl4mhx9ag5z557xpfsckja", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgdXNlckBob3N0IHRvIHRoZSB0b3AgcGFuZWwsIGluIHRoZSBjZW50ZXIgdG8gdGhlIHJpZ2h0IG9mIHRoZSBjbG9jay5cblxuTm90aGluZyBmYW5jeSwgbm8gc3VwcG9ydCAtLSBmb3JrIGF0IHdpbGwsIHRoZSB0aGluZyBpcyB2ZXJ5IHNpbXBsZS4iLAogICJuYW1lIjogIkFkZCB1c2VyQGhvc3QgdG8gdG9wIHBhbmVsIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImRhbmRhbWFuOTYiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY21tL2dub21lLXVzZXItYXQtaG9zdCIsCiAgInV1aWQiOiAidXNlci1hdC1ob3N0QGNtbS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
+, {"uuid": "vertical-overview@RensAlthuis.github.com", "name": "Vertical overview", "pname": "vertical-overview", "description": "Bringing back vertically stacked workspaces", "link": "https://extensions.gnome.org/extension/4144/vertical-overview/", "shell_version_map": {"40": {"version": "8", "sha256": "0h865ipn97k9yrj4r0qhfdj2vnwk92720ahim22sw86605ljc77z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaW5naW5nIGJhY2sgdmVydGljYWxseSBzdGFja2VkIHdvcmtzcGFjZXMiLAogICJuYW1lIjogIlZlcnRpY2FsIG92ZXJ2aWV3IiwKICAib3JpZ2luYWwtYXV0aG9yIjogInJlbnMuYWx0aHVpc0BnbWFpbC5jb20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJ2ZXJ0aWNhbC1vdmVydmlld0BSZW5zQWx0aHVpcy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
, {"uuid": "workspace-isolated_app-switcher@lestibournes", "name": "Workspace-Isolated App-Switcher", "pname": "workspace-isolated-app-switcher", "description": "App-Switcher modification that shows only the apps that are running on the current workspace. Fork of App-Switcher Current Workspace First by fawtytoo.", "link": "https://extensions.gnome.org/extension/4145/workspace-isolated-app-switcher/", "shell_version_map": {"38": {"version": "1", "sha256": "0bcf8l1sb73f0ggvavkfjk10s67k7w4f3yr0s9inil6z8pbk7bsr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcC1Td2l0Y2hlciBtb2RpZmljYXRpb24gdGhhdCBzaG93cyBvbmx5IHRoZSBhcHBzIHRoYXQgYXJlIHJ1bm5pbmcgb24gdGhlIGN1cnJlbnQgd29ya3NwYWNlLiBGb3JrIG9mIEFwcC1Td2l0Y2hlciBDdXJyZW50IFdvcmtzcGFjZSBGaXJzdCBieSBmYXd0eXRvby4iLAogICJuYW1lIjogIldvcmtzcGFjZS1Jc29sYXRlZCBBcHAtU3dpdGNoZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAid29ya3NwYWNlLWlzb2xhdGVkX2FwcC1zd2l0Y2hlckBsZXN0aWJvdXJuZXMiLAogICJ2ZXJzaW9uIjogMQp9"}}}
, {"uuid": "kubectl@infinicode.de", "name": "Kubectl Extension", "pname": "kubectl-extension", "description": "Quick panel access to kubernetes resources utilizing kubectl CLI", "link": "https://extensions.gnome.org/extension/4147/kubectl-extension/", "shell_version_map": {"38": {"version": "4", "sha256": "1lcmkyns6s4nv9ag9h80vs4cxrjxw907b3bxzqvs7ig9s1h41rqm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrIHBhbmVsIGFjY2VzcyB0byBrdWJlcm5ldGVzIHJlc291cmNlcyB1dGlsaXppbmcga3ViZWN0bCBDTEkiLAogICJsb2NhbGVkaXIiOiAiL3Vzci9sb2NhbC9zaGFyZS9sb2NhbGUiLAogICJuYW1lIjogIkt1YmVjdGwgRXh0ZW5zaW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NpbmF0aWMva3ViZWN0bC1leHRlbnNpb24iLAogICJ1dWlkIjogImt1YmVjdGxAaW5maW5pY29kZS5kZSIsCiAgInZlcnNpb24iOiA0Cn0="}, "40": {"version": "4", "sha256": "1lcmkyns6s4nv9ag9h80vs4cxrjxw907b3bxzqvs7ig9s1h41rqm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrIHBhbmVsIGFjY2VzcyB0byBrdWJlcm5ldGVzIHJlc291cmNlcyB1dGlsaXppbmcga3ViZWN0bCBDTEkiLAogICJsb2NhbGVkaXIiOiAiL3Vzci9sb2NhbC9zaGFyZS9sb2NhbGUiLAogICJuYW1lIjogIkt1YmVjdGwgRXh0ZW5zaW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NpbmF0aWMva3ViZWN0bC1leHRlbnNpb24iLAogICJ1dWlkIjogImt1YmVjdGxAaW5maW5pY29kZS5kZSIsCiAgInZlcnNpb24iOiA0Cn0="}}}
-, {"uuid": "alwaysshowworkspacethumbnails@alynx.one", "name": "Always Show Workspace Thumbnails", "pname": "always-show-workspace-thumbnails", "description": "Always show workspace thumbnails even there is only one workspace.", "link": "https://extensions.gnome.org/extension/4156/always-show-workspace-thumbnails/", "shell_version_map": {"40": {"version": "1", "sha256": "0gl29n7hdhw01m4wcyrsc3xnzahn84b1saw2qjl7cg09x8qz1rfi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsd2F5cyBzaG93IHdvcmtzcGFjZSB0aHVtYm5haWxzIGV2ZW4gdGhlcmUgaXMgb25seSBvbmUgd29ya3NwYWNlLiIsCiAgIm5hbWUiOiAiQWx3YXlzIFNob3cgV29ya3NwYWNlIFRodW1ibmFpbHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQWx5bnhaaG91L2dub21lLXNoZWxsLWV4dGVuc2lvbi1hbHdheXMtc2hvdy13b3Jrc3BhY2UtdGh1bWJuYWlscy8iLAogICJ1dWlkIjogImFsd2F5c3Nob3d3b3Jrc3BhY2V0aHVtYm5haWxzQGFseW54Lm9uZSIsCiAgInZlcnNpb24iOiAxCn0="}}}
-, {"uuid": "gnome-ui-tune@itstime.tech", "name": "Gnome 40 UI Improvements", "pname": "gnome-40-ui-improvements", "description": "Tunes gnome 40's Overview UI to make it more usable.\n\nChanges:\n- Search textbox is hidden by default and shown only when user begins to type-to-search\n- Scale of workspaces' thumbnails increased 2x\n- Restores wallpaper on workspaces' thumbnails. No more gray background.\n- Firefox's PIP (picture in picture) window is now displayed on the overview screen\n\nAll modifications can be disabled in the extension's settings.", "link": "https://extensions.gnome.org/extension/4158/gnome-40-ui-improvements/", "shell_version_map": {"40": {"version": "5", "sha256": "0kmzmd74p1m9jbrdhbr0f8fv85b9f23mbnr5ca6xv9lx88ls279c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlR1bmVzIGdub21lIDQwJ3MgT3ZlcnZpZXcgVUkgdG8gbWFrZSBpdCBtb3JlIHVzYWJsZS5cblxuQ2hhbmdlczpcbi0gU2VhcmNoIHRleHRib3ggaXMgaGlkZGVuIGJ5IGRlZmF1bHQgYW5kIHNob3duIG9ubHkgd2hlbiB1c2VyIGJlZ2lucyB0byB0eXBlLXRvLXNlYXJjaFxuLSBTY2FsZSBvZiB3b3Jrc3BhY2VzJyB0aHVtYm5haWxzIGluY3JlYXNlZCAyeFxuLSBSZXN0b3JlcyB3YWxscGFwZXIgb24gd29ya3NwYWNlcycgdGh1bWJuYWlscy4gTm8gbW9yZSBncmF5IGJhY2tncm91bmQuXG4tIEZpcmVmb3gncyBQSVAgKHBpY3R1cmUgaW4gcGljdHVyZSkgd2luZG93IGlzIG5vdyBkaXNwbGF5ZWQgb24gdGhlIG92ZXJ2aWV3IHNjcmVlblxuXG5BbGwgbW9kaWZpY2F0aW9ucyBjYW4gYmUgZGlzYWJsZWQgaW4gdGhlIGV4dGVuc2lvbidzIHNldHRpbmdzLiIsCiAgIm5hbWUiOiAiR25vbWUgNDAgVUkgSW1wcm92ZW1lbnRzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmdub21lLXVpLXR1bmUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYXh4YXB5L2dub21lLXVpLXR1bmUiLAogICJ1dWlkIjogImdub21lLXVpLXR1bmVAaXRzdGltZS50ZWNoIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
+, {"uuid": "alwaysshowworkspacethumbnails@alynx.one", "name": "Always Show Workspace Thumbnails", "pname": "always-show-workspace-thumbnails", "description": "Always show workspace thumbnails even there is only one workspace.", "link": "https://extensions.gnome.org/extension/4156/always-show-workspace-thumbnails/", "shell_version_map": {"40": {"version": "2", "sha256": "1rnbvdq0p2kl17qz5j3k18i9s7mbi1n1k6hwbiwh2jr42xh3kd0l", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsd2F5cyBzaG93IHdvcmtzcGFjZSB0aHVtYm5haWxzIGV2ZW4gdGhlcmUgaXMgb25seSBvbmUgd29ya3NwYWNlLiIsCiAgIm5hbWUiOiAiQWx3YXlzIFNob3cgV29ya3NwYWNlIFRodW1ibmFpbHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQWx5bnhaaG91L2dub21lLXNoZWxsLWV4dGVuc2lvbi1hbHdheXMtc2hvdy13b3Jrc3BhY2UtdGh1bWJuYWlscy8iLAogICJ1dWlkIjogImFsd2F5c3Nob3d3b3Jrc3BhY2V0aHVtYm5haWxzQGFseW54Lm9uZSIsCiAgInZlcnNpb24iOiAyCn0="}}}
+, {"uuid": "gnome-ui-tune@itstime.tech", "name": "Gnome 4x UI Improvements", "pname": "gnome-40-ui-improvements", "description": "Tunes gnome 40/41's Overview UI to make it more usable.\n\nChanges:\n- Search textbox is hidden by default and shown only when user begins to type-to-search\n- Scale of workspaces' thumbnails increased 2x\n- Restores wallpaper on workspaces' thumbnails. No more gray background\n- Show workspaces' thumbnails even when there is only one workspace\n- Firefox's PIP (picture in picture) window is now displayed on the overview screen\n\nAll modifications can be disabled in the extension's settings.\n\nATTENTION!\nAfter extension update, gnome-shell restart is required:\nX11: Alt+F2 => r\nWayland: logout => login", "link": "https://extensions.gnome.org/extension/4158/gnome-40-ui-improvements/", "shell_version_map": {"40": {"version": "7", "sha256": "1da7vqkjjqix29x7j3zv6plkzs45jcfz89i5d9pfk1jsmfcph2k4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlR1bmVzIGdub21lIDQwLzQxJ3MgT3ZlcnZpZXcgVUkgdG8gbWFrZSBpdCBtb3JlIHVzYWJsZS5cblxuQ2hhbmdlczpcbi0gU2VhcmNoIHRleHRib3ggaXMgaGlkZGVuIGJ5IGRlZmF1bHQgYW5kIHNob3duIG9ubHkgd2hlbiB1c2VyIGJlZ2lucyB0byB0eXBlLXRvLXNlYXJjaFxuLSBTY2FsZSBvZiB3b3Jrc3BhY2VzJyB0aHVtYm5haWxzIGluY3JlYXNlZCAyeFxuLSBSZXN0b3JlcyB3YWxscGFwZXIgb24gd29ya3NwYWNlcycgdGh1bWJuYWlscy4gTm8gbW9yZSBncmF5IGJhY2tncm91bmRcbi0gU2hvdyB3b3Jrc3BhY2VzJyB0aHVtYm5haWxzIGV2ZW4gd2hlbiB0aGVyZSBpcyBvbmx5IG9uZSB3b3Jrc3BhY2Vcbi0gRmlyZWZveCdzIFBJUCAocGljdHVyZSBpbiBwaWN0dXJlKSB3aW5kb3cgaXMgbm93IGRpc3BsYXllZCBvbiB0aGUgb3ZlcnZpZXcgc2NyZWVuXG5cbkFsbCBtb2RpZmljYXRpb25zIGNhbiBiZSBkaXNhYmxlZCBpbiB0aGUgZXh0ZW5zaW9uJ3Mgc2V0dGluZ3MuXG5cbkFUVEVOVElPTiFcbkFmdGVyIGV4dGVuc2lvbiB1cGRhdGUsIGdub21lLXNoZWxsIHJlc3RhcnQgaXMgcmVxdWlyZWQ6XG5YMTE6IEFsdCtGMiA9PiByXG5XYXlsYW5kOiBsb2dvdXQgPT4gbG9naW4iLAogICJuYW1lIjogIkdub21lIDR4IFVJIEltcHJvdmVtZW50cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5nbm9tZS11aS10dW5lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9heHhhcHkvZ25vbWUtdWktdHVuZSIsCiAgInV1aWQiOiAiZ25vbWUtdWktdHVuZUBpdHN0aW1lLnRlY2giLAogICJ2ZXJzaW9uIjogNwp9"}}}
, {"uuid": "monitor-window-switcher@thefungusrocket.com", "name": "Monitor window switcher", "pname": "monitor-window-switcher", "description": "Improves the window switcher on dual (or more) monitor setups", "link": "https://extensions.gnome.org/extension/4164/monitor-window-switcher/", "shell_version_map": {"38": {"version": "3", "sha256": "0dj87kqxh5dg97i0185dkzh9sp97bwazzf3crx4fk4ajs7q6pv34", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkltcHJvdmVzIHRoZSB3aW5kb3cgc3dpdGNoZXIgb24gZHVhbCAob3IgbW9yZSkgbW9uaXRvciBzZXR1cHMiLAogICJuYW1lIjogIk1vbml0b3Igd2luZG93IHN3aXRjaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dlZHplcHBlbGluL21vbml0b3Itd2luZG93LXN3aXRjaGVyIiwKICAidXVpZCI6ICJtb25pdG9yLXdpbmRvdy1zd2l0Y2hlckB0aGVmdW5ndXNyb2NrZXQuY29tIiwKICAidmVyc2lvbiI6IDMKfQ=="}, "40": {"version": "3", "sha256": "0dj87kqxh5dg97i0185dkzh9sp97bwazzf3crx4fk4ajs7q6pv34", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkltcHJvdmVzIHRoZSB3aW5kb3cgc3dpdGNoZXIgb24gZHVhbCAob3IgbW9yZSkgbW9uaXRvciBzZXR1cHMiLAogICJuYW1lIjogIk1vbml0b3Igd2luZG93IHN3aXRjaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dlZHplcHBlbGluL21vbml0b3Itd2luZG93LXN3aXRjaGVyIiwKICAidXVpZCI6ICJtb25pdG9yLXdpbmRvdy1zd2l0Y2hlckB0aGVmdW5ndXNyb2NrZXQuY29tIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
-, {"uuid": "custom-hot-corners-extended@G-dH.github.com", "name": "Custom Hot Corners - Extended", "pname": "custom-hot-corners-extended", "description": "Navigate and control Gnome Shell environment by a mouse through the corners and edges of your monitors.\nCustom Hot Corners - Extended can use mouse buttons and scroll wheel as well as hot corners and offers lots of actions including activities overview, app grid, show and hide desktop, commands execution (with app chooser), customizable workspace and window switchers, window control (incl. close, maximize, fullscreen, always on top, ...), window and global color effects (red and green tint, brightness, contrast, inversion, transparency, Night Light), dark / light theme switcher, volume control, universal access functions (incl. zoom and on-screen keyboard), system control (lock screen, shutdown, suspend, logout, switch user), toggle hide main panel, open Looking Glass, ...\n\nRestart your Gnome Shell after each update of the extension to load new code.\nPlease report bugs on GitHub linked below and add output from these two commands:\njournalctl /usr/bin/gnome-shell --since \"2 days ago\" | grep corners-extended\njournalctl /usr/bin/gjs --since \"2 days ago\" | grep corners-extended", "link": "https://extensions.gnome.org/extension/4167/custom-hot-corners-extended/", "shell_version_map": {"38": {"version": "7", "sha256": "0fhqlwjz7q2ah03m2k9qj2cb1pvxwhba49ghsdim3apd8d9w4n5r", "metadata": "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"}, "40": {"version": "7", "sha256": "0fhqlwjz7q2ah03m2k9qj2cb1pvxwhba49ghsdim3apd8d9w4n5r", "metadata": "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"}}}
-, {"uuid": "hass-gshell@geoph9-on-github", "name": "Home Assistant Extension", "pname": "home-assistant-extension", "description": "A simple gnome shell extension for Home Assistant. Check the README on github for additional help!\n\nMain points:\n- You need to provide the url of your hass, a long live access token obtained from your profile page (on your hass web instance) and the entity ids of the entities you want to have as togglable.\n- In order to add some local temperature/humidity sensor, you may also provide a temperature and/or a humidity entity id (which should match the corresponding ids of your hass instance).\n\nNote: I put version 40.0 by mistake. It does not make any difference whether you choose Gnome 40 or Gnome 40.0.", "link": "https://extensions.gnome.org/extension/4170/home-assistant-extension/", "shell_version_map": {"38": {"version": "3", "sha256": "13zl33w7ax8bd72894dwl8vkr9h0ks0wlcnncbhcrj12zpz3nm3h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc2ltcGxlIGdub21lIHNoZWxsIGV4dGVuc2lvbiBmb3IgSG9tZSBBc3Npc3RhbnQuIENoZWNrIHRoZSBSRUFETUUgb24gZ2l0aHViIGZvciBhZGRpdGlvbmFsIGhlbHAhXG5cbk1haW4gcG9pbnRzOlxuLSBZb3UgbmVlZCB0byBwcm92aWRlIHRoZSB1cmwgb2YgeW91ciBoYXNzLCBhIGxvbmcgbGl2ZSBhY2Nlc3MgdG9rZW4gb2J0YWluZWQgZnJvbSB5b3VyIHByb2ZpbGUgcGFnZSAob24geW91ciBoYXNzIHdlYiBpbnN0YW5jZSkgYW5kIHRoZSBlbnRpdHkgaWRzIG9mIHRoZSBlbnRpdGllcyB5b3Ugd2FudCB0byBoYXZlIGFzIHRvZ2dsYWJsZS5cbi0gSW4gb3JkZXIgdG8gYWRkIHNvbWUgbG9jYWwgdGVtcGVyYXR1cmUvaHVtaWRpdHkgc2Vuc29yLCB5b3UgbWF5IGFsc28gcHJvdmlkZSBhIHRlbXBlcmF0dXJlIGFuZC9vciBhIGh1bWlkaXR5IGVudGl0eSBpZCAod2hpY2ggc2hvdWxkIG1hdGNoIHRoZSBjb3JyZXNwb25kaW5nIGlkcyBvZiB5b3VyIGhhc3MgaW5zdGFuY2UpLlxuXG5Ob3RlOiBJIHB1dCB2ZXJzaW9uIDQwLjAgYnkgbWlzdGFrZS4gSXQgZG9lcyBub3QgbWFrZSBhbnkgZGlmZmVyZW5jZSB3aGV0aGVyIHlvdSBjaG9vc2UgR25vbWUgNDAgb3IgR25vbWUgNDAuMC4iLAogICJuYW1lIjogIkhvbWUgQXNzaXN0YW50IEV4dGVuc2lvbiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5oYXNzLWRhdGEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9nZW9waDkvaGFzcy1nc2hlbGwtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJoYXNzLWdzaGVsbEBnZW9waDktb24tZ2l0aHViIiwKICAidmVyc2lvbiI6IDMKfQ=="}, "40": {"version": "4", "sha256": "0xiw8h1gs078cpz0lxw8xbwldwgbpfsp6x3khgmi71f88jh2razm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc2ltcGxlIGdub21lIHNoZWxsIGV4dGVuc2lvbiBmb3IgSG9tZSBBc3Npc3RhbnQuIENoZWNrIHRoZSBSRUFETUUgb24gZ2l0aHViIGZvciBhZGRpdGlvbmFsIGhlbHAhXG5cbk1haW4gcG9pbnRzOlxuLSBZb3UgbmVlZCB0byBwcm92aWRlIHRoZSB1cmwgb2YgeW91ciBoYXNzLCBhIGxvbmcgbGl2ZSBhY2Nlc3MgdG9rZW4gb2J0YWluZWQgZnJvbSB5b3VyIHByb2ZpbGUgcGFnZSAob24geW91ciBoYXNzIHdlYiBpbnN0YW5jZSkgYW5kIHRoZSBlbnRpdHkgaWRzIG9mIHRoZSBlbnRpdGllcyB5b3Ugd2FudCB0byBoYXZlIGFzIHRvZ2dsYWJsZS5cbi0gSW4gb3JkZXIgdG8gYWRkIHNvbWUgbG9jYWwgdGVtcGVyYXR1cmUvaHVtaWRpdHkgc2Vuc29yLCB5b3UgbWF5IGFsc28gcHJvdmlkZSBhIHRlbXBlcmF0dXJlIGFuZC9vciBhIGh1bWlkaXR5IGVudGl0eSBpZCAod2hpY2ggc2hvdWxkIG1hdGNoIHRoZSBjb3JyZXNwb25kaW5nIGlkcyBvZiB5b3VyIGhhc3MgaW5zdGFuY2UpLlxuXG5Ob3RlOiBJIHB1dCB2ZXJzaW9uIDQwLjAgYnkgbWlzdGFrZS4gSXQgZG9lcyBub3QgbWFrZSBhbnkgZGlmZmVyZW5jZSB3aGV0aGVyIHlvdSBjaG9vc2UgR25vbWUgNDAgb3IgR25vbWUgNDAuMC4iLAogICJuYW1lIjogIkhvbWUgQXNzaXN0YW50IEV4dGVuc2lvbiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5oYXNzLWRhdGEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwLjAiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZ2VvcGg5L2hhc3MtZ3NoZWxsLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiaGFzcy1nc2hlbGxAZ2VvcGg5LW9uLWdpdGh1YiIsCiAgInZlcnNpb24iOiA0Cn0="}}}
+, {"uuid": "custom-hot-corners-extended@G-dH.github.com", "name": "Custom Hot Corners - Extended", "pname": "custom-hot-corners-extended", "description": "Give a function to any corner or edge of your monitors. Mouse pointer pressure, clicks and scrolls over the corners/edges can trigger any of dozens of built-in actions or your own shell commands.\nThis extension is a big collection of actions that lets you navigate and control the Gnome Shell environment and opened windows and launch applications and scripts not only through the corners and edges of your monitors, but also by the custom keyboard shortcuts.\n\nRestart your Gnome Shell after each update of the extension to load new code, and reload this site to get rid of the error message, before you post a bug report.\nPlease report bugs/issues on GitHub linked below and add output from these two commands:\njournalctl /usr/bin/gnome-shell --since \"2 days ago\" | grep corners-extended\njournalctl /usr/bin/gjs --since \"2 days ago\" | grep corners-extended\n\nkeywords: overview, app grid, command, brightness, contrast, transparent, opacity, color effect, invert lightness, color tint, color blind simulation, desaturate, night lights, dark theme, volume, mute, magnifier, zoom, screen keyboard, reader, large text, force close, kill -9, show desktop, reorder workspace, window thumbnail / preview, looking glass, custom menu, window, workspace, switcher, hide panel", "link": "https://extensions.gnome.org/extension/4167/custom-hot-corners-extended/", "shell_version_map": {"38": {"version": "10", "sha256": "0qji91s5d681vvf3iwzf59ckxyzn3yhn1ak0fkys0ivk7cid8lay", "metadata": "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"}, "40": {"version": "10", "sha256": "0qji91s5d681vvf3iwzf59ckxyzn3yhn1ak0fkys0ivk7cid8lay", "metadata": "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"}}}
+, {"uuid": "hass-gshell@geoph9-on-github", "name": "Home Assistant Extension", "pname": "home-assistant-extension", "description": "A simple gnome shell extension for Home Assistant. Check the README on github for additional help!\n\nMain points:\n- You need to provide the url of your hass, a long live access token obtained from your profile page (on your hass web instance) and the entity ids of the entities you want to have as togglable.\n- In order to add some local temperature/humidity sensor, you may also provide a temperature and/or a humidity entity id (which should match the corresponding ids of your hass instance).", "link": "https://extensions.gnome.org/extension/4170/home-assistant-extension/", "shell_version_map": {"38": {"version": "3", "sha256": "04p2hvxyyc1zv441sv0l1dcxbdvzqp46mii3zvw0nhq8jg5pz8rr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc2ltcGxlIGdub21lIHNoZWxsIGV4dGVuc2lvbiBmb3IgSG9tZSBBc3Npc3RhbnQuIENoZWNrIHRoZSBSRUFETUUgb24gZ2l0aHViIGZvciBhZGRpdGlvbmFsIGhlbHAhXG5cbk1haW4gcG9pbnRzOlxuLSBZb3UgbmVlZCB0byBwcm92aWRlIHRoZSB1cmwgb2YgeW91ciBoYXNzLCBhIGxvbmcgbGl2ZSBhY2Nlc3MgdG9rZW4gb2J0YWluZWQgZnJvbSB5b3VyIHByb2ZpbGUgcGFnZSAob24geW91ciBoYXNzIHdlYiBpbnN0YW5jZSkgYW5kIHRoZSBlbnRpdHkgaWRzIG9mIHRoZSBlbnRpdGllcyB5b3Ugd2FudCB0byBoYXZlIGFzIHRvZ2dsYWJsZS5cbi0gSW4gb3JkZXIgdG8gYWRkIHNvbWUgbG9jYWwgdGVtcGVyYXR1cmUvaHVtaWRpdHkgc2Vuc29yLCB5b3UgbWF5IGFsc28gcHJvdmlkZSBhIHRlbXBlcmF0dXJlIGFuZC9vciBhIGh1bWlkaXR5IGVudGl0eSBpZCAod2hpY2ggc2hvdWxkIG1hdGNoIHRoZSBjb3JyZXNwb25kaW5nIGlkcyBvZiB5b3VyIGhhc3MgaW5zdGFuY2UpLiIsCiAgIm5hbWUiOiAiSG9tZSBBc3Npc3RhbnQgRXh0ZW5zaW9uIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmhhc3MtZGF0YSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dlb3BoOS9oYXNzLWdzaGVsbC1leHRlbnNpb24iLAogICJ1dWlkIjogImhhc3MtZ3NoZWxsQGdlb3BoOS1vbi1naXRodWIiLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "5", "sha256": "01y0kdmdg48s26yf8l2kfrfd8zwjg8jj797g196wr5afy9fn55ws", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc2ltcGxlIGdub21lIHNoZWxsIGV4dGVuc2lvbiBmb3IgSG9tZSBBc3Npc3RhbnQuIENoZWNrIHRoZSBSRUFETUUgb24gZ2l0aHViIGZvciBhZGRpdGlvbmFsIGhlbHAhXG5cbk1haW4gcG9pbnRzOlxuLSBZb3UgbmVlZCB0byBwcm92aWRlIHRoZSB1cmwgb2YgeW91ciBoYXNzLCBhIGxvbmcgbGl2ZSBhY2Nlc3MgdG9rZW4gb2J0YWluZWQgZnJvbSB5b3VyIHByb2ZpbGUgcGFnZSAob24geW91ciBoYXNzIHdlYiBpbnN0YW5jZSkgYW5kIHRoZSBlbnRpdHkgaWRzIG9mIHRoZSBlbnRpdGllcyB5b3Ugd2FudCB0byBoYXZlIGFzIHRvZ2dsYWJsZS5cbi0gSW4gb3JkZXIgdG8gYWRkIHNvbWUgbG9jYWwgdGVtcGVyYXR1cmUvaHVtaWRpdHkgc2Vuc29yLCB5b3UgbWF5IGFsc28gcHJvdmlkZSBhIHRlbXBlcmF0dXJlIGFuZC9vciBhIGh1bWlkaXR5IGVudGl0eSBpZCAod2hpY2ggc2hvdWxkIG1hdGNoIHRoZSBjb3JyZXNwb25kaW5nIGlkcyBvZiB5b3VyIGhhc3MgaW5zdGFuY2UpLiIsCiAgIm5hbWUiOiAiSG9tZSBBc3Npc3RhbnQgRXh0ZW5zaW9uIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmhhc3MtZGF0YSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9nZW9waDkvaGFzcy1nc2hlbGwtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJoYXNzLWdzaGVsbEBnZW9waDktb24tZ2l0aHViIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
, {"uuid": "clear-top-bar@superterran.net", "name": "Clear Top Bar", "pname": "clear-top-bar", "description": "Fully transparent topbar, pairs with the zhanghai transparent top bar extension to make bar opaque when window is maximized", "link": "https://extensions.gnome.org/extension/4173/clear-top-bar/", "shell_version_map": {"40": {"version": "4", "sha256": "0nh6df4l5f2wkkcngilrwiwyj97zdkrx7g6as4ppk6aj10ybmxcj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZ1bGx5IHRyYW5zcGFyZW50IHRvcGJhciwgcGFpcnMgd2l0aCB0aGUgemhhbmdoYWkgdHJhbnNwYXJlbnQgdG9wIGJhciBleHRlbnNpb24gdG8gbWFrZSBiYXIgb3BhcXVlIHdoZW4gd2luZG93IGlzIG1heGltaXplZCIsCiAgIm5hbWUiOiAiQ2xlYXIgVG9wIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdXBlcnRlcnJhbi9nbm9tZS1zaGVsbC1leHRlbnNpb24tY2xlYXItdG9wLWJhciIsCiAgInV1aWQiOiAiY2xlYXItdG9wLWJhckBzdXBlcnRlcnJhbi5uZXQiLAogICJ2ZXJzaW9uIjogNAp9"}}}
-, {"uuid": "systemd-manager@hardpixel.eu", "name": "Systemd Manager", "pname": "systemd-manager", "description": "Toggle systemd services on/off from a popup menu in the top gnome panel. Can be used to start services like apache2, mysql, postgres. It uses `pkexec' to run `sytemctl'. If you want to start services without entering a password you have to polkit policy file. An example policy file can be found in the github repository.", "link": "https://extensions.gnome.org/extension/4174/systemd-manager/", "shell_version_map": {"38": {"version": "4", "sha256": "1c7q3iqcdfqralclixj1frzcpvpw66fs6rdyvi8g90l454c4033c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZSBzeXN0ZW1kIHNlcnZpY2VzIG9uL29mZiBmcm9tIGEgcG9wdXAgbWVudSBpbiB0aGUgdG9wIGdub21lIHBhbmVsLiBDYW4gYmUgdXNlZCB0byBzdGFydCBzZXJ2aWNlcyBsaWtlIGFwYWNoZTIsIG15c3FsLCBwb3N0Z3Jlcy4gSXQgdXNlcyBgcGtleGVjJyB0byBydW4gYHN5dGVtY3RsJy4gSWYgeW91IHdhbnQgdG8gc3RhcnQgc2VydmljZXMgd2l0aG91dCBlbnRlcmluZyBhIHBhc3N3b3JkIHlvdSBoYXZlIHRvIHBvbGtpdCBwb2xpY3kgZmlsZS4gQW4gZXhhbXBsZSBwb2xpY3kgZmlsZSBjYW4gYmUgZm91bmQgaW4gdGhlIGdpdGh1YiByZXBvc2l0b3J5LiIsCiAgImdldHRleHQtZG9tYWluIjogInN5c3RlbWQtbWFuYWdlciIsCiAgIm5hbWUiOiAiU3lzdGVtZCBNYW5hZ2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnN5c3RlbWQtbWFuYWdlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9oYXJkcGl4ZWwvc3lzdGVtZC1tYW5hZ2VyIiwKICAidXVpZCI6ICJzeXN0ZW1kLW1hbmFnZXJAaGFyZHBpeGVsLmV1IiwKICAidmVyc2lvbiI6IDQKfQ=="}, "40": {"version": "4", "sha256": "1c7q3iqcdfqralclixj1frzcpvpw66fs6rdyvi8g90l454c4033c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZSBzeXN0ZW1kIHNlcnZpY2VzIG9uL29mZiBmcm9tIGEgcG9wdXAgbWVudSBpbiB0aGUgdG9wIGdub21lIHBhbmVsLiBDYW4gYmUgdXNlZCB0byBzdGFydCBzZXJ2aWNlcyBsaWtlIGFwYWNoZTIsIG15c3FsLCBwb3N0Z3Jlcy4gSXQgdXNlcyBgcGtleGVjJyB0byBydW4gYHN5dGVtY3RsJy4gSWYgeW91IHdhbnQgdG8gc3RhcnQgc2VydmljZXMgd2l0aG91dCBlbnRlcmluZyBhIHBhc3N3b3JkIHlvdSBoYXZlIHRvIHBvbGtpdCBwb2xpY3kgZmlsZS4gQW4gZXhhbXBsZSBwb2xpY3kgZmlsZSBjYW4gYmUgZm91bmQgaW4gdGhlIGdpdGh1YiByZXBvc2l0b3J5LiIsCiAgImdldHRleHQtZG9tYWluIjogInN5c3RlbWQtbWFuYWdlciIsCiAgIm5hbWUiOiAiU3lzdGVtZCBNYW5hZ2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnN5c3RlbWQtbWFuYWdlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9oYXJkcGl4ZWwvc3lzdGVtZC1tYW5hZ2VyIiwKICAidXVpZCI6ICJzeXN0ZW1kLW1hbmFnZXJAaGFyZHBpeGVsLmV1IiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
-, {"uuid": "auto-activities@acedron.github.io", "name": "Auto Activities", "pname": "auto-activities", "description": "Show activities overview when there are no windows.", "link": "https://extensions.gnome.org/extension/4179/auto-activities/", "shell_version_map": {"40": {"version": "10", "sha256": "0qd4ngwx3w6l65rm5zz8zwlcippfy3f1crf36wbds6dc57sn3nj8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgYWN0aXZpdGllcyBvdmVydmlldyB3aGVuIHRoZXJlIGFyZSBubyB3aW5kb3dzLiIsCiAgImdldHRleHQtZG9tYWluIjogImF1dG8tYWN0aXZpdGllcyIsCiAgIm5hbWUiOiAiQXV0byBBY3Rpdml0aWVzIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImFjZWRyb25zQHlhaG9vLmNvLmpwIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FjZWRyb24vYXV0by1hY3Rpdml0aWVzIiwKICAidXVpZCI6ICJhdXRvLWFjdGl2aXRpZXNAYWNlZHJvbi5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMTAKfQ=="}}}
+, {"uuid": "systemd-manager@hardpixel.eu", "name": "Systemd Manager", "pname": "systemd-manager", "description": "Toggle systemd services on/off from a popup menu in the top gnome panel. Can be used to start services like apache2, mysql, postgres. It uses `pkexec' to run `sytemctl'. If you want to start services without entering a password you have to polkit policy file. An example policy file can be found in the github repository.", "link": "https://extensions.gnome.org/extension/4174/systemd-manager/", "shell_version_map": {"38": {"version": "5", "sha256": "1df0xz4m2ysqk3bk7kqjjh04qwsffwc04jgihmid4whd3n2kglk5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZSBzeXN0ZW1kIHNlcnZpY2VzIG9uL29mZiBmcm9tIGEgcG9wdXAgbWVudSBpbiB0aGUgdG9wIGdub21lIHBhbmVsLiBDYW4gYmUgdXNlZCB0byBzdGFydCBzZXJ2aWNlcyBsaWtlIGFwYWNoZTIsIG15c3FsLCBwb3N0Z3Jlcy4gSXQgdXNlcyBgcGtleGVjJyB0byBydW4gYHN5dGVtY3RsJy4gSWYgeW91IHdhbnQgdG8gc3RhcnQgc2VydmljZXMgd2l0aG91dCBlbnRlcmluZyBhIHBhc3N3b3JkIHlvdSBoYXZlIHRvIHBvbGtpdCBwb2xpY3kgZmlsZS4gQW4gZXhhbXBsZSBwb2xpY3kgZmlsZSBjYW4gYmUgZm91bmQgaW4gdGhlIGdpdGh1YiByZXBvc2l0b3J5LiIsCiAgImdldHRleHQtZG9tYWluIjogInN5c3RlbWQtbWFuYWdlciIsCiAgIm5hbWUiOiAiU3lzdGVtZCBNYW5hZ2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnN5c3RlbWQtbWFuYWdlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaGFyZHBpeGVsL3N5c3RlbWQtbWFuYWdlciIsCiAgInV1aWQiOiAic3lzdGVtZC1tYW5hZ2VyQGhhcmRwaXhlbC5ldSIsCiAgInZlcnNpb24iOiA1Cn0="}, "40": {"version": "5", "sha256": "1df0xz4m2ysqk3bk7kqjjh04qwsffwc04jgihmid4whd3n2kglk5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZSBzeXN0ZW1kIHNlcnZpY2VzIG9uL29mZiBmcm9tIGEgcG9wdXAgbWVudSBpbiB0aGUgdG9wIGdub21lIHBhbmVsLiBDYW4gYmUgdXNlZCB0byBzdGFydCBzZXJ2aWNlcyBsaWtlIGFwYWNoZTIsIG15c3FsLCBwb3N0Z3Jlcy4gSXQgdXNlcyBgcGtleGVjJyB0byBydW4gYHN5dGVtY3RsJy4gSWYgeW91IHdhbnQgdG8gc3RhcnQgc2VydmljZXMgd2l0aG91dCBlbnRlcmluZyBhIHBhc3N3b3JkIHlvdSBoYXZlIHRvIHBvbGtpdCBwb2xpY3kgZmlsZS4gQW4gZXhhbXBsZSBwb2xpY3kgZmlsZSBjYW4gYmUgZm91bmQgaW4gdGhlIGdpdGh1YiByZXBvc2l0b3J5LiIsCiAgImdldHRleHQtZG9tYWluIjogInN5c3RlbWQtbWFuYWdlciIsCiAgIm5hbWUiOiAiU3lzdGVtZCBNYW5hZ2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnN5c3RlbWQtbWFuYWdlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaGFyZHBpeGVsL3N5c3RlbWQtbWFuYWdlciIsCiAgInV1aWQiOiAic3lzdGVtZC1tYW5hZ2VyQGhhcmRwaXhlbC5ldSIsCiAgInZlcnNpb24iOiA1Cn0="}}}
+, {"uuid": "auto-activities@acedron.github.io", "name": "Auto Activities", "pname": "auto-activities", "description": "Show activities overview when there are no windows, or hide it when there are new windows.", "link": "https://extensions.gnome.org/extension/4179/auto-activities/", "shell_version_map": {"40": {"version": "11", "sha256": "1kfwnmqax6dd1mglxw6cdcz7vbzgskhp2js8pyqziaxd4yyxqa38", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgYWN0aXZpdGllcyBvdmVydmlldyB3aGVuIHRoZXJlIGFyZSBubyB3aW5kb3dzLCBvciBoaWRlIGl0IHdoZW4gdGhlcmUgYXJlIG5ldyB3aW5kb3dzLiIsCiAgImdldHRleHQtZG9tYWluIjogImF1dG8tYWN0aXZpdGllcyIsCiAgIm5hbWUiOiAiQXV0byBBY3Rpdml0aWVzIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImFjZWRyb25zQHlhaG9vLmNvLmpwIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FjZWRyb24vYXV0by1hY3Rpdml0aWVzIiwKICAidXVpZCI6ICJhdXRvLWFjdGl2aXRpZXNAYWNlZHJvbi5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMTEKfQ=="}}}
, {"uuid": "clippie@blackjackshellac.ca", "name": "clippie [replaced by eclipse]", "pname": "clippie", "description": "clippie has been rereleased as 'eclipse'\n\nClipboard interface to gpaste-client output\n\nhttps://extensions.gnome.org/extension/4246/eclipse/\n\nClippie now uses dbus to communicate with the gpaste daemon\nAdded encryption of clipboard items with eclipse feature", "link": "https://extensions.gnome.org/extension/4182/clippie/", "shell_version_map": {"38": {"version": "6", "sha256": "05kd2pq605k21yq6wcaqcm2lrpnlc6mbiq1wxgmwdnpvi7ib2h8k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImNsaXBwaWUgaGFzIGJlZW4gcmVyZWxlYXNlZCBhcyAnZWNsaXBzZSdcblxuQ2xpcGJvYXJkIGludGVyZmFjZSB0byBncGFzdGUtY2xpZW50IG91dHB1dFxuXG5odHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi80MjQ2L2VjbGlwc2UvXG5cbkNsaXBwaWUgbm93IHVzZXMgZGJ1cyB0byBjb21tdW5pY2F0ZSB3aXRoIHRoZSBncGFzdGUgZGFlbW9uXG5BZGRlZCBlbmNyeXB0aW9uIG9mIGNsaXBib2FyZCBpdGVtcyB3aXRoIGVjbGlwc2UgZmVhdHVyZSIsCiAgImdldHRleHQtZG9tYWluIjogImNsaXBwaWUtYmxhY2tqYWNrc2hlbGxhYyIsCiAgIm5hbWUiOiAiY2xpcHBpZSBbcmVwbGFjZWQgYnkgZWNsaXBzZV0iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuY2xpcHBpZS1ibGFja2phY2tzaGVsbGFjIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JsYWNramFja3NoZWxsYWMvZWNsaXBzZSIsCiAgInV1aWQiOiAiY2xpcHBpZUBibGFja2phY2tzaGVsbGFjLmNhIiwKICAidmVyc2lvbiI6IDYKfQ=="}, "40": {"version": "6", "sha256": "05kd2pq605k21yq6wcaqcm2lrpnlc6mbiq1wxgmwdnpvi7ib2h8k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImNsaXBwaWUgaGFzIGJlZW4gcmVyZWxlYXNlZCBhcyAnZWNsaXBzZSdcblxuQ2xpcGJvYXJkIGludGVyZmFjZSB0byBncGFzdGUtY2xpZW50IG91dHB1dFxuXG5odHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi80MjQ2L2VjbGlwc2UvXG5cbkNsaXBwaWUgbm93IHVzZXMgZGJ1cyB0byBjb21tdW5pY2F0ZSB3aXRoIHRoZSBncGFzdGUgZGFlbW9uXG5BZGRlZCBlbmNyeXB0aW9uIG9mIGNsaXBib2FyZCBpdGVtcyB3aXRoIGVjbGlwc2UgZmVhdHVyZSIsCiAgImdldHRleHQtZG9tYWluIjogImNsaXBwaWUtYmxhY2tqYWNrc2hlbGxhYyIsCiAgIm5hbWUiOiAiY2xpcHBpZSBbcmVwbGFjZWQgYnkgZWNsaXBzZV0iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuY2xpcHBpZS1ibGFja2phY2tzaGVsbGFjIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JsYWNramFja3NoZWxsYWMvZWNsaXBzZSIsCiAgInV1aWQiOiAiY2xpcHBpZUBibGFja2phY2tzaGVsbGFjLmNhIiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
-, {"uuid": "quick-app-launcher@leleat-on-github", "name": "Quick App Launcher", "pname": "quick-app-launcher", "description": "Launch apps with keybindings. If the to-be-launched app already has a window open, focus that window instead. If the focused window is an instance of the app, open a new window.", "link": "https://extensions.gnome.org/extension/4188/quick-app-launcher/", "shell_version_map": {"38": {"version": "2", "sha256": "1avc0362pl6xardjwj9x6xx4srk0yivymmmhxi3kfbjwgkk0pls1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxhdW5jaCBhcHBzIHdpdGgga2V5YmluZGluZ3MuIElmIHRoZSB0by1iZS1sYXVuY2hlZCBhcHAgYWxyZWFkeSBoYXMgYSB3aW5kb3cgb3BlbiwgZm9jdXMgdGhhdCB3aW5kb3cgaW5zdGVhZC4gSWYgdGhlIGZvY3VzZWQgd2luZG93IGlzIGFuIGluc3RhbmNlIG9mIHRoZSBhcHAsIG9wZW4gYSBuZXcgd2luZG93LiIsCiAgIm5hbWUiOiAiUXVpY2sgQXBwIExhdW5jaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0xlbGVhdC9RdWljay1BcHAtTGF1bmNoZXIiLAogICJ1dWlkIjogInF1aWNrLWFwcC1sYXVuY2hlckBsZWxlYXQtb24tZ2l0aHViIiwKICAidmVyc2lvbiI6IDIKfQ=="}, "40": {"version": "2", "sha256": "1avc0362pl6xardjwj9x6xx4srk0yivymmmhxi3kfbjwgkk0pls1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxhdW5jaCBhcHBzIHdpdGgga2V5YmluZGluZ3MuIElmIHRoZSB0by1iZS1sYXVuY2hlZCBhcHAgYWxyZWFkeSBoYXMgYSB3aW5kb3cgb3BlbiwgZm9jdXMgdGhhdCB3aW5kb3cgaW5zdGVhZC4gSWYgdGhlIGZvY3VzZWQgd2luZG93IGlzIGFuIGluc3RhbmNlIG9mIHRoZSBhcHAsIG9wZW4gYSBuZXcgd2luZG93LiIsCiAgIm5hbWUiOiAiUXVpY2sgQXBwIExhdW5jaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0xlbGVhdC9RdWljay1BcHAtTGF1bmNoZXIiLAogICJ1dWlkIjogInF1aWNrLWFwcC1sYXVuY2hlckBsZWxlYXQtb24tZ2l0aHViIiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
, {"uuid": "screen-autorotate@kosmospredanie.yandex.ru", "name": "Screen Autorotate", "pname": "screen-autorotate", "description": "Enable screen rotation regardless of touch mode", "link": "https://extensions.gnome.org/extension/4191/screen-autorotate/", "shell_version_map": {"40": {"version": "2", "sha256": "0s8jb0d644kprcd2adidgjsbhm6fqwm896bh4p05yyd9zwkm1bq2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZSBzY3JlZW4gcm90YXRpb24gcmVnYXJkbGVzcyBvZiB0b3VjaCBtb2RlIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXNjcmVlbi1hdXRvcm90YXRlIiwKICAibmFtZSI6ICJTY3JlZW4gQXV0b3JvdGF0ZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zY3JlZW4tYXV0b3JvdGF0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9rb3Ntb3NwcmVkYW5pZS9nbm9tZS1zaGVsbC1leHRlbnNpb24tc2NyZWVuLWF1dG9yb3RhdGUiLAogICJ1dWlkIjogInNjcmVlbi1hdXRvcm90YXRlQGtvc21vc3ByZWRhbmllLnlhbmRleC5ydSIsCiAgInZlcnNpb24iOiAyCn0="}}}
, {"uuid": "Low_Latency_Loopback@jacebennest87.gmail.com", "name": "Low Latency Loopback", "pname": "low-latency-loopback", "description": "Enables a low latency PulseAudio loopback device", "link": "https://extensions.gnome.org/extension/4194/low-latency-loopback/", "shell_version_map": {"38": {"version": "2", "sha256": "0dqjy59c8dgn81x84hifnzldb54n1l31qrbg2m08i84zxrc40x4n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZXMgYSBsb3cgbGF0ZW5jeSBQdWxzZUF1ZGlvIGxvb3BiYWNrIGRldmljZSIsCiAgIm5hbWUiOiAiTG93IExhdGVuY3kgTG9vcGJhY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS90aGVqYWNlcjg3L2xvdy1sYXRlbmN5LWxvb3BiYWNrIiwKICAidXVpZCI6ICJMb3dfTGF0ZW5jeV9Mb29wYmFja0BqYWNlYmVubmVzdDg3LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAyCn0="}}}
-, {"uuid": "dash-to-plank@hardpixel.eu", "name": "Dash to Plank", "pname": "dash-to-plank", "description": "GNOME Shell integration for Plank, the simplest dock on the planet. To use this extension, you must have Plank installed on your system.\n\nThis extension depends on Plank. To install it:\n- Debian/Ubuntu: apt install plank\n- Fedora/RHEL: dnf install plank\n- Arch: pacman -S plank\n\n** This extension does NOT WORK on WAYLAND.", "link": "https://extensions.gnome.org/extension/4198/dash-to-plank/", "shell_version_map": {"38": {"version": "9", "sha256": "0hkhqkab8xj84sq6c4i03z51z6g78799lwl6fp8ldyh5fbfif9x4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdOT01FIFNoZWxsIGludGVncmF0aW9uIGZvciBQbGFuaywgdGhlIHNpbXBsZXN0IGRvY2sgb24gdGhlIHBsYW5ldC4gVG8gdXNlIHRoaXMgZXh0ZW5zaW9uLCB5b3UgbXVzdCBoYXZlIFBsYW5rIGluc3RhbGxlZCBvbiB5b3VyIHN5c3RlbS5cblxuVGhpcyBleHRlbnNpb24gZGVwZW5kcyBvbiBQbGFuay4gVG8gaW5zdGFsbCBpdDpcbi0gRGViaWFuL1VidW50dTogYXB0IGluc3RhbGwgcGxhbmtcbi0gRmVkb3JhL1JIRUw6IGRuZiBpbnN0YWxsIHBsYW5rXG4tIEFyY2g6IHBhY21hbiAtUyBwbGFua1xuXG4qKiBUaGlzIGV4dGVuc2lvbiBkb2VzIE5PVCBXT1JLIG9uIFdBWUxBTkQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGFzaC10by1wbGFuayIsCiAgIm5hbWUiOiAiRGFzaCB0byBQbGFuayIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5kYXNoLXRvLXBsYW5rIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hhcmRwaXhlbC9kYXNoLXRvLXBsYW5rIiwKICAidXVpZCI6ICJkYXNoLXRvLXBsYW5rQGhhcmRwaXhlbC5ldSIsCiAgInZlcnNpb24iOiA5Cn0="}, "40": {"version": "9", "sha256": "0hkhqkab8xj84sq6c4i03z51z6g78799lwl6fp8ldyh5fbfif9x4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdOT01FIFNoZWxsIGludGVncmF0aW9uIGZvciBQbGFuaywgdGhlIHNpbXBsZXN0IGRvY2sgb24gdGhlIHBsYW5ldC4gVG8gdXNlIHRoaXMgZXh0ZW5zaW9uLCB5b3UgbXVzdCBoYXZlIFBsYW5rIGluc3RhbGxlZCBvbiB5b3VyIHN5c3RlbS5cblxuVGhpcyBleHRlbnNpb24gZGVwZW5kcyBvbiBQbGFuay4gVG8gaW5zdGFsbCBpdDpcbi0gRGViaWFuL1VidW50dTogYXB0IGluc3RhbGwgcGxhbmtcbi0gRmVkb3JhL1JIRUw6IGRuZiBpbnN0YWxsIHBsYW5rXG4tIEFyY2g6IHBhY21hbiAtUyBwbGFua1xuXG4qKiBUaGlzIGV4dGVuc2lvbiBkb2VzIE5PVCBXT1JLIG9uIFdBWUxBTkQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGFzaC10by1wbGFuayIsCiAgIm5hbWUiOiAiRGFzaCB0byBQbGFuayIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5kYXNoLXRvLXBsYW5rIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hhcmRwaXhlbC9kYXNoLXRvLXBsYW5rIiwKICAidXVpZCI6ICJkYXNoLXRvLXBsYW5rQGhhcmRwaXhlbC5ldSIsCiAgInZlcnNpb24iOiA5Cn0="}}}
+, {"uuid": "dash-to-plank@hardpixel.eu", "name": "Dash to Plank", "pname": "dash-to-plank", "description": "GNOME Shell integration for Plank, the simplest dock on the planet. To use this extension, you must have Plank installed on your system.\n\nThis extension depends on Plank. To install it:\n- Debian/Ubuntu: apt install plank\n- Fedora/RHEL: dnf install plank\n- Arch: pacman -S plank\n\n** This extension does NOT WORK on WAYLAND.", "link": "https://extensions.gnome.org/extension/4198/dash-to-plank/", "shell_version_map": {"38": {"version": "12", "sha256": "14pjbqjgg9aksjgk6mm1hkxn08qpzxiimy5qsfcrkjp31qjldan3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdOT01FIFNoZWxsIGludGVncmF0aW9uIGZvciBQbGFuaywgdGhlIHNpbXBsZXN0IGRvY2sgb24gdGhlIHBsYW5ldC4gVG8gdXNlIHRoaXMgZXh0ZW5zaW9uLCB5b3UgbXVzdCBoYXZlIFBsYW5rIGluc3RhbGxlZCBvbiB5b3VyIHN5c3RlbS5cblxuVGhpcyBleHRlbnNpb24gZGVwZW5kcyBvbiBQbGFuay4gVG8gaW5zdGFsbCBpdDpcbi0gRGViaWFuL1VidW50dTogYXB0IGluc3RhbGwgcGxhbmtcbi0gRmVkb3JhL1JIRUw6IGRuZiBpbnN0YWxsIHBsYW5rXG4tIEFyY2g6IHBhY21hbiAtUyBwbGFua1xuXG4qKiBUaGlzIGV4dGVuc2lvbiBkb2VzIE5PVCBXT1JLIG9uIFdBWUxBTkQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGFzaC10by1wbGFuayIsCiAgIm5hbWUiOiAiRGFzaCB0byBQbGFuayIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5kYXNoLXRvLXBsYW5rIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9oYXJkcGl4ZWwvZGFzaC10by1wbGFuayIsCiAgInV1aWQiOiAiZGFzaC10by1wbGFua0BoYXJkcGl4ZWwuZXUiLAogICJ2ZXJzaW9uIjogMTIKfQ=="}, "40": {"version": "12", "sha256": "14pjbqjgg9aksjgk6mm1hkxn08qpzxiimy5qsfcrkjp31qjldan3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdOT01FIFNoZWxsIGludGVncmF0aW9uIGZvciBQbGFuaywgdGhlIHNpbXBsZXN0IGRvY2sgb24gdGhlIHBsYW5ldC4gVG8gdXNlIHRoaXMgZXh0ZW5zaW9uLCB5b3UgbXVzdCBoYXZlIFBsYW5rIGluc3RhbGxlZCBvbiB5b3VyIHN5c3RlbS5cblxuVGhpcyBleHRlbnNpb24gZGVwZW5kcyBvbiBQbGFuay4gVG8gaW5zdGFsbCBpdDpcbi0gRGViaWFuL1VidW50dTogYXB0IGluc3RhbGwgcGxhbmtcbi0gRmVkb3JhL1JIRUw6IGRuZiBpbnN0YWxsIHBsYW5rXG4tIEFyY2g6IHBhY21hbiAtUyBwbGFua1xuXG4qKiBUaGlzIGV4dGVuc2lvbiBkb2VzIE5PVCBXT1JLIG9uIFdBWUxBTkQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGFzaC10by1wbGFuayIsCiAgIm5hbWUiOiAiRGFzaCB0byBQbGFuayIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5kYXNoLXRvLXBsYW5rIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9oYXJkcGl4ZWwvZGFzaC10by1wbGFuayIsCiAgInV1aWQiOiAiZGFzaC10by1wbGFua0BoYXJkcGl4ZWwuZXUiLAogICJ2ZXJzaW9uIjogMTIKfQ=="}}}
, {"uuid": "rrc@ogarcia.me", "name": "Remove Rounded Corners", "pname": "remove-rounded-corners", "description": "Removes rounded corners from main panel or top bar", "link": "https://extensions.gnome.org/extension/4201/remove-rounded-corners/", "shell_version_map": {"40": {"version": "2", "sha256": "1432bvjcknhw57j6ngpppclvkdnpy9dcqv0na4vqcvl2l035bbxv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZXMgcm91bmRlZCBjb3JuZXJzIGZyb20gbWFpbiBwYW5lbCBvciB0b3AgYmFyIiwKICAibmFtZSI6ICJSZW1vdmUgUm91bmRlZCBDb3JuZXJzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL29nYXJjaWEvcmVtb3ZlLXJvdW5kZWQtY29ybmVycyIsCiAgInV1aWQiOiAicnJjQG9nYXJjaWEubWUiLAogICJ2ZXJzaW9uIjogMgp9"}}}
, {"uuid": "private-switcher@dziban.com", "name": "Private Switcher", "pname": "private-switcher", "description": "Simple extension that allows you do have independent app switcher (alt-tab) for each workspace.", "link": "https://extensions.gnome.org/extension/4204/private-switcher/", "shell_version_map": {"38": {"version": "1", "sha256": "1awjnic8zca2f6viah2l4ai0pyfdyisxna9ys1zzpya11rwj6jk7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBleHRlbnNpb24gdGhhdCBhbGxvd3MgeW91IGRvIGhhdmUgaW5kZXBlbmRlbnQgYXBwIHN3aXRjaGVyIChhbHQtdGFiKSBmb3IgZWFjaCB3b3Jrc3BhY2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAicHJpdmF0ZS1zd2l0Y2hlciIsCiAgIm5hbWUiOiAiUHJpdmF0ZSBTd2l0Y2hlciIsCiAgInNjaGVtYS1pZCI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5wcml2YXRlLXN3aXRjaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC5iZXRhIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tIiwKICAidXVpZCI6ICJwcml2YXRlLXN3aXRjaGVyQGR6aWJhbi5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}, "40": {"version": "1", "sha256": "1awjnic8zca2f6viah2l4ai0pyfdyisxna9ys1zzpya11rwj6jk7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBleHRlbnNpb24gdGhhdCBhbGxvd3MgeW91IGRvIGhhdmUgaW5kZXBlbmRlbnQgYXBwIHN3aXRjaGVyIChhbHQtdGFiKSBmb3IgZWFjaCB3b3Jrc3BhY2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAicHJpdmF0ZS1zd2l0Y2hlciIsCiAgIm5hbWUiOiAiUHJpdmF0ZSBTd2l0Y2hlciIsCiAgInNjaGVtYS1pZCI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5wcml2YXRlLXN3aXRjaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC5iZXRhIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tIiwKICAidXVpZCI6ICJwcml2YXRlLXN3aXRjaGVyQGR6aWJhbi5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}}}
-, {"uuid": "colosseum@sereneblue", "name": "Colosseum", "pname": "colosseum", "description": "View live scores for your favorite sports teams.", "link": "https://extensions.gnome.org/extension/4207/colosseum/", "shell_version_map": {"40": {"version": "3", "sha256": "16zw6r3qizw0fksq277l0kwwl1gyqkyv40al3wg33xlacbz41r1h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NlcmVuZWJsdWUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWNvbG9zc2V1bSIsCiAgInV1aWQiOiAiY29sb3NzZXVtQHNlcmVuZWJsdWUiLAogICJ2ZXJzaW9uIjogMwp9"}}}
+, {"uuid": "colosseum@sereneblue", "name": "Colosseum", "pname": "colosseum", "description": "View live scores for your favorite sports teams.", "link": "https://extensions.gnome.org/extension/4207/colosseum/", "shell_version_map": {"40": {"version": "6", "sha256": "1gpv6d4jxsx842wjlj7g9fz870jl9fcwp0fhxbrsgp8jhk6jnpcq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZXJlbmVibHVlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1jb2xvc3NldW0iLAogICJ1dWlkIjogImNvbG9zc2V1bUBzZXJlbmVibHVlIiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
, {"uuid": "gnome-plat-workspace@stonegate.me", "name": "Gnome 40 Flat Workspace", "pname": "gnome-40-plat-workspace", "description": "Remove shadow for workspace background in gnome 40.\nSource code https://github.com/stonega/gnome-extension-flat-workspace", "link": "https://extensions.gnome.org/extension/4215/gnome-40-plat-workspace/", "shell_version_map": {"40": {"version": "3", "sha256": "0ly2dab9c0l7w1axnqs7xk2szd5jm2ifgniz2snw5mwkr5pw76nb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSBzaGFkb3cgZm9yIHdvcmtzcGFjZSBiYWNrZ3JvdW5kIGluIGdub21lIDQwLlxuU291cmNlIGNvZGUgaHR0cHM6Ly9naXRodWIuY29tL3N0b25lZ2EvZ25vbWUtZXh0ZW5zaW9uLWZsYXQtd29ya3NwYWNlIiwKICAibmFtZSI6ICJHbm9tZSA0MCBGbGF0IFdvcmtzcGFjZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5nbm9tZS1wbGF0LXdvcmtzcGFjZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAiZ25vbWUtcGxhdC13b3Jrc3BhY2VAc3RvbmVnYXRlLm1lIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
-, {"uuid": "hotedge@jonathan.jdoda.ca", "name": "Hot Edge", "pname": "hot-edge", "description": "Add a hot edge that activates the overview to the bottom of the screen. This minimizes the pointer travel required to access the dash when using the new GNOME Shell 40 overview layout.\n\nYou can find more documentation at https://github.com/jdoda/hotedge/blob/main/README.md and report issues at https://github.com/jdoda/hotedge/issues .", "link": "https://extensions.gnome.org/extension/4222/hot-edge/", "shell_version_map": {"40": {"version": "6", "sha256": "1cga3yimxqkf0lz701a7xcwi9q2iqwisgv4md0f3s484raxpvan4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGhvdCBlZGdlIHRoYXQgYWN0aXZhdGVzIHRoZSBvdmVydmlldyB0byB0aGUgYm90dG9tIG9mIHRoZSBzY3JlZW4uIFRoaXMgbWluaW1pemVzIHRoZSBwb2ludGVyIHRyYXZlbCByZXF1aXJlZCB0byBhY2Nlc3MgdGhlIGRhc2ggd2hlbiB1c2luZyB0aGUgbmV3IEdOT01FIFNoZWxsIDQwIG92ZXJ2aWV3IGxheW91dC5cblxuWW91IGNhbiBmaW5kIG1vcmUgZG9jdW1lbnRhdGlvbiBhdCBodHRwczovL2dpdGh1Yi5jb20vamRvZGEvaG90ZWRnZS9ibG9iL21haW4vUkVBRE1FLm1kIGFuZCByZXBvcnQgaXNzdWVzIGF0IGh0dHBzOi8vZ2l0aHViLmNvbS9qZG9kYS9ob3RlZGdlL2lzc3VlcyAuIiwKICAibmFtZSI6ICJIb3QgRWRnZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9qZG9kYS9ob3RlZGdlIiwKICAidXVpZCI6ICJob3RlZGdlQGpvbmF0aGFuLmpkb2RhLmNhIiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
-, {"uuid": "gnome-citeurl-search-provider@raindrum.github.io", "name": "CiteURL Search", "pname": "gnome-citeurl-search-provider", "description": "This extension lets you look up U.S. state and federal laws and court cases by typing citations (like \"42 USC 1983\" or \"U.S. Constitution Art. I, Sec. 3\") into the search bar.\n\nBy default, CiteURL supports the U.S. Code and federal constitution, as well as nearly all codified state laws and constitutions, among other sources of law. You can also add more types of citation by following the instructions on the GitHub page.", "link": "https://extensions.gnome.org/extension/4225/gnome-citeurl-search-provider/", "shell_version_map": {"38": {"version": "4", "sha256": "1lx1hifm5z8nk6a3nzl186rcrj5zyypnazvwdbkawiwkmilbcz3z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGxldHMgeW91IGxvb2sgdXAgVS5TLiBzdGF0ZSBhbmQgZmVkZXJhbCBsYXdzIGFuZCBjb3VydCBjYXNlcyBieSB0eXBpbmcgY2l0YXRpb25zIChsaWtlIFwiNDIgVVNDIDE5ODNcIiBvciBcIlUuUy4gQ29uc3RpdHV0aW9uIEFydC4gSSwgU2VjLiAzXCIpIGludG8gdGhlIHNlYXJjaCBiYXIuXG5cbkJ5IGRlZmF1bHQsIENpdGVVUkwgc3VwcG9ydHMgdGhlIFUuUy4gQ29kZSBhbmQgZmVkZXJhbCBjb25zdGl0dXRpb24sIGFzIHdlbGwgYXMgbmVhcmx5IGFsbCBjb2RpZmllZCBzdGF0ZSBsYXdzIGFuZCBjb25zdGl0dXRpb25zLCBhbW9uZyBvdGhlciBzb3VyY2VzIG9mIGxhdy4gWW91IGNhbiBhbHNvIGFkZCBtb3JlIHR5cGVzIG9mIGNpdGF0aW9uIGJ5IGZvbGxvd2luZyB0aGUgaW5zdHJ1Y3Rpb25zIG9uIHRoZSBHaXRIdWIgcGFnZS4iLAogICJuYW1lIjogIkNpdGVVUkwgU2VhcmNoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNpdGV1cmwtc2VhcmNoLXByb3ZpZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3JhaW5kcnVtL2dub21lLWNpdGV1cmwtc2VhcmNoLXByb3ZpZGVyIiwKICAidXVpZCI6ICJnbm9tZS1jaXRldXJsLXNlYXJjaC1wcm92aWRlckByYWluZHJ1bS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNAp9"}, "40": {"version": "4", "sha256": "1lx1hifm5z8nk6a3nzl186rcrj5zyypnazvwdbkawiwkmilbcz3z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGxldHMgeW91IGxvb2sgdXAgVS5TLiBzdGF0ZSBhbmQgZmVkZXJhbCBsYXdzIGFuZCBjb3VydCBjYXNlcyBieSB0eXBpbmcgY2l0YXRpb25zIChsaWtlIFwiNDIgVVNDIDE5ODNcIiBvciBcIlUuUy4gQ29uc3RpdHV0aW9uIEFydC4gSSwgU2VjLiAzXCIpIGludG8gdGhlIHNlYXJjaCBiYXIuXG5cbkJ5IGRlZmF1bHQsIENpdGVVUkwgc3VwcG9ydHMgdGhlIFUuUy4gQ29kZSBhbmQgZmVkZXJhbCBjb25zdGl0dXRpb24sIGFzIHdlbGwgYXMgbmVhcmx5IGFsbCBjb2RpZmllZCBzdGF0ZSBsYXdzIGFuZCBjb25zdGl0dXRpb25zLCBhbW9uZyBvdGhlciBzb3VyY2VzIG9mIGxhdy4gWW91IGNhbiBhbHNvIGFkZCBtb3JlIHR5cGVzIG9mIGNpdGF0aW9uIGJ5IGZvbGxvd2luZyB0aGUgaW5zdHJ1Y3Rpb25zIG9uIHRoZSBHaXRIdWIgcGFnZS4iLAogICJuYW1lIjogIkNpdGVVUkwgU2VhcmNoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNpdGV1cmwtc2VhcmNoLXByb3ZpZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3JhaW5kcnVtL2dub21lLWNpdGV1cmwtc2VhcmNoLXByb3ZpZGVyIiwKICAidXVpZCI6ICJnbm9tZS1jaXRldXJsLXNlYXJjaC1wcm92aWRlckByYWluZHJ1bS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNAp9"}}}
-, {"uuid": "wireless-hid@chlumskyvaclav.gmail.com", "name": "Wireless HID", "pname": "wireless-hid", "description": "This extension shows the battery of the wireless keyboards and mice in percentages and colors. Multiple devices are supported.", "link": "https://extensions.gnome.org/extension/4228/wireless-hid/", "shell_version_map": {"38": {"version": "1", "sha256": "1818hdgzg7n39dwxgfsdhkf112pynw0kwd96za2vcq48la5vrlyr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIHNob3dzIHRoZSBiYXR0ZXJ5IG9mIHRoZSB3aXJlbGVzcyBrZXlib2FyZHMgYW5kIG1pY2UgaW4gcGVyY2VudGFnZXMgYW5kIGNvbG9ycy4gTXVsdGlwbGUgZGV2aWNlcyBhcmUgc3VwcG9ydGVkLiIsCiAgImdldHRleHQtZG9tYWluIjogIndpcmVsZXNzLWhpZCIsCiAgIm5hbWUiOiAiV2lyZWxlc3MgSElEIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImNobHVtc2t5dmFjbGF2QGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92Y2hsdW0vd2lyZWxlc3MtaGlkIiwKICAidXVpZCI6ICJ3aXJlbGVzcy1oaWRAY2hsdW1za3l2YWNsYXYuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDEKfQ=="}, "40": {"version": "1", "sha256": "1818hdgzg7n39dwxgfsdhkf112pynw0kwd96za2vcq48la5vrlyr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIHNob3dzIHRoZSBiYXR0ZXJ5IG9mIHRoZSB3aXJlbGVzcyBrZXlib2FyZHMgYW5kIG1pY2UgaW4gcGVyY2VudGFnZXMgYW5kIGNvbG9ycy4gTXVsdGlwbGUgZGV2aWNlcyBhcmUgc3VwcG9ydGVkLiIsCiAgImdldHRleHQtZG9tYWluIjogIndpcmVsZXNzLWhpZCIsCiAgIm5hbWUiOiAiV2lyZWxlc3MgSElEIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImNobHVtc2t5dmFjbGF2QGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92Y2hsdW0vd2lyZWxlc3MtaGlkIiwKICAidXVpZCI6ICJ3aXJlbGVzcy1oaWRAY2hsdW1za3l2YWNsYXYuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
-, {"uuid": "better-osd@hllvc", "name": "Better OSD - Gnome 40", "pname": "better-osd-gnome-40", "description": "Better OSD for Gnome 40 (move and resize the OSD popups).\n- forked from original Better OSD\n\nYou think the default volume popup is too big, and stays for too long? This allows you to move it out of the way, reduce its size, add transparency and set the hiding delay.", "link": "https://extensions.gnome.org/extension/4231/better-osd-gnome-40/", "shell_version_map": {"40": {"version": "1", "sha256": "1daa64rjbzhzr87gkbqmgc1bcl8mbkjxfpdp6rbk6qxnjk914796", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJldHRlciBPU0QgZm9yIEdub21lIDQwIChtb3ZlIGFuZCByZXNpemUgdGhlIE9TRCBwb3B1cHMpLlxuLSBmb3JrZWQgZnJvbSBvcmlnaW5hbCBCZXR0ZXIgT1NEXG5cbllvdSB0aGluayB0aGUgZGVmYXVsdCB2b2x1bWUgcG9wdXAgaXMgdG9vIGJpZywgYW5kIHN0YXlzIGZvciB0b28gbG9uZz8gVGhpcyBhbGxvd3MgeW91IHRvIG1vdmUgaXQgb3V0IG9mIHRoZSB3YXksIHJlZHVjZSBpdHMgc2l6ZSwgYWRkIHRyYW5zcGFyZW5jeSBhbmQgc2V0IHRoZSBoaWRpbmcgZGVsYXkuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmV0dGVyLW9zZCIsCiAgIm5hbWUiOiAiQmV0dGVyIE9TRCAtIEdub21lIDQwIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hsbHZjL2JldHRlci1vc2QiLAogICJ1dWlkIjogImJldHRlci1vc2RAaGxsdmMiLAogICJ2ZXJzaW9uIjogMQp9"}}}
-, {"uuid": "arbttstats@gervasioperez.ar", "name": "Arbtt time tracker stats", "pname": "arbtt-stats", "description": "Show simple arbtt time tracker stats on the panel.\n\nSee extension homepage for a brief tutorial.", "link": "https://extensions.gnome.org/extension/4234/arbtt-stats/", "shell_version_map": {"38": {"version": "7", "sha256": "0p91qyh2r42jbi8s7yzw6s82m91m4acs4y43vav4w4002y2f25cs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgc2ltcGxlIGFyYnR0IHRpbWUgdHJhY2tlciBzdGF0cyBvbiB0aGUgcGFuZWwuXG5cblNlZSBleHRlbnNpb24gaG9tZXBhZ2UgZm9yIGEgYnJpZWYgdHV0b3JpYWwuIiwKICAibmFtZSI6ICJBcmJ0dCB0aW1lIHRyYWNrZXIgc3RhdHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc2hlcndvb2RpbmMvYXJidHQtc3RhdHMtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJhcmJ0dHN0YXRzQGdlcnZhc2lvcGVyZXouYXIiLAogICJ2ZXJzaW9uIjogNwp9"}, "40": {"version": "7", "sha256": "0p91qyh2r42jbi8s7yzw6s82m91m4acs4y43vav4w4002y2f25cs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgc2ltcGxlIGFyYnR0IHRpbWUgdHJhY2tlciBzdGF0cyBvbiB0aGUgcGFuZWwuXG5cblNlZSBleHRlbnNpb24gaG9tZXBhZ2UgZm9yIGEgYnJpZWYgdHV0b3JpYWwuIiwKICAibmFtZSI6ICJBcmJ0dCB0aW1lIHRyYWNrZXIgc3RhdHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc2hlcndvb2RpbmMvYXJidHQtc3RhdHMtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJhcmJ0dHN0YXRzQGdlcnZhc2lvcGVyZXouYXIiLAogICJ2ZXJzaW9uIjogNwp9"}}}
-, {"uuid": "blur-me@nunchucks", "name": "Blur Me", "pname": "blur-me", "description": "Blur: Applications | Top Panel | Overview | Dash | More!\n\n\nCredit where it's due: Overview and dash blur are based on blur my shell", "link": "https://extensions.gnome.org/extension/4236/blur-me/", "shell_version_map": {"40": {"version": "10", "sha256": "0kifrwp8znkfwhq7f5i8qajwlxmyhhaizzbq5p2h7y2xy80n0lwq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJsdXI6IEFwcGxpY2F0aW9ucyB8IFRvcCBQYW5lbCB8IE92ZXJ2aWV3IHwgRGFzaCB8IE1vcmUhXG5cblxuQ3JlZGl0IHdoZXJlIGl0J3MgZHVlOiBPdmVydmlldyBhbmQgZGFzaCBibHVyIGFyZSBiYXNlZCBvbiBibHVyIG15IHNoZWxsIiwKICAibmFtZSI6ICJCbHVyIE1lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4xIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NraXNzYW5lL2JsdXItbWUiLAogICJ1dWlkIjogImJsdXItbWVAbnVuY2h1Y2tzIiwKICAidmVyc2lvbiI6IDEwCn0="}}}
-, {"uuid": "gestureImprovements@gestures", "name": "Gesture improvements", "pname": "gesture-improvements", "description": "Touchpad Gesture improvements\n\nThis extension adds following gestures.\n\n1. Switch windows from current workspace using 3-finger horizontal swipe.\n2. Cyclic gestures between Desktop-Overview-AppGrid using 3 or 4 finger vertical swipe.\n3. Override 3-finger gesture with 4-finger for switching workspace.\n\n* This also adds option to configure speed of gestures. (1.0 is default)\n\n\n# Bugs\nReport bugs on gitlab.", "link": "https://extensions.gnome.org/extension/4245/gesture-improvements/", "shell_version_map": {"40": {"version": "8", "sha256": "0gxxxgdb6qmgkj5p4ks1y3lvsb9iv2wgdr64yhcksjak5l9plj4l", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvdWNocGFkIEdlc3R1cmUgaW1wcm92ZW1lbnRzXG5cblRoaXMgZXh0ZW5zaW9uIGFkZHMgZm9sbG93aW5nIGdlc3R1cmVzLlxuXG4xLiBTd2l0Y2ggd2luZG93cyBmcm9tIGN1cnJlbnQgd29ya3NwYWNlIHVzaW5nIDMtZmluZ2VyIGhvcml6b250YWwgc3dpcGUuXG4yLiBDeWNsaWMgZ2VzdHVyZXMgYmV0d2VlbiBEZXNrdG9wLU92ZXJ2aWV3LUFwcEdyaWQgdXNpbmcgMyBvciA0IGZpbmdlciB2ZXJ0aWNhbCBzd2lwZS5cbjMuIE92ZXJyaWRlIDMtZmluZ2VyIGdlc3R1cmUgd2l0aCA0LWZpbmdlciBmb3Igc3dpdGNoaW5nIHdvcmtzcGFjZS5cblxuKiBUaGlzIGFsc28gYWRkcyBvcHRpb24gdG8gY29uZmlndXJlIHNwZWVkIG9mIGdlc3R1cmVzLiAoMS4wIGlzIGRlZmF1bHQpXG5cblxuIyBCdWdzXG5SZXBvcnQgYnVncyBvbiBnaXRsYWIuIiwKICAibmFtZSI6ICJHZXN0dXJlIGltcHJvdmVtZW50cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5nZXN0dXJlSW1wcm92ZW1lbnRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL2hhcnNoYWRnYXZhbGkvZ25vbWUtZ2VzdHVyZXMiLAogICJ1dWlkIjogImdlc3R1cmVJbXByb3ZlbWVudHNAZ2VzdHVyZXMiLAogICJ2ZXJzaW9uIjogOAp9"}}}
-, {"uuid": "eclipse@blackjackshellac.ca", "name": "eclipse", "pname": "eclipse", "description": "eclipse gpaste interface with clipboard item encryption\n\nEclipse now uses dbus to communicate with the gpaste daemon\nAdded encryption of clipboard items with eclipse feature.\n\nThe following utilities are required, on fedora,\n\n$ sudo dnf install gpaste gpaste-ui gpaste-libs openssl\n\nIf updating the extension reports an ERROR it should work after the next reboot or if you logout and login again.\nPlease report issues on github.", "link": "https://extensions.gnome.org/extension/4246/eclipse/", "shell_version_map": {"38": {"version": "6", "sha256": "1i6aq6f59mc1ilx8kwkg431zn1v3an6yvf45qp3ka08y60cq57fm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImVjbGlwc2UgZ3Bhc3RlIGludGVyZmFjZSB3aXRoIGNsaXBib2FyZCBpdGVtIGVuY3J5cHRpb25cblxuRWNsaXBzZSBub3cgdXNlcyBkYnVzIHRvIGNvbW11bmljYXRlIHdpdGggdGhlIGdwYXN0ZSBkYWVtb25cbkFkZGVkIGVuY3J5cHRpb24gb2YgY2xpcGJvYXJkIGl0ZW1zIHdpdGggZWNsaXBzZSBmZWF0dXJlLlxuXG5UaGUgZm9sbG93aW5nIHV0aWxpdGllcyBhcmUgcmVxdWlyZWQsIG9uIGZlZG9yYSxcblxuJCBzdWRvIGRuZiBpbnN0YWxsIGdwYXN0ZSBncGFzdGUtdWkgZ3Bhc3RlLWxpYnMgb3BlbnNzbFxuXG5JZiB1cGRhdGluZyB0aGUgZXh0ZW5zaW9uIHJlcG9ydHMgYW4gRVJST1IgaXQgc2hvdWxkIHdvcmsgYWZ0ZXIgdGhlIG5leHQgcmVib290IG9yIGlmIHlvdSBsb2dvdXQgYW5kIGxvZ2luIGFnYWluLlxuUGxlYXNlIHJlcG9ydCBpc3N1ZXMgb24gZ2l0aHViLiIsCiAgImdldHRleHQtZG9tYWluIjogImVjbGlwc2UtYmxhY2tqYWNrc2hlbGxhYyIsCiAgIm5hbWUiOiAiZWNsaXBzZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5lY2xpcHNlLWJsYWNramFja3NoZWxsYWMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYmxhY2tqYWNrc2hlbGxhYy9lY2xpcHNlIiwKICAidXVpZCI6ICJlY2xpcHNlQGJsYWNramFja3NoZWxsYWMuY2EiLAogICJ2ZXJzaW9uIjogNgp9"}, "40": {"version": "6", "sha256": "1i6aq6f59mc1ilx8kwkg431zn1v3an6yvf45qp3ka08y60cq57fm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImVjbGlwc2UgZ3Bhc3RlIGludGVyZmFjZSB3aXRoIGNsaXBib2FyZCBpdGVtIGVuY3J5cHRpb25cblxuRWNsaXBzZSBub3cgdXNlcyBkYnVzIHRvIGNvbW11bmljYXRlIHdpdGggdGhlIGdwYXN0ZSBkYWVtb25cbkFkZGVkIGVuY3J5cHRpb24gb2YgY2xpcGJvYXJkIGl0ZW1zIHdpdGggZWNsaXBzZSBmZWF0dXJlLlxuXG5UaGUgZm9sbG93aW5nIHV0aWxpdGllcyBhcmUgcmVxdWlyZWQsIG9uIGZlZG9yYSxcblxuJCBzdWRvIGRuZiBpbnN0YWxsIGdwYXN0ZSBncGFzdGUtdWkgZ3Bhc3RlLWxpYnMgb3BlbnNzbFxuXG5JZiB1cGRhdGluZyB0aGUgZXh0ZW5zaW9uIHJlcG9ydHMgYW4gRVJST1IgaXQgc2hvdWxkIHdvcmsgYWZ0ZXIgdGhlIG5leHQgcmVib290IG9yIGlmIHlvdSBsb2dvdXQgYW5kIGxvZ2luIGFnYWluLlxuUGxlYXNlIHJlcG9ydCBpc3N1ZXMgb24gZ2l0aHViLiIsCiAgImdldHRleHQtZG9tYWluIjogImVjbGlwc2UtYmxhY2tqYWNrc2hlbGxhYyIsCiAgIm5hbWUiOiAiZWNsaXBzZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5lY2xpcHNlLWJsYWNramFja3NoZWxsYWMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYmxhY2tqYWNrc2hlbGxhYy9lY2xpcHNlIiwKICAidXVpZCI6ICJlY2xpcHNlQGJsYWNramFja3NoZWxsYWMuY2EiLAogICJ2ZXJzaW9uIjogNgp9"}}}
+, {"uuid": "hotedge@jonathan.jdoda.ca", "name": "Hot Edge", "pname": "hot-edge", "description": "Add a hot edge that activates the overview to the bottom of the screen. This minimizes the pointer travel required to access the dash when using the new GNOME Shell 40 overview layout.\n\nYou can find more documentation at https://github.com/jdoda/hotedge/blob/main/README.md and report issues at https://github.com/jdoda/hotedge/issues .", "link": "https://extensions.gnome.org/extension/4222/hot-edge/", "shell_version_map": {"40": {"version": "8", "sha256": "1ybbjm4m6zix1f762jnhaa4jl15qrlc83m9fcmmsvi9rlqnxnlha", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGhvdCBlZGdlIHRoYXQgYWN0aXZhdGVzIHRoZSBvdmVydmlldyB0byB0aGUgYm90dG9tIG9mIHRoZSBzY3JlZW4uIFRoaXMgbWluaW1pemVzIHRoZSBwb2ludGVyIHRyYXZlbCByZXF1aXJlZCB0byBhY2Nlc3MgdGhlIGRhc2ggd2hlbiB1c2luZyB0aGUgbmV3IEdOT01FIFNoZWxsIDQwIG92ZXJ2aWV3IGxheW91dC5cblxuWW91IGNhbiBmaW5kIG1vcmUgZG9jdW1lbnRhdGlvbiBhdCBodHRwczovL2dpdGh1Yi5jb20vamRvZGEvaG90ZWRnZS9ibG9iL21haW4vUkVBRE1FLm1kIGFuZCByZXBvcnQgaXNzdWVzIGF0IGh0dHBzOi8vZ2l0aHViLmNvbS9qZG9kYS9ob3RlZGdlL2lzc3VlcyAuIiwKICAibmFtZSI6ICJIb3QgRWRnZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vamRvZGEvaG90ZWRnZSIsCiAgInV1aWQiOiAiaG90ZWRnZUBqb25hdGhhbi5qZG9kYS5jYSIsCiAgInZlcnNpb24iOiA4Cn0="}}}
+, {"uuid": "gnome-citeurl-search-provider@raindrum.github.io", "name": "Legal Citation Search", "pname": "gnome-citeurl-search-provider", "description": "This extension lets you look up U.S. state and federal laws and court cases by typing citations (like \"42 USC 1983\" or \"U.S. Constitution Art. I, Sec. 3\") into the search bar.\nBy default, the search supports the U.S. Code and federal constitution, as well as nearly all codified state laws and constitutions, among other sources of law. You can also add more types of citation by following the instructions on the GitHub page.", "link": "https://extensions.gnome.org/extension/4225/gnome-citeurl-search-provider/", "shell_version_map": {"38": {"version": "6", "sha256": "024d98642smciv5n2vc4l2f8rglfrv180paj1g2rrq9k4pvg6yym", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGxldHMgeW91IGxvb2sgdXAgVS5TLiBzdGF0ZSBhbmQgZmVkZXJhbCBsYXdzIGFuZCBjb3VydCBjYXNlcyBieSB0eXBpbmcgY2l0YXRpb25zIChsaWtlIFwiNDIgVVNDIDE5ODNcIiBvciBcIlUuUy4gQ29uc3RpdHV0aW9uIEFydC4gSSwgU2VjLiAzXCIpIGludG8gdGhlIHNlYXJjaCBiYXIuXG5CeSBkZWZhdWx0LCB0aGUgc2VhcmNoIHN1cHBvcnRzIHRoZSBVLlMuIENvZGUgYW5kIGZlZGVyYWwgY29uc3RpdHV0aW9uLCBhcyB3ZWxsIGFzIG5lYXJseSBhbGwgY29kaWZpZWQgc3RhdGUgbGF3cyBhbmQgY29uc3RpdHV0aW9ucywgYW1vbmcgb3RoZXIgc291cmNlcyBvZiBsYXcuIFlvdSBjYW4gYWxzbyBhZGQgbW9yZSB0eXBlcyBvZiBjaXRhdGlvbiBieSBmb2xsb3dpbmcgdGhlIGluc3RydWN0aW9ucyBvbiB0aGUgR2l0SHViIHBhZ2UuIiwKICAibmFtZSI6ICJMZWdhbCBDaXRhdGlvbiBTZWFyY2giLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuY2l0ZXVybC1zZWFyY2gtcHJvdmlkZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcmFpbmRydW0vZ25vbWUtY2l0ZXVybC1zZWFyY2gtcHJvdmlkZXIiLAogICJ1dWlkIjogImdub21lLWNpdGV1cmwtc2VhcmNoLXByb3ZpZGVyQHJhaW5kcnVtLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA2Cn0="}, "40": {"version": "6", "sha256": "024d98642smciv5n2vc4l2f8rglfrv180paj1g2rrq9k4pvg6yym", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGxldHMgeW91IGxvb2sgdXAgVS5TLiBzdGF0ZSBhbmQgZmVkZXJhbCBsYXdzIGFuZCBjb3VydCBjYXNlcyBieSB0eXBpbmcgY2l0YXRpb25zIChsaWtlIFwiNDIgVVNDIDE5ODNcIiBvciBcIlUuUy4gQ29uc3RpdHV0aW9uIEFydC4gSSwgU2VjLiAzXCIpIGludG8gdGhlIHNlYXJjaCBiYXIuXG5CeSBkZWZhdWx0LCB0aGUgc2VhcmNoIHN1cHBvcnRzIHRoZSBVLlMuIENvZGUgYW5kIGZlZGVyYWwgY29uc3RpdHV0aW9uLCBhcyB3ZWxsIGFzIG5lYXJseSBhbGwgY29kaWZpZWQgc3RhdGUgbGF3cyBhbmQgY29uc3RpdHV0aW9ucywgYW1vbmcgb3RoZXIgc291cmNlcyBvZiBsYXcuIFlvdSBjYW4gYWxzbyBhZGQgbW9yZSB0eXBlcyBvZiBjaXRhdGlvbiBieSBmb2xsb3dpbmcgdGhlIGluc3RydWN0aW9ucyBvbiB0aGUgR2l0SHViIHBhZ2UuIiwKICAibmFtZSI6ICJMZWdhbCBDaXRhdGlvbiBTZWFyY2giLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuY2l0ZXVybC1zZWFyY2gtcHJvdmlkZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcmFpbmRydW0vZ25vbWUtY2l0ZXVybC1zZWFyY2gtcHJvdmlkZXIiLAogICJ1dWlkIjogImdub21lLWNpdGV1cmwtc2VhcmNoLXByb3ZpZGVyQHJhaW5kcnVtLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA2Cn0="}}}
+, {"uuid": "wireless-hid@chlumskyvaclav.gmail.com", "name": "Wireless HID", "pname": "wireless-hid", "description": "This extension shows the battery of the wireless keyboards, mice, and game controllers in percentages and colors. Multiple devices are supported.", "link": "https://extensions.gnome.org/extension/4228/wireless-hid/", "shell_version_map": {"38": {"version": "3", "sha256": "1i6dd0qrp3127zpg25732zfzg2g4hjnl4n9n51pq95wyhrlp8zz6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIHNob3dzIHRoZSBiYXR0ZXJ5IG9mIHRoZSB3aXJlbGVzcyBrZXlib2FyZHMsIG1pY2UsIGFuZCBnYW1lIGNvbnRyb2xsZXJzIGluIHBlcmNlbnRhZ2VzIGFuZCBjb2xvcnMuIE11bHRpcGxlIGRldmljZXMgYXJlIHN1cHBvcnRlZC4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ3aXJlbGVzcy1oaWQiLAogICJuYW1lIjogIldpcmVsZXNzIEhJRCIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJjaGx1bXNreXZhY2xhdkBnbWFpbC5jb20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3ZjaGx1bS93aXJlbGVzcy1oaWQiLAogICJ1dWlkIjogIndpcmVsZXNzLWhpZEBjaGx1bXNreXZhY2xhdi5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "3", "sha256": "1i6dd0qrp3127zpg25732zfzg2g4hjnl4n9n51pq95wyhrlp8zz6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIHNob3dzIHRoZSBiYXR0ZXJ5IG9mIHRoZSB3aXJlbGVzcyBrZXlib2FyZHMsIG1pY2UsIGFuZCBnYW1lIGNvbnRyb2xsZXJzIGluIHBlcmNlbnRhZ2VzIGFuZCBjb2xvcnMuIE11bHRpcGxlIGRldmljZXMgYXJlIHN1cHBvcnRlZC4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ3aXJlbGVzcy1oaWQiLAogICJuYW1lIjogIldpcmVsZXNzIEhJRCIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJjaGx1bXNreXZhY2xhdkBnbWFpbC5jb20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3ZjaGx1bS93aXJlbGVzcy1oaWQiLAogICJ1dWlkIjogIndpcmVsZXNzLWhpZEBjaGx1bXNreXZhY2xhdi5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}}}
+, {"uuid": "better-osd@hllvc", "name": "Better OSD - Gnome 40", "pname": "better-osd-gnome-40", "description": "Customize your OSD popups. Move, resize, set delay and transparency!", "link": "https://extensions.gnome.org/extension/4231/better-osd-gnome-40/", "shell_version_map": {"40": {"version": "4", "sha256": "026c4kh6ri023b635kynmvb5zxxdywf5bbnyq1lb2p7iirb6vn0i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbWl6ZSB5b3VyIE9TRCBwb3B1cHMuIE1vdmUsIHJlc2l6ZSwgc2V0IGRlbGF5IGFuZCB0cmFuc3BhcmVuY3khIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmV0dGVyLW9zZCIsCiAgIm5hbWUiOiAiQmV0dGVyIE9TRCAtIEdub21lIDQwIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hsbHZjL2JldHRlci1vc2QiLAogICJ1dWlkIjogImJldHRlci1vc2RAaGxsdmMiLAogICJ2ZXJzaW9uIjogNAp9"}}}
+, {"uuid": "arbttstats@gervasioperez.ar", "name": "Arbtt stats", "pname": "arbtt-stats", "description": "Show simple arbtt stats on the panel\n*** This extension requires arbtt running and configured", "link": "https://extensions.gnome.org/extension/4234/arbtt-stats/", "shell_version_map": {"38": {"version": "9", "sha256": "0x3sxg8dv9gfq1f4m9bk4rb3395rdcf0srijxqphpwjmm0nsizql", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgc2ltcGxlIGFyYnR0IHN0YXRzIG9uIHRoZSBwYW5lbFxuKioqIFRoaXMgZXh0ZW5zaW9uIHJlcXVpcmVzIGFyYnR0IHJ1bm5pbmcgYW5kIGNvbmZpZ3VyZWQiLAogICJuYW1lIjogIkFyYnR0IHN0YXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NoZXJ3b29kaW5jL2FyYnR0LXN0YXRzLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiYXJidHRzdGF0c0BnZXJ2YXNpb3BlcmV6LmFyIiwKICAidmVyc2lvbiI6IDkKfQ=="}, "40": {"version": "9", "sha256": "0x3sxg8dv9gfq1f4m9bk4rb3395rdcf0srijxqphpwjmm0nsizql", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgc2ltcGxlIGFyYnR0IHN0YXRzIG9uIHRoZSBwYW5lbFxuKioqIFRoaXMgZXh0ZW5zaW9uIHJlcXVpcmVzIGFyYnR0IHJ1bm5pbmcgYW5kIGNvbmZpZ3VyZWQiLAogICJuYW1lIjogIkFyYnR0IHN0YXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NoZXJ3b29kaW5jL2FyYnR0LXN0YXRzLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiYXJidHRzdGF0c0BnZXJ2YXNpb3BlcmV6LmFyIiwKICAidmVyc2lvbiI6IDkKfQ=="}}}
+, {"uuid": "blur-me@nunchucks", "name": "Blur Me", "pname": "blur-me", "description": "Blur: Applications | Top Panel | Overview | Dash | More!\n\n\nCredit where it's due: Overview and dash blur are based on blur my shell", "link": "https://extensions.gnome.org/extension/4236/blur-me/", "shell_version_map": {"40": {"version": "11", "sha256": "13r77isf7ih0sklnms7blsk9a7av05d21rmjrnyr8jisr512991k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJsdXI6IEFwcGxpY2F0aW9ucyB8IFRvcCBQYW5lbCB8IE92ZXJ2aWV3IHwgRGFzaCB8IE1vcmUhXG5cblxuQ3JlZGl0IHdoZXJlIGl0J3MgZHVlOiBPdmVydmlldyBhbmQgZGFzaCBibHVyIGFyZSBiYXNlZCBvbiBibHVyIG15IHNoZWxsIiwKICAibmFtZSI6ICJCbHVyIE1lIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MC4xIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NraXNzYW5lL2JsdXItbWUiLAogICJ1dWlkIjogImJsdXItbWVAbnVuY2h1Y2tzIiwKICAidmVyc2lvbiI6IDExCn0="}}}
+, {"uuid": "gestureImprovements@gestures", "name": "Gesture Improvements", "pname": "gesture-improvements", "description": "Improve touchpad gestures for Wayland/X11\n\nThis extension adds following features:\n\n• Switch windows from current workspace using 3-finger horizontal swipe\n• Cyclic gestures between Desktop/Overview/AppGrid using 4 vertical swipe\n• Switch app-pages using 3-finger swipe gesture on AppGrid\n• Maximize/unmaximize/half-tiling using 3-finger vertical & horizontal gesture\n• Override 3-finger gesture with 4-finger for switching workspace\n• Configure speed of gestures\n• Support for X11\n \nOn X11, you need to install https://github.com/harshadgavali/gnome-x11-gesture-daemon\n\nReport any bugs/requests on GitHub (link directly below)\n", "link": "https://extensions.gnome.org/extension/4245/gesture-improvements/", "shell_version_map": {"40": {"version": "16", "sha256": "1x9qcdaa9gc2ydzm5mv6fbis1gqchs3mhlgr24m4sx656qgc88vc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkltcHJvdmUgdG91Y2hwYWQgZ2VzdHVyZXMgZm9yIFdheWxhbmQvWDExXG5cblRoaXMgZXh0ZW5zaW9uIGFkZHMgZm9sbG93aW5nIGZlYXR1cmVzOlxuXG5cdTIwMjIgU3dpdGNoIHdpbmRvd3MgZnJvbSBjdXJyZW50IHdvcmtzcGFjZSB1c2luZyAzLWZpbmdlciBob3Jpem9udGFsIHN3aXBlXG5cdTIwMjIgQ3ljbGljIGdlc3R1cmVzIGJldHdlZW4gRGVza3RvcC9PdmVydmlldy9BcHBHcmlkIHVzaW5nIDQgdmVydGljYWwgc3dpcGVcblx1MjAyMiBTd2l0Y2ggYXBwLXBhZ2VzIHVzaW5nIDMtZmluZ2VyIHN3aXBlIGdlc3R1cmUgb24gQXBwR3JpZFxuXHUyMDIyIE1heGltaXplL3VubWF4aW1pemUvaGFsZi10aWxpbmcgdXNpbmcgMy1maW5nZXIgdmVydGljYWwgJiBob3Jpem9udGFsIGdlc3R1cmVcblx1MjAyMiBPdmVycmlkZSAzLWZpbmdlciBnZXN0dXJlIHdpdGggNC1maW5nZXIgZm9yIHN3aXRjaGluZyB3b3Jrc3BhY2Vcblx1MjAyMiBDb25maWd1cmUgc3BlZWQgb2YgZ2VzdHVyZXNcblx1MjAyMiBTdXBwb3J0IGZvciBYMTFcbiAgIFxuT24gWDExLCB5b3UgbmVlZCB0byBpbnN0YWxsIGh0dHBzOi8vZ2l0aHViLmNvbS9oYXJzaGFkZ2F2YWxpL2dub21lLXgxMS1nZXN0dXJlLWRhZW1vblxuXG5SZXBvcnQgYW55IGJ1Z3MvcmVxdWVzdHMgb24gR2l0SHViIChsaW5rIGRpcmVjdGx5IGJlbG93KVxuIiwKICAibmFtZSI6ICJHZXN0dXJlIEltcHJvdmVtZW50cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5nZXN0dXJlSW1wcm92ZW1lbnRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9oYXJzaGFkZ2F2YWxpL2dub21lLWdlc3R1cmUtaW1wcm92ZW1lbnRzIiwKICAidXVpZCI6ICJnZXN0dXJlSW1wcm92ZW1lbnRzQGdlc3R1cmVzIiwKICAidmVyc2lvbiI6IDE2Cn0="}}}
+, {"uuid": "eclipse@blackjackshellac.ca", "name": "eclipse", "pname": "eclipse", "description": "eclipse clipboard interface with item encryption\n\nAdded encryption of clipboard items. Encrypted items can be\nsaved to disk in a folder of your choice. These items are persisted\nbetween sessions using openssl to encrypt the content.\n\nEclipse uses dbus to communicate with the gpaste daemon.\n\nThe following utilities are required,\n\n# for example, on fedora (add gpaste-ui for gpaste settings gui)\n$ sudo dnf install gpaste openssl\n# ubuntu\n$ sudo apt install gpaste openssl\n\nNow works with Gpaste 1 and Gpaste 2 on GS 3.36, 3.38 and 40\n\nIf updating the extension reports an ERROR it should work after the next reboot or if you logout and login again. If you're not running on Wayland you should be able to just restart the shell (Alt+F2,r).\n\nPlease report issues on github.\n", "link": "https://extensions.gnome.org/extension/4246/eclipse/", "shell_version_map": {"38": {"version": "8", "sha256": "09j5f8p23m4v2bdad582497r194z05x4izc2wywp9nhv1nldcs3n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImVjbGlwc2UgY2xpcGJvYXJkIGludGVyZmFjZSB3aXRoIGl0ZW0gZW5jcnlwdGlvblxuXG5BZGRlZCBlbmNyeXB0aW9uIG9mIGNsaXBib2FyZCBpdGVtcy4gRW5jcnlwdGVkIGl0ZW1zIGNhbiBiZVxuc2F2ZWQgdG8gZGlzayBpbiBhIGZvbGRlciBvZiB5b3VyIGNob2ljZS4gVGhlc2UgaXRlbXMgYXJlIHBlcnNpc3RlZFxuYmV0d2VlbiBzZXNzaW9ucyB1c2luZyBvcGVuc3NsIHRvIGVuY3J5cHQgdGhlIGNvbnRlbnQuXG5cbkVjbGlwc2UgdXNlcyBkYnVzIHRvIGNvbW11bmljYXRlIHdpdGggdGhlIGdwYXN0ZSBkYWVtb24uXG5cblRoZSBmb2xsb3dpbmcgdXRpbGl0aWVzIGFyZSByZXF1aXJlZCxcblxuIyBmb3IgZXhhbXBsZSwgb24gZmVkb3JhIChhZGQgZ3Bhc3RlLXVpIGZvciBncGFzdGUgc2V0dGluZ3MgZ3VpKVxuJCBzdWRvIGRuZiBpbnN0YWxsIGdwYXN0ZSBvcGVuc3NsXG4jIHVidW50dVxuJCBzdWRvIGFwdCBpbnN0YWxsIGdwYXN0ZSBvcGVuc3NsXG5cbk5vdyB3b3JrcyB3aXRoIEdwYXN0ZSAxIGFuZCBHcGFzdGUgMiBvbiBHUyAzLjM2LCAzLjM4IGFuZCA0MFxuXG5JZiB1cGRhdGluZyB0aGUgZXh0ZW5zaW9uIHJlcG9ydHMgYW4gRVJST1IgaXQgc2hvdWxkIHdvcmsgYWZ0ZXIgdGhlIG5leHQgcmVib290IG9yIGlmIHlvdSBsb2dvdXQgYW5kIGxvZ2luIGFnYWluLiBJZiB5b3UncmUgbm90IHJ1bm5pbmcgb24gV2F5bGFuZCB5b3Ugc2hvdWxkIGJlIGFibGUgdG8ganVzdCByZXN0YXJ0IHRoZSBzaGVsbCAoQWx0K0YyLHIpLlxuXG5QbGVhc2UgcmVwb3J0IGlzc3VlcyBvbiBnaXRodWIuXG4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJlY2xpcHNlLWJsYWNramFja3NoZWxsYWMiLAogICJuYW1lIjogImVjbGlwc2UiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZWNsaXBzZS1ibGFja2phY2tzaGVsbGFjIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JsYWNramFja3NoZWxsYWMvZWNsaXBzZSIsCiAgInV1aWQiOiAiZWNsaXBzZUBibGFja2phY2tzaGVsbGFjLmNhIiwKICAidmVyc2lvbiI6IDgKfQ=="}, "40": {"version": "8", "sha256": "09j5f8p23m4v2bdad582497r194z05x4izc2wywp9nhv1nldcs3n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImVjbGlwc2UgY2xpcGJvYXJkIGludGVyZmFjZSB3aXRoIGl0ZW0gZW5jcnlwdGlvblxuXG5BZGRlZCBlbmNyeXB0aW9uIG9mIGNsaXBib2FyZCBpdGVtcy4gRW5jcnlwdGVkIGl0ZW1zIGNhbiBiZVxuc2F2ZWQgdG8gZGlzayBpbiBhIGZvbGRlciBvZiB5b3VyIGNob2ljZS4gVGhlc2UgaXRlbXMgYXJlIHBlcnNpc3RlZFxuYmV0d2VlbiBzZXNzaW9ucyB1c2luZyBvcGVuc3NsIHRvIGVuY3J5cHQgdGhlIGNvbnRlbnQuXG5cbkVjbGlwc2UgdXNlcyBkYnVzIHRvIGNvbW11bmljYXRlIHdpdGggdGhlIGdwYXN0ZSBkYWVtb24uXG5cblRoZSBmb2xsb3dpbmcgdXRpbGl0aWVzIGFyZSByZXF1aXJlZCxcblxuIyBmb3IgZXhhbXBsZSwgb24gZmVkb3JhIChhZGQgZ3Bhc3RlLXVpIGZvciBncGFzdGUgc2V0dGluZ3MgZ3VpKVxuJCBzdWRvIGRuZiBpbnN0YWxsIGdwYXN0ZSBvcGVuc3NsXG4jIHVidW50dVxuJCBzdWRvIGFwdCBpbnN0YWxsIGdwYXN0ZSBvcGVuc3NsXG5cbk5vdyB3b3JrcyB3aXRoIEdwYXN0ZSAxIGFuZCBHcGFzdGUgMiBvbiBHUyAzLjM2LCAzLjM4IGFuZCA0MFxuXG5JZiB1cGRhdGluZyB0aGUgZXh0ZW5zaW9uIHJlcG9ydHMgYW4gRVJST1IgaXQgc2hvdWxkIHdvcmsgYWZ0ZXIgdGhlIG5leHQgcmVib290IG9yIGlmIHlvdSBsb2dvdXQgYW5kIGxvZ2luIGFnYWluLiBJZiB5b3UncmUgbm90IHJ1bm5pbmcgb24gV2F5bGFuZCB5b3Ugc2hvdWxkIGJlIGFibGUgdG8ganVzdCByZXN0YXJ0IHRoZSBzaGVsbCAoQWx0K0YyLHIpLlxuXG5QbGVhc2UgcmVwb3J0IGlzc3VlcyBvbiBnaXRodWIuXG4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJlY2xpcHNlLWJsYWNramFja3NoZWxsYWMiLAogICJuYW1lIjogImVjbGlwc2UiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZWNsaXBzZS1ibGFja2phY2tzaGVsbGFjIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JsYWNramFja3NoZWxsYWMvZWNsaXBzZSIsCiAgInV1aWQiOiAiZWNsaXBzZUBibGFja2phY2tzaGVsbGFjLmNhIiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
, {"uuid": "audio-switcher@albertomosconi", "name": "Audio Switcher 40", "pname": "audio-switcher-40", "description": "Adds a switch for choosing audio input/output to the system menu in GNOME 40. (Makes InputSlider always visible)", "link": "https://extensions.gnome.org/extension/4248/audio-switcher-40/", "shell_version_map": {"38": {"version": "4", "sha256": "0mvc4f6i7vsqijq8l4adcixdyycfxmd7kvw13d5h0vx4hg6vam1g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBzd2l0Y2ggZm9yIGNob29zaW5nIGF1ZGlvIGlucHV0L291dHB1dCB0byB0aGUgc3lzdGVtIG1lbnUgaW4gR05PTUUgNDAuIChNYWtlcyBJbnB1dFNsaWRlciBhbHdheXMgdmlzaWJsZSkiLAogICJuYW1lIjogIkF1ZGlvIFN3aXRjaGVyIDQwIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4xIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cDovL2dpdGh1Yi5jb20vYWxiZXJ0b21vc2NvbmkvYXVkaW8tc3dpdGNoZXIiLAogICJ1dWlkIjogImF1ZGlvLXN3aXRjaGVyQGFsYmVydG9tb3Njb25pIiwKICAidmVyc2lvbiI6IDQKfQ=="}, "40": {"version": "4", "sha256": "0mvc4f6i7vsqijq8l4adcixdyycfxmd7kvw13d5h0vx4hg6vam1g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBzd2l0Y2ggZm9yIGNob29zaW5nIGF1ZGlvIGlucHV0L291dHB1dCB0byB0aGUgc3lzdGVtIG1lbnUgaW4gR05PTUUgNDAuIChNYWtlcyBJbnB1dFNsaWRlciBhbHdheXMgdmlzaWJsZSkiLAogICJuYW1lIjogIkF1ZGlvIFN3aXRjaGVyIDQwIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4xIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cDovL2dpdGh1Yi5jb20vYWxiZXJ0b21vc2NvbmkvYXVkaW8tc3dpdGNoZXIiLAogICJ1dWlkIjogImF1ZGlvLXN3aXRjaGVyQGFsYmVydG9tb3Njb25pIiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
-, {"uuid": "fedoramenu@tofu", "name": "Fedora Menu (Deprecated)", "pname": "fedora-menu", "description": "Fedora Menu - Menu similar to Apple's macOS menu for the GNOME Desktop\n\nDeprecated, please use Tofu Menu (https://extensions.gnome.org/extension/4272/tofu-menu/)", "link": "https://extensions.gnome.org/extension/4251/fedora-menu/", "shell_version_map": {"40": {"version": "6", "sha256": "0n4h6lsiv2lw8ff9aczhqcpxls261xidyr5dzmj1d4h48blil34l", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZlZG9yYSBNZW51IC0gTWVudSBzaW1pbGFyIHRvIEFwcGxlJ3MgbWFjT1MgbWVudSBmb3IgdGhlIEdOT01FIERlc2t0b3BcblxuRGVwcmVjYXRlZCwgcGxlYXNlIHVzZSBUb2Z1IE1lbnUgKGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzQyNzIvdG9mdS1tZW51LykiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmZWRvcmEtbWVudSIsCiAgIm5hbWUiOiAiRmVkb3JhIE1lbnUgKERlcHJlY2F0ZWQpIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy50b2Z1LmZlZG9yYS1tZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJmZWRvcmFtZW51QHRvZnUiLAogICJ2ZXJzaW9uIjogNgp9"}}}
, {"uuid": "shell-configurator@adeswanta", "name": "Shell Configurator", "pname": "shell-configurator", "description": "Configure and customize GNOME Shell with advanced settings.", "link": "https://extensions.gnome.org/extension/4254/shell-configurator/", "shell_version_map": {"38": {"version": "4", "sha256": "18dcbxizgj295v34m2dsz1dq9wxlk1s9cgqcgwd1k6r2v2f4p00z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbmZpZ3VyZSBhbmQgY3VzdG9taXplIEdOT01FIFNoZWxsIHdpdGggYWR2YW5jZWQgc2V0dGluZ3MuIiwKICAiaWQiOiAic2hlbGwtY29uZmlndXJhdG9yIiwKICAiaW5zdGFsbC10eXBlIjogIlVzZXIiLAogICJuYW1lIjogIlNoZWxsIENvbmZpZ3VyYXRvciIsCiAgInJlbGVhc2Utc3RhdGUiOiAicmVsZWFzZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vYWRlc3dhbnRhVGVjaHMvc2hlbGwtY29uZmlndXJhdG9yIiwKICAidXVpZCI6ICJzaGVsbC1jb25maWd1cmF0b3JAYWRlc3dhbnRhIiwKICAidmVyc2lvbiI6IDQKfQ=="}, "40": {"version": "4", "sha256": "18dcbxizgj295v34m2dsz1dq9wxlk1s9cgqcgwd1k6r2v2f4p00z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbmZpZ3VyZSBhbmQgY3VzdG9taXplIEdOT01FIFNoZWxsIHdpdGggYWR2YW5jZWQgc2V0dGluZ3MuIiwKICAiaWQiOiAic2hlbGwtY29uZmlndXJhdG9yIiwKICAiaW5zdGFsbC10eXBlIjogIlVzZXIiLAogICJuYW1lIjogIlNoZWxsIENvbmZpZ3VyYXRvciIsCiAgInJlbGVhc2Utc3RhdGUiOiAicmVsZWFzZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vYWRlc3dhbnRhVGVjaHMvc2hlbGwtY29uZmlndXJhdG9yIiwKICAidXVpZCI6ICJzaGVsbC1jb25maWd1cmF0b3JAYWRlc3dhbnRhIiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
-, {"uuid": "io.github.mreditor.gnome-shell-extensions.scroll-panel", "name": "Scroll Panel", "pname": "scroll-panel", "description": "This extension allows switching between windows or workspaces by scrolling Gnome Shell topbar with mouse (both in overview and workspace modes).", "link": "https://extensions.gnome.org/extension/4257/scroll-panel/", "shell_version_map": {"40": {"version": "3", "sha256": "0gz0fsszripivgglly03gfl9pa1dj3wcpgwzmimipr79ykbi5h6q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFsbG93cyBzd2l0Y2hpbmcgYmV0d2VlbiB3aW5kb3dzIG9yIHdvcmtzcGFjZXMgYnkgc2Nyb2xsaW5nIEdub21lIFNoZWxsIHRvcGJhciB3aXRoIG1vdXNlIChib3RoIGluIG92ZXJ2aWV3IGFuZCB3b3Jrc3BhY2UgbW9kZXMpLiIsCiAgImdldHRleHQtZG9tYWluIjogImlvLmdpdGh1Yi5tcmVkaXRvci5nbm9tZS1zaGVsbC1leHRlbnNpb25zLnNjcm9sbC1wYW5lbCIsCiAgIm5hbWUiOiAiU2Nyb2xsIFBhbmVsIiwKICAic2VtYW50aWMtdmVyc2lvbiI6ICI0LjEuMStnaXQtMmYxNWI0YiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJpby5naXRodWIubXJlZGl0b3IuZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5zY3JvbGwtcGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbXJFRGl0b3IvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXNjcm9sbC1wYW5lbCIsCiAgInV1aWQiOiAiaW8uZ2l0aHViLm1yZWRpdG9yLmdub21lLXNoZWxsLWV4dGVuc2lvbnMuc2Nyb2xsLXBhbmVsIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
-, {"uuid": "FuzzyClock@kk2020.info", "name": "あいまい時計", "pname": "extension", "description": "トップバーの時計をあいまいにします。", "link": "https://extensions.gnome.org/extension/4260/extension/", "shell_version_map": {"38": {"version": "2", "sha256": "0d5j69khnv6fyywkfkavl0fcrvqnzlqvspbsvvff3vxknl1japhh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlx1MzBjOFx1MzBjM1x1MzBkN1x1MzBkMFx1MzBmY1x1MzA2ZVx1NjY0Mlx1OGEwOFx1MzA5Mlx1MzA0Mlx1MzA0NFx1MzA3ZVx1MzA0NFx1MzA2Ylx1MzA1N1x1MzA3ZVx1MzA1OVx1MzAwMiIsCiAgIm5hbWUiOiAiXHUzMDQyXHUzMDQ0XHUzMDdlXHUzMDQ0XHU2NjQyXHU4YTA4IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIkZ1enp5Q2xvY2tAa2syMDIwLmluZm8iLAogICJ2ZXJzaW9uIjogMgp9"}, "40": {"version": "2", "sha256": "0d5j69khnv6fyywkfkavl0fcrvqnzlqvspbsvvff3vxknl1japhh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlx1MzBjOFx1MzBjM1x1MzBkN1x1MzBkMFx1MzBmY1x1MzA2ZVx1NjY0Mlx1OGEwOFx1MzA5Mlx1MzA0Mlx1MzA0NFx1MzA3ZVx1MzA0NFx1MzA2Ylx1MzA1N1x1MzA3ZVx1MzA1OVx1MzAwMiIsCiAgIm5hbWUiOiAiXHUzMDQyXHUzMDQ0XHUzMDdlXHUzMDQ0XHU2NjQyXHU4YTA4IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIkZ1enp5Q2xvY2tAa2syMDIwLmluZm8iLAogICJ2ZXJzaW9uIjogMgp9"}}}
-, {"uuid": "wb@pwall.github.com", "name": "WindowButtons", "pname": "windowbuttons", "description": "This is an extension for Gnome 3.38 which puts minimize and close buttons in the top panel.", "link": "https://extensions.gnome.org/extension/4261/windowbuttons/", "shell_version_map": {"38": {"version": "3", "sha256": "024yhfbibj556mx1f2jzg0zj4p0ywm9rnvaff971pzni22m4biyj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgaXMgYW4gZXh0ZW5zaW9uIGZvciBHbm9tZSAzLjM4IHdoaWNoIHB1dHMgbWluaW1pemUgYW5kIGNsb3NlIGJ1dHRvbnMgaW4gdGhlIHRvcCBwYW5lbC4iLAogICJuYW1lIjogIldpbmRvd0J1dHRvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9QV2FsbDIyMjIvV0IiLAogICJ1dWlkIjogIndiQHB3YWxsLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}}}
+, {"uuid": "io.github.mreditor.gnome-shell-extensions.scroll-panel", "name": "Scroll Panel", "pname": "scroll-panel", "description": "This extension allows switching between windows or workspaces by scrolling Gnome Shell topbar with mouse (both in overview and workspace modes).", "link": "https://extensions.gnome.org/extension/4257/scroll-panel/", "shell_version_map": {"40": {"version": "6", "sha256": "0505zv1sbdd00pk1nfsjwqycq4mzih19r128aqpm35q4wj6frpn0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFsbG93cyBzd2l0Y2hpbmcgYmV0d2VlbiB3aW5kb3dzIG9yIHdvcmtzcGFjZXMgYnkgc2Nyb2xsaW5nIEdub21lIFNoZWxsIHRvcGJhciB3aXRoIG1vdXNlIChib3RoIGluIG92ZXJ2aWV3IGFuZCB3b3Jrc3BhY2UgbW9kZXMpLiIsCiAgImdldHRleHQtZG9tYWluIjogImlvLmdpdGh1Yi5tcmVkaXRvci5nbm9tZS1zaGVsbC1leHRlbnNpb25zLnNjcm9sbC1wYW5lbCIsCiAgIm5hbWUiOiAiU2Nyb2xsIFBhbmVsIiwKICAic2VtYW50aWMtdmVyc2lvbiI6ICI0LjEuNCtnaXQtMzdmMGM3YyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJpby5naXRodWIubXJlZGl0b3IuZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5zY3JvbGwtcGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21yRURpdG9yL2dub21lLXNoZWxsLWV4dGVuc2lvbi1zY3JvbGwtcGFuZWwiLAogICJ1dWlkIjogImlvLmdpdGh1Yi5tcmVkaXRvci5nbm9tZS1zaGVsbC1leHRlbnNpb25zLnNjcm9sbC1wYW5lbCIsCiAgInZlcnNpb24iOiA2Cn0="}}}
+, {"uuid": "wb@pwall.github.com", "name": "WindowButtons", "pname": "windowbuttons", "description": "This is an extension for Gnome 3.38 which puts minimize and close buttons in the top panel.", "link": "https://extensions.gnome.org/extension/4261/windowbuttons/", "shell_version_map": {"38": {"version": "6", "sha256": "1w9j3rmn8yhppfkxrgyfvws2hsr24ki60vi8d73hdhifpnfps2sy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgaXMgYW4gZXh0ZW5zaW9uIGZvciBHbm9tZSAzLjM4IHdoaWNoIHB1dHMgbWluaW1pemUgYW5kIGNsb3NlIGJ1dHRvbnMgaW4gdGhlIHRvcCBwYW5lbC4iLAogICJuYW1lIjogIldpbmRvd0J1dHRvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9QV2FsbDIyMjIvV0IiLAogICJ1dWlkIjogIndiQHB3YWxsLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNgp9"}}}
, {"uuid": "rippleremove@slippinggitty", "name": "Ripple Remove", "pname": "ripple-remove", "description": "Removes the ripple effect from the Activities hot corner", "link": "https://extensions.gnome.org/extension/4264/ripple-remove/", "shell_version_map": {"40": {"version": "1", "sha256": "1dnk8nfnv5hp8c11fzhn5vscicij4lk9i1vz25fz6sjc3rn1a9pq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZXMgdGhlIHJpcHBsZSBlZmZlY3QgZnJvbSB0aGUgQWN0aXZpdGllcyBob3QgY29ybmVyIiwKICAibmFtZSI6ICJSaXBwbGUgUmVtb3ZlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5zbGlwcGluZ2l0dHkucmlwcGxlcmVtb3ZlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJyaXBwbGVyZW1vdmVAc2xpcHBpbmdnaXR0eSIsCiAgInZlcnNpb24iOiAxCn0="}}}
, {"uuid": "quickmenu@slippinggitty", "name": "Quick Menu [DEAD]", "pname": "quick-menu", "description": "This is a fork of fedoramenu (which is a fork of Big Sur Menu by fausto) that scrubs references of Fedora by replacing the icon with the GNOME logo.\n\nQuick Menu is a panel applet similar to the Apple menu found on macOS.\n\nEDIT: This extension's purpose is dead. Check out Tofu Menu, which is fedoramenu, but with the ability to change the icon. https://extensions.gnome.org/extension/4272/tofu-menu/", "link": "https://extensions.gnome.org/extension/4266/quick-menu/", "shell_version_map": {"40": {"version": "1", "sha256": "1qla029n79366a7xvg2d9v0wa7272bqj40ggvbjrfsbd8x066aca", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgaXMgYSBmb3JrIG9mIGZlZG9yYW1lbnUgKHdoaWNoIGlzIGEgZm9yayBvZiBCaWcgU3VyIE1lbnUgYnkgZmF1c3RvKSB0aGF0IHNjcnVicyByZWZlcmVuY2VzIG9mIEZlZG9yYSBieSByZXBsYWNpbmcgdGhlIGljb24gd2l0aCB0aGUgR05PTUUgbG9nby5cblxuUXVpY2sgTWVudSBpcyBhIHBhbmVsIGFwcGxldCBzaW1pbGFyIHRvIHRoZSBBcHBsZSBtZW51IGZvdW5kIG9uIG1hY09TLlxuXG5FRElUOiBUaGlzIGV4dGVuc2lvbidzIHB1cnBvc2UgaXMgZGVhZC4gQ2hlY2sgb3V0IFRvZnUgTWVudSwgd2hpY2ggaXMgZmVkb3JhbWVudSwgYnV0IHdpdGggdGhlIGFiaWxpdHkgdG8gY2hhbmdlIHRoZSBpY29uLiBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi80MjcyL3RvZnUtbWVudS8iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJxdWljay1tZW51IiwKICAibmFtZSI6ICJRdWljayBNZW51IFtERUFEXSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuc2xpcHBpbmdpdHR5LnF1aWNrLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vU2xpcHBpbmdHaXR0eS9xdWlja21lbnUiLAogICJ1dWlkIjogInF1aWNrbWVudUBzbGlwcGluZ2dpdHR5IiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
-, {"uuid": "show-desktop-applet@valent-in", "name": "Show Desktop Applet", "pname": "show-desktop-applet", "description": "Minimize/unminimize all open windows with a single click.\n\nForked from https://extensions.gnome.org/extension/1194/show-desktop-button/\n\nFeatures:\n- Windows-like behavior\n- Can be placed at the end of panel\n- Hotkey support (can be activated in settings)", "link": "https://extensions.gnome.org/extension/4267/show-desktop-applet/", "shell_version_map": {"38": {"version": "1", "sha256": "0la28hsib8hvzkf8l4mppqml0pl7s0g620nc7q47cbvgjfs8zh62", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pbmltaXplL3VubWluaW1pemUgYWxsIG9wZW4gd2luZG93cyB3aXRoIGEgc2luZ2xlIGNsaWNrLlxuXG5Gb3JrZWQgZnJvbSBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi8xMTk0L3Nob3ctZGVza3RvcC1idXR0b24vXG5cbkZlYXR1cmVzOlxuLSBXaW5kb3dzLWxpa2UgYmVoYXZpb3Jcbi0gQ2FuIGJlIHBsYWNlZCBhdCB0aGUgZW5kIG9mIHBhbmVsXG4tIEhvdGtleSBzdXBwb3J0IChjYW4gYmUgYWN0aXZhdGVkIGluIHNldHRpbmdzKSIsCiAgImV4dGVuc2lvbi1pZCI6ICJzaG93LWRlc2t0b3AtYXBwbGV0IiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2hvdy1kZXNrdG9wLWFwcGxldCIsCiAgImxvY2FsZWRpciI6ICIvdXNyL3NoYXJlL2xvY2FsZSIsCiAgIm5hbWUiOiAiU2hvdyBEZXNrdG9wIEFwcGxldCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaG93LWRlc2t0b3AtYXBwbGV0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1ZhbGVudC1pbi9TaG93LURlc2t0b3AtQXBwbGV0IiwKICAidXVpZCI6ICJzaG93LWRlc2t0b3AtYXBwbGV0QHZhbGVudC1pbiIsCiAgInZlcnNpb24iOiAxCn0="}, "40": {"version": "1", "sha256": "0la28hsib8hvzkf8l4mppqml0pl7s0g620nc7q47cbvgjfs8zh62", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pbmltaXplL3VubWluaW1pemUgYWxsIG9wZW4gd2luZG93cyB3aXRoIGEgc2luZ2xlIGNsaWNrLlxuXG5Gb3JrZWQgZnJvbSBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi8xMTk0L3Nob3ctZGVza3RvcC1idXR0b24vXG5cbkZlYXR1cmVzOlxuLSBXaW5kb3dzLWxpa2UgYmVoYXZpb3Jcbi0gQ2FuIGJlIHBsYWNlZCBhdCB0aGUgZW5kIG9mIHBhbmVsXG4tIEhvdGtleSBzdXBwb3J0IChjYW4gYmUgYWN0aXZhdGVkIGluIHNldHRpbmdzKSIsCiAgImV4dGVuc2lvbi1pZCI6ICJzaG93LWRlc2t0b3AtYXBwbGV0IiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2hvdy1kZXNrdG9wLWFwcGxldCIsCiAgImxvY2FsZWRpciI6ICIvdXNyL3NoYXJlL2xvY2FsZSIsCiAgIm5hbWUiOiAiU2hvdyBEZXNrdG9wIEFwcGxldCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaG93LWRlc2t0b3AtYXBwbGV0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1ZhbGVudC1pbi9TaG93LURlc2t0b3AtQXBwbGV0IiwKICAidXVpZCI6ICJzaG93LWRlc2t0b3AtYXBwbGV0QHZhbGVudC1pbiIsCiAgInZlcnNpb24iOiAxCn0="}}}
-, {"uuid": "AlphabeticalAppGrid@stuarthayhurst", "name": "Alphabetical App Grid", "pname": "alphabetical-app-grid", "description": "Restore the alphabetical ordering of the app grid", "link": "https://extensions.gnome.org/extension/4269/alphabetical-app-grid/", "shell_version_map": {"38": {"version": "4", "sha256": "1dvkw39a9ihz2wbakk9mi48k3lypasz3290lv7ppqilb0dbp99yx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlc3RvcmUgdGhlIGFscGhhYmV0aWNhbCBvcmRlcmluZyBvZiB0aGUgYXBwIGdyaWQiLAogICJuYW1lIjogIkFscGhhYmV0aWNhbCBBcHAgR3JpZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdHVhcnRoYXlodXJzdC9hbHBoYWJldGljYWwtZ3JpZC1leHRlbnNpb24iLAogICJ1dWlkIjogIkFscGhhYmV0aWNhbEFwcEdyaWRAc3R1YXJ0aGF5aHVyc3QiLAogICJ2ZXJzaW9uIjogNAp9"}, "40": {"version": "4", "sha256": "1dvkw39a9ihz2wbakk9mi48k3lypasz3290lv7ppqilb0dbp99yx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlc3RvcmUgdGhlIGFscGhhYmV0aWNhbCBvcmRlcmluZyBvZiB0aGUgYXBwIGdyaWQiLAogICJuYW1lIjogIkFscGhhYmV0aWNhbCBBcHAgR3JpZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdHVhcnRoYXlodXJzdC9hbHBoYWJldGljYWwtZ3JpZC1leHRlbnNpb24iLAogICJ1dWlkIjogIkFscGhhYmV0aWNhbEFwcEdyaWRAc3R1YXJ0aGF5aHVyc3QiLAogICJ2ZXJzaW9uIjogNAp9"}}}
+, {"uuid": "show-desktop-applet@valent-in", "name": "Show Desktop Applet", "pname": "show-desktop-applet", "description": "Minimize/unminimize all open windows with a single click.\n\nForked from https://extensions.gnome.org/extension/1194/show-desktop-button/\n\nFeatures:\n- Windows-like behavior\n- Can be placed at the end of panel\n- Hotkey support (can be activated in settings)", "link": "https://extensions.gnome.org/extension/4267/show-desktop-applet/", "shell_version_map": {"38": {"version": "2", "sha256": "0ybzzr3gmii29lpjqzh7yad9yyvz1fg8gb3ip6lki2l84hs3aa0c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pbmltaXplL3VubWluaW1pemUgYWxsIG9wZW4gd2luZG93cyB3aXRoIGEgc2luZ2xlIGNsaWNrLlxuXG5Gb3JrZWQgZnJvbSBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi8xMTk0L3Nob3ctZGVza3RvcC1idXR0b24vXG5cbkZlYXR1cmVzOlxuLSBXaW5kb3dzLWxpa2UgYmVoYXZpb3Jcbi0gQ2FuIGJlIHBsYWNlZCBhdCB0aGUgZW5kIG9mIHBhbmVsXG4tIEhvdGtleSBzdXBwb3J0IChjYW4gYmUgYWN0aXZhdGVkIGluIHNldHRpbmdzKSIsCiAgImV4dGVuc2lvbi1pZCI6ICJzaG93LWRlc2t0b3AtYXBwbGV0IiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2hvdy1kZXNrdG9wLWFwcGxldCIsCiAgImxvY2FsZWRpciI6ICIvdXNyL3NoYXJlL2xvY2FsZSIsCiAgIm5hbWUiOiAiU2hvdyBEZXNrdG9wIEFwcGxldCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaG93LWRlc2t0b3AtYXBwbGV0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1ZhbGVudC1pbi9TaG93LURlc2t0b3AtQXBwbGV0IiwKICAidXVpZCI6ICJzaG93LWRlc2t0b3AtYXBwbGV0QHZhbGVudC1pbiIsCiAgInZlcnNpb24iOiAyCn0="}, "40": {"version": "2", "sha256": "0ybzzr3gmii29lpjqzh7yad9yyvz1fg8gb3ip6lki2l84hs3aa0c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pbmltaXplL3VubWluaW1pemUgYWxsIG9wZW4gd2luZG93cyB3aXRoIGEgc2luZ2xlIGNsaWNrLlxuXG5Gb3JrZWQgZnJvbSBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi8xMTk0L3Nob3ctZGVza3RvcC1idXR0b24vXG5cbkZlYXR1cmVzOlxuLSBXaW5kb3dzLWxpa2UgYmVoYXZpb3Jcbi0gQ2FuIGJlIHBsYWNlZCBhdCB0aGUgZW5kIG9mIHBhbmVsXG4tIEhvdGtleSBzdXBwb3J0IChjYW4gYmUgYWN0aXZhdGVkIGluIHNldHRpbmdzKSIsCiAgImV4dGVuc2lvbi1pZCI6ICJzaG93LWRlc2t0b3AtYXBwbGV0IiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2hvdy1kZXNrdG9wLWFwcGxldCIsCiAgImxvY2FsZWRpciI6ICIvdXNyL3NoYXJlL2xvY2FsZSIsCiAgIm5hbWUiOiAiU2hvdyBEZXNrdG9wIEFwcGxldCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaG93LWRlc2t0b3AtYXBwbGV0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1ZhbGVudC1pbi9TaG93LURlc2t0b3AtQXBwbGV0IiwKICAidXVpZCI6ICJzaG93LWRlc2t0b3AtYXBwbGV0QHZhbGVudC1pbiIsCiAgInZlcnNpb24iOiAyCn0="}}}
+, {"uuid": "AlphabeticalAppGrid@stuarthayhurst", "name": "Alphabetical App Grid", "pname": "alphabetical-app-grid", "description": "Restore the alphabetical ordering of the app grid", "link": "https://extensions.gnome.org/extension/4269/alphabetical-app-grid/", "shell_version_map": {"38": {"version": "15", "sha256": "1h3b3a8cbkjqfv7d1sq6j2kmaq3lf255gx7qw2h34m64cahm943y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlYnVnIjogZmFsc2UsCiAgImRlc2NyaXB0aW9uIjogIlJlc3RvcmUgdGhlIGFscGhhYmV0aWNhbCBvcmRlcmluZyBvZiB0aGUgYXBwIGdyaWQiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJBbHBoYWJldGljYWxBcHBHcmlkQHN0dWFydGhheWh1cnN0IiwKICAibmFtZSI6ICJBbHBoYWJldGljYWwgQXBwIEdyaWQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYWxwaGFiZXRpY2FsLWFwcC1ncmlkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdHVhcnRoYXlodXJzdC9hbHBoYWJldGljYWwtZ3JpZC1leHRlbnNpb24iLAogICJ1dWlkIjogIkFscGhhYmV0aWNhbEFwcEdyaWRAc3R1YXJ0aGF5aHVyc3QiLAogICJ2ZXJzaW9uIjogMTUKfQ=="}, "40": {"version": "15", "sha256": "1h3b3a8cbkjqfv7d1sq6j2kmaq3lf255gx7qw2h34m64cahm943y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlYnVnIjogZmFsc2UsCiAgImRlc2NyaXB0aW9uIjogIlJlc3RvcmUgdGhlIGFscGhhYmV0aWNhbCBvcmRlcmluZyBvZiB0aGUgYXBwIGdyaWQiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJBbHBoYWJldGljYWxBcHBHcmlkQHN0dWFydGhheWh1cnN0IiwKICAibmFtZSI6ICJBbHBoYWJldGljYWwgQXBwIEdyaWQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYWxwaGFiZXRpY2FsLWFwcC1ncmlkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdHVhcnRoYXlodXJzdC9hbHBoYWJldGljYWwtZ3JpZC1leHRlbnNpb24iLAogICJ1dWlkIjogIkFscGhhYmV0aWNhbEFwcEdyaWRAc3R1YXJ0aGF5aHVyc3QiLAogICJ2ZXJzaW9uIjogMTUKfQ=="}}}
, {"uuid": "tofumenu@tofu", "name": "Tofu Menu", "pname": "tofu-menu", "description": "Quick access menu for the GNOME panel with options that help ease the workflow for newcomers and power users alike.\n\nhttps://github.com/tofutech/tofumenu", "link": "https://extensions.gnome.org/extension/4272/tofu-menu/", "shell_version_map": {"40": {"version": "2", "sha256": "05ndbjvvz0v1y8iq6ngqylz4z1ld6q5ibhkr5dh24wqc4wiky30v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrIGFjY2VzcyBtZW51IGZvciB0aGUgR05PTUUgcGFuZWwgd2l0aCBvcHRpb25zIHRoYXQgaGVscCBlYXNlIHRoZSB3b3JrZmxvdyBmb3IgbmV3Y29tZXJzIGFuZCBwb3dlciB1c2VycyBhbGlrZS5cblxuaHR0cHM6Ly9naXRodWIuY29tL3RvZnV0ZWNoL3RvZnVtZW51IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZmVkb3JhLW1lbnUiLAogICJuYW1lIjogIlRvZnUgTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcudG9mdS5mZWRvcmEtbWVudSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAidG9mdW1lbnVAdG9mdSIsCiAgInZlcnNpb24iOiAyCn0="}}}
-, {"uuid": "cryptostash@filidorwiese.nl", "name": "CryptoStash", "pname": "cryptostash", "description": "Keep an eye on the real time value of your crypto coins collections.\n\nYou can create multiple \"stashes\" (portfolios) of coins and monitor the accumulated value in USD or EUR. Or if you prefer, you can simply track the current value of your favorite coin.", "link": "https://extensions.gnome.org/extension/4276/cryptostash/", "shell_version_map": {"38": {"version": "3", "sha256": "1qy8kyif3ayy3dkb8i6525cvpj5pvbw7a3qpinm3fp0471c0xjbh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dGhvcl91cmwiOiAiaHR0cHM6Ly9maWxpZG9yd2llc2UubmwiLAogICJkZXNjcmlwdGlvbiI6ICJLZWVwIGFuIGV5ZSBvbiB0aGUgcmVhbCB0aW1lIHZhbHVlIG9mIHlvdXIgY3J5cHRvIGNvaW5zIGNvbGxlY3Rpb25zLlxuXG5Zb3UgY2FuIGNyZWF0ZSBtdWx0aXBsZSBcInN0YXNoZXNcIiAocG9ydGZvbGlvcykgb2YgY29pbnMgYW5kIG1vbml0b3IgdGhlIGFjY3VtdWxhdGVkIHZhbHVlIGluIFVTRCBvciBFVVIuIE9yIGlmIHlvdSBwcmVmZXIsIHlvdSBjYW4gc2ltcGx5IHRyYWNrIHRoZSBjdXJyZW50IHZhbHVlIG9mIHlvdXIgZmF2b3JpdGUgY29pbi4iLAogICJuYW1lIjogIkNyeXB0b1N0YXNoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNyeXB0by1zdGFzaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ0YWciOiAxLjIsCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZmlsaWRvcndpZXNlL2dub21lLXNoZWxsLWNyeXB0by1zdGFzaCIsCiAgInV1aWQiOiAiY3J5cHRvc3Rhc2hAZmlsaWRvcndpZXNlLm5sIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
-, {"uuid": "xmlfix@pwall.github.com", "name": "XML-Fix", "pname": "xml-fix", "description": "Fixes the XML-Codes displaying instead of the characters on notifications.", "link": "https://extensions.gnome.org/extension/4279/xml-fix/", "shell_version_map": {"38": {"version": "1", "sha256": "0sksz7n2acvk9kg696qgd98m4d7221wgxvfg6chlzxfi2mx3yddh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZpeGVzIHRoZSBYTUwtQ29kZXMgZGlzcGxheWluZyBpbnN0ZWFkIG9mIHRoZSBjaGFyYWN0ZXJzIG9uIG5vdGlmaWNhdGlvbnMuIiwKICAibmFtZSI6ICJYTUwtRml4IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUFdhbGwyMjIyL1hNTEZpeCIsCiAgInV1aWQiOiAieG1sZml4QHB3YWxsLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}}}
+, {"uuid": "cryptostash@filidorwiese.nl", "name": "CryptoStash", "pname": "cryptostash", "description": "Keep an eye on the real time value of your crypto coins collections.\n\nYou can create multiple \"stashes\" (portfolios) of coins and monitor the accumulated value in USD or EUR. Or if you prefer, you can simply track the current value of your favorite coin.", "link": "https://extensions.gnome.org/extension/4276/cryptostash/", "shell_version_map": {"38": {"version": "4", "sha256": "0n1452ky1dppcxazgqxv5jc91l4piwp64rmc9b41ak3792j1sgbw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dGhvcl91cmwiOiAiaHR0cHM6Ly9maWxpZG9yd2llc2UubmwiLAogICJkZXNjcmlwdGlvbiI6ICJLZWVwIGFuIGV5ZSBvbiB0aGUgcmVhbCB0aW1lIHZhbHVlIG9mIHlvdXIgY3J5cHRvIGNvaW5zIGNvbGxlY3Rpb25zLlxuXG5Zb3UgY2FuIGNyZWF0ZSBtdWx0aXBsZSBcInN0YXNoZXNcIiAocG9ydGZvbGlvcykgb2YgY29pbnMgYW5kIG1vbml0b3IgdGhlIGFjY3VtdWxhdGVkIHZhbHVlIGluIFVTRCBvciBFVVIuIE9yIGlmIHlvdSBwcmVmZXIsIHlvdSBjYW4gc2ltcGx5IHRyYWNrIHRoZSBjdXJyZW50IHZhbHVlIG9mIHlvdXIgZmF2b3JpdGUgY29pbi4iLAogICJuYW1lIjogIkNyeXB0b1N0YXNoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNyeXB0by1zdGFzaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ0YWciOiAxLjMsCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZmlsaWRvcndpZXNlL2dub21lLXNoZWxsLWNyeXB0by1zdGFzaCIsCiAgInV1aWQiOiAiY3J5cHRvc3Rhc2hAZmlsaWRvcndpZXNlLm5sIiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
+, {"uuid": "xmlfix@pwall.github.com", "name": "XML-Fix", "pname": "xml-fix", "description": "Fixes the XML-Codes displaying instead of the characters on notifications.", "link": "https://extensions.gnome.org/extension/4279/xml-fix/", "shell_version_map": {"38": {"version": "2", "sha256": "1c9qa3ky873hkckylsbv0s7q9bmsnia7h8mlc36i7xz7h1ml6fpx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZpeGVzIHRoZSBYTUwtQ29kZXMgZGlzcGxheWluZyBpbnN0ZWFkIG9mIHRoZSBjaGFyYWN0ZXJzIG9uIG5vdGlmaWNhdGlvbnMuIiwKICAibmFtZSI6ICJYTUwtRml4IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUFdhbGwyMjIyL1hNTEZpeCIsCiAgInV1aWQiOiAieG1sZml4QHB3YWxsLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMgp9"}}}
, {"uuid": "gnometoggle@foreverxml.github.io", "name": "Gnome Toggle", "pname": "gnome-toggle", "description": "Turn on your GNOME dark mode! (For up-to-date versions, download from GitHub.)", "link": "https://extensions.gnome.org/extension/4287/gnome-toggle/", "shell_version_map": {"38": {"version": "1", "sha256": "1a1qvrdq0xglr0lf4pglaxhn03k9qxhh77n4g0d51r419l4y6n2r", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlR1cm4gb24geW91ciBHTk9NRSBkYXJrIG1vZGUhIChGb3IgdXAtdG8tZGF0ZSB2ZXJzaW9ucywgZG93bmxvYWQgZnJvbSBHaXRIdWIuKSIsCiAgIm5hbWUiOiAiR25vbWUgVG9nZ2xlIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImZvcmV2ZXJ4bWxAdHV0YS5pbyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mb3JldmVyeG1sL2dub21lLXRvZ2dsZSIsCiAgInV1aWQiOiAiZ25vbWV0b2dnbGVAZm9yZXZlcnhtbC5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMQp9"}, "40": {"version": "1", "sha256": "1a1qvrdq0xglr0lf4pglaxhn03k9qxhh77n4g0d51r419l4y6n2r", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlR1cm4gb24geW91ciBHTk9NRSBkYXJrIG1vZGUhIChGb3IgdXAtdG8tZGF0ZSB2ZXJzaW9ucywgZG93bmxvYWQgZnJvbSBHaXRIdWIuKSIsCiAgIm5hbWUiOiAiR25vbWUgVG9nZ2xlIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImZvcmV2ZXJ4bWxAdHV0YS5pbyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mb3JldmVyeG1sL2dub21lLXRvZ2dsZSIsCiAgInV1aWQiOiAiZ25vbWV0b2dnbGVAZm9yZXZlcnhtbC5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMQp9"}}}
-, {"uuid": "instantworkspaceswitcher@amalantony.net", "name": "Disable Workspace Switch Animation for GNOME 40+", "pname": "disable-workspace-switch-animation-for-gnome-40", "description": "Disables the workspace switch animation while preserving all other animations - instantly switch between workspaces with keyboard shortcuts.", "link": "https://extensions.gnome.org/extension/4290/disable-workspace-switch-animation-for-gnome-40/", "shell_version_map": {"40": {"version": "2", "sha256": "1iz7ik036567skkiklra6kfw8mxgv1nxfgqwc9sni0xk5xm4lgf8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGVzIHRoZSB3b3Jrc3BhY2Ugc3dpdGNoIGFuaW1hdGlvbiB3aGlsZSBwcmVzZXJ2aW5nIGFsbCBvdGhlciBhbmltYXRpb25zIC0gaW5zdGFudGx5IHN3aXRjaCBiZXR3ZWVuIHdvcmtzcGFjZXMgd2l0aCBrZXlib2FyZCBzaG9ydGN1dHMuIiwKICAibmFtZSI6ICJEaXNhYmxlIFdvcmtzcGFjZSBTd2l0Y2ggQW5pbWF0aW9uIGZvciBHTk9NRSA0MCsiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYW1hbGFudG9ueS9nbm9tZS1zaGVsbC1leHRlbnNpb24taW5zdGFudC13b3Jrc3BhY2Utc3dpdGNoZXIiLAogICJ1dWlkIjogImluc3RhbnR3b3Jrc3BhY2Vzd2l0Y2hlckBhbWFsYW50b255Lm5ldCIsCiAgInZlcnNpb24iOiAyCn0="}}}
-, {"uuid": "top-panel-notification-icons@5th0.github.com", "name": "Top Panel Notification Icons", "pname": "top-panel-notification-icons", "description": "Display notification icons in the Top Panel", "link": "https://extensions.gnome.org/extension/4293/top-panel-notification-icons/", "shell_version_map": {"38": {"version": "1", "sha256": "189wyvbyzhcbf2ipq2yf51b4pl1ycm3m118h63idgapjazndgkp7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgbm90aWZpY2F0aW9uIGljb25zIGluIHRoZSBUb3AgUGFuZWwiLAogICJuYW1lIjogIlRvcCBQYW5lbCBOb3RpZmljYXRpb24gSWNvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInRvcC1wYW5lbC1ub3RpZmljYXRpb24taWNvbnNANXRoMC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEKfQ=="}, "40": {"version": "1", "sha256": "189wyvbyzhcbf2ipq2yf51b4pl1ycm3m118h63idgapjazndgkp7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgbm90aWZpY2F0aW9uIGljb25zIGluIHRoZSBUb3AgUGFuZWwiLAogICJuYW1lIjogIlRvcCBQYW5lbCBOb3RpZmljYXRpb24gSWNvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInRvcC1wYW5lbC1ub3RpZmljYXRpb24taWNvbnNANXRoMC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
-, {"uuid": "clean-system-menu@astrapi.de", "name": "Clean System Menu", "pname": "clean-system-menu", "description": "Cleanup the System Menu from Power Options", "link": "https://extensions.gnome.org/extension/4298/clean-system-menu/", "shell_version_map": {"40": {"version": "1", "sha256": "1cdja7aj7r7bs4cac1x2rxx0wwj2ssd0fgqnvaglwgvx6zr989cl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNsZWFudXAgdGhlIFN5c3RlbSBNZW51IGZyb20gUG93ZXIgT3B0aW9ucyIsCiAgIm5hbWUiOiAiQ2xlYW4gU3lzdGVtIE1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImNsZWFuLXN5c3RlbS1tZW51QGFzdHJhcGkuZGUiLAogICJ2ZXJzaW9uIjogMQp9"}}}
+, {"uuid": "instantworkspaceswitcher@amalantony.net", "name": "Disable Workspace Switch Animation for GNOME 40+", "pname": "disable-workspace-switch-animation-for-gnome-40", "description": "Disables the workspace switch animation while preserving all other animations - instantly switch between workspaces with keyboard shortcuts.", "link": "https://extensions.gnome.org/extension/4290/disable-workspace-switch-animation-for-gnome-40/", "shell_version_map": {"40": {"version": "3", "sha256": "13jbmsq3h5d5isp57pyrkds4dr6isvnsglg0ivhkjblnx0cqyk94", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGVzIHRoZSB3b3Jrc3BhY2Ugc3dpdGNoIGFuaW1hdGlvbiB3aGlsZSBwcmVzZXJ2aW5nIGFsbCBvdGhlciBhbmltYXRpb25zIC0gaW5zdGFudGx5IHN3aXRjaCBiZXR3ZWVuIHdvcmtzcGFjZXMgd2l0aCBrZXlib2FyZCBzaG9ydGN1dHMuIiwKICAibmFtZSI6ICJEaXNhYmxlIFdvcmtzcGFjZSBTd2l0Y2ggQW5pbWF0aW9uIGZvciBHTk9NRSA0MCsiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FtYWxhbnRvbnkvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWluc3RhbnQtd29ya3NwYWNlLXN3aXRjaGVyIiwKICAidXVpZCI6ICJpbnN0YW50d29ya3NwYWNlc3dpdGNoZXJAYW1hbGFudG9ueS5uZXQiLAogICJ2ZXJzaW9uIjogMwp9"}}}
+, {"uuid": "top-panel-notification-icons@5th0.github.com", "name": "Top Panel Notification Icons", "pname": "top-panel-notification-icons", "description": "Display notification icons in the Top", "link": "https://extensions.gnome.org/extension/4293/top-panel-notification-icons/", "shell_version_map": {"38": {"version": "1", "sha256": "0s84r45nc1kyv6sc6a9jbxv981f1ih8g2nfdzrzd33n3032f30px", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgbm90aWZpY2F0aW9uIGljb25zIGluIHRoZSBUb3AiLAogICJuYW1lIjogIlRvcCBQYW5lbCBOb3RpZmljYXRpb24gSWNvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInRvcC1wYW5lbC1ub3RpZmljYXRpb24taWNvbnNANXRoMC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEKfQ=="}, "40": {"version": "1", "sha256": "0s84r45nc1kyv6sc6a9jbxv981f1ih8g2nfdzrzd33n3032f30px", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgbm90aWZpY2F0aW9uIGljb25zIGluIHRoZSBUb3AiLAogICJuYW1lIjogIlRvcCBQYW5lbCBOb3RpZmljYXRpb24gSWNvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInRvcC1wYW5lbC1ub3RpZmljYXRpb24taWNvbnNANXRoMC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
+, {"uuid": "custom-menu-panel@AndreaBenini", "name": "custom-menu-panel", "pname": "custom-menu-panel", "description": "Quick custom menu for launching your favorite applications", "link": "https://extensions.gnome.org/extension/4295/custom-menu-panel/", "shell_version_map": {"40": {"version": "2", "sha256": "12d8p8cmsq93pmyd6y07jx7r0ls4h7pfqlhp8bvmsw48gmiv5sq8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrIGN1c3RvbSBtZW51IGZvciBsYXVuY2hpbmcgeW91ciBmYXZvcml0ZSBhcHBsaWNhdGlvbnMiLAogICJuYW1lIjogImN1c3RvbS1tZW51LXBhbmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJjdXN0b20tbWVudS1wYW5lbEBBbmRyZWFCZW5pbmkiLAogICJ2ZXJzaW9uIjogMgp9"}}}
+, {"uuid": "clean-system-menu@astrapi.de", "name": "Clean System Menu", "pname": "clean-system-menu", "description": "Cleanup the System Menu from Power Options", "link": "https://extensions.gnome.org/extension/4298/clean-system-menu/", "shell_version_map": {"40": {"version": "4", "sha256": "1x3fvi8qbbbqa9nc5xqp7ql2djcrch2w5azw0xq1dampdgz1976q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNsZWFudXAgdGhlIFN5c3RlbSBNZW51IGZyb20gUG93ZXIgT3B0aW9ucyIsCiAgIm5hbWUiOiAiQ2xlYW4gU3lzdGVtIE1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvYXN0cmFwaS9jbGVhbi1zeXN0ZW0tbWVudSIsCiAgInV1aWQiOiAiY2xlYW4tc3lzdGVtLW1lbnVAYXN0cmFwaS5kZSIsCiAgInZlcnNpb24iOiA0Cn0="}}}
+, {"uuid": "spindown-harddisk@johannes.bittner.gmail.com", "name": "Spin down hard disk", "pname": "spin-down-hard-disk", "description": "Spins down the hard disk (and keeps it spun down)", "link": "https://extensions.gnome.org/extension/4299/spin-down-hard-disk/", "shell_version_map": {"38": {"version": "2", "sha256": "0cfjjbfk7rbj9dsy56sq8ga6i5wkz5p3xqbykxv16xbhgh4i2n01", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNwaW5zIGRvd24gdGhlIGhhcmQgZGlzayAoYW5kIGtlZXBzIGl0IHNwdW4gZG93bikiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzcGluZG93bi1oYXJkZGlzayIsCiAgIm5hbWUiOiAiU3BpbiBkb3duIGhhcmQgZGlzayIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zcGluZG93bi1oYXJkZGlzayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAic3BpbmRvd24taGFyZGRpc2tAam9oYW5uZXMuYml0dG5lci5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMgp9"}, "40": {"version": "2", "sha256": "0cfjjbfk7rbj9dsy56sq8ga6i5wkz5p3xqbykxv16xbhgh4i2n01", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNwaW5zIGRvd24gdGhlIGhhcmQgZGlzayAoYW5kIGtlZXBzIGl0IHNwdW4gZG93bikiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzcGluZG93bi1oYXJkZGlzayIsCiAgIm5hbWUiOiAiU3BpbiBkb3duIGhhcmQgZGlzayIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zcGluZG93bi1oYXJkZGlzayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAic3BpbmRvd24taGFyZGRpc2tAam9oYW5uZXMuYml0dG5lci5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMgp9"}}}
+, {"uuid": "user-pics@comfy", "name": "User Pics", "pname": "user-pics", "description": "lucasalveslm's User Account Image for gnome-shell 3.38", "link": "https://extensions.gnome.org/extension/4301/user-pics/", "shell_version_map": {"38": {"version": "3", "sha256": "1rv6x551dm3hynfkm291b4c552j9d6q89ixmrq0x97xgw75n14fx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImx1Y2FzYWx2ZXNsbSdzIFVzZXIgQWNjb3VudCBJbWFnZSBmb3IgZ25vbWUtc2hlbGwgMy4zOCIsCiAgIm5hbWUiOiAiVXNlciBQaWNzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInVzZXItcGljc0Bjb21meSIsCiAgInZlcnNpb24iOiAzCn0="}}}
+, {"uuid": "screendarker@yingshaoxo.github.com", "name": "Screen Darker", "pname": "screen-darker", "description": "Help you do a switch between a darker screen and brighter screen by one click.", "link": "https://extensions.gnome.org/extension/4304/screen-darker/", "shell_version_map": {"38": {"version": "1", "sha256": "1zlncw0y5crq6n0slhq1f9npzvkkcyh0187z88mzycr55nl4rsx8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhlbHAgeW91IGRvIGEgc3dpdGNoIGJldHdlZW4gYSBkYXJrZXIgc2NyZWVuIGFuZCBicmlnaHRlciBzY3JlZW4gYnkgb25lIGNsaWNrLiIsCiAgIm5hbWUiOiAiU2NyZWVuIERhcmtlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3lpbmdzaGFveG8vZ25vbWUtc2hlbGwtc2NyZWVuLWRhcmtlciIsCiAgInV1aWQiOiAic2NyZWVuZGFya2VyQHlpbmdzaGFveG8uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxCn0="}}}
+, {"uuid": "umi-coin-rate@exposedcat", "name": "UMI Coin Rate", "pname": "umi-coin-rate", "description": "Add UMI coin rate to the panel", "link": "https://extensions.gnome.org/extension/4307/umi-coin-rate/", "shell_version_map": {"38": {"version": "5", "sha256": "13fh82kwma7qdis6ny0c5bw9fxx103p6mj2434fsgfra3d1k8aqh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBVTUkgY29pbiByYXRlIHRvIHRoZSBwYW5lbCIsCiAgIm5hbWUiOiAiVU1JIENvaW4gUmF0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9FeHBvc2VkQ2F0L3VtaS1jb2luLXJhdGUiLAogICJ1dWlkIjogInVtaS1jb2luLXJhdGVAZXhwb3NlZGNhdCIsCiAgInZlcnNpb24iOiA1Cn0="}, "40": {"version": "5", "sha256": "13fh82kwma7qdis6ny0c5bw9fxx103p6mj2434fsgfra3d1k8aqh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBVTUkgY29pbiByYXRlIHRvIHRoZSBwYW5lbCIsCiAgIm5hbWUiOiAiVU1JIENvaW4gUmF0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9FeHBvc2VkQ2F0L3VtaS1jb2luLXJhdGUiLAogICJ1dWlkIjogInVtaS1jb2luLXJhdGVAZXhwb3NlZGNhdCIsCiAgInZlcnNpb24iOiA1Cn0="}}}
+, {"uuid": "network-stats@gnome.noroadsleft.xyz", "name": "Network Stats", "pname": "network-stats", "description": "Displays internet upload speed, download speed, bandwidth, data usage. \n\n visit github page for instructions.", "link": "https://extensions.gnome.org/extension/4308/network-stats/", "shell_version_map": {"38": {"version": "8", "sha256": "1znmrvrvbxd5xyiyrm43rrb3lxmjv1xnwi17m7a4ly36mjww9llv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIGludGVybmV0IHVwbG9hZCBzcGVlZCwgZG93bmxvYWQgc3BlZWQsIGJhbmR3aWR0aCwgZGF0YSB1c2FnZS4gXG5cbiB2aXNpdCBnaXRodWIgcGFnZSBmb3IgaW5zdHJ1Y3Rpb25zLiIsCiAgImdldHRleHQtZG9tYWluIjogIm5ldHdvcmstc3RhdHMiLAogICJuYW1lIjogIk5ldHdvcmsgU3RhdHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubmV0d29yay1zdGF0cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL25vcm9hZHNsZWZ0MDAwL2dub21lLW5ldHdvcmstc3RhdHMiLAogICJ1dWlkIjogIm5ldHdvcmstc3RhdHNAZ25vbWUubm9yb2Fkc2xlZnQueHl6IiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
+, {"uuid": "screen-lock@garciabaameiro.com", "name": "Screen lock", "pname": "extension-list", "description": "Simple gnome shell extension to use xscreensaver in top panel", "link": "https://extensions.gnome.org/extension/4311/extension-list/", "shell_version_map": {"40": {"version": "1", "sha256": "1jas7pcn3a28fnfs3azrbiqf22gx337js6if8v8vsb15994pbak6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBnbm9tZSBzaGVsbCBleHRlbnNpb24gdG8gdXNlIHhzY3JlZW5zYXZlciBpbiB0b3AgcGFuZWwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzY3JlZW4tbG9jayIsCiAgIm5hbWUiOiAiU2NyZWVuIGxvY2siLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc2NyZWVuLWxvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vU2F3eWVyMTMvc2NyZWVuLWxvY2siLAogICJ1dWlkIjogInNjcmVlbi1sb2NrQGdhcmNpYWJhYW1laXJvLmNvbSIsCiAgInZlcnNpb24iOiAxCn0="}}}
+, {"uuid": "force-show-osk@bruh.ltd", "name": "Force Show OSK", "pname": "force-show-osk", "description": "Show the on-screen keyboard regardless of whether the touch mode is enabled", "link": "https://extensions.gnome.org/extension/4316/force-show-osk/", "shell_version_map": {"40": {"version": "3", "sha256": "0p2v36bliaa6i85b4r0g38ih9fidn95dwmyhc3dygvynjzvvv56b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgdGhlIG9uLXNjcmVlbiBrZXlib2FyZCByZWdhcmRsZXNzIG9mIHdoZXRoZXIgdGhlIHRvdWNoIG1vZGUgaXMgZW5hYmxlZCIsCiAgIm5hbWUiOiAiRm9yY2UgU2hvdyBPU0siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2tpcmJ5a2V2aW5zb24vZm9yY2Utc2hvdy1vc2siLAogICJ1dWlkIjogImZvcmNlLXNob3ctb3NrQGJydWgubHRkIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
+, {"uuid": "hide-activities-button@nmingori.gnome-shell-extensions.org", "name": "Hide Activities Button", "pname": "hide-activities-button", "description": "Hide Activities Button on the top panel. For Gnome 40 or 3.6+", "link": "https://extensions.gnome.org/extension/4325/hide-activities-button/", "shell_version_map": {"38": {"version": "1", "sha256": "0644h9cqy07h1fgr9wcjp4agvszrcpk6f0yr725hxfil600k5m9b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgQWN0aXZpdGllcyBCdXR0b24gb24gdGhlIHRvcCBwYW5lbC4gRm9yIEdub21lIDQwIG9yIDMuNisiLAogICJuYW1lIjogIkhpZGUgQWN0aXZpdGllcyBCdXR0b24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuNiIsCiAgICAiMy44IiwKICAgICIzLjEwIiwKICAgICIzLjEyIiwKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL25taW5nb3JpL2dub21lLWhpZGUtYWN0aXRpdmllcy1idXR0b24iLAogICJ1dWlkIjogImhpZGUtYWN0aXZpdGllcy1idXR0b25Abm1pbmdvcmkuZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5vcmciLAogICJ2ZXJzaW9uIjogMQp9"}, "40": {"version": "1", "sha256": "0644h9cqy07h1fgr9wcjp4agvszrcpk6f0yr725hxfil600k5m9b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgQWN0aXZpdGllcyBCdXR0b24gb24gdGhlIHRvcCBwYW5lbC4gRm9yIEdub21lIDQwIG9yIDMuNisiLAogICJuYW1lIjogIkhpZGUgQWN0aXZpdGllcyBCdXR0b24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuNiIsCiAgICAiMy44IiwKICAgICIzLjEwIiwKICAgICIzLjEyIiwKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL25taW5nb3JpL2dub21lLWhpZGUtYWN0aXRpdmllcy1idXR0b24iLAogICJ1dWlkIjogImhpZGUtYWN0aXZpdGllcy1idXR0b25Abm1pbmdvcmkuZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5vcmciLAogICJ2ZXJzaW9uIjogMQp9"}}}
+, {"uuid": "apt-shortcuts@rx1310", "name": "Shortcuts for APT", "pname": "shortcuts-for-apt", "description": "A small extension that adds buttons to the panel to check for APT updates through the terminal without entering commands.", "link": "https://extensions.gnome.org/extension/4328/shortcuts-for-apt/", "shell_version_map": {"38": {"version": "2", "sha256": "1dagzbryg85hgdksxqb90j6ia1b4rfpm46jihviivc8jz1iqb6f0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc21hbGwgZXh0ZW5zaW9uIHRoYXQgYWRkcyBidXR0b25zIHRvIHRoZSBwYW5lbCB0byBjaGVjayBmb3IgQVBUIHVwZGF0ZXMgdGhyb3VnaCB0aGUgdGVybWluYWwgd2l0aG91dCBlbnRlcmluZyBjb21tYW5kcy4iLAogICJuYW1lIjogIlNob3J0Y3V0cyBmb3IgQVBUIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3J4MTMxMC9nbm9tZS1leHRlbnNpb25fYXB0dXBkYXRlIiwKICAidXVpZCI6ICJhcHQtc2hvcnRjdXRzQHJ4MTMxMCIsCiAgInZlcnNpb24iOiAyCn0="}, "40": {"version": "2", "sha256": "1dagzbryg85hgdksxqb90j6ia1b4rfpm46jihviivc8jz1iqb6f0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc21hbGwgZXh0ZW5zaW9uIHRoYXQgYWRkcyBidXR0b25zIHRvIHRoZSBwYW5lbCB0byBjaGVjayBmb3IgQVBUIHVwZGF0ZXMgdGhyb3VnaCB0aGUgdGVybWluYWwgd2l0aG91dCBlbnRlcmluZyBjb21tYW5kcy4iLAogICJuYW1lIjogIlNob3J0Y3V0cyBmb3IgQVBUIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3J4MTMxMC9nbm9tZS1leHRlbnNpb25fYXB0dXBkYXRlIiwKICAidXVpZCI6ICJhcHQtc2hvcnRjdXRzQHJ4MTMxMCIsCiAgInZlcnNpb24iOiAyCn0="}}}
+, {"uuid": "ideapad-mode@annexhack.inceptive.ru", "name": "ideapad mode", "pname": "ideapad-mode", "description": "Chargning mode indicator for laptops. Allows to switch the charging mode from conservative or healthy to normal mode. Indicates also which mode you are using now. Works on Lenovo Slim 7.\n\nPlease Report Problems or issues on Gitlab at:\n\nhttps://gitlab.com/annexhack/conservation-mode-lenovo", "link": "https://extensions.gnome.org/extension/4331/ideapad-mode/", "shell_version_map": {"40": {"version": "3", "sha256": "0hd0rqkrly27c2bqg7403ng192blrcidb2hrykx4i170i2x604fv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYXJnbmluZyBtb2RlIGluZGljYXRvciBmb3IgbGFwdG9wcy4gQWxsb3dzIHRvIHN3aXRjaCB0aGUgY2hhcmdpbmcgbW9kZSBmcm9tIGNvbnNlcnZhdGl2ZSBvciBoZWFsdGh5IHRvIG5vcm1hbCBtb2RlLiBJbmRpY2F0ZXMgYWxzbyB3aGljaCBtb2RlIHlvdSBhcmUgdXNpbmcgbm93LiBXb3JrcyBvbiBMZW5vdm8gU2xpbSA3LlxuXG5QbGVhc2UgUmVwb3J0IFByb2JsZW1zIG9yIGlzc3VlcyBvbiBHaXRsYWIgYXQ6XG5cbmh0dHBzOi8vZ2l0bGFiLmNvbS9hbm5leGhhY2svY29uc2VydmF0aW9uLW1vZGUtbGVub3ZvIiwKICAibmFtZSI6ICJpZGVhcGFkIG1vZGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2FubmV4aGFjay9jb25zZXJ2YXRpb24tbW9kZS1sZW5vdm8iLAogICJ1dWlkIjogImlkZWFwYWQtbW9kZUBhbm5leGhhY2suaW5jZXB0aXZlLnJ1IiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
+, {"uuid": "ubuntu-yaru-appearance@itzlightyhd", "name": "Ubuntu Appearance (GNOME 40)", "pname": "ubuntu-appearance-gnome-40", "description": "Adds a toggle between the default Ubuntu themes on GNOME 40. Forked from https://github.com/Muqtxdir/yaru-remix-theme-toggle.\n\nIt requires the `gnome-shell-extension-prefs` package installed on your Linux environment.", "link": "https://extensions.gnome.org/extension/4335/ubuntu-appearance-gnome-40/", "shell_version_map": {"40": {"version": "1", "sha256": "16068sihwydg99w82nw6qr3lrnq1vh8jl8fbr3q27i3zyds4xyja", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dGhvcnMiOiBbCiAgICAiQXBleGllRGV2ZWxvcG1lbnQiLAogICAgIkl0ekxpZ2h0eUhEIgogIF0sCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSB0b2dnbGUgYmV0d2VlbiB0aGUgZGVmYXVsdCBVYnVudHUgdGhlbWVzIG9uIEdOT01FIDQwLiBGb3JrZWQgZnJvbSBodHRwczovL2dpdGh1Yi5jb20vTXVxdHhkaXIveWFydS1yZW1peC10aGVtZS10b2dnbGUuXG5cbkl0IHJlcXVpcmVzIHRoZSBgZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXByZWZzYCBwYWNrYWdlIGluc3RhbGxlZCBvbiB5b3VyIExpbnV4IGVudmlyb25tZW50LiIsCiAgIm5hbWUiOiAiVWJ1bnR1IEFwcGVhcmFuY2UgKEdOT01FIDQwKSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9BcGV4aWVEZXZlbG9wbWVudC91YnVudHUteWFydS1hcHBlYXJhbmNlIiwKICAidXVpZCI6ICJ1YnVudHUteWFydS1hcHBlYXJhbmNlQGl0emxpZ2h0eWhkIiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
+, {"uuid": "desktopicons-neo@darkdemon", "name": "Desktop Icons: Neo", "pname": "desktop-icons-neo", "description": "This adds desktop icons to GNOME. A fork of Desktop Icons NG with a massive amount of customizations (like icon shapes and curved corners), features, bug fixes, and overall polish.", "link": "https://extensions.gnome.org/extension/4337/desktop-icons-neo/", "shell_version_map": {"38": {"version": "5", "sha256": "0g1bm8day6jn9zbw661dqgdk96vgl1jd3snzwwfgmlkxgxshbq09", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgYWRkcyBkZXNrdG9wIGljb25zIHRvIEdOT01FLiBBIGZvcmsgb2YgRGVza3RvcCBJY29ucyBORyB3aXRoIGEgbWFzc2l2ZSBhbW91bnQgb2YgY3VzdG9taXphdGlvbnMgKGxpa2UgaWNvbiBzaGFwZXMgYW5kIGN1cnZlZCBjb3JuZXJzKSwgZmVhdHVyZXMsIGJ1ZyBmaXhlcywgYW5kIG92ZXJhbGwgcG9saXNoLiIsCiAgIm5hbWUiOiAiRGVza3RvcCBJY29uczogTmVvIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0RFTTBOQXNzaXNzYW43L2Rlc2t0b3AtaWNvbnMtbmVvIiwKICAidXVpZCI6ICJkZXNrdG9waWNvbnMtbmVvQGRhcmtkZW1vbiIsCiAgInZlcnNpb24iOiA1Cn0="}, "40": {"version": "5", "sha256": "0g1bm8day6jn9zbw661dqgdk96vgl1jd3snzwwfgmlkxgxshbq09", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgYWRkcyBkZXNrdG9wIGljb25zIHRvIEdOT01FLiBBIGZvcmsgb2YgRGVza3RvcCBJY29ucyBORyB3aXRoIGEgbWFzc2l2ZSBhbW91bnQgb2YgY3VzdG9taXphdGlvbnMgKGxpa2UgaWNvbiBzaGFwZXMgYW5kIGN1cnZlZCBjb3JuZXJzKSwgZmVhdHVyZXMsIGJ1ZyBmaXhlcywgYW5kIG92ZXJhbGwgcG9saXNoLiIsCiAgIm5hbWUiOiAiRGVza3RvcCBJY29uczogTmVvIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0RFTTBOQXNzaXNzYW43L2Rlc2t0b3AtaWNvbnMtbmVvIiwKICAidXVpZCI6ICJkZXNrdG9waWNvbnMtbmVvQGRhcmtkZW1vbiIsCiAgInZlcnNpb24iOiA1Cn0="}}}
+, {"uuid": "allowlockedremotedesktop@kamens.us", "name": "Allow Locked Remote Desktop", "pname": "allow-locked-remote-desktop", "description": "Allow remote desktop connections when the screen is locked", "link": "https://extensions.gnome.org/extension/4338/allow-locked-remote-desktop/", "shell_version_map": {"38": {"version": "2", "sha256": "16kh8zp97905r3w0jx57r27x6lhkmrxavkj57nvp1zvhyhdc8mh3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IHJlbW90ZSBkZXNrdG9wIGNvbm5lY3Rpb25zIHdoZW4gdGhlIHNjcmVlbiBpcyBsb2NrZWQiLAogICJuYW1lIjogIkFsbG93IExvY2tlZCBSZW1vdGUgRGVza3RvcCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAiYWxsb3dsb2NrZWRyZW1vdGVkZXNrdG9wQGthbWVucy51cyIsCiAgInZlcnNpb24iOiAyCn0="}, "40": {"version": "2", "sha256": "16kh8zp97905r3w0jx57r27x6lhkmrxavkj57nvp1zvhyhdc8mh3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IHJlbW90ZSBkZXNrdG9wIGNvbm5lY3Rpb25zIHdoZW4gdGhlIHNjcmVlbiBpcyBsb2NrZWQiLAogICJuYW1lIjogIkFsbG93IExvY2tlZCBSZW1vdGUgRGVza3RvcCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAiYWxsb3dsb2NrZWRyZW1vdGVkZXNrdG9wQGthbWVucy51cyIsCiAgInZlcnNpb24iOiAyCn0="}}}
+, {"uuid": "mumble-ping@maweil.github.com", "name": "MumblePing", "pname": "mumbleping", "description": "Displays how many users are currently online on a mumble server", "link": "https://extensions.gnome.org/extension/4341/mumbleping/", "shell_version_map": {"38": {"version": "1", "sha256": "1xvz9dshkhgil1ivsj47lil3d5g2k6l7l3phdqb11kpd14873xa5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIGhvdyBtYW55IHVzZXJzIGFyZSBjdXJyZW50bHkgb25saW5lIG9uIGEgbXVtYmxlIHNlcnZlciIsCiAgImdldHRleHQtZG9tYWluIjogIm11bWJsZS1waW5nIiwKICAibmFtZSI6ICJNdW1ibGVQaW5nIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm11bWJsZS1waW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21hd2VpbC9nbm9tZS1zaGVsbC1leHRlbnNpb24tbXVtYmxlLXBpbmciLAogICJ1dWlkIjogIm11bWJsZS1waW5nQG1hd2VpbC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEKfQ=="}, "40": {"version": "1", "sha256": "1xvz9dshkhgil1ivsj47lil3d5g2k6l7l3phdqb11kpd14873xa5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIGhvdyBtYW55IHVzZXJzIGFyZSBjdXJyZW50bHkgb25saW5lIG9uIGEgbXVtYmxlIHNlcnZlciIsCiAgImdldHRleHQtZG9tYWluIjogIm11bWJsZS1waW5nIiwKICAibmFtZSI6ICJNdW1ibGVQaW5nIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm11bWJsZS1waW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21hd2VpbC9nbm9tZS1zaGVsbC1leHRlbnNpb24tbXVtYmxlLXBpbmciLAogICJ1dWlkIjogIm11bWJsZS1waW5nQG1hd2VpbC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
+, {"uuid": "simply.workspaces@andyrichardson.dev", "name": "Simply Workspaces", "pname": "simply-workspaces", "description": "Workspace indication with an i3/polybar style.", "link": "https://extensions.gnome.org/extension/4343/simply-workspaces/", "shell_version_map": {"40": {"version": "5", "sha256": "1gkmzf93xnkv58g6vxzvnr8bsybqlwm5qjipvqx3iv3rhygk8i86", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldvcmtzcGFjZSBpbmRpY2F0aW9uIHdpdGggYW4gaTMvcG9seWJhciBzdHlsZS4iLAogICJuYW1lIjogIlNpbXBseSBXb3Jrc3BhY2VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FuZHlyaWNoYXJkc29uL3NpbXBseS13b3Jrc3BhY2VzIiwKICAidXVpZCI6ICJzaW1wbHkud29ya3NwYWNlc0BhbmR5cmljaGFyZHNvbi5kZXYiLAogICJ2ZXJzaW9uIjogNQp9"}}}
+, {"uuid": "nonblockingswitcher@scottworley.com", "name": "Non-Blocking Switcher", "pname": "non-blocking-switcher", "description": "Application switching oughtn't stop all other keyboard shortcuts", "link": "https://extensions.gnome.org/extension/4347/non-blocking-switcher/", "shell_version_map": {"40": {"version": "2", "sha256": "05a59fi1454kxh2q8p5qp3an7ss243v3d67jm37k6xggwd59v5fn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGxpY2F0aW9uIHN3aXRjaGluZyBvdWdodG4ndCBzdG9wIGFsbCBvdGhlciBrZXlib2FyZCBzaG9ydGN1dHMiLAogICJuYW1lIjogIk5vbi1CbG9ja2luZyBTd2l0Y2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMiIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9jaHVjay9ub24tYmxvY2tpbmctc3dpdGNoZXIiLAogICJ1dWlkIjogIm5vbmJsb2NraW5nc3dpdGNoZXJAc2NvdHR3b3JsZXkuY29tIiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
+, {"uuid": "fluoroom-gnome-top-bar@fluoroom.github.com", "name": "fluoroom's Top Bar", "pname": "fluorooms-top-bar", "description": "Persistent top bar based on Gnome's default style, with some modifications.\n\nThis extension makes black background and screen corners persistent and makes buttons round, but it will respect your current theme's fonts, margins and icons.", "link": "https://extensions.gnome.org/extension/4350/fluorooms-top-bar/", "shell_version_map": {"40": {"version": "4", "sha256": "1gm6ijr66nrs4r7cp2ksdy4sppf3vrg88lvf1gs993q6r894li89", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlBlcnNpc3RlbnQgdG9wIGJhciBiYXNlZCBvbiBHbm9tZSdzIGRlZmF1bHQgc3R5bGUsIHdpdGggc29tZSBtb2RpZmljYXRpb25zLlxuXG5UaGlzIGV4dGVuc2lvbiBtYWtlcyBibGFjayBiYWNrZ3JvdW5kIGFuZCBzY3JlZW4gY29ybmVycyBwZXJzaXN0ZW50IGFuZCBtYWtlcyBidXR0b25zIHJvdW5kLCBidXQgaXQgd2lsbCByZXNwZWN0IHlvdXIgY3VycmVudCB0aGVtZSdzIGZvbnRzLCBtYXJnaW5zIGFuZCBpY29ucy4iLAogICJuYW1lIjogImZsdW9yb29tJ3MgVG9wIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mbHVvcm9vbS9mbHVvcm9vbS1nbm9tZS10b3AtYmFyIiwKICAidXVpZCI6ICJmbHVvcm9vbS1nbm9tZS10b3AtYmFyQGZsdW9yb29tLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNAp9"}}}
+, {"uuid": "mbpbat@prithvivishak.gmail.com", "name": "MacBook Battery Percentage Corrector", "pname": "macbook-battery-percentage-corrector", "description": "Corrects only the battery percentage shown in the panel aggregate menu.\nDoes not mess with UPower or other subsystems.\nThe battery percentage shown on MacBooks does not account for battery degradation. This extension corrects for this.\nSee https://mysorelug.indriyallc.net/articles/2021/06/writing-gnome-extension/index.html for more details.", "link": "https://extensions.gnome.org/extension/4353/macbook-battery-percentage-corrector/", "shell_version_map": {"38": {"version": "2", "sha256": "1vnq9yz0i75gmh6dqn6q6qz6xcbbyjnz763vhrbmmn6qk4siixhm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvcnJlY3RzIG9ubHkgdGhlIGJhdHRlcnkgcGVyY2VudGFnZSBzaG93biBpbiB0aGUgcGFuZWwgYWdncmVnYXRlIG1lbnUuXG5Eb2VzIG5vdCBtZXNzIHdpdGggVVBvd2VyIG9yIG90aGVyIHN1YnN5c3RlbXMuXG5UaGUgYmF0dGVyeSBwZXJjZW50YWdlIHNob3duIG9uIE1hY0Jvb2tzIGRvZXMgbm90IGFjY291bnQgZm9yIGJhdHRlcnkgZGVncmFkYXRpb24uIFRoaXMgZXh0ZW5zaW9uIGNvcnJlY3RzIGZvciB0aGlzLlxuU2VlIGh0dHBzOi8vbXlzb3JlbHVnLmluZHJpeWFsbGMubmV0L2FydGljbGVzLzIwMjEvMDYvd3JpdGluZy1nbm9tZS1leHRlbnNpb24vaW5kZXguaHRtbCBmb3IgbW9yZSBkZXRhaWxzLiIsCiAgIm5hbWUiOiAiTWFjQm9vayBCYXR0ZXJ5IFBlcmNlbnRhZ2UgQ29ycmVjdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9iaXRidWNrZXQub3JnL3B2cHVibGljL21icGJhdC9zcmMvbWFzdGVyLyIsCiAgInV1aWQiOiAibWJwYmF0QHByaXRodml2aXNoYWsuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDIKfQ=="}, "40": {"version": "2", "sha256": "1vnq9yz0i75gmh6dqn6q6qz6xcbbyjnz763vhrbmmn6qk4siixhm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvcnJlY3RzIG9ubHkgdGhlIGJhdHRlcnkgcGVyY2VudGFnZSBzaG93biBpbiB0aGUgcGFuZWwgYWdncmVnYXRlIG1lbnUuXG5Eb2VzIG5vdCBtZXNzIHdpdGggVVBvd2VyIG9yIG90aGVyIHN1YnN5c3RlbXMuXG5UaGUgYmF0dGVyeSBwZXJjZW50YWdlIHNob3duIG9uIE1hY0Jvb2tzIGRvZXMgbm90IGFjY291bnQgZm9yIGJhdHRlcnkgZGVncmFkYXRpb24uIFRoaXMgZXh0ZW5zaW9uIGNvcnJlY3RzIGZvciB0aGlzLlxuU2VlIGh0dHBzOi8vbXlzb3JlbHVnLmluZHJpeWFsbGMubmV0L2FydGljbGVzLzIwMjEvMDYvd3JpdGluZy1nbm9tZS1leHRlbnNpb24vaW5kZXguaHRtbCBmb3IgbW9yZSBkZXRhaWxzLiIsCiAgIm5hbWUiOiAiTWFjQm9vayBCYXR0ZXJ5IFBlcmNlbnRhZ2UgQ29ycmVjdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9iaXRidWNrZXQub3JnL3B2cHVibGljL21icGJhdC9zcmMvbWFzdGVyLyIsCiAgInV1aWQiOiAibWJwYmF0QHByaXRodml2aXNoYWsuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
+, {"uuid": "top-bar-organizer@julian.gse.jsts.xyz", "name": "Top Bar Organizer", "pname": "top-bar-organizer", "description": "Organize the items of the top (menu)bar.\nThis extension required Gnome Shell version 40.\nOrganize the items by going into the extensions settings.\n\n!!! Please make sure you're fully on Gnome 40 / you're using a distribution, which is fully on Gnome 40, since otherwise this extension might not work correctly. This was the case for Manjaro Stable until recently and is probably the case for Ubuntu 21.04 right now. !!!", "link": "https://extensions.gnome.org/extension/4356/top-bar-organizer/", "shell_version_map": {"40": {"version": "3", "sha256": "121a8r063ifv4lv5s07pglxj4h90n3lp6f0jyw4lnbg38v0nqv28", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk9yZ2FuaXplIHRoZSBpdGVtcyBvZiB0aGUgdG9wIChtZW51KWJhci5cblRoaXMgZXh0ZW5zaW9uIHJlcXVpcmVkIEdub21lIFNoZWxsIHZlcnNpb24gNDAuXG5Pcmdhbml6ZSB0aGUgaXRlbXMgYnkgZ29pbmcgaW50byB0aGUgZXh0ZW5zaW9ucyBzZXR0aW5ncy5cblxuISEhIFBsZWFzZSBtYWtlIHN1cmUgeW91J3JlIGZ1bGx5IG9uIEdub21lIDQwIC8geW91J3JlIHVzaW5nIGEgZGlzdHJpYnV0aW9uLCB3aGljaCBpcyBmdWxseSBvbiBHbm9tZSA0MCwgc2luY2Ugb3RoZXJ3aXNlIHRoaXMgZXh0ZW5zaW9uIG1pZ2h0IG5vdCB3b3JrIGNvcnJlY3RseS4gVGhpcyB3YXMgdGhlIGNhc2UgZm9yIE1hbmphcm8gU3RhYmxlIHVudGlsIHJlY2VudGx5IGFuZCBpcyBwcm9iYWJseSB0aGUgY2FzZSBmb3IgVWJ1bnR1IDIxLjA0IHJpZ2h0IG5vdy4gISEhIiwKICAibmFtZSI6ICJUb3AgQmFyIE9yZ2FuaXplciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50b3AtYmFyLW9yZ2FuaXplciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9qdWxpYW5zY2hhY2hlci90b3AtYmFyLW9yZ2FuaXplciIsCiAgInV1aWQiOiAidG9wLWJhci1vcmdhbml6ZXJAanVsaWFuLmdzZS5qc3RzLnh5eiIsCiAgInZlcnNpb24iOiAzCn0="}}}
+, {"uuid": "fullscreen-avoider@noobsai.github.com", "name": "Fullscreen Avoider", "pname": "fullscreen-avoider", "description": "Moves the top panel to the secondary monitor if the primary is in fullscreen", "link": "https://extensions.gnome.org/extension/4362/fullscreen-avoider/", "shell_version_map": {"38": {"version": "4", "sha256": "10vm28p2xpvwhzyjw1yfpgsbhahg1w673n73kq9ndx5vlnf9fji9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmVzIHRoZSB0b3AgcGFuZWwgdG8gdGhlIHNlY29uZGFyeSBtb25pdG9yIGlmIHRoZSBwcmltYXJ5IGlzIGluIGZ1bGxzY3JlZW4iLAogICJleHRlbnNpb24taWQiOiAiZnVsbHNjcmVlbi1hdm9pZGVyIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiRnVsbHNjcmVlbiBBdm9pZGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmZ1bGxzY3JlZW4tYXZvaWRlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL05vb2JzYWkvZnVsbHNjcmVlbi1hdm9pZGVyIiwKICAidXVpZCI6ICJmdWxsc2NyZWVuLWF2b2lkZXJAbm9vYnNhaS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQKfQ=="}, "40": {"version": "3", "sha256": "1gm56qkk98ymhf3q6kwvsx5a5662fap087wy1nyji90kxn6zx7ss", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmVzIHRoZSB0b3AgcGFuZWwgdG8gdGhlIHNlY29uZGFyeSBtb25pdG9yIGlmIHRoZSBwcmltYXJ5IGlzIGluIGZ1bGxzY3JlZW4iLAogICJleHRlbnNpb24taWQiOiAiZnVsbHNjcmVlbi1hdm9pZGVyIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiRnVsbHNjcmVlbiBBdm9pZGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmZ1bGxzY3JlZW4tYXZvaWRlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Ob29ic2FpL2Z1bGxzY3JlZW4tYXZvaWRlciIsCiAgInV1aWQiOiAiZnVsbHNjcmVlbi1hdm9pZGVyQG5vb2JzYWkuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}}}
+, {"uuid": "shell-isw@zamraky.cz", "name": "MSI Fan Control", "pname": "msi-fan-control", "description": "Use isw to change MSI laptop fan settings", "link": "https://extensions.gnome.org/extension/4363/msi-fan-control/", "shell_version_map": {"38": {"version": "1", "sha256": "0pl9dxwkw47r4c0f5sxjhh8bxl82r0sjlwsji7pwypkb6m0hh342", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVzZSBpc3cgdG8gY2hhbmdlIE1TSSBsYXB0b3AgZmFuIHNldHRpbmdzIiwKICAibmFtZSI6ICJNU0kgRmFuIENvbnRyb2wiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAic2hlbGwtaXN3QHphbXJha3kuY3oiLAogICJ2ZXJzaW9uIjogMQp9"}}}
+, {"uuid": "vertical-window-list@student.gitlab.com", "name": "Vertical Window List", "pname": "vertical-window-list", "description": "Displays opened windows in vertical panel with productivity perks\n\n- left click to open favorites/activate window\n- right click to close\n- middle click to rename\n- drag-and-drop to re-arrange\n- Alt-Tab to cycle\n- adjust panel width, transparency and icon size in extension settings", "link": "https://extensions.gnome.org/extension/4369/vertical-window-list/", "shell_version_map": {"40": {"version": "5", "sha256": "054y4a1fflmdi7k9dd7ipgkzmprjr6v6fhkxxmnw47yavyvm2p0l", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIG9wZW5lZCB3aW5kb3dzIGluIHZlcnRpY2FsIHBhbmVsIHdpdGggcHJvZHVjdGl2aXR5IHBlcmtzXG5cbi0gbGVmdCBjbGljayB0byBvcGVuIGZhdm9yaXRlcy9hY3RpdmF0ZSB3aW5kb3dcbi0gcmlnaHQgY2xpY2sgdG8gY2xvc2Vcbi0gbWlkZGxlIGNsaWNrIHRvIHJlbmFtZVxuLSBkcmFnLWFuZC1kcm9wIHRvIHJlLWFycmFuZ2Vcbi0gQWx0LVRhYiB0byBjeWNsZVxuLSBhZGp1c3QgcGFuZWwgd2lkdGgsIHRyYW5zcGFyZW5jeSBhbmQgaWNvbiBzaXplIGluIGV4dGVuc2lvbiBzZXR0aW5ncyIsCiAgIm5hbWUiOiAiVmVydGljYWwgV2luZG93IExpc3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vc3R1ZGVudC92ZXJ0aWNhbC13aW5kb3ctbGlzdCIsCiAgInV1aWQiOiAidmVydGljYWwtd2luZG93LWxpc3RAc3R1ZGVudC5naXRsYWIuY29tIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
+, {"uuid": "ShutdownTimer@deminder", "name": "Shutdown Timer", "pname": "shutdowntimer", "description": "Shutdown/reboot/suspend the device after a specific time or wake with a rtc alarm.\n\nThe screen saver will not interrupt the timer. A privileged control script may be installed to control shutdown and rtcwake as user. Additionally, a check command may be configured before shutdown.", "link": "https://extensions.gnome.org/extension/4372/shutdowntimer/", "shell_version_map": {"38": {"version": "18", "sha256": "009dk4v4qdls17fycgbjl7k72z1myymzl862m379gkwipyd9q00b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNodXRkb3duL3JlYm9vdC9zdXNwZW5kIHRoZSBkZXZpY2UgYWZ0ZXIgYSBzcGVjaWZpYyB0aW1lIG9yIHdha2Ugd2l0aCBhIHJ0YyBhbGFybS5cblxuVGhlIHNjcmVlbiBzYXZlciB3aWxsIG5vdCBpbnRlcnJ1cHQgdGhlIHRpbWVyLiBBIHByaXZpbGVnZWQgY29udHJvbCBzY3JpcHQgbWF5IGJlIGluc3RhbGxlZCB0byBjb250cm9sIHNodXRkb3duIGFuZCBydGN3YWtlIGFzIHVzZXIuIEFkZGl0aW9uYWxseSwgYSBjaGVjayBjb21tYW5kIG1heSBiZSBjb25maWd1cmVkIGJlZm9yZSBzaHV0ZG93bi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJTaHV0ZG93blRpbWVyIiwKICAibmFtZSI6ICJTaHV0ZG93biBUaW1lciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaHV0ZG93bnRpbWVyLWRlbWluZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9EZW1pbmRlci9TaHV0ZG93blRpbWVyIiwKICAidXVpZCI6ICJTaHV0ZG93blRpbWVyQGRlbWluZGVyIiwKICAidmVyc2lvbiI6IDE4Cn0="}, "40": {"version": "18", "sha256": "009dk4v4qdls17fycgbjl7k72z1myymzl862m379gkwipyd9q00b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNodXRkb3duL3JlYm9vdC9zdXNwZW5kIHRoZSBkZXZpY2UgYWZ0ZXIgYSBzcGVjaWZpYyB0aW1lIG9yIHdha2Ugd2l0aCBhIHJ0YyBhbGFybS5cblxuVGhlIHNjcmVlbiBzYXZlciB3aWxsIG5vdCBpbnRlcnJ1cHQgdGhlIHRpbWVyLiBBIHByaXZpbGVnZWQgY29udHJvbCBzY3JpcHQgbWF5IGJlIGluc3RhbGxlZCB0byBjb250cm9sIHNodXRkb3duIGFuZCBydGN3YWtlIGFzIHVzZXIuIEFkZGl0aW9uYWxseSwgYSBjaGVjayBjb21tYW5kIG1heSBiZSBjb25maWd1cmVkIGJlZm9yZSBzaHV0ZG93bi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJTaHV0ZG93blRpbWVyIiwKICAibmFtZSI6ICJTaHV0ZG93biBUaW1lciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaHV0ZG93bnRpbWVyLWRlbWluZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9EZW1pbmRlci9TaHV0ZG93blRpbWVyIiwKICAidXVpZCI6ICJTaHV0ZG93blRpbWVyQGRlbWluZGVyIiwKICAidmVyc2lvbiI6IDE4Cn0="}}}
+, {"uuid": "docker_status2@gpouilloux", "name": "Docker Integration", "pname": "docker-integration", "description": "A status menu for managing docker containers.", "link": "https://extensions.gnome.org/extension/4374/docker-integration/", "shell_version_map": {"40": {"version": "1", "sha256": "1ia763h3wbc3d3p9ddb1b9rg5f486y5x3m2k4pblq9f9ca9cjrdy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc3RhdHVzIG1lbnUgZm9yIG1hbmFnaW5nIGRvY2tlciBjb250YWluZXJzLiIsCiAgIm5hbWUiOiAiRG9ja2VyIEludGVncmF0aW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjE0IiwKICAgICIzLjE2IiwKICAgICIzLjE4IiwKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICIzLjI2IiwKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICI0MC4wIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZ3BvdWlsbG91eC9nbm9tZS1zaGVsbC1leHRlbnNpb24tZG9ja2VyIiwKICAidXVpZCI6ICJkb2NrZXJfc3RhdHVzMkBncG91aWxsb3V4IiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
+, {"uuid": "bifocals@shiznatix", "name": "Bifocals", "pname": "bifocals", "description": "Gnome extension adding more window management keyboard shortcuts.\n\n* Left / right 'view split' anchoring cycles through 3 sizes: 1/3, 1/2, and 2/3 of the current screen width.\n*Adds 'mid screen' of centering the window with 3/4 screen height and width.", "link": "https://extensions.gnome.org/extension/4375/bifocals/", "shell_version_map": {"38": {"version": "1", "sha256": "02j0if3bwaws0svaz98054jznrx9pj8zkhy4avsnnhchv2dwmgdi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIGV4dGVuc2lvbiBhZGRpbmcgbW9yZSB3aW5kb3cgbWFuYWdlbWVudCBrZXlib2FyZCBzaG9ydGN1dHMuXG5cbiogTGVmdCAvIHJpZ2h0ICd2aWV3IHNwbGl0JyBhbmNob3JpbmcgY3ljbGVzIHRocm91Z2ggMyBzaXplczogMS8zLCAxLzIsIGFuZCAyLzMgb2YgdGhlIGN1cnJlbnQgc2NyZWVuIHdpZHRoLlxuKkFkZHMgJ21pZCBzY3JlZW4nIG9mIGNlbnRlcmluZyB0aGUgd2luZG93IHdpdGggMy80IHNjcmVlbiBoZWlnaHQgYW5kIHdpZHRoLiIsCiAgIm5hbWUiOiAiQmlmb2NhbHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc2hpem5hdGl4L2JpZm9jYWxzLWdub21lLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiYmlmb2NhbHNAc2hpem5hdGl4IiwKICAidmVyc2lvbiI6IDEKfQ=="}, "40": {"version": "1", "sha256": "02j0if3bwaws0svaz98054jznrx9pj8zkhy4avsnnhchv2dwmgdi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIGV4dGVuc2lvbiBhZGRpbmcgbW9yZSB3aW5kb3cgbWFuYWdlbWVudCBrZXlib2FyZCBzaG9ydGN1dHMuXG5cbiogTGVmdCAvIHJpZ2h0ICd2aWV3IHNwbGl0JyBhbmNob3JpbmcgY3ljbGVzIHRocm91Z2ggMyBzaXplczogMS8zLCAxLzIsIGFuZCAyLzMgb2YgdGhlIGN1cnJlbnQgc2NyZWVuIHdpZHRoLlxuKkFkZHMgJ21pZCBzY3JlZW4nIG9mIGNlbnRlcmluZyB0aGUgd2luZG93IHdpdGggMy80IHNjcmVlbiBoZWlnaHQgYW5kIHdpZHRoLiIsCiAgIm5hbWUiOiAiQmlmb2NhbHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc2hpem5hdGl4L2JpZm9jYWxzLWdub21lLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiYmlmb2NhbHNAc2hpem5hdGl4IiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
+, {"uuid": "kubectl-context@bernot.dev", "name": "kubectl context", "pname": "kubectl-context", "description": "Displays kubectl current-context and allows selection of a different context using a GUI menu.\n\nRequires kubectl to be installed.", "link": "https://extensions.gnome.org/extension/4377/kubectl-context/", "shell_version_map": {"38": {"version": "1", "sha256": "0divql89gq1z1fjrgf8brvlvjxsibas9c5j72k2g4rhmhcn4wsq2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIGt1YmVjdGwgY3VycmVudC1jb250ZXh0IGFuZCBhbGxvd3Mgc2VsZWN0aW9uIG9mIGEgZGlmZmVyZW50IGNvbnRleHQgdXNpbmcgYSBHVUkgbWVudS5cblxuUmVxdWlyZXMga3ViZWN0bCB0byBiZSBpbnN0YWxsZWQuIiwKICAibmFtZSI6ICJrdWJlY3RsIGNvbnRleHQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9iZXJub3QtZGV2L2t1YmVjdGwtY29udGV4dCIsCiAgInV1aWQiOiAia3ViZWN0bC1jb250ZXh0QGJlcm5vdC5kZXYiLAogICJ2ZXJzaW9uIjogMQp9"}}}
+, {"uuid": "kill-port@empios.github.com", "name": "Kill Port", "pname": "kill-port", "description": "Extension to easly kill all process on port", "link": "https://extensions.gnome.org/extension/4378/kill-port/", "shell_version_map": {"38": {"version": "4", "sha256": "1q471wv519rgxdb88gdf2a1q0zaa1v84syrqn8wmfvmkfxszgxzh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkV4dGVuc2lvbiB0byBlYXNseSBraWxsIGFsbCBwcm9jZXNzIG9uIHBvcnQiLAogICJuYW1lIjogIktpbGwgUG9ydCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOC41IgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImtpbGwtcG9ydEBlbXBpb3MuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Cn0="}}}
+, {"uuid": "tuned-profile-switcher@vint21h.pp.ua", "name": "TuneD Profile Switcher", "pname": "tuned-profile-switcher", "description": "Displays a list of the TuneD profiles and allows to switch between them.\nOf course, this is works only when TuneD installed and running.", "link": "https://extensions.gnome.org/extension/4381/tuned-profile-switcher/", "shell_version_map": {"40": {"version": "2", "sha256": "04k0l1wa78k5px2d6hbs205x58026fg6swhn6yhb2rqikk65qjym", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIGEgbGlzdCBvZiB0aGUgVHVuZUQgcHJvZmlsZXMgYW5kIGFsbG93cyB0byBzd2l0Y2ggYmV0d2VlbiB0aGVtLlxuT2YgY291cnNlLCB0aGlzIGlzIHdvcmtzIG9ubHkgd2hlbiBUdW5lRCBpbnN0YWxsZWQgYW5kIHJ1bm5pbmcuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidHVuZWQtcHJvZmlsZS1zd2l0Y2hlciIsCiAgIm5hbWUiOiAiVHVuZUQgUHJvZmlsZSBTd2l0Y2hlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92aW50MjFoL2dub21lLXNoZWxsLWV4dGVuc2lvbi10dW5lZC1wcm9maWxlLXN3aXRjaGVyLyIsCiAgInV1aWQiOiAidHVuZWQtcHJvZmlsZS1zd2l0Y2hlckB2aW50MjFoLnBwLnVhIiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
+, {"uuid": "center-area-horizontal-spacing-fixed@trblwlf.tk", "name": "Center Area Horizontal Spacing Fixed", "pname": "center-area-horizontal-spacing-fixed", "description": "Reduce the horizontal spacing between icons in the top-center icon area.\nFork of the \"Status Area Horizontal Spacing\" extension, but for the CENTER area. \nThank you to the original author: mathematical.coffee", "link": "https://extensions.gnome.org/extension/4384/center-area-horizontal-spacing-fixed/", "shell_version_map": {"38": {"version": "2", "sha256": "1i1mrw0yi5qwlxb31qkf9n14w5y2j097iqwpsd79mpxc4njf70nj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlZHVjZSB0aGUgaG9yaXpvbnRhbCBzcGFjaW5nIGJldHdlZW4gaWNvbnMgaW4gdGhlIHRvcC1jZW50ZXIgaWNvbiBhcmVhLlxuRm9yayBvZiB0aGUgXCJTdGF0dXMgQXJlYSBIb3Jpem9udGFsIFNwYWNpbmdcIiBleHRlbnNpb24sIGJ1dCBmb3IgdGhlIENFTlRFUiBhcmVhLiBcblRoYW5rIHlvdSB0byB0aGUgb3JpZ2luYWwgYXV0aG9yOiBtYXRoZW1hdGljYWwuY29mZmVlIiwKICAiZGV2LXZlcnNpb24iOiAiMy4wIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgIm5hbWUiOiAiQ2VudGVyIEFyZWEgSG9yaXpvbnRhbCBTcGFjaW5nIEZpeGVkIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmNlbnRlci1hcmVhLWhvcml6b250YWwtc3BhY2luZyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy40IiwKICAgICIzLjYiLAogICAgIjMuOCIsCiAgICAiMy4xMCIsCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1RhcmJhbGx3YWxmL0dOT01FLWNlbnRlci1hcmVhLWhvcml6b250YWwtc3BhY2luZy1maXhlZCIsCiAgInV1aWQiOiAiY2VudGVyLWFyZWEtaG9yaXpvbnRhbC1zcGFjaW5nLWZpeGVkQHRyYmx3bGYudGsiLAogICJ2ZXJzaW9uIjogMgp9"}}}
+, {"uuid": "icon-area-horizontal-spacing-fixed@trblwlf.tk", "name": "Icon Area Horizontal Spacing Fixed", "pname": "icon-area-horizontal-spacing-fixed", "description": "Reduce the horizontal spacing between icons in the top-left icon area.\nFork of the \"Status Area Horizontal Spacing\" extension, but for the LEFT side. \nThank you to the original author: mathematical.coffee", "link": "https://extensions.gnome.org/extension/4387/icon-area-horizontal-spacing-fixed/", "shell_version_map": {"38": {"version": "1", "sha256": "07pnz6w2hmjhc9x5nbj1cvir169hfva16igik1f8fm08qg4rrv03", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlZHVjZSB0aGUgaG9yaXpvbnRhbCBzcGFjaW5nIGJldHdlZW4gaWNvbnMgaW4gdGhlIHRvcC1sZWZ0IGljb24gYXJlYS5cbkZvcmsgb2YgdGhlIFwiU3RhdHVzIEFyZWEgSG9yaXpvbnRhbCBTcGFjaW5nXCIgZXh0ZW5zaW9uLCBidXQgZm9yIHRoZSBMRUZUIHNpZGUuIFxuVGhhbmsgeW91IHRvIHRoZSBvcmlnaW5hbCBhdXRob3I6IG1hdGhlbWF0aWNhbC5jb2ZmZWUiLAogICJkZXYtdmVyc2lvbiI6ICIyLjEiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJJY29uIEFyZWEgSG9yaXpvbnRhbCBTcGFjaW5nIEZpeGVkIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmljb24tYXJlYS1ob3Jpem9udGFsLXNwYWNpbmciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuNCIsCiAgICAiMy42IiwKICAgICIzLjgiLAogICAgIjMuMTAiLAogICAgIjMuMTIiLAogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjMuMjYiLAogICAgIjMuMjgiLAogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAiaWNvbi1hcmVhLWhvcml6b250YWwtc3BhY2luZy1maXhlZEB0cmJsd2xmLnRrIiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
+, {"uuid": "move-ws-switcher-popup@G-dH.github.com", "name": "Move WS Switcher Popup", "pname": "move-ws-switcher-popup", "description": "Move the workspace switcher popup out of the screen center - down for horizontal layout (Gnome 40), to the right for vertical (Gnome 3)", "link": "https://extensions.gnome.org/extension/4396/move-ws-switcher-popup/", "shell_version_map": {"38": {"version": "1", "sha256": "0nsw8qn30rqavnlvfpzvl3qr3rb3mnsqnxwcagdapx9qs10c3g81", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCBvdXQgb2YgdGhlIHNjcmVlbiBjZW50ZXIgLSBkb3duIGZvciBob3Jpem9udGFsIGxheW91dCAoR25vbWUgNDApLCB0byB0aGUgcmlnaHQgZm9yIHZlcnRpY2FsIChHbm9tZSAzKSIsCiAgIm5hbWUiOiAiTW92ZSBXUyBTd2l0Y2hlciBQb3B1cCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAibW92ZS13cy1zd2l0Y2hlci1wb3B1cEBHLWRILmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}, "40": {"version": "1", "sha256": "0nsw8qn30rqavnlvfpzvl3qr3rb3mnsqnxwcagdapx9qs10c3g81", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCBvdXQgb2YgdGhlIHNjcmVlbiBjZW50ZXIgLSBkb3duIGZvciBob3Jpem9udGFsIGxheW91dCAoR25vbWUgNDApLCB0byB0aGUgcmlnaHQgZm9yIHZlcnRpY2FsIChHbm9tZSAzKSIsCiAgIm5hbWUiOiAiTW92ZSBXUyBTd2l0Y2hlciBQb3B1cCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAibW92ZS13cy1zd2l0Y2hlci1wb3B1cEBHLWRILmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}}}
+, {"uuid": "move-workspaceSwitcherPopup@GithubUser699.github.com", "name": "Move Workspace Switcher Popup", "pname": "move-workspace-switcher-popup", "description": "Change the position of the WorkspaceSwitcherPopup", "link": "https://extensions.gnome.org/extension/4401/move-workspace-switcher-popup/", "shell_version_map": {"38": {"version": "3", "sha256": "0i2wd06b8x85jblcd1mhh4vwz5s6isilq1p54bl93nvawqixrf2m", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZSB0aGUgcG9zaXRpb24gb2YgdGhlIFdvcmtzcGFjZVN3aXRjaGVyUG9wdXAiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJtb3ZlLXdvcmtzcGFjZXN3aXRjaGVycG9wdXAiLAogICJuYW1lIjogIk1vdmUgV29ya3NwYWNlIFN3aXRjaGVyIFBvcHVwIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0dpdGh1YlVzZXI2OTkvTW92ZS13b3Jrc3BhY2VTd2l0Y2hlclBvcHVwLUdOT01FLUV4dGVuc2lvbiIsCiAgInV1aWQiOiAibW92ZS13b3Jrc3BhY2VTd2l0Y2hlclBvcHVwQEdpdGh1YlVzZXI2OTkuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}, "40": {"version": "3", "sha256": "0i2wd06b8x85jblcd1mhh4vwz5s6isilq1p54bl93nvawqixrf2m", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNoYW5nZSB0aGUgcG9zaXRpb24gb2YgdGhlIFdvcmtzcGFjZVN3aXRjaGVyUG9wdXAiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJtb3ZlLXdvcmtzcGFjZXN3aXRjaGVycG9wdXAiLAogICJuYW1lIjogIk1vdmUgV29ya3NwYWNlIFN3aXRjaGVyIFBvcHVwIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0dpdGh1YlVzZXI2OTkvTW92ZS13b3Jrc3BhY2VTd2l0Y2hlclBvcHVwLUdOT01FLUV4dGVuc2lvbiIsCiAgInV1aWQiOiAibW92ZS13b3Jrc3BhY2VTd2l0Y2hlclBvcHVwQEdpdGh1YlVzZXI2OTkuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}}}
+, {"uuid": "print-website@ermeso.com", "name": "Print Website", "pname": "print-website", "description": "A extension for print websites.", "link": "https://extensions.gnome.org/extension/4404/print-website/", "shell_version_map": {"40": {"version": "9", "sha256": "1cg1awvfyhhc7ri7vppsjr28c1zwgw9rasyv1jcclgxr558n3n6w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZXh0ZW5zaW9uIGZvciBwcmludCB3ZWJzaXRlcy4iLAogICJuYW1lIjogIlByaW50IFdlYnNpdGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZXJtZXNvbnNhbXBhaW8vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXByaW50LXdlYnNpdGUiLAogICJ1dWlkIjogInByaW50LXdlYnNpdGVAZXJtZXNvLmNvbSIsCiAgInZlcnNpb24iOiA5Cn0="}}}
+, {"uuid": "replaceActivitiesText@pratap.fastmail.fm", "name": "Replace Activities Text", "pname": "replace-activities-text", "description": "A Simple Extension to Change 'Activities' Label with Logo and Text.\nYou can Keep Either\n1. Logo or\n2. Text or\n3. Both or\n4. None at all", "link": "https://extensions.gnome.org/extension/4405/replace-activities-text/", "shell_version_map": {"38": {"version": "8", "sha256": "04adx4043d3ni510h44pi3gr0k15a4n0zwr4k5fxisr4yjl1510w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgU2ltcGxlIEV4dGVuc2lvbiB0byBDaGFuZ2UgJ0FjdGl2aXRpZXMnIExhYmVsIHdpdGggTG9nbyBhbmQgVGV4dC5cbllvdSBjYW4gS2VlcCBFaXRoZXJcbjEuIExvZ28gb3JcbjIuIFRleHQgb3JcbjMuIEJvdGggb3JcbjQuIE5vbmUgYXQgYWxsIiwKICAibmFtZSI6ICJSZXBsYWNlIEFjdGl2aXRpZXMgVGV4dCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1BSQVRBUC1LVU1BUi9BY3Rpdml0aWVzVGV4dCIsCiAgInV1aWQiOiAicmVwbGFjZUFjdGl2aXRpZXNUZXh0QHByYXRhcC5mYXN0bWFpbC5mbSIsCiAgInZlcnNpb24iOiA4Cn0="}, "40": {"version": "9", "sha256": "16yribhgvw25phq9n3m5vh717kkbkyjsnzxrmsawxmyh7zi9y9lc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgU2ltcGxlIEV4dGVuc2lvbiB0byBDaGFuZ2UgJ0FjdGl2aXRpZXMnIExhYmVsIHdpdGggTG9nbyBhbmQgVGV4dC5cbllvdSBjYW4gS2VlcCBFaXRoZXJcbjEuIExvZ28gb3JcbjIuIFRleHQgb3JcbjMuIEJvdGggb3JcbjQuIE5vbmUgYXQgYWxsIiwKICAibmFtZSI6ICJSZXBsYWNlIEFjdGl2aXRpZXMgVGV4dCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9QUkFUQVAtS1VNQVIvQWN0aXZpdGllc1RleHQiLAogICJ1dWlkIjogInJlcGxhY2VBY3Rpdml0aWVzVGV4dEBwcmF0YXAuZmFzdG1haWwuZm0iLAogICJ2ZXJzaW9uIjogOQp9"}}}
+, {"uuid": "appMenuIcon@pratap.fastmail.fm", "name": "Colored Application Menu Icon", "pname": "app-menu-icon-remove-symbolic", "description": "Remove Symbolic Icons and Saturation Effect for App Menu Icon", "link": "https://extensions.gnome.org/extension/4408/app-menu-icon-remove-symbolic/", "shell_version_map": {"38": {"version": "1", "sha256": "1a9yadm0b2jyiwg771iwzb84yifbnixs6fnhxqmcsz9ivyaidfrf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSBTeW1ib2xpYyBJY29ucyBhbmQgU2F0dXJhdGlvbiBFZmZlY3QgZm9yIEFwcCBNZW51IEljb24iLAogICJuYW1lIjogIkNvbG9yZWQgQXBwbGljYXRpb24gTWVudSBJY29uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJhcHBNZW51SWNvbkBwcmF0YXAuZmFzdG1haWwuZm0iLAogICJ2ZXJzaW9uIjogMQp9"}, "40": {"version": "1", "sha256": "1a9yadm0b2jyiwg771iwzb84yifbnixs6fnhxqmcsz9ivyaidfrf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSBTeW1ib2xpYyBJY29ucyBhbmQgU2F0dXJhdGlvbiBFZmZlY3QgZm9yIEFwcCBNZW51IEljb24iLAogICJuYW1lIjogIkNvbG9yZWQgQXBwbGljYXRpb24gTWVudSBJY29uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJhcHBNZW51SWNvbkBwcmF0YXAuZmFzdG1haWwuZm0iLAogICJ2ZXJzaW9uIjogMQp9"}}}
+, {"uuid": "gnome-trash@b00f.github.io", "name": "Gnome Trash", "pname": "gnome-trash", "description": "A gnome shell extension to manage your home trash. You can manage trash items from the panel and open or empty the trash.", "link": "https://extensions.gnome.org/extension/4410/gnome-trash/", "shell_version_map": {"38": {"version": "3", "sha256": "03pyala1i21izg5rl4qqh5bxk36fp8d52bs9ggrik2kav420xhhk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZ25vbWUgc2hlbGwgZXh0ZW5zaW9uIHRvIG1hbmFnZSB5b3VyIGhvbWUgdHJhc2guIFlvdSBjYW4gbWFuYWdlIHRyYXNoIGl0ZW1zIGZyb20gdGhlIHBhbmVsIGFuZCBvcGVuIG9yIGVtcHR5IHRoZSB0cmFzaC4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS10cmFzaCIsCiAgIm5hbWUiOiAiR25vbWUgVHJhc2giLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9iMDBmL2dub21lLXRyYXNoIiwKICAidXVpZCI6ICJnbm9tZS10cmFzaEBiMDBmLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAzCn0="}}}
+, {"uuid": "advanced-alt-tab@G-dH.github.com", "name": "Advanced Alt+Tab Window Switcher", "pname": "advanced-alttab-window-switcher", "description": "Replacement of Alt+Tab Window Switcher that offers direct activation, type to search, various filtering and sorting settings (switchable on the fly), workspace switching and hotkeys for window control. You can also adjust size of the window previews and app icons.\n\nNote that it's replacement of the \"window switcher\", not the \"app switcher\" - set your keyboard shortcut in Gnome Settings.\n\nHotkeys (in Type to Search mode with the Shift key):\n\n H/L, Left/Right - window selection\n J/K, Up/Down, PgUp/Down - workspace selection\n Space, KP_0/KP_Ins - Show selected window - switch to window workspace and bring it to the front\n Q - Switch window filter mode - ALL / WS / MONITOR\n ;/~/` (key above Tab) - Sort windows by applications, each subsequent key press jumps to the first window of the next app\n G - Toggle sort by workspaces, when base filter is set to ALL\n 1/+/! - Filter out all windows that don't belong to the application of selected window\n E/Insert - Activates the \"Type to Search\" mode, the `Insert` key can turn it off, `Delete` deletes whole typed pattern\n W - Close selected window\n Shift+Del - Force close - kill -9 to process of selected window\n C - Close all windows from window list that belong to the same application as selected window\n A - Toggle window 'Always on Top'. Also switch to window workspace and rise the window. Indicated by the front icon on top instead of bottom. When you press the 'A' key twice, it's actually equivalent to one press of hotkey for 'Show selected window'\n S - Toggle window 'Always on Visible Workspace', indicated by the 'pin' icon. You can move selected window to the current workspace by pressing this key twice.\n X/click outside switcher- Move selected window to the current workspace and to the monitor with mouse pointer\n N - Create New Window of selected application, if the app soupports it.\n V - Move window to selected workspace and maximize it.\n F - Move window to empty workspace next to its current workspace and switch it to fullscreen mode. Next use of this action on the same window moves the window back to its original workspace and turn off the fullscreen mode.\n O - Show application grid, if you need to launch new application.\n T - Creates an thumbnail preview of selected window and place it to the bottom right of the current monitor. You can move the thumbnail anywhere on the screen and you can make as many thumbnails you want\n P - Open preferences window for this extension\n\nFor more information and bug reports follow the link below.\nRestart your Gnome Shell after every update, before you post a bug report.", "link": "https://extensions.gnome.org/extension/4412/advanced-alttab-window-switcher/", "shell_version_map": {"38": {"version": "4", "sha256": "0acla911x2rnz587w9kdll31g11s5z5ijy2f7767gjr69rwcbn32", "metadata": "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"}, "40": {"version": "4", "sha256": "0acla911x2rnz587w9kdll31g11s5z5ijy2f7767gjr69rwcbn32", "metadata": "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"}}}
+, {"uuid": "improvedosk@nick-shmyrev.dev", "name": "Improved OSK", "pname": "improved-osk", "description": "Makes Gnome's onscreen keyboard more useable with e.g. more keys.\nThis extension is a fork of https://extensions.gnome.org/extension/3330/improved-onscreen-keyboard/ by SebastianLuebke.", "link": "https://extensions.gnome.org/extension/4413/improved-osk/", "shell_version_map": {"38": {"version": "4", "sha256": "0f3051wf7lp9ia1r74j72ajlyph63nw4928wg16lfxhdzid4bymp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIEdub21lJ3Mgb25zY3JlZW4ga2V5Ym9hcmQgbW9yZSB1c2VhYmxlIHdpdGggZS5nLiBtb3JlIGtleXMuXG5UaGlzIGV4dGVuc2lvbiBpcyBhIGZvcmsgb2YgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vMzMzMC9pbXByb3ZlZC1vbnNjcmVlbi1rZXlib2FyZC8gYnkgU2ViYXN0aWFuTHVlYmtlLiIsCiAgIm5hbWUiOiAiSW1wcm92ZWQgT1NLIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9uaWNrLXNobXlyZXYvaW1wcm92ZWQtb3NrLWdub21lLWV4dCIsCiAgInV1aWQiOiAiaW1wcm92ZWRvc2tAbmljay1zaG15cmV2LmRldiIsCiAgInZlcnNpb24iOiA0Cn0="}, "40": {"version": "4", "sha256": "0f3051wf7lp9ia1r74j72ajlyph63nw4928wg16lfxhdzid4bymp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIEdub21lJ3Mgb25zY3JlZW4ga2V5Ym9hcmQgbW9yZSB1c2VhYmxlIHdpdGggZS5nLiBtb3JlIGtleXMuXG5UaGlzIGV4dGVuc2lvbiBpcyBhIGZvcmsgb2YgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vMzMzMC9pbXByb3ZlZC1vbnNjcmVlbi1rZXlib2FyZC8gYnkgU2ViYXN0aWFuTHVlYmtlLiIsCiAgIm5hbWUiOiAiSW1wcm92ZWQgT1NLIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9uaWNrLXNobXlyZXYvaW1wcm92ZWQtb3NrLWdub21lLWV4dCIsCiAgInV1aWQiOiAiaW1wcm92ZWRvc2tAbmljay1zaG15cmV2LmRldiIsCiAgInZlcnNpb24iOiA0Cn0="}}}
+, {"uuid": "fedora-update@pepe386", "name": "Fedora Linux Updates Indicator", "pname": "fedora-linux-updates-indicator", "description": "Update indicator for Fedora Linux and GNOME Shell.", "link": "https://extensions.gnome.org/extension/4415/fedora-linux-updates-indicator/", "shell_version_map": {"40": {"version": "1", "sha256": "0sz69rs6z1fybva20xs8587bs4xaflb4frd14d729lqq5h1m8b2p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVwZGF0ZSBpbmRpY2F0b3IgZm9yIEZlZG9yYSBMaW51eCBhbmQgR05PTUUgU2hlbGwuIiwKICAibmFtZSI6ICJGZWRvcmEgTGludXggVXBkYXRlcyBJbmRpY2F0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcGVwZTM4Ni9mZWRvcmEtdXBkYXRlIiwKICAidXVpZCI6ICJmZWRvcmEtdXBkYXRlQHBlcGUzODYiLAogICJ2ZXJzaW9uIjogMQp9"}}}
+, {"uuid": "readingstrip@lupantano.gihthub", "name": "Reading Strip", "pname": "reading-strip", "description": "It is a extension for Gnome-Shell with an equivalent function to a reading guide on the computer, that's really useful for people with dyslexia.", "link": "https://extensions.gnome.org/extension/4419/reading-strip/", "shell_version_map": {"40": {"version": "9", "sha256": "0r6ccgha8gqdqyy9z8sqxgpm1prbxfbh7cc8iyfhba5g40b4lh9g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkl0IGlzIGEgZXh0ZW5zaW9uIGZvciBHbm9tZS1TaGVsbCB3aXRoIGFuIGVxdWl2YWxlbnQgZnVuY3Rpb24gdG8gYSByZWFkaW5nIGd1aWRlIG9uIHRoZSBjb21wdXRlciwgdGhhdCdzIHJlYWxseSB1c2VmdWwgZm9yIHBlb3BsZSB3aXRoIGR5c2xleGlhLiIsCiAgIm5hbWUiOiAiUmVhZGluZyBTdHJpcCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5yZWFkaW5nc3RyaXAiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbHVwYW50YW5vL3JlYWRpbmdzdHJpcCIsCiAgInV1aWQiOiAicmVhZGluZ3N0cmlwQGx1cGFudGFuby5naWh0aHViIiwKICAidmVyc2lvbiI6IDkKfQ=="}}}
+, {"uuid": "gnome-clipboard@b00f.github.io", "name": "Gnome Clipboard", "pname": "gnome-clipboard", "description": "A gnome shell extension to manage your clipboard.", "link": "https://extensions.gnome.org/extension/4422/gnome-clipboard/", "shell_version_map": {"38": {"version": "7", "sha256": "06x44rg72n5nzn1b28hzq5pzp5ci8cr2dd1yfmlz4fvxlhm4zn64", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZ25vbWUgc2hlbGwgZXh0ZW5zaW9uIHRvIG1hbmFnZSB5b3VyIGNsaXBib2FyZC4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1jbGlwYm9hcmQiLAogICJuYW1lIjogIkdub21lIENsaXBib2FyZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2IwMGYvZ25vbWUtY2xpcGJvYXJkIiwKICAidXVpZCI6ICJnbm9tZS1jbGlwYm9hcmRAYjAwZi5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNwp9"}}}
+, {"uuid": "thanatophobia@yatx.one", "name": "Thanatophobia", "pname": "thanatophobia", "description": "Displays your age in real time. \n\nGreat source of motivation according to terror management theory!\n\nMay induce stress.", "link": "https://extensions.gnome.org/extension/4425/thanatophobia/", "shell_version_map": {"40": {"version": "4", "sha256": "14yijd7d2iad2axsqmx9dszs41cc691zbsqjhpjrfig4zf6blxik", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIHlvdXIgYWdlIGluIHJlYWwgdGltZS4gXG5cbkdyZWF0IHNvdXJjZSBvZiBtb3RpdmF0aW9uIGFjY29yZGluZyB0byB0ZXJyb3IgbWFuYWdlbWVudCB0aGVvcnkhXG5cbk1heSBpbmR1Y2Ugc3RyZXNzLiIsCiAgIm5hbWUiOiAiVGhhbmF0b3Bob2JpYSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAidGhhbmF0b3Bob2JpYUB5YXR4Lm9uZSIsCiAgInZlcnNpb24iOiA0Cn0="}}}
+, {"uuid": "typewriter-kb@zzzheka97.gmail.com", "name": "Typewriter Keyboard", "pname": "typewriter-keyboard", "description": "Add a typewriter effect to your keyboard.\n \nThis extension is based on typewriter-kb application \n(https://github.com/ZhekehZ/linux-typewriter-kb), which \nis required to run the extension, but is not part of it.\n \n-------------------------------------------\nIcons made by Freepik from www.flaticon.com", "link": "https://extensions.gnome.org/extension/4427/typewriter-keyboard/", "shell_version_map": {"38": {"version": "2", "sha256": "1zmlmijkm6sgpp00qbrwnxmd4q5dfh07g9w9nynq3ciwxs9fjnai", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIHR5cGV3cml0ZXIgZWZmZWN0IHRvIHlvdXIga2V5Ym9hcmQuXG4gXG5UaGlzIGV4dGVuc2lvbiBpcyBiYXNlZCBvbiB0eXBld3JpdGVyLWtiIGFwcGxpY2F0aW9uIFxuKGh0dHBzOi8vZ2l0aHViLmNvbS9aaGVrZWhaL2xpbnV4LXR5cGV3cml0ZXIta2IpLCB3aGljaCBcbmlzIHJlcXVpcmVkIHRvIHJ1biB0aGUgZXh0ZW5zaW9uLCBidXQgaXMgbm90IHBhcnQgb2YgaXQuXG4gXG4tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tLS0tXG5JY29ucyBtYWRlIGJ5IEZyZWVwaWsgZnJvbSB3d3cuZmxhdGljb24uY29tIiwKICAibmFtZSI6ICJUeXBld3JpdGVyIEtleWJvYXJkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInR5cGV3cml0ZXIta2JAenp6aGVrYTk3LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAyCn0="}}}
+, {"uuid": "dark-side@kamil-galek.pl", "name": "Dark side of Gnome", "pname": "dark-side-of-gnome", "description": "Join the dark side with some of your apps. For now it won't work with every app.", "link": "https://extensions.gnome.org/extension/4430/dark-side-of-gnome/", "shell_version_map": {"40": {"version": "2", "sha256": "08kzwpn22qwgyrps4khwkarn4rakr1rn57wg94wpfgd9pfbgb7ri", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkpvaW4gdGhlIGRhcmsgc2lkZSB3aXRoIHNvbWUgb2YgeW91ciBhcHBzLiBGb3Igbm93IGl0IHdvbid0IHdvcmsgd2l0aCBldmVyeSBhcHAuIiwKICAibmFtZSI6ICJEYXJrIHNpZGUgb2YgR25vbWUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImRhcmstc2lkZUBrYW1pbC1nYWxlay5wbCIsCiAgInZlcnNpb24iOiAyCn0="}}}
+, {"uuid": "wizlight@iabhilashjoshi", "name": "Wiz Light", "pname": "wiz-light", "description": "Control Wiz Connected Lights Through Gnome Shell\n\nRequirements:\n #Wiz enabled smart bulb\n #python3 (To install use command \"sudo apt install python3\")\n #pywizlight (To install use command \"pip3 install pywizlight\")\n\nTo Use go to the location where extension is installed (usually stored in \"~/.local/share/gnome-shell/extensions\") and change IP address in extension.js file to your smart bulb's IP address and then extension should work.", "link": "https://extensions.gnome.org/extension/4436/wiz-light/", "shell_version_map": {"38": {"version": "4", "sha256": "0pby93ivqz2ymdskyjp6ajc2c588dbpq7vjj7b7d8mc03hvkap5z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnRyb2wgV2l6IENvbm5lY3RlZCBMaWdodHMgVGhyb3VnaCBHbm9tZSBTaGVsbFxuXG5SZXF1aXJlbWVudHM6XG4gICAgICAgICNXaXogZW5hYmxlZCBzbWFydCBidWxiXG4gICAgICAgICNweXRob24zICAoVG8gaW5zdGFsbCAgdXNlIGNvbW1hbmQgXCJzdWRvIGFwdCBpbnN0YWxsIHB5dGhvbjNcIilcbiAgICAgICAgI3B5d2l6bGlnaHQgKFRvIGluc3RhbGwgdXNlIGNvbW1hbmQgXCJwaXAzIGluc3RhbGwgcHl3aXpsaWdodFwiKVxuXG5UbyBVc2UgZ28gdG8gdGhlIGxvY2F0aW9uIHdoZXJlIGV4dGVuc2lvbiBpcyBpbnN0YWxsZWQgKHVzdWFsbHkgc3RvcmVkIGluIFwifi8ubG9jYWwvc2hhcmUvZ25vbWUtc2hlbGwvZXh0ZW5zaW9uc1wiKSBhbmQgY2hhbmdlIElQIGFkZHJlc3MgaW4gZXh0ZW5zaW9uLmpzIGZpbGUgdG8geW91ciBzbWFydCBidWxiJ3MgSVAgYWRkcmVzcyBhbmQgdGhlbiBleHRlbnNpb24gc2hvdWxkIHdvcmsuIiwKICAibmFtZSI6ICJXaXogTGlnaHQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbHBoYXNwZWFyL1dpei1MaWdodCIsCiAgInV1aWQiOiAid2l6bGlnaHRAaWFiaGlsYXNoam9zaGkiLAogICJ2ZXJzaW9uIjogNAp9"}}}
+, {"uuid": "gSnap@micahosborne", "name": "gSnap", "pname": "gsnap", "description": "Organize windows in customizable snap zones like FancyZones on windows.", "link": "https://extensions.gnome.org/extension/4442/gsnap/", "shell_version_map": {"40": {"version": "6", "sha256": "1sm3kmc4i5m9jimly95bf39p5vjl2rnavkkkiiqq0blk725fsa2j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk9yZ2FuaXplIHdpbmRvd3MgaW4gY3VzdG9taXphYmxlIHNuYXAgem9uZXMgbGlrZSBGYW5jeVpvbmVzIG9uIHdpbmRvd3MuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ3NuYXBAbWljYWhvc2Jvcm5lIiwKICAibmFtZSI6ICJnU25hcCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5nc25hcCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9taWNhaG9zYm9ybmUvZ1NuYXAiLAogICJ1dWlkIjogImdTbmFwQG1pY2Fob3Nib3JuZSIsCiAgInZlcnNpb24iOiA2Cn0="}}}
+, {"uuid": "appswitcheronlyoncurrentworkspace@ermesonsampaio.com", "name": "Alt + Tab only on current workspace", "pname": "alt-tab-only-on-current-workspace", "description": "Force alt + tab to switch only in the current workspace.", "link": "https://extensions.gnome.org/extension/4443/alt-tab-only-on-current-workspace/", "shell_version_map": {"40": {"version": "3", "sha256": "1jl7gi45gz9fliggkwdg44rdqcirh6qxfkvc639vbw2sgmpbmcvw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvcmNlIGFsdCArIHRhYiB0byBzd2l0Y2ggb25seSBpbiB0aGUgY3VycmVudCB3b3Jrc3BhY2UuIiwKICAibmFtZSI6ICJBbHQgKyBUYWIgb25seSBvbiBjdXJyZW50IHdvcmtzcGFjZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9lcm1lc29uc2FtcGFpby9hcHAtc3dpdGNoZXItb25seS1vbi1jdXJyZW50LXdvcmtzcGFjZSIsCiAgInV1aWQiOiAiYXBwc3dpdGNoZXJvbmx5b25jdXJyZW50d29ya3NwYWNlQGVybWVzb25zYW1wYWlvLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}}}
+, {"uuid": "logomenu@aryan_k", "name": "Logo Menu", "pname": "logo-menu", "description": "Logo Menu - Menu similar to Apple's macOS menu for the GNOME Desktop\nThis extension gives a simple menu along with the ability to get the icon of your distro on top left part of the panel for a great look.\nThe Icon can be customized through settings, it has both Linux and BSD logos.\nfor more screenshots, visit GitHub.\n\nThe default Terminal and Software center can also be changed.\n\nThis extension is a fork of - https://github.com/tofutech/tofumenu\n\nThe original project is no more supported thus I made this.", "link": "https://extensions.gnome.org/extension/4451/logo-menu/", "shell_version_map": {"38": {"version": "7", "sha256": "15n7ij36sizhg4arbn5x4b2j3nqlr4z7nvsbcqm3bvy9pjvmib2h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvZ28gTWVudSAtIE1lbnUgc2ltaWxhciB0byBBcHBsZSdzIG1hY09TIG1lbnUgZm9yIHRoZSBHTk9NRSBEZXNrdG9wXG5UaGlzIGV4dGVuc2lvbiBnaXZlcyBhIHNpbXBsZSBtZW51IGFsb25nIHdpdGggdGhlIGFiaWxpdHkgdG8gZ2V0IHRoZSBpY29uIG9mIHlvdXIgZGlzdHJvIG9uIHRvcCBsZWZ0IHBhcnQgb2YgdGhlIHBhbmVsIGZvciBhIGdyZWF0IGxvb2suXG5UaGUgSWNvbiBjYW4gYmUgY3VzdG9taXplZCB0aHJvdWdoIHNldHRpbmdzLCBpdCBoYXMgYm90aCBMaW51eCBhbmQgQlNEIGxvZ29zLlxuZm9yIG1vcmUgc2NyZWVuc2hvdHMsIHZpc2l0IEdpdEh1Yi5cblxuVGhlIGRlZmF1bHQgVGVybWluYWwgYW5kIFNvZnR3YXJlIGNlbnRlciBjYW4gYWxzbyBiZSBjaGFuZ2VkLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBhIGZvcmsgb2YgLSBodHRwczovL2dpdGh1Yi5jb20vdG9mdXRlY2gvdG9mdW1lbnVcblxuVGhlIG9yaWdpbmFsIHByb2plY3QgaXMgbm8gbW9yZSBzdXBwb3J0ZWQgdGh1cyBJIG1hZGUgdGhpcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJsb2dvLW1lbnUiLAogICJuYW1lIjogIkxvZ28gTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuYXJ5YW5fay5sb2dvLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0FyeWFuMjAvTG9nb21lbnUiLAogICJ1dWlkIjogImxvZ29tZW51QGFyeWFuX2siLAogICJ2ZXJzaW9uIjogNwp9"}, "40": {"version": "7", "sha256": "15n7ij36sizhg4arbn5x4b2j3nqlr4z7nvsbcqm3bvy9pjvmib2h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvZ28gTWVudSAtIE1lbnUgc2ltaWxhciB0byBBcHBsZSdzIG1hY09TIG1lbnUgZm9yIHRoZSBHTk9NRSBEZXNrdG9wXG5UaGlzIGV4dGVuc2lvbiBnaXZlcyBhIHNpbXBsZSBtZW51IGFsb25nIHdpdGggdGhlIGFiaWxpdHkgdG8gZ2V0IHRoZSBpY29uIG9mIHlvdXIgZGlzdHJvIG9uIHRvcCBsZWZ0IHBhcnQgb2YgdGhlIHBhbmVsIGZvciBhIGdyZWF0IGxvb2suXG5UaGUgSWNvbiBjYW4gYmUgY3VzdG9taXplZCB0aHJvdWdoIHNldHRpbmdzLCBpdCBoYXMgYm90aCBMaW51eCBhbmQgQlNEIGxvZ29zLlxuZm9yIG1vcmUgc2NyZWVuc2hvdHMsIHZpc2l0IEdpdEh1Yi5cblxuVGhlIGRlZmF1bHQgVGVybWluYWwgYW5kIFNvZnR3YXJlIGNlbnRlciBjYW4gYWxzbyBiZSBjaGFuZ2VkLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBhIGZvcmsgb2YgLSBodHRwczovL2dpdGh1Yi5jb20vdG9mdXRlY2gvdG9mdW1lbnVcblxuVGhlIG9yaWdpbmFsIHByb2plY3QgaXMgbm8gbW9yZSBzdXBwb3J0ZWQgdGh1cyBJIG1hZGUgdGhpcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJsb2dvLW1lbnUiLAogICJuYW1lIjogIkxvZ28gTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuYXJ5YW5fay5sb2dvLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0FyeWFuMjAvTG9nb21lbnUiLAogICJ1dWlkIjogImxvZ29tZW51QGFyeWFuX2siLAogICJ2ZXJzaW9uIjogNwp9"}}}
+, {"uuid": "rog-manager@rog", "name": "Rog Asus Manager", "pname": "rog-asus-manager", "description": "Asus ROG manager", "link": "https://extensions.gnome.org/extension/4452/rog-asus-manager/", "shell_version_map": {"38": {"version": "4", "sha256": "194k3qzjd05rki20ww0nv8001aiyp4ih9abv82g64058x8rmnff5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFzdXMgUk9HIG1hbmFnZXIiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJyb2ctbWFuYWdlciIsCiAgIm5hbWUiOiAiUm9nIEFzdXMgTWFuYWdlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5yb2dtYW5hZ2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWxlamFuZHJvLW1vdXJhcy9yb2ctbWFuYWdlciIsCiAgInV1aWQiOiAicm9nLW1hbmFnZXJAcm9nIiwKICAidmVyc2lvbiI6IDQKfQ=="}}}
+, {"uuid": "titlebar-screenshot@jmaargh.github.com", "name": "Titlebar Screenshot", "pname": "titlebar-screenshot", "description": "Take screenshots by right-clicking on window titlebars.\n\nVarious configuration options include screenshots can be taken to the clipboard, to a file, or by opening the interactive tool.\n\nAll feedback and bug reports to Issues on the github page.", "link": "https://extensions.gnome.org/extension/4458/titlebar-screenshot/", "shell_version_map": {"38": {"version": "3", "sha256": "1vhphrjq2vyzlknfl3i7f8i3v9f8jcrpwiz26sigklmv3bmzb059", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRha2Ugc2NyZWVuc2hvdHMgYnkgcmlnaHQtY2xpY2tpbmcgb24gd2luZG93IHRpdGxlYmFycy5cblxuVmFyaW91cyBjb25maWd1cmF0aW9uIG9wdGlvbnMgaW5jbHVkZSBzY3JlZW5zaG90cyBjYW4gYmUgdGFrZW4gdG8gdGhlIGNsaXBib2FyZCwgdG8gYSBmaWxlLCBvciBieSBvcGVuaW5nIHRoZSBpbnRlcmFjdGl2ZSB0b29sLlxuXG5BbGwgZmVlZGJhY2sgYW5kIGJ1ZyByZXBvcnRzIHRvIElzc3VlcyBvbiB0aGUgZ2l0aHViIHBhZ2UuIiwKICAibmFtZSI6ICJUaXRsZWJhciBTY3JlZW5zaG90IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRpdGxlYmFyLXNjcmVlbnNob3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vam1hYXJnaC90aXRsZWJhci1zY3JlZW5zaG90LWdub21lLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAidGl0bGViYXItc2NyZWVuc2hvdEBqbWFhcmdoLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}, "40": {"version": "3", "sha256": "1vhphrjq2vyzlknfl3i7f8i3v9f8jcrpwiz26sigklmv3bmzb059", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRha2Ugc2NyZWVuc2hvdHMgYnkgcmlnaHQtY2xpY2tpbmcgb24gd2luZG93IHRpdGxlYmFycy5cblxuVmFyaW91cyBjb25maWd1cmF0aW9uIG9wdGlvbnMgaW5jbHVkZSBzY3JlZW5zaG90cyBjYW4gYmUgdGFrZW4gdG8gdGhlIGNsaXBib2FyZCwgdG8gYSBmaWxlLCBvciBieSBvcGVuaW5nIHRoZSBpbnRlcmFjdGl2ZSB0b29sLlxuXG5BbGwgZmVlZGJhY2sgYW5kIGJ1ZyByZXBvcnRzIHRvIElzc3VlcyBvbiB0aGUgZ2l0aHViIHBhZ2UuIiwKICAibmFtZSI6ICJUaXRsZWJhciBTY3JlZW5zaG90IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRpdGxlYmFyLXNjcmVlbnNob3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vam1hYXJnaC90aXRsZWJhci1zY3JlZW5zaG90LWdub21lLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAidGl0bGViYXItc2NyZWVuc2hvdEBqbWFhcmdoLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}}}
+, {"uuid": "expandable-notifications@kaan.g.inam.org", "name": "Expandable Notifications", "pname": "expandable-notifications", "description": "Makes the notifications in the notification list expandable. In the settings, the user can define if all notifications should be expanded automatically or not.", "link": "https://extensions.gnome.org/extension/4463/expandable-notifications/", "shell_version_map": {"40": {"version": "5", "sha256": "0ml8nac1r4cklsnnyy2982y5vxw8pzwqrpa3zbkfxih0d5k8l6za", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIHRoZSBub3RpZmljYXRpb25zIGluIHRoZSBub3RpZmljYXRpb24gbGlzdCBleHBhbmRhYmxlLiBJbiB0aGUgc2V0dGluZ3MsIHRoZSB1c2VyIGNhbiBkZWZpbmUgaWYgYWxsIG5vdGlmaWNhdGlvbnMgc2hvdWxkIGJlIGV4cGFuZGVkIGF1dG9tYXRpY2FsbHkgb3Igbm90LiIsCiAgImdldHRleHQtZG9tYWluIjogImV4cGFuZGFibGUtbm90aWZpY2F0aW9ucyIsCiAgIm5hbWUiOiAiRXhwYW5kYWJsZSBOb3RpZmljYXRpb25zIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmV4cGFuZGFibGUtbm90aWZpY2F0aW9ucy1zZXR0aW5ncyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9rYWFuZ2luYW0vZXhwYW5kYWJsZS1ub3RpZmljYXRpb25zIiwKICAidXVpZCI6ICJleHBhbmRhYmxlLW5vdGlmaWNhdGlvbnNAa2Fhbi5nLmluYW0ub3JnIiwKICAidmVyc2lvbiI6IDUKfQ=="}}}
+, {"uuid": "mediacontrols@cliffniff.github.com", "name": "Media Controls", "pname": "media-controls", "description": "Control your media players in the panel.\n\n- Display information of the current track in the panel\n- Can perform different actions with the mouse.\n- Has basic controls such as play/pause, next track, previous track and other controls such as loop and shuffle.\n- Blacklist media players\n- and highly configurable...", "link": "https://extensions.gnome.org/extension/4470/media-controls/", "shell_version_map": {"38": {"version": "17", "sha256": "1gd5h95vdspnldmngsldnmwvr02gi7yf5xii1jvi2ygb4x1yb0yg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnRyb2wgeW91ciBtZWRpYSBwbGF5ZXJzIGluIHRoZSBwYW5lbC5cblxuLSBEaXNwbGF5IGluZm9ybWF0aW9uIG9mIHRoZSBjdXJyZW50IHRyYWNrIGluIHRoZSBwYW5lbFxuLSBDYW4gcGVyZm9ybSBkaWZmZXJlbnQgYWN0aW9ucyB3aXRoIHRoZSBtb3VzZS5cbi0gSGFzIGJhc2ljIGNvbnRyb2xzIHN1Y2ggYXMgcGxheS9wYXVzZSwgbmV4dCB0cmFjaywgcHJldmlvdXMgdHJhY2sgYW5kIG90aGVyIGNvbnRyb2xzIHN1Y2ggYXMgbG9vcCBhbmQgc2h1ZmZsZS5cbi0gQmxhY2tsaXN0IG1lZGlhIHBsYXllcnNcbi0gYW5kIGhpZ2hseSBjb25maWd1cmFibGUuLi4iLAogICJuYW1lIjogIk1lZGlhIENvbnRyb2xzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1lZGlhY29udHJvbHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY2xpZmZuaWZmL21lZGlhLWNvbnRyb2xzIiwKICAidXVpZCI6ICJtZWRpYWNvbnRyb2xzQGNsaWZmbmlmZi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE3Cn0="}, "40": {"version": "17", "sha256": "1gd5h95vdspnldmngsldnmwvr02gi7yf5xii1jvi2ygb4x1yb0yg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnRyb2wgeW91ciBtZWRpYSBwbGF5ZXJzIGluIHRoZSBwYW5lbC5cblxuLSBEaXNwbGF5IGluZm9ybWF0aW9uIG9mIHRoZSBjdXJyZW50IHRyYWNrIGluIHRoZSBwYW5lbFxuLSBDYW4gcGVyZm9ybSBkaWZmZXJlbnQgYWN0aW9ucyB3aXRoIHRoZSBtb3VzZS5cbi0gSGFzIGJhc2ljIGNvbnRyb2xzIHN1Y2ggYXMgcGxheS9wYXVzZSwgbmV4dCB0cmFjaywgcHJldmlvdXMgdHJhY2sgYW5kIG90aGVyIGNvbnRyb2xzIHN1Y2ggYXMgbG9vcCBhbmQgc2h1ZmZsZS5cbi0gQmxhY2tsaXN0IG1lZGlhIHBsYXllcnNcbi0gYW5kIGhpZ2hseSBjb25maWd1cmFibGUuLi4iLAogICJuYW1lIjogIk1lZGlhIENvbnRyb2xzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1lZGlhY29udHJvbHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY2xpZmZuaWZmL21lZGlhLWNvbnRyb2xzIiwKICAidXVpZCI6ICJtZWRpYWNvbnRyb2xzQGNsaWZmbmlmZi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE3Cn0="}}}
+, {"uuid": "sp-tray@sp-tray.esenliyim.github.com", "name": "spotify-tray", "pname": "spotify-tray", "description": "Adds a button to the panel that shows currently playing song on Spotify", "link": "https://extensions.gnome.org/extension/4472/spotify-tray/", "shell_version_map": {"38": {"version": "8", "sha256": "0nrixfprxpgdj8jpfl16xqn9m8wq38yhw66m2d9zm7r3lfh1vq2z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBidXR0b24gdG8gdGhlIHBhbmVsIHRoYXQgc2hvd3MgY3VycmVudGx5IHBsYXlpbmcgc29uZyBvbiBTcG90aWZ5IiwKICAibmFtZSI6ICJzcG90aWZ5LXRyYXkiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc3AtdHJheSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9lc2VubGl5aW0vc3AtdHJheSIsCiAgInV1aWQiOiAic3AtdHJheUBzcC10cmF5LmVzZW5saXlpbS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="}, "40": {"version": "8", "sha256": "0nrixfprxpgdj8jpfl16xqn9m8wq38yhw66m2d9zm7r3lfh1vq2z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBidXR0b24gdG8gdGhlIHBhbmVsIHRoYXQgc2hvd3MgY3VycmVudGx5IHBsYXlpbmcgc29uZyBvbiBTcG90aWZ5IiwKICAibmFtZSI6ICJzcG90aWZ5LXRyYXkiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc3AtdHJheSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9lc2VubGl5aW0vc3AtdHJheSIsCiAgInV1aWQiOiAic3AtdHJheUBzcC10cmF5LmVzZW5saXlpbS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
+, {"uuid": "aws-default-profile-switcher@kevin-chappell.com", "name": "AWS Default Profile Switcher", "pname": "aws-default-profile-switcher", "description": "Gnome shell extension for quickly setting named profiles as the default", "link": "https://extensions.gnome.org/extension/4473/aws-default-profile-switcher/", "shell_version_map": {"38": {"version": "3", "sha256": "0mal1290kdvqv60m0ps42hnx801knh5vd4mlwg1z7ydj9sw31cfg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIHNoZWxsIGV4dGVuc2lvbiBmb3IgcXVpY2tseSBzZXR0aW5nIG5hbWVkIHByb2ZpbGVzIGFzIHRoZSBkZWZhdWx0IiwKICAibmFtZSI6ICJBV1MgRGVmYXVsdCBQcm9maWxlIFN3aXRjaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20va2V2aW5jaGFwcGVsbC9hd3MtZGVmYXVsdC1wcm9maWxlLXN3aXRjaGVyIiwKICAidXVpZCI6ICJhd3MtZGVmYXVsdC1wcm9maWxlLXN3aXRjaGVyQGtldmluLWNoYXBwZWxsLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}}}
+, {"uuid": "hide-panel-for-fullscreen-windows-only@github.freder", "name": "Hide panel (only when active window is fullscreen)", "pname": "hide-panel-only-when-active-window-is-fullscreen", "description": "hide top panel only when a fullscreen application has focus", "link": "https://extensions.gnome.org/extension/4475/hide-panel-only-when-active-window-is-fullscreen/", "shell_version_map": {"40": {"version": "2", "sha256": "1hd5agdjq73qiqip0m3grmlxk8klp26pd71cz555414mi3f9mww3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImhpZGUgdG9wIHBhbmVsIG9ubHkgd2hlbiBhIGZ1bGxzY3JlZW4gYXBwbGljYXRpb24gaGFzIGZvY3VzIiwKICAibmFtZSI6ICJIaWRlIHBhbmVsIChvbmx5IHdoZW4gYWN0aXZlIHdpbmRvdyBpcyBmdWxsc2NyZWVuKSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mcmVkZXIvaGlkZS1wYW5lbC1mb3ItZnVsbHNjcmVlbi13aW5kb3dzLW9ubHkiLAogICJ1dWlkIjogImhpZGUtcGFuZWwtZm9yLWZ1bGxzY3JlZW4td2luZG93cy1vbmx5QGdpdGh1Yi5mcmVkZXIiLAogICJ2ZXJzaW9uIjogMgp9"}}}
+, {"uuid": "netspeed@alynx.one", "name": "Net Speed", "pname": "net-speed", "description": "Show current net speed on panel.", "link": "https://extensions.gnome.org/extension/4478/net-speed/", "shell_version_map": {"40": {"version": "2", "sha256": "0j48ai7nqkpr0ymypsicdp7nw59hx9rgya8qws58wx56q9bznl69", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgY3VycmVudCBuZXQgc3BlZWQgb24gcGFuZWwuIiwKICAibmFtZSI6ICJOZXQgU3BlZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIm5ldHNwZWVkQGFseW54Lm9uZSIsCiAgInZlcnNpb24iOiAyCn0="}}}
+, {"uuid": "forge@jmmaranan.com", "name": "Forge", "pname": "forge", "description": "Tiling and window manager for GNOME", "link": "https://extensions.gnome.org/extension/4481/forge/", "shell_version_map": {"38": {"version": "18", "sha256": "1j1d3pmmpn1gk4l8wk9384nz1azd9gdcrpks23k4v7icbbaq9v6a", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGluZyBhbmQgd2luZG93IG1hbmFnZXIgZm9yIEdOT01FIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZm9yZ2UiLAogICJuYW1lIjogIkZvcmdlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmZvcmdlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vam1tYXJhbmFuL2ZvcmdlIiwKICAidXVpZCI6ICJmb3JnZUBqbW1hcmFuYW4uY29tIiwKICAidmVyc2lvbiI6IDE4Cn0="}, "40": {"version": "19", "sha256": "1fphxpxpxxfcv4225h47a50dbxkrqf4y45q49a4rvn9gdrmlh79z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGluZyBhbmQgd2luZG93IG1hbmFnZXIgZm9yIEdOT01FIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZm9yZ2UiLAogICJuYW1lIjogIkZvcmdlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmZvcmdlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ptbWFyYW5hbi9mb3JnZSIsCiAgInV1aWQiOiAiZm9yZ2VAam1tYXJhbmFuLmNvbSIsCiAgInZlcnNpb24iOiAxOQp9"}}}
+, {"uuid": "autonightlight@zefty.github.io", "name": "Auto Night Light", "pname": "auto-night-light", "description": "Automatically adjust night light", "link": "https://extensions.gnome.org/extension/4482/auto-night-light/", "shell_version_map": {"38": {"version": "2", "sha256": "1dfaprvy36vvhiqsqksmkqwb66dm9wj38gjwbv3g9xlvshnaxv6j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkF1dG9tYXRpY2FsbHkgYWRqdXN0IG5pZ2h0IGxpZ2h0IiwKICAibmFtZSI6ICJBdXRvIE5pZ2h0IExpZ2h0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vWmVmdHkvQXV0b05pZ2h0TGlnaHQiLAogICJ1dWlkIjogImF1dG9uaWdodGxpZ2h0QHplZnR5LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAyCn0="}}}
+, {"uuid": "favourites-in-appgrid@harshadgavali.gitlab.org", "name": "Favourites in AppGrid", "pname": "favourites-in-appgrid", "description": "Keep favourite applications in AppGrid", "link": "https://extensions.gnome.org/extension/4485/favourites-in-appgrid/", "shell_version_map": {"40": {"version": "1", "sha256": "1zcbs36kcmw50wgxkqn22abh8g1nx17p48vbpzk5x2842mn8shaz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIktlZXAgZmF2b3VyaXRlIGFwcGxpY2F0aW9ucyBpbiBBcHBHcmlkIiwKICAibmFtZSI6ICJGYXZvdXJpdGVzIGluIEFwcEdyaWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL2hhcnNoYWRnYXZhbGkvZmF2b3VyaXRlcy1pbi1hcHBncmlkLyIsCiAgInV1aWQiOiAiZmF2b3VyaXRlcy1pbi1hcHBncmlkQGhhcnNoYWRnYXZhbGkuZ2l0bGFiLm9yZyIsCiAgInZlcnNpb24iOiAxCn0="}}}
+, {"uuid": "dark-variant@hardpixel.eu", "name": "Dark Variant", "pname": "dark-variant", "description": "Enable dark window decorations on applications. This extension is not compatible with applications that use Client Side Decorations (CSD).\n\nThis extension depends on some Xorg utilities. To install them:\n- Debian/Ubuntu: apt install x11-utils\n- Fedora/RHEL: dnf install xorg-x11-utils\n- Arch: pacman -S xorg-xprop\n\n*Settings are provided to enable/disable applications.", "link": "https://extensions.gnome.org/extension/4488/dark-variant/", "shell_version_map": {"40": {"version": "2", "sha256": "0i24nb93ihhaw76aaiv62fpwbvhc5dp8n88n7j8jbb557f1cvpwy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZSBkYXJrIHdpbmRvdyBkZWNvcmF0aW9ucyBvbiBhcHBsaWNhdGlvbnMuIFRoaXMgZXh0ZW5zaW9uIGlzIG5vdCBjb21wYXRpYmxlIHdpdGggYXBwbGljYXRpb25zIHRoYXQgdXNlIENsaWVudCBTaWRlIERlY29yYXRpb25zIChDU0QpLlxuXG5UaGlzIGV4dGVuc2lvbiBkZXBlbmRzIG9uIHNvbWUgWG9yZyB1dGlsaXRpZXMuIFRvIGluc3RhbGwgdGhlbTpcbi0gRGViaWFuL1VidW50dTogYXB0IGluc3RhbGwgeDExLXV0aWxzXG4tIEZlZG9yYS9SSEVMOiBkbmYgaW5zdGFsbCB4b3JnLXgxMS11dGlsc1xuLSBBcmNoOiBwYWNtYW4gLVMgeG9yZy14cHJvcFxuXG4qU2V0dGluZ3MgYXJlIHByb3ZpZGVkIHRvIGVuYWJsZS9kaXNhYmxlIGFwcGxpY2F0aW9ucy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJkYXJrLXZhcmlhbnQiLAogICJuYW1lIjogIkRhcmsgVmFyaWFudCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5kYXJrLXZhcmlhbnQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hhcmRwaXhlbC9kYXJrLXZhcmlhbnQiLAogICJ1dWlkIjogImRhcmstdmFyaWFudEBoYXJkcGl4ZWwuZXUiLAogICJ2ZXJzaW9uIjogMgp9"}}}
+, {"uuid": "PrivacyMenu@stuarthayhurst", "name": "Privacy Settings Menu", "pname": "privacy-settings-menu", "description": "Add a privacy menu to the top bar for quick access to privacy settings", "link": "https://extensions.gnome.org/extension/4491/privacy-settings-menu/", "shell_version_map": {"38": {"version": "4", "sha256": "1kdkm032xz72cycaj85q1yjb7s6bv3srysp4sn69phclldyg6bhx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIHByaXZhY3kgbWVudSB0byB0aGUgdG9wIGJhciBmb3IgcXVpY2sgYWNjZXNzIHRvIHByaXZhY3kgc2V0dGluZ3MiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJQcml2YWN5TWVudUBzdHVhcnRoYXlodXJzdCIsCiAgIm5hbWUiOiAiUHJpdmFjeSBTZXR0aW5ncyBNZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdHVhcnRoYXlodXJzdC9wcml2YWN5LW1lbnUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJQcml2YWN5TWVudUBzdHVhcnRoYXlodXJzdCIsCiAgInZlcnNpb24iOiA0Cn0="}, "40": {"version": "4", "sha256": "1kdkm032xz72cycaj85q1yjb7s6bv3srysp4sn69phclldyg6bhx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIHByaXZhY3kgbWVudSB0byB0aGUgdG9wIGJhciBmb3IgcXVpY2sgYWNjZXNzIHRvIHByaXZhY3kgc2V0dGluZ3MiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJQcml2YWN5TWVudUBzdHVhcnRoYXlodXJzdCIsCiAgIm5hbWUiOiAiUHJpdmFjeSBTZXR0aW5ncyBNZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdHVhcnRoYXlodXJzdC9wcml2YWN5LW1lbnUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJQcml2YWN5TWVudUBzdHVhcnRoYXlodXJzdCIsCiAgInZlcnNpb24iOiA0Cn0="}}}
+, {"uuid": "hide-panel-lite@fthx", "name": "Hide Panel (light version & without hot corner)", "pname": "hide-panel-light-version-without-hot-corner", "description": "Hide top panel except in overview.\n\nFor those who don't need a hot corner (e.g. running GNOME 40+ with three fingers gestures). Very very light extension. No options, no bugs. ;-)", "link": "https://extensions.gnome.org/extension/4496/hide-panel-light-version-without-hot-corner/", "shell_version_map": {"38": {"version": "1", "sha256": "092fqwypkfnzfa584nzg90ml9q8cvnxgfvhl4gj049745szs2j06", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgdG9wIHBhbmVsIGV4Y2VwdCBpbiBvdmVydmlldy5cblxuRm9yIHRob3NlIHdobyBkb24ndCBuZWVkIGEgaG90IGNvcm5lciAoZS5nLiBydW5uaW5nIEdOT01FIDQwKyB3aXRoIHRocmVlIGZpbmdlcnMgZ2VzdHVyZXMpLiBWZXJ5IHZlcnkgbGlnaHQgZXh0ZW5zaW9uLiBObyBvcHRpb25zLCBubyBidWdzLiA7LSkiLAogICJuYW1lIjogIkhpZGUgUGFuZWwgKGxpZ2h0IHZlcnNpb24gJiB3aXRob3V0IGhvdCBjb3JuZXIpIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L2hpZGUtcGFuZWwtbGl0ZSIsCiAgInV1aWQiOiAiaGlkZS1wYW5lbC1saXRlQGZ0aHgiLAogICJ2ZXJzaW9uIjogMQp9"}, "40": {"version": "1", "sha256": "092fqwypkfnzfa584nzg90ml9q8cvnxgfvhl4gj049745szs2j06", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgdG9wIHBhbmVsIGV4Y2VwdCBpbiBvdmVydmlldy5cblxuRm9yIHRob3NlIHdobyBkb24ndCBuZWVkIGEgaG90IGNvcm5lciAoZS5nLiBydW5uaW5nIEdOT01FIDQwKyB3aXRoIHRocmVlIGZpbmdlcnMgZ2VzdHVyZXMpLiBWZXJ5IHZlcnkgbGlnaHQgZXh0ZW5zaW9uLiBObyBvcHRpb25zLCBubyBidWdzLiA7LSkiLAogICJuYW1lIjogIkhpZGUgUGFuZWwgKGxpZ2h0IHZlcnNpb24gJiB3aXRob3V0IGhvdCBjb3JuZXIpIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L2hpZGUtcGFuZWwtbGl0ZSIsCiAgInV1aWQiOiAiaGlkZS1wYW5lbC1saXRlQGZ0aHgiLAogICJ2ZXJzaW9uIjogMQp9"}}}
+, {"uuid": "ssm-gnome@lgiki.net", "name": "Simple System Monitor", "pname": "simple-system-monitor", "description": "Show current CPU usage, memory usage and net speed on panel.\n\nThis is a fork of https://extensions.gnome.org/extension/4478/net-speed/.", "link": "https://extensions.gnome.org/extension/4506/simple-system-monitor/", "shell_version_map": {"40": {"version": "5", "sha256": "1gzj7zryq716nq15im9wfiaxji3b6gaq0yvbc6cqp2fawj7ajj13", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgY3VycmVudCBDUFUgdXNhZ2UsIG1lbW9yeSB1c2FnZSBhbmQgbmV0IHNwZWVkIG9uIHBhbmVsLlxuXG5UaGlzIGlzIGEgZm9yayBvZiBodHRwczovL2V4dGVuc2lvbnMuZ25vbWUub3JnL2V4dGVuc2lvbi80NDc4L25ldC1zcGVlZC8uIiwKICAibmFtZSI6ICJTaW1wbGUgU3lzdGVtIE1vbml0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0xHaWtpL2dub21lLXNoZWxsLWV4dGVuc2lvbi1zaW1wbGUtc3lzdGVtLW1vbml0b3IiLAogICJ1dWlkIjogInNzbS1nbm9tZUBsZ2lraS5uZXQiLAogICJ2ZXJzaW9uIjogNQp9"}}}
+, {"uuid": "unredirect@aunetx", "name": "Disable unredirect fullscreen windows", "pname": "disable-unredirect-fullscreen-windows", "description": "Disables unredirect fullscreen windows in gnome-shell to prevent artifacts when in fullscreen.\n\nThis version makes sure the unredirect option is not re-enabled automatically by the shell.", "link": "https://extensions.gnome.org/extension/4509/disable-unredirect-fullscreen-windows/", "shell_version_map": {"40": {"version": "2", "sha256": "1d1frg29hhmlc1fdadz7ap9v3zrd2mw46ixs2rd9pm8z969fbw5p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGVzIHVucmVkaXJlY3QgZnVsbHNjcmVlbiB3aW5kb3dzIGluIGdub21lLXNoZWxsIHRvIHByZXZlbnQgYXJ0aWZhY3RzIHdoZW4gaW4gZnVsbHNjcmVlbi5cblxuVGhpcyB2ZXJzaW9uIG1ha2VzIHN1cmUgdGhlIHVucmVkaXJlY3Qgb3B0aW9uIGlzIG5vdCByZS1lbmFibGVkIGF1dG9tYXRpY2FsbHkgYnkgdGhlIHNoZWxsLiIsCiAgIm5hbWUiOiAiRGlzYWJsZSB1bnJlZGlyZWN0IGZ1bGxzY3JlZW4gd2luZG93cyIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiAiS2F6aW1pZXJhcyBWYWluYSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hdW5ldHgvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWRpc2FibGUtdW5yZWRpcmVjdCIsCiAgInV1aWQiOiAidW5yZWRpcmVjdEBhdW5ldHgiLAogICJ2ZXJzaW9uIjogMgp9"}}}
+, {"uuid": "nepali-date@biplab", "name": "Nepali Date", "pname": "nepali-calendar", "description": "Shows nepali date on the panel.", "link": "https://extensions.gnome.org/extension/4518/nepali-calendar/", "shell_version_map": {"40": {"version": "8", "sha256": "0hkgibrmwz7rk9y53x7klb1cx0v6vpygrx9ndfh173b3sk7dy1a0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIG5lcGFsaSBkYXRlIG9uIHRoZSBwYW5lbC4iLAogICJuYW1lIjogIk5lcGFsaSBEYXRlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0JpcGxhYi1EdXR0YS9OZXBhbGlfRGF0ZSIsCiAgInV1aWQiOiAibmVwYWxpLWRhdGVAYmlwbGFiIiwKICAidmVyc2lvbiI6IDgKfQ=="}}}
+, {"uuid": "clock-left@mapuut", "name": "Clock Left", "pname": "clock-left", "description": "Move clock to left", "link": "https://extensions.gnome.org/extension/4526/clock-left/", "shell_version_map": {"38": {"version": "2", "sha256": "027wlahy8pwic90cyx677456miaj1kpmfw85r0y0iwr66i3k00qf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgY2xvY2sgdG8gbGVmdCIsCiAgIm5hbWUiOiAiQ2xvY2sgTGVmdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImNsb2NrLWxlZnRAbWFwdXV0IiwKICAidmVyc2lvbiI6IDIKfQ=="}, "40": {"version": "2", "sha256": "027wlahy8pwic90cyx677456miaj1kpmfw85r0y0iwr66i3k00qf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgY2xvY2sgdG8gbGVmdCIsCiAgIm5hbWUiOiAiQ2xvY2sgTGVmdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogImNsb2NrLWxlZnRAbWFwdXV0IiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
+, {"uuid": "cpudots@kdevmen.gmail.com", "name": "CPUdots", "pname": "cpudots", "description": "A basic cpu graph inspired from xfce4 cpu freq monitor plugin. it's incomplete right now... I'll update as soon as I get some time off my job.", "link": "https://extensions.gnome.org/extension/4530/cpudots/", "shell_version_map": {"40": {"version": "1", "sha256": "095dp7i7n6mk3y8fkkh6fiq1zh2lhs0z6cjfygwmr5vv9z23p7dg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgYmFzaWMgY3B1IGdyYXBoIGluc3BpcmVkIGZyb20geGZjZTQgY3B1IGZyZXEgbW9uaXRvciBwbHVnaW4uIGl0J3MgaW5jb21wbGV0ZSByaWdodCBub3cuLi4gSSdsbCB1cGRhdGUgYXMgc29vbiBhcyBJIGdldCBzb21lIHRpbWUgb2ZmIG15IGpvYi4iLAogICJuYW1lIjogIkNQVWRvdHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAiY29tLmdpdGh1Yi5hbWV6aW4uZGR0ZXJtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJjcHVkb3RzQGtkZXZtZW4uZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
+, {"uuid": "taildropsendxuwuming2014@outlook.com", "name": "TailDrop Send", "pname": "taildrop-send", "description": "Send files via tailscale", "link": "https://extensions.gnome.org/extension/4533/taildrop-send/", "shell_version_map": {"40": {"version": "3", "sha256": "1gg2ijadazlldysbyag1yrbgg9k9igfwylnjmiw7khjcbhjy1fjg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNlbmQgZmlsZXMgdmlhIHRhaWxzY2FsZSIsCiAgImdldHRleHQtZG9tYWluIjogInRhaWxkcm9wLXNlbmQtZXh0ZW5zaW9uIiwKICAibmFtZSI6ICJUYWlsRHJvcCBTZW5kIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRhaWxkcm9wc2VuZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mcjIzMy9nbm9tZS1zaGVsbC1leHRlbnNpb24tdGFpbGRyb3BzZW5kIiwKICAidXVpZCI6ICJ0YWlsZHJvcHNlbmR4dXd1bWluZzIwMTRAb3V0bG9vay5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}}}
+, {"uuid": "toggle-mute-on-middle-click-updated@konradmb", "name": "Toggle Mute on Middle Click", "pname": "toggle-mute-on-middle-click", "description": "Toggle mute by middle-clicking the volume indicator. Updated for Gnome 40 from: https://extensions.gnome.org/extension/1473/toggle-mute-on-middle-click/", "link": "https://extensions.gnome.org/extension/4538/toggle-mute-on-middle-click/", "shell_version_map": {"40": {"version": "1", "sha256": "0ag52arddv2dypfr1bs28c6dnfq0lrccibbl6cdzjx4d26j4cv4z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZSBtdXRlIGJ5IG1pZGRsZS1jbGlja2luZyB0aGUgdm9sdW1lIGluZGljYXRvci4gVXBkYXRlZCBmb3IgR25vbWUgNDAgZnJvbTogaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vMTQ3My90b2dnbGUtbXV0ZS1vbi1taWRkbGUtY2xpY2svIiwKICAibmFtZSI6ICJUb2dnbGUgTXV0ZSBvbiBNaWRkbGUgQ2xpY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMjgiLAogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogInRvZ2dsZS1tdXRlLW9uLW1pZGRsZS1jbGljay11cGRhdGVkQGtvbnJhZG1iIiwKICAidmVyc2lvbiI6IDEKfQ=="}}}
+, {"uuid": "cloudflare@adam.gadmz", "name": "Cloudflare", "pname": "cloudflare", "description": "Warp Connect", "link": "https://extensions.gnome.org/extension/4542/cloudflare/", "shell_version_map": {"38": {"version": "6", "sha256": "1kng66bnbq9fa307zmijarn6s4672h13s8hl55k2yf406rl5bijz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dGhvciI6ICJBZGFtIiwKICAiZGVzY3JpcHRpb24iOiAiV2FycCBDb25uZWN0IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWNsb3VkZmxhcmUiLAogICJuYW1lIjogIkNsb3VkZmxhcmUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kdW9uZ2dpYWtoYW5oYi9DbG91ZGZsYXJlX0dOT01FX0V4dGVuc2lvbiIsCiAgInV1aWQiOiAiY2xvdWRmbGFyZUBhZGFtLmdhZG16IiwKICAidmVyc2lvbiI6IDYKfQ=="}}}
+, {"uuid": "dell-command-configure-menu@vsimkus.github.io", "name": "Dell Command Configure menu", "pname": "dell-command-configure-menu", "description": "Adds submenu in gnome shell to access Dell Command Configure options.\n\nCurrently, only battery charge settings are implemented.\n\nThe Dell Command Configure tool must be installed separately from Dell https://www.dell.com/support/kbdoc/en-uk/000178000/dell-command-configure#Downloads.", "link": "https://extensions.gnome.org/extension/4545/dell-command-configure-menu/", "shell_version_map": {"38": {"version": "2", "sha256": "1wbxmwpmzlksrf04ccsn3qqwprxl9sa0d4vc4jwidnn9vq7gkack", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgc3VibWVudSBpbiBnbm9tZSBzaGVsbCB0byBhY2Nlc3MgRGVsbCBDb21tYW5kIENvbmZpZ3VyZSBvcHRpb25zLlxuXG5DdXJyZW50bHksIG9ubHkgYmF0dGVyeSBjaGFyZ2Ugc2V0dGluZ3MgYXJlIGltcGxlbWVudGVkLlxuXG5UaGUgRGVsbCBDb21tYW5kIENvbmZpZ3VyZSB0b29sIG11c3QgYmUgaW5zdGFsbGVkIHNlcGFyYXRlbHkgZnJvbSBEZWxsIGh0dHBzOi8vd3d3LmRlbGwuY29tL3N1cHBvcnQva2Jkb2MvZW4tdWsvMDAwMTc4MDAwL2RlbGwtY29tbWFuZC1jb25maWd1cmUjRG93bmxvYWRzLiIsCiAgImdldHRleHQtZG9tYWluIjogImRlbGwtY29tbWFuZC1jb25maWd1cmUtbWVudSIsCiAgIm5hbWUiOiAiRGVsbCBDb21tYW5kIENvbmZpZ3VyZSBtZW51IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlbGxjb21tYW5kY29uZmlndXJlbWVudSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3ZzaW1rdXMvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWRlbGwtY29tbWFuZC1jb25maWd1cmUtbWVudSIsCiAgInV1aWQiOiAiZGVsbC1jb21tYW5kLWNvbmZpZ3VyZS1tZW51QHZzaW1rdXMuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDIKfQ=="}}}
+, {"uuid": "tactile@lundal.io", "name": "Tactile", "pname": "tactile", "description": "Tile windows on a custom grid using your keyboard. Type Super-T to show the grid, then type two tiles (or the same tile twice) to move the active window.\n\nThe grid can be up to 4x3 (corresponding to one hand on the keyboard) and each row/column can be weighted to take up more or less space.", "link": "https://extensions.gnome.org/extension/4548/tactile/", "shell_version_map": {"40": {"version": "3", "sha256": "0dvj64dap77n973ksshfmdfw7rmijhwdwp0g2rga4f6did3xaqq9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGN1c3RvbSBncmlkIHVzaW5nIHlvdXIga2V5Ym9hcmQuIFR5cGUgU3VwZXItVCB0byBzaG93IHRoZSBncmlkLCB0aGVuIHR5cGUgdHdvIHRpbGVzIChvciB0aGUgc2FtZSB0aWxlIHR3aWNlKSB0byBtb3ZlIHRoZSBhY3RpdmUgd2luZG93LlxuXG5UaGUgZ3JpZCBjYW4gYmUgdXAgdG8gNHgzIChjb3JyZXNwb25kaW5nIHRvIG9uZSBoYW5kIG9uIHRoZSBrZXlib2FyZCkgYW5kIGVhY2ggcm93L2NvbHVtbiBjYW4gYmUgd2VpZ2h0ZWQgdG8gdGFrZSB1cCBtb3JlIG9yIGxlc3Mgc3BhY2UuIiwKICAibmFtZSI6ICJUYWN0aWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRhY3RpbGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vbHVuZGFsL3RhY3RpbGUiLAogICJ1dWlkIjogInRhY3RpbGVAbHVuZGFsLmlvIiwKICAidmVyc2lvbiI6IDMKfQ=="}}}
]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/gsconnect/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/gsconnect/default.nix
index 37824495a18..2d3d2415db2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/gsconnect/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/gsconnect/default.nix
@@ -19,7 +19,7 @@
stdenv.mkDerivation rec {
pname = "gnome-shell-extension-gsconnect";
- version = "47";
+ version = "48";
outputs = [ "out" "installedTests" ];
@@ -27,7 +27,7 @@ stdenv.mkDerivation rec {
owner = "andyholmes";
repo = "gnome-shell-extension-gsconnect";
rev = "v${version}";
- sha256 = "sha256-cpyB7ufjKTj7YVbPlQFJ4qaL6Rb/aBReKxCYzErT4+Q=";
+ sha256 = "sha256-cKEFTF8DnQIQAXVW9NvE34mUqueQP/OtxTzMUy1dT5U=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/manuallyPackaged.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/manuallyPackaged.nix
index 3e99f3143d2..1c648e4a047 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/manuallyPackaged.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/manuallyPackaged.nix
@@ -3,7 +3,6 @@
"arcmenu@arcmenu.com" = callPackage ./arcmenu { };
"caffeine@patapon.info" = callPackage ./caffeine { };
"clock-override@gnomeshell.kryogenix.org" = callPackage ./clock-override { };
- "dash-to-dock@micxgx.gmail.com" = callPackage ./dash-to-dock { };
"dash-to-panel@jderose9.github.com" = callPackage ./dash-to-panel { };
"drop-down-terminal@gs-extensions.zzrough.org" = callPackage ./drop-down-terminal { };
"EasyScreenCast@iacopodeenosee.gmail.com" = callPackage ./EasyScreenCast { };
@@ -21,6 +20,5 @@
"taskwhisperer-extension@infinicode.de" = callPackage ./taskwhisperer { };
"tilingnome@rliang.github.com" = callPackage ./tilingnome { };
"TopIcons@phocean.net" = callPackage ./topicons-plus { };
- "unite@hardpixel.eu" = callPackage ./unite { };
"window-corner-preview@fabiomereu.it" = callPackage ./window-corner-preview { };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/tilingnome/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/tilingnome/default.nix
index 42c6467dba8..fbf89ffa19c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/tilingnome/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/tilingnome/default.nix
@@ -1,7 +1,7 @@
{ stdenv, lib, fetchFromGitHub, glib, gnome }:
stdenv.mkDerivation rec {
- pname = "gnome-shell-extension-tilingnome-unstable";
+ pname = "gnome-shell-extension-tilingnome";
version = "unstable-2019-09-19";
src = fetchFromGitHub {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/unite/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/unite/default.nix
deleted file mode 100644
index 776139b3686..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/extensions/unite/default.nix
+++ /dev/null
@@ -1,43 +0,0 @@
-{ lib, stdenv, gnome, fetchFromGitHub, xprop, glib }:
-
-stdenv.mkDerivation rec {
- pname = "gnome-shell-extension-unite";
- version = "54";
-
- src = fetchFromGitHub {
- owner = "hardpixel";
- repo = "unite-shell";
- rev = "v${version}";
- sha256 = "sha256-Ys2kWPj/FugW/LkvLAZdbj7Ufg/KShC+EX6QrjKNVH8=";
- };
-
- passthru = {
- extensionUuid = "unite@hardpixel.eu";
- extensionPortalSlug = "unite";
- };
-
- nativeBuildInputs = [ glib ];
-
- buildInputs = [ xprop ];
-
- buildPhase = ''
- runHook preBuild
- glib-compile-schemas --strict --targetdir="unite@hardpixel.eu/schemas/" "unite@hardpixel.eu/schemas"
- runHook postBuild
- '';
-
- installPhase = ''
- runHook preInstall
- mkdir -p $out/share/gnome-shell/extensions
- cp -r "unite@hardpixel.eu" $out/share/gnome-shell/extensions
- runHook postInstall
- '';
-
- meta = with lib; {
- description = "Unite is a GNOME Shell extension which makes a few layout tweaks to the top panel and removes window decorations to make it look like Ubuntu Unity Shell";
- license = licenses.gpl3Only;
- maintainers = with maintainers; [ rhoriguchi ];
- homepage = "https://github.com/hardpixel/unite-shell";
- broken = versionOlder gnome.gnome-shell.version "3.32";
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/gnome-chess/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/gnome-chess/default.nix
index c632bce407b..a2dbd9257a2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/gnome-chess/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/gnome-chess/default.nix
@@ -19,11 +19,11 @@
stdenv.mkDerivation rec {
pname = "gnome-chess";
- version = "40.1";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/gnome-chess/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "132nc96z0bryyi9d5gljsbwsa71rl8wm5w57jbhpwiv4fyjhgybk";
+ sha256 = "8MKVFSLw5YX6aDdipBk9q2ujLtjOiSB/gIJ7VgRVaO8=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/hitori/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/hitori/default.nix
index 9c08aa5cada..15759c68198 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/hitori/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/hitori/default.nix
@@ -18,11 +18,11 @@
stdenv.mkDerivation rec {
pname = "hitori";
- version = "3.38.2";
+ version = "3.38.3";
src = fetchurl {
url = "mirror://gnome/sources/hitori/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "wmQ1cwN/ansW6SCK7e6GkQJvCBq6qhYJQu21LwkCnKw=";
+ sha256 = "99cQPLBjP7ATcwExqYw646IWK5+5SZ/H8ZUS1YG/ZWk=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/quadrapassel/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/quadrapassel/default.nix
index c3724ef5105..956f9f842d3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/quadrapassel/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/quadrapassel/default.nix
@@ -24,11 +24,11 @@
stdenv.mkDerivation rec {
pname = "quadrapassel";
- version = "40.1";
+ version = "40.2";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "1d59sxmmmhi611hvr5jmsm276j9w20hc5yq4rk0s4d3svadyap79";
+ sha256 = "C9giQUIHxzEj7WpJ9yPaWsjdTfXTXtwJn/6i4TmcwAo=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/swell-foop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/swell-foop/default.nix
index 50fab598153..b36f273f6d2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/swell-foop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/swell-foop/default.nix
@@ -21,11 +21,11 @@
stdenv.mkDerivation rec {
pname = "swell-foop";
- version = "40.1";
+ version = "41.0.1";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "17r4b0g8s7z872wdd7ngk248z7fqx43vm2sym1bdqhzsi250s1y1";
+ sha256 = "YEL/MTxsh9VkgnxwNpazsgkTbD/Dn+Jkpu+k4wWTg9g=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/tali/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/tali/default.nix
index 9399561e678..a818d8c115c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/tali/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/games/tali/default.nix
@@ -20,11 +20,11 @@
stdenv.mkDerivation rec {
pname = "tali";
- version = "40.2";
+ version = "40.3";
src = fetchurl {
url = "mirror://gnome/sources/tali/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "9SHsnW1SKA/Pfi1IerbVqIw54yx6n5XrqwKdUsAj4Cs=";
+ sha256 = "neLxCreZjHprLKYvs3nBgby8HtYqp6gkG8VVHVF4/iE=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/gnome-autoar/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/gnome-autoar/default.nix
index 2afb401bef4..e8bfaffb45e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/gnome-autoar/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/gnome-autoar/default.nix
@@ -1,5 +1,9 @@
-{ lib, stdenv
+{ stdenv
+, lib
, fetchurl
+, fetchpatch
+, meson
+, ninja
, pkg-config
, gnome
, gtk3
@@ -11,21 +15,32 @@
stdenv.mkDerivation rec {
pname = "gnome-autoar";
- version = "0.3.3";
+ version = "0.4.0";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/gnome-autoar/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "JyQA9zo3Wn6I/fHhJZG/uPPwPt8BeAytzXT3C2E+XAQ=";
+ sha256 = "6oxtUkurxxKsWeHQ46yL8BN0gtrfM8lP6RE3lKG8RHQ=";
};
- passthru = {
- updateScript = gnome.updateScript { packageName = "gnome-autoar"; attrPath = "gnome.gnome-autoar"; };
- };
+ patches = [
+ # Make compatible with older Meson.
+ # https://gitlab.gnome.org/GNOME/gnome-autoar/-/merge_requests/26
+ (fetchpatch {
+ url = "https://gitlab.gnome.org/GNOME/gnome-autoar/-/commit/2d90da6174c03aad546802234a3d77fa0b714e6b.patch";
+ sha256 = "CysDpBJmVPm4gOSV2h041MY2yApfAy8+4QC7Jlka1xE=";
+ })
+ (fetchpatch {
+ url = "https://gitlab.gnome.org/GNOME/gnome-autoar/-/commit/ac21bd0c50584a1905a0da65d4bf9a6926ecd483.patch";
+ sha256 = "aTu6eKFSKjljk0TYkhFjPcD8eJCIk8TR0YhZYO9JE1k=";
+ })
+ ];
nativeBuildInputs = [
gobject-introspection
+ meson
+ ninja
pkg-config
vala
];
@@ -39,6 +54,17 @@ stdenv.mkDerivation rec {
glib
];
+ mesonFlags = [
+ "-Dvapi=true"
+ ];
+
+ passthru = {
+ updateScript = gnome.updateScript {
+ packageName = "gnome-autoar";
+ attrPath = "gnome.gnome-autoar";
+ };
+ };
+
meta = with lib; {
platforms = platforms.linux;
maintainers = teams.gnome.members;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/gnome-tweaks/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/gnome-tweaks/default.nix
index 460ab47733c..6a9f4f19385 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/gnome-tweaks/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/gnome-tweaks/default.nix
@@ -56,7 +56,7 @@ python3Packages.buildPythonApplication rec {
libsoup
];
- propagatedBuildInputs = with python3Packages; [
+ pythonPath = with python3Packages; [
pygobject3
];
@@ -64,6 +64,16 @@ python3Packages.buildPythonApplication rec {
patchShebangs meson-postinstall.py
'';
+ dontWrapGApps = true;
+
+ preFixup = ''
+ makeWrapperArgs+=("''${gappsWrapperArgs[@]}")
+ '';
+
+ postFixup = ''
+ wrapPythonProgramsIn "$out/libexec" "$out $pythonPath"
+ '';
+
passthru = {
updateScript = gnome.updateScript {
packageName = pname;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/gpaste/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/gpaste/default.nix
index 15fcfbfe390..12abe49b918 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/gpaste/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/gpaste/default.nix
@@ -17,14 +17,14 @@
}:
stdenv.mkDerivation rec {
- version = "3.40.2";
+ version = "3.42.0";
pname = "gpaste";
src = fetchFromGitHub {
owner = "Keruspe";
repo = "GPaste";
rev = "v${version}";
- sha256 = "sha256-DUikcnkDBRkCwPLrl8lkNr+SeNpc3bPwPTWRn91nOo4=";
+ sha256 = "sha256-YsAA487Q2BwDh4V2TPN/YwAFCw+F11OKMjatcNR98/c=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/pomodoro/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/pomodoro/default.nix
index 2881cc7e50f..245a5229343 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/pomodoro/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/pomodoro/default.nix
@@ -1,9 +1,13 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchFromGitHub
-, autoconf-archive
-, appstream-glib
+, substituteAll
+, fetchpatch
+, meson
+, ninja
, pkg-config
, wrapGAppsHook
+, desktop-file-utils
, libcanberra
, gst_all_1
, vala
@@ -11,43 +15,54 @@
, gom
, sqlite
, libxml2
-, autoreconfHook
, glib
, gobject-introspection
, libpeas
-, gnome-shell
, gsettings-desktop-schemas
-, adwaita-icon-theme
, gettext
}:
stdenv.mkDerivation rec {
pname = "gnome-shell-pomodoro";
- version = "0.19.1";
+ version = "0.20.0";
src = fetchFromGitHub {
- owner = "codito";
+ owner = "gnome-pomodoro";
repo = "gnome-pomodoro";
rev = version;
- sha256 = "sha256-im66QUzz6PcX0vkf4cN57ttRLB4KKPFky1pwUa4V7kQ=";
+ sha256 = "sha256-USzLHoBM0QbBPtbTzJJY02cOSDtmlxPGYhMj7M1FJic=";
};
+ patches = [
+ # Our glib setup hooks moves GSettings schemas to a subdirectory to prevent conflicts.
+ # We need to patch the build script so that the extension can find them.
+ (substituteAll {
+ src = ./fix-schema-path.patch;
+ inherit pname version;
+ })
+
+ # Fix error reporting code.
+ # https://github.com/gnome-pomodoro/gnome-pomodoro/pull/591
+ (fetchpatch {
+ url = "https://github.com/gnome-pomodoro/gnome-pomodoro/commit/133bd62f15653856d9705b66188b42c20d81719e.patch";
+ sha256 = "A20K+57A6/lYH2Buri2+wrCQgz6EGBdYg2xQbHPSkYc=";
+ })
+ ];
+
nativeBuildInputs = [
- appstream-glib
- autoconf-archive
- autoreconfHook
+ meson
+ ninja
gettext
gobject-introspection
libxml2
pkg-config
vala
wrapGAppsHook
+ desktop-file-utils
];
buildInputs = [
- adwaita-icon-theme
glib
- gnome-shell
gom
gsettings-desktop-schemas
gst_all_1.gst-plugins-base
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/pomodoro/fix-schema-path.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/pomodoro/fix-schema-path.patch
new file mode 100644
index 00000000000..0750d01f867
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/gnome/misc/pomodoro/fix-schema-path.patch
@@ -0,0 +1,40 @@
+diff --git a/data/meson.build b/data/meson.build
+index 5e4ce69..982b3c9 100644
+--- a/data/meson.build
++++ b/data/meson.build
+@@ -31,7 +31,7 @@ i18n.merge_file(
+
+ install_data(
+ 'org.gnome.pomodoro.gschema.xml',
+- install_dir: get_option('datadir') / 'glib-2.0' / 'schemas',
++ install_dir: gschema_dir,
+ )
+
+ subdir('icons')
+diff --git a/meson-post-install.sh b/meson-post-install.sh
+index bf4013a..c87fba4 100644
+--- a/meson-post-install.sh
++++ b/meson-post-install.sh
+@@ -7,7 +7,7 @@ datadir="${prefix}/$1"
+ # want/need us to do the below
+ if [ -z "${DESTDIR}" ]; then
+ echo "Compiling GSchema..."
+- glib-compile-schemas "${datadir}/glib-2.0/schemas"
++ glib-compile-schemas "${datadir}/gsettings-schemas/@pname@-@version@/glib-2.0/schemas"
+
+ echo "Updating icon cache..."
+ gtk-update-icon-cache -f -t "${datadir}/icons/hicolor"
+diff --git a/meson.build b/meson.build
+index 09857a1..a07d27c 100644
+--- a/meson.build
++++ b/meson.build
+@@ -40,7 +40,8 @@ add_project_arguments(
+ )
+
+ # We are going to use these variables later on for the plugins
+-gschema_dir = get_option('prefix') / get_option('datadir') / 'glib-2.0' / 'schemas'
++nix_package_name = '@pname@' + '-' + '@version@'
++gschema_dir = get_option('prefix') / get_option('datadir') / 'gsettings-schemas' / nix_package_name / 'glib-2.0' / 'schemas'
+ plugin_libdir = get_option('prefix') / get_option('libdir') / meson.project_name() / 'plugins'
+ extension_dir = get_option('prefix') / get_option('datadir') / 'gnome-shell' / 'extensions' / 'pomodoro@arun.codito.in'
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/lxqt/lxqt-config/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/lxqt/lxqt-config/default.nix
index 5913ec7a0d0..745c4e71b5e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/lxqt/lxqt-config/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/lxqt/lxqt-config/default.nix
@@ -3,6 +3,7 @@
, fetchFromGitHub
, cmake
, pkg-config
+, glib
, lxqt-build-tools
, qtbase
, qtx11extras
@@ -34,6 +35,7 @@ mkDerivation rec {
];
buildInputs = [
+ glib.bin
qtbase
qtx11extras
qttools
@@ -52,7 +54,9 @@ mkDerivation rec {
];
postPatch = ''
- sed -i "/\''${XORG_LIBINPUT_INCLUDE_DIRS}/a ${xorg.xf86inputlibinput.dev}/include/xorg" lxqt-config-input/CMakeLists.txt
+ substituteInPlace lxqt-config-appearance/configothertoolkits.cpp \
+ --replace 'QStringLiteral("gsettings' \
+ 'QStringLiteral("${glib.bin}/bin/gsettings'
'';
passthru.updateScript = lxqtUpdateScript { inherit pname version src; };
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/atril/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/atril/default.nix
index 81c5bdcd78e..c88e3a72ea4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/atril/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/atril/default.nix
@@ -75,6 +75,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = licenses.gpl2Plus;
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/caja-dropbox/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/caja-dropbox/default.nix
index 27bf56cf516..0d347b39f10 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/caja-dropbox/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/caja-dropbox/default.nix
@@ -50,6 +50,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/mate-desktop/caja-dropbox";
license = with licenses; [ gpl3Plus cc-by-nd-30 ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/caja-extensions/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/caja-extensions/default.nix
index 0b21f2721db..4cec3977489 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/caja-extensions/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/caja-extensions/default.nix
@@ -40,6 +40,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = licenses.gpl2Plus;
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/caja/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/caja/default.nix
index 65d6e1a21eb..ce9861f1762 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/caja/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/caja/default.nix
@@ -36,6 +36,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = with licenses; [ gpl2Plus lgpl2Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/engrampa/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/engrampa/default.nix
index b9627dae02c..7fde8fb1958 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/engrampa/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/engrampa/default.nix
@@ -39,6 +39,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = with licenses; [ gpl2Plus lgpl2Plus fdl11Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/eom/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/eom/default.nix
index 7947247bf1c..9862c3059d2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/eom/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/eom/default.nix
@@ -34,11 +34,11 @@ stdenv.mkDerivation rec {
passthru.updateScript = mateUpdateScript { inherit pname version; };
- meta = {
+ meta = with lib; {
description = "An image viewing and cataloging program for the MATE desktop";
homepage = "https://mate-desktop.org";
- license = lib.licenses.gpl2Plus;
- platforms = lib.platforms.unix;
- maintainers = [ lib.maintainers.romildo ];
+ license = licenses.gpl2Plus;
+ platforms = platforms.unix;
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/libmatekbd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/libmatekbd/default.nix
index 967e223f2b0..d7969f55efc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/libmatekbd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/libmatekbd/default.nix
@@ -22,6 +22,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/mate-desktop/libmatekbd";
license = licenses.gpl2Plus;
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/libmatemixer/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/libmatemixer/default.nix
index 2824c958de2..2ef34f2ea67 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/libmatemixer/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/libmatemixer/default.nix
@@ -31,6 +31,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/mate-desktop/libmatemixer";
license = licenses.lgpl2Plus;
platforms = platforms.linux;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/libmateweather/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/libmateweather/default.nix
index b325de3b3c0..3d99b0ccd82 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/libmateweather/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/libmateweather/default.nix
@@ -29,6 +29,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/mate-desktop/libmateweather";
license = licenses.gpl2Plus;
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/marco/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/marco/default.nix
index e7e6547284d..597538a9f8a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/marco/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/marco/default.nix
@@ -40,6 +40,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/mate-desktop/marco";
license = [ licenses.gpl2Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-applets/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-applets/default.nix
index f06db0adc1b..30b51e9ed5b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-applets/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-applets/default.nix
@@ -49,6 +49,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = with licenses; [ gpl2Plus lgpl2Plus ];
platforms = platforms.linux;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-backgrounds/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-backgrounds/default.nix
index 3fa6f37b2a1..9384d49e2a3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-backgrounds/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-backgrounds/default.nix
@@ -22,6 +22,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = with licenses; [ gpl2Plus cc-by-sa-40 ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-calc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-calc/default.nix
index 4344e970758..b26347f7339 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-calc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-calc/default.nix
@@ -32,6 +32,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = [ licenses.gpl2Plus ];
platforms = platforms.linux;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-common/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-common/default.nix
index 159fb75426a..c3d2910e869 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-common/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-common/default.nix
@@ -13,11 +13,11 @@ stdenv.mkDerivation rec {
passthru.updateScript = mateUpdateScript { inherit pname version; };
- meta = {
+ meta = with lib; {
description = "Common files for development of MATE packages";
homepage = "https://mate-desktop.org";
- license = lib.licenses.gpl3Plus;
- platforms = lib.platforms.unix;
- maintainers = [ lib.maintainers.romildo ];
+ license = licenses.gpl3Plus;
+ platforms = platforms.unix;
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-control-center/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-control-center/default.nix
index 9c1186a692e..e95f3696e28 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-control-center/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-control-center/default.nix
@@ -57,6 +57,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/mate-desktop/mate-control-center";
license = licenses.gpl2Plus;
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-desktop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-desktop/default.nix
index 19ad26656f2..e4928ac748b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-desktop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-desktop/default.nix
@@ -30,6 +30,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = licenses.gpl2Plus;
platforms = platforms.linux;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-icon-theme-faenza/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-icon-theme-faenza/default.nix
index 7dc4423fb6b..69f780f6083 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-icon-theme-faenza/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-icon-theme-faenza/default.nix
@@ -30,6 +30,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = licenses.gpl2Plus;
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-icon-theme/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-icon-theme/default.nix
index 0e4fc7f0c30..001b6e41879 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-icon-theme/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-icon-theme/default.nix
@@ -29,11 +29,11 @@ stdenv.mkDerivation rec {
passthru.updateScript = mateUpdateScript { inherit pname version; };
- meta = {
+ meta = with lib; {
description = "Icon themes from MATE";
homepage = "https://mate-desktop.org";
- license = lib.licenses.lgpl3Plus;
- platforms = lib.platforms.linux;
- maintainers = [ lib.maintainers.romildo ];
+ license = licenses.lgpl3Plus;
+ platforms = platforms.linux;
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-indicator-applet/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-indicator-applet/default.nix
index 3cf2ac9b4c3..08985608cd6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-indicator-applet/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-indicator-applet/default.nix
@@ -42,6 +42,6 @@ stdenv.mkDerivation rec {
'';
license = with licenses; [ gpl3Plus lgpl2Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-media/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-media/default.nix
index c4e9a9d5b0c..839e1dc2034 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-media/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-media/default.nix
@@ -34,6 +34,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = licenses.gpl2Plus;
platforms = platforms.unix;
- maintainers = [ maintainers.romildo maintainers.chpatrick ];
+ maintainers = teams.mate.members ++ (with maintainers; [ chpatrick ]);
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-menus/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-menus/default.nix
index 33f43744653..09c251f4083 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-menus/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-menus/default.nix
@@ -27,6 +27,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/mate-desktop/mate-menus";
license = with licenses; [ gpl2Plus lgpl2Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-netbook/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-netbook/default.nix
index f4908906ff9..f9c4737bab1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-netbook/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-netbook/default.nix
@@ -39,6 +39,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = with licenses; [ gpl3Only lgpl2Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-notification-daemon/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-notification-daemon/default.nix
index 8bc730032f6..a66f8de4346 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-notification-daemon/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-notification-daemon/default.nix
@@ -37,6 +37,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/mate-desktop/mate-notification-daemon";
license = with licenses; [ gpl2Plus gpl3Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-panel/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-panel/default.nix
index d0e54bab585..8da5f893923 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-panel/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-panel/default.nix
@@ -46,6 +46,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/mate-desktop/mate-panel";
license = with licenses; [ gpl2Plus lgpl2Plus fdl11Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-polkit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-polkit/default.nix
index 8ec813ce833..9b1d77d7824 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-polkit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-polkit/default.nix
@@ -31,6 +31,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = [ licenses.gpl2Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-power-manager/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-power-manager/default.nix
index c7b6690d2e3..72f9ba93a43 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-power-manager/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-power-manager/default.nix
@@ -41,6 +41,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = with licenses; [ gpl2Plus fdl11Plus ];
platforms = platforms.unix;
- maintainers = with maintainers; [ romildo chpatrick ];
+ maintainers = teams.mate.members ++ (with maintainers; [ chpatrick ]);
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-screensaver/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-screensaver/default.nix
index b87ec4b68d0..f33c47cbf0a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-screensaver/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-screensaver/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
systemd
mate.mate-desktop
mate.mate-menus
+ mate.mate-panel
];
configureFlags = [ "--without-console-kit" ];
@@ -40,6 +41,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = with licenses; [ gpl2Plus lgpl2Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-sensors-applet/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-sensors-applet/default.nix
index 7e77f898051..6faceee002e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-sensors-applet/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-sensors-applet/default.nix
@@ -38,6 +38,6 @@ stdenv.mkDerivation rec {
description = "MATE panel applet for hardware sensors";
license = with licenses; [ gpl2Plus ];
platforms = platforms.linux;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-session-manager/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-session-manager/default.nix
index 152ecf572d7..1c51e2f6ae8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-session-manager/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-session-manager/default.nix
@@ -55,6 +55,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/mate-desktop/mate-session-manager";
license = with licenses; [ gpl2Plus lgpl2Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-settings-daemon/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-settings-daemon/default.nix
index 3ece77dc08c..ff46b339b2f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-settings-daemon/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-settings-daemon/default.nix
@@ -45,6 +45,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/mate-desktop/mate-settings-daemon";
license = with licenses; [ gpl2Plus gpl3Plus lgpl2Plus mit ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-system-monitor/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-system-monitor/default.nix
index d94695ac80a..9b4a510b728 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-system-monitor/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-system-monitor/default.nix
@@ -37,6 +37,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = [ licenses.gpl2Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-terminal/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-terminal/default.nix
index ed7ba49c18f..19fa5697f96 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-terminal/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-terminal/default.nix
@@ -33,6 +33,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = licenses.gpl3Plus;
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-themes/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-themes/default.nix
index 6a1be82c8a6..21c7b23537e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-themes/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-themes/default.nix
@@ -34,6 +34,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = with licenses; [ lgpl21Plus lgpl3Only gpl3Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-tweak/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-tweak/default.nix
index 830cf092f80..5aebd6aef43 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-tweak/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-tweak/default.nix
@@ -86,6 +86,6 @@ python3Packages.buildPythonApplication rec {
changelog = "https://github.com/ubuntu-mate/mate-tweak/releases/tag/${version}";
license = [ licenses.gpl2Plus ];
platforms = platforms.linux;
- maintainers = with maintainers; [ luc65r ];
+ maintainers = teams.mate.members ++ (with maintainers; [ luc65r ]);
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-user-guide/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-user-guide/default.nix
index 8a5aadb936d..ecbe2e667aa 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-user-guide/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-user-guide/default.nix
@@ -27,6 +27,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = with licenses; [ gpl2Plus fdl12 ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-user-share/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-user-share/default.nix
index 9907552f3c0..264389a6883 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-user-share/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-user-share/default.nix
@@ -39,7 +39,7 @@ stdenv.mkDerivation rec {
configureFlags = [
"--with-httpd=${apacheHttpd.out}/bin/httpd"
- "--with-modules-path=${apacheHttpd.dev}/modules"
+ "--with-modules-path=${apacheHttpd}/modules"
"--with-cajadir=$(out)/lib/caja/extensions-2.0"
];
@@ -52,6 +52,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/mate-desktop/mate-user-share";
license = with licenses; [ gpl2Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-utils/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-utils/default.nix
index 6801368dc43..48e662f54f7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-utils/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mate-utils/default.nix
@@ -39,6 +39,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = with licenses; [ gpl2Plus lgpl2Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mozo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mozo/default.nix
index 037989083bc..3a02b03ceb7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mozo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/mozo/default.nix
@@ -27,6 +27,6 @@ python3.pkgs.buildPythonApplication rec {
homepage = "https://github.com/mate-desktop/mozo";
license = with licenses; [ lgpl2Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/pluma/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/pluma/default.nix
index 9eb0f9283be..53b0251229a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/pluma/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/pluma/default.nix
@@ -38,6 +38,6 @@ stdenv.mkDerivation rec {
homepage = "https://mate-desktop.org";
license = with licenses; [ gpl2Plus lgpl2Plus fdl11Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/python-caja/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/python-caja/default.nix
index ccee7b04688..452a7943d66 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/python-caja/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/mate/python-caja/default.nix
@@ -33,6 +33,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/mate-desktop/python-caja";
license = [ licenses.gpl2Plus ];
platforms = platforms.unix;
- maintainers = [ maintainers.romildo ];
+ maintainers = teams.mate.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/appcenter/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/appcenter/default.nix
index 556b67aeafe..86580bd4c30 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/appcenter/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/appcenter/default.nix
@@ -30,15 +30,24 @@
stdenv.mkDerivation rec {
pname = "appcenter";
- version = "3.6.0";
+ version = "3.8.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "0kwqgilhyrj2nbvw5y34nzch5h9jnrg1a1n333qdsx4ax6yrxh4j";
+ sha256 = "07lkdpnjj9pxbq8h794qjiidvnysvzx0132w98r1wg9k7ca170bj";
};
+ patches = [
+ # Try to remove other backends to make flatpak backend work.
+ # https://github.com/NixOS/nixpkgs/issues/70214
+ ./flatpak-only.patch
+ # The homepage banner does not show up on first run,
+ # has issues with app icon and mouse scrolling.
+ ./drop-homepage-banner.patch
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -76,7 +85,6 @@ stdenv.mkDerivation rec {
];
mesonFlags = [
- "-Dhomepage=false"
"-Dpayments=false"
"-Dcurated=false"
];
@@ -91,6 +99,6 @@ stdenv.mkDerivation rec {
description = "An open, pay-what-you-want app store for indie developers, designed for elementary OS";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/appcenter/drop-homepage-banner.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/appcenter/drop-homepage-banner.patch
new file mode 100644
index 00000000000..3e7aa3efc12
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/appcenter/drop-homepage-banner.patch
@@ -0,0 +1,234 @@
+From b1e09653d755ca6ffd03a1e3e67750e6bcc2bc6f Mon Sep 17 00:00:00 2001
+From: Bobby Rong
+Date: Wed, 22 Sep 2021 11:54:48 +0800
+Subject: [PATCH 2/2] Drop homepage banner
+
+---
+ src/Views/Homepage.vala | 181 +---------------------------------------
+ 1 file changed, 1 insertion(+), 180 deletions(-)
+
+diff --git a/src/Views/Homepage.vala b/src/Views/Homepage.vala
+index 576fc02c..80a1d221 100644
+--- a/src/Views/Homepage.vala
++++ b/src/Views/Homepage.vala
+@@ -31,67 +31,12 @@ public class AppCenter.Homepage : AbstractView {
+ public bool viewing_package { get; private set; default = false; }
+
+ public AppStream.Category currently_viewed_category;
+- private Hdy.Carousel banner_carousel;
+- private Gtk.Revealer banner_revealer;
+- private Gtk.FlowBox recently_updated_carousel;
+- private Gtk.Revealer recently_updated_revealer;
+-
+- private uint banner_timeout_id;
+
+ construct {
+- banner_carousel = new Hdy.Carousel () {
+- allow_long_swipes = true
+- };
+-
+- var banner_event_box = new Gtk.EventBox ();
+- banner_event_box.events |= Gdk.EventMask.ENTER_NOTIFY_MASK;
+- banner_event_box.events |= Gdk.EventMask.LEAVE_NOTIFY_MASK;
+- banner_event_box.add (banner_carousel);
+-
+- var banner_dots = new Hdy.CarouselIndicatorDots () {
+- carousel = banner_carousel
+- };
+-
+- var banner_grid = new Gtk.Grid () {
+- orientation = Gtk.Orientation.VERTICAL
+- };
+- banner_grid.add (banner_event_box);
+- banner_grid.add (banner_dots);
+-
+- banner_revealer = new Gtk.Revealer ();
+- banner_revealer.add (banner_grid);
+-
+- var recently_updated_label = new Granite.HeaderLabel (_("Recently Updated")) {
+- margin_start = 12
+- };
+-
+- recently_updated_carousel = new Gtk.FlowBox () {
+- activate_on_single_click = true,
+- column_spacing = 12,
+- row_spacing = 12,
+- homogeneous = true,
+- max_children_per_line = 5,
+- min_children_per_line = 3
+- };
+-
+- var recently_updated_grid = new Gtk.Grid () {
+- margin_end = 12,
+- margin_start = 12
+- };
+- recently_updated_grid.attach (recently_updated_label, 0, 0);
+- recently_updated_grid.attach (recently_updated_carousel, 0, 1);
+-
+- recently_updated_revealer = new Gtk.Revealer ();
+- recently_updated_revealer.add (recently_updated_grid );
+-
+- var categories_label = new Granite.HeaderLabel (_("Categories")) {
+- margin_start = 24,
+- margin_top = 24
+- };
+-
+ category_flow = new Widgets.CategoryFlowBox () {
+ margin_start = 12,
+ margin_end =12,
++ margin_top = 12,
+ valign = Gtk.Align.START
+ };
+
+@@ -99,9 +44,6 @@ public class AppCenter.Homepage : AbstractView {
+ column_spacing = 24,
+ orientation = Gtk.Orientation.VERTICAL
+ };
+- grid.add (banner_revealer);
+- grid.add (recently_updated_revealer);
+- grid.add (categories_label);
+ grid.add (category_flow);
+
+ scrolled_window = new Gtk.ScrolledWindow (null, null) {
+@@ -111,19 +53,6 @@ public class AppCenter.Homepage : AbstractView {
+
+ add (scrolled_window);
+
+- var local_package = App.local_package;
+- if (local_package != null) {
+- var banner = new Widgets.Banner (local_package);
+-
+- banner_carousel.prepend (banner);
+-
+- banner.clicked.connect (() => {
+- show_package (local_package);
+- });
+- }
+-
+- load_banners_and_carousels.begin ();
+-
+ category_flow.child_activated.connect ((child) => {
+ var item = child as Widgets.CategoryItem;
+ if (item != null) {
+@@ -159,94 +88,8 @@ public class AppCenter.Homepage : AbstractView {
+ }
+ }
+ }
+-
+- return GLib.Source.REMOVE;
+ });
+ });
+-
+- banner_event_box.enter_notify_event.connect (() => {
+- banner_timeout_stop ();
+- });
+-
+- banner_event_box.leave_notify_event.connect (() => {
+- banner_timeout_start ();
+- });
+-
+- recently_updated_carousel.child_activated.connect ((child) => {
+- var package_row_grid = (AppCenter.Widgets.ListPackageRowGrid) child.get_child ();
+-
+- show_package (package_row_grid.package);
+- });
+- }
+-
+- private async void load_banners_and_carousels () {
+- unowned var fp_client = AppCenterCore.FlatpakBackend.get_default ();
+- var packages_by_release_date = fp_client.get_featured_packages_by_release_date ();
+- var packages_in_banner = new Gee.LinkedList ();
+-
+- int package_count = 0;
+- foreach (var package in packages_by_release_date) {
+- if (package_count >= MAX_PACKAGES_IN_BANNER) {
+- break;
+- }
+-
+- var installed = false;
+- foreach (var origin_package in package.origin_packages) {
+- try {
+- if (yield origin_package.backend.is_package_installed (origin_package)) {
+- installed = true;
+- break;
+- }
+- } catch (Error e) {
+- continue;
+- }
+- }
+-
+- if (!installed) {
+- packages_in_banner.add (package);
+- package_count++;
+- }
+- }
+-
+- foreach (var package in packages_in_banner) {
+- var banner = new Widgets.Banner (package);
+- banner.clicked.connect (() => {
+- show_package (package);
+- });
+-
+- banner_carousel.add (banner);
+- }
+-
+- banner_carousel.show_all ();
+- banner_revealer.reveal_child = true;
+- banner_timeout_start ();
+-
+- foreach (var package in packages_by_release_date) {
+- if (recently_updated_carousel.get_children ().length () >= MAX_PACKAGES_IN_CAROUSEL) {
+- break;
+- }
+-
+- var installed = false;
+- foreach (var origin_package in package.origin_packages) {
+- try {
+- if (yield origin_package.backend.is_package_installed (origin_package)) {
+- installed = true;
+- break;
+- }
+- } catch (Error e) {
+- continue;
+- }
+- }
+-
+- if (!installed && !(package in packages_in_banner) && !package.is_explicit) {
+- var package_row = new AppCenter.Widgets.ListPackageRowGrid (package);
+- recently_updated_carousel.add (package_row);
+- }
+- }
+- recently_updated_carousel.show_all ();
+- recently_updated_revealer.reveal_child = recently_updated_carousel.get_children ().length () > 0;
+-
+- page_loaded ();
+ }
+
+ public override void show_package (
+@@ -307,26 +150,4 @@ public class AppCenter.Homepage : AbstractView {
+ var apps = client.get_applications_for_category (category);
+ app_list_view.add_packages (apps);
+ }
+-
+- private void banner_timeout_start () {
+- banner_timeout_id = Timeout.add (MILLISECONDS_BETWEEN_BANNER_ITEMS, () => {
+- var new_index = (uint) banner_carousel.position + 1;
+- var max_index = banner_carousel.n_pages - 1; // 0-based index
+-
+- if (banner_carousel.position >= max_index) {
+- new_index = 0;
+- }
+-
+- banner_carousel.switch_child (new_index, Granite.TRANSITION_DURATION_OPEN);
+-
+- return Source.CONTINUE;
+- });
+- }
+-
+- private void banner_timeout_stop () {
+- if (banner_timeout_id != 0) {
+- Source.remove (banner_timeout_id);
+- banner_timeout_id = 0;
+- }
+- }
+ }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/appcenter/flatpak-only.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/appcenter/flatpak-only.patch
new file mode 100644
index 00000000000..aa8cde89616
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/appcenter/flatpak-only.patch
@@ -0,0 +1,162 @@
+From 5d3f20b49a89b55e39339a0f90ae7f846356b0e1 Mon Sep 17 00:00:00 2001
+From: Bobby Rong
+Date: Wed, 22 Sep 2021 11:54:24 +0800
+Subject: [PATCH 1/2] Drop PackageKitBackend and UbuntuDriversBackend
+
+---
+ src/Application.vala | 14 ---------
+ src/Core/BackendAggregator.vala | 2 --
+ src/Core/UpdateManager.vala | 56 ---------------------------------
+ src/MainWindow.vala | 17 ----------
+ 4 files changed, 89 deletions(-)
+
+diff --git a/src/Application.vala b/src/Application.vala
+index 65fae5aa..9d42b14f 100644
+--- a/src/Application.vala
++++ b/src/Application.vala
+@@ -167,10 +167,6 @@ public class AppCenter.App : Gtk.Application {
+
+ var client = AppCenterCore.Client.get_default ();
+
+- if (fake_update_packages != null) {
+- AppCenterCore.PackageKitBackend.get_default ().fake_packages = fake_update_packages;
+- }
+-
+ if (silent) {
+ NetworkMonitor.get_default ().network_changed.connect ((available) => {
+ schedule_cache_update (!available);
+@@ -183,16 +179,6 @@ public class AppCenter.App : Gtk.Application {
+ return;
+ }
+
+- if (local_path != null) {
+- var file = File.new_for_commandline_arg (local_path);
+-
+- try {
+- local_package = AppCenterCore.PackageKitBackend.get_default ().add_local_component_file (file);
+- } catch (Error e) {
+- warning ("Failed to load local AppStream XML file: %s", e.message);
+- }
+- }
+-
+ if (main_window == null) {
+ main_window = new MainWindow (this);
+
+diff --git a/src/Core/BackendAggregator.vala b/src/Core/BackendAggregator.vala
+index 2f8bdedf..b705ca87 100644
+--- a/src/Core/BackendAggregator.vala
++++ b/src/Core/BackendAggregator.vala
+@@ -26,8 +26,6 @@ public class AppCenterCore.BackendAggregator : Backend, Object {
+
+ construct {
+ backends = new Gee.ArrayList ();
+- backends.add (PackageKitBackend.get_default ());
+- backends.add (UbuntuDriversBackend.get_default ());
+ backends.add (FlatpakBackend.get_default ());
+
+ unowned Gtk.Application app = (Gtk.Application) GLib.Application.get_default ();
+diff --git a/src/Core/UpdateManager.vala b/src/Core/UpdateManager.vala
+index 29fa397a..ce42dd57 100644
+--- a/src/Core/UpdateManager.vala
++++ b/src/Core/UpdateManager.vala
+@@ -53,42 +53,9 @@ public class AppCenterCore.UpdateManager : Object {
+ installed_package.update_state ();
+ }
+
+- Pk.Results pk_updates;
+- unowned PackageKitBackend client = PackageKitBackend.get_default ();
+- try {
+- pk_updates = yield client.get_updates (cancellable);
+- } catch (Error e) {
+- warning ("Unable to get updates from PackageKit backend: %s", e.message);
+- return 0;
+- }
+-
+ uint os_count = 0;
+ string os_desc = "";
+
+- var package_array = pk_updates.get_package_array ();
+- debug ("PackageKit backend reports %d updates", package_array.length);
+-
+- package_array.foreach ((pk_package) => {
+- var pkg_name = pk_package.get_name ();
+- var appcenter_package = client.lookup_package_by_id (pkg_name);
+- if (appcenter_package != null) {
+- debug ("Added %s to app updates", pkg_name);
+- apps_with_updates.add (appcenter_package);
+- appcenter_package.latest_version = pk_package.get_version ();
+- } else {
+- debug ("Added %s to OS updates", pkg_name);
+- os_count++;
+- unowned string pkg_summary = pk_package.get_summary ();
+- unowned string pkg_version = pk_package.get_version ();
+- os_desc += Markup.printf_escaped (
+- "%s\n\t%s\n\t%s \n",
+- pkg_name,
+- pkg_summary,
+- _("Version: %s").printf (pkg_version)
+- );
+- }
+- });
+-
+ os_updates.component.set_pkgnames ({});
+ os_updates.change_information.clear_update_info ();
+
+@@ -160,29 +127,6 @@ public class AppCenterCore.UpdateManager : Object {
+ count += 1;
+ }
+
+- pk_updates.get_details_array ().foreach ((pk_detail) => {
+- var pk_package = new Pk.Package ();
+- try {
+- pk_package.set_id (pk_detail.get_package_id ());
+- var pkg_name = pk_package.get_name ();
+- var appcenter_package = client.lookup_package_by_id (pkg_name);
+- if (appcenter_package != null) {
+- appcenter_package.change_information.updatable_packages.@set (client, pk_package.get_id ());
+- appcenter_package.change_information.size += pk_detail.size;
+- appcenter_package.update_state ();
+- } else {
+- var pkgnames = os_updates.component.pkgnames;
+- pkgnames += pkg_name;
+- os_updates.component.pkgnames = pkgnames;
+-
+- os_updates.change_information.updatable_packages.@set (client, pk_package.get_id ());
+- os_updates.change_information.size += pk_detail.size;
+- }
+- } catch (Error e) {
+- critical (e.message);
+- }
+- });
+-
+ os_updates.update_state ();
+ return count;
+ }
+diff --git a/src/MainWindow.vala b/src/MainWindow.vala
+index a32ce47b..b9f8594a 100644
+--- a/src/MainWindow.vala
++++ b/src/MainWindow.vala
+@@ -292,23 +292,6 @@ public class AppCenter.MainWindow : Hdy.ApplicationWindow {
+ }
+
+ public override bool delete_event (Gdk.EventAny event) {
+- unowned AppCenterCore.PackageKitBackend client = AppCenterCore.PackageKitBackend.get_default ();
+- if (client.working) {
+- if (task_finished_connection != 0U) {
+- client.disconnect (task_finished_connection);
+- }
+-
+- hide ();
+- task_finished_connection = client.notify["working"].connect (() => {
+- if (!visible && !client.working) {
+- destroy ();
+- }
+- });
+-
+- AppCenterCore.Client.get_default ().cancel_updates (false); //Timeouts keep running
+- return true;
+- }
+-
+ return false;
+ }
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-calculator/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-calculator/default.nix
index 8b02af57d42..7fbaa32dc39 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-calculator/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-calculator/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, pkg-config
@@ -12,6 +13,7 @@
, python3
, granite
, libgee
+, libhandy
, elementary-icon-theme
, appstream
, wrapGAppsHook
@@ -19,7 +21,7 @@
stdenv.mkDerivation rec {
pname = "elementary-calculator";
- version = "1.6.2";
+ version = "1.7.0";
repoName = "calculator";
@@ -27,7 +29,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "sha256-kOQr94PAfLPv4LjY2WDdTtlbf3/tYf+NUESZ94+L41M=";
+ sha256 = "1kl2iximcmbk8inklb2xav7dp08lp5pn9xxa59327zw13gdy8fkf";
};
passthru = {
@@ -53,6 +55,7 @@ stdenv.mkDerivation rec {
granite
gtk3
libgee
+ libhandy
];
postPatch = ''
@@ -65,6 +68,6 @@ stdenv.mkDerivation rec {
description = "Calculator app designed for elementary OS";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-calendar/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-calendar/default.nix
index cf0d0d812fe..549493966c1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-calendar/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-calendar/default.nix
@@ -10,6 +10,7 @@
, gtk3
, granite
, libgee
+, libhandy
, geoclue2
, libchamplain
, clutter
@@ -27,7 +28,7 @@
stdenv.mkDerivation rec {
pname = "elementary-calendar";
- version = "5.1.1";
+ version = "6.0.2";
repoName = "calendar";
@@ -35,7 +36,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "18npf4zzf2dywr1zkr6fqzcbb70297yvdp5wxw7zyam1xwa86v07";
+ sha256 = "16xp8gfgpyz9xpjsxm6jlk4skkknj65g0q4x0qvw9sg9f1p6a514";
};
passthru = {
@@ -66,6 +67,7 @@ stdenv.mkDerivation rec {
gtk3
libchamplain
libgee
+ libhandy
libical
libnotify
libgdata # required by some dependency transitively
@@ -81,6 +83,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/calendar";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-camera/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-camera/default.nix
index 9a48d38ad8c..432e5fdf00e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-camera/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-camera/default.nix
@@ -13,6 +13,7 @@
, gtk3
, granite
, libgee
+, libhandy
, gst_all_1
, libcanberra
, clutter-gtk
@@ -24,7 +25,7 @@
stdenv.mkDerivation rec {
pname = "elementary-camera";
- version = "1.0.6";
+ version = "6.0.0";
repoName = "camera";
@@ -32,7 +33,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "sha256-asl5NdSuLItXebxvqGlSEjwWhdButmka12YQAYkQT44=";
+ sha256 = "1z5c6pkc7psglxwzby5idsdxvplpi28ckjxrwdngnr22knfdcgag";
};
passthru = {
@@ -61,11 +62,12 @@ stdenv.mkDerivation rec {
granite
gst_all_1.gst-plugins-bad
gst_all_1.gst-plugins-base
- gst_all_1.gst-plugins-good
+ (gst_all_1.gst-plugins-good.override { gtkSupport = true; })
gst_all_1.gstreamer
gtk3
libcanberra
libgee
+ libhandy
];
postPatch = ''
@@ -76,8 +78,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Camera app designed for elementary OS";
homepage = "https://github.com/elementary/camera";
- license = licenses.gpl2Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-code/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-code/default.nix
index 50a32420de0..df448079c5a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-code/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-code/default.nix
@@ -30,7 +30,7 @@
stdenv.mkDerivation rec {
pname = "elementary-code";
- version = "6.0.0";
+ version = "6.0.1";
repoName = "code";
@@ -38,7 +38,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "1w1m52mq3zr9alkxk1c0s4ncscka1km5ppd0r6zm86qan9cjwq0f";
+ sha256 = "120328pprzqj4587yj54yya9v2mv1rfwylpmxyr5l2qf80cjxi9d";
};
passthru = {
@@ -53,10 +53,7 @@ stdenv.mkDerivation rec {
meson
ninja
pkg-config
-
- # polkit is needed for ITS rules
- polkit
-
+ polkit # needed for ITS rules
python3
vala
wrapGAppsHook
@@ -100,6 +97,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/code";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-dock/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-dock/default.nix
index 797f62cf8e2..4de65108c56 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-dock/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-dock/default.nix
@@ -1,6 +1,5 @@
{ lib, stdenv
, fetchFromGitHub
-, fetchpatch
, vala
, atk
, cairo
@@ -24,11 +23,12 @@
, pantheon
, meson
, ninja
+, granite
}:
stdenv.mkDerivation rec {
pname = "elementary-dock";
- version = "unstable-2020-06-11";
+ version = "unstable-2021-07-16";
outputs = [ "out" "dev" ];
@@ -37,18 +37,10 @@ stdenv.mkDerivation rec {
src = fetchFromGitHub {
owner = "elementary";
repo = repoName;
- rev = "0a389ee58939d8c91c340df4e5340fc4b23d0b80";
- sha256 = "01vinik73s0vmk56samgf49zr2bl4wjv44x15sz2cmh744llckja";
+ rev = "05fd6fccdf1a769f6737a0d7e57e092825348660";
+ sha256 = "0lqqq5cx0kk8y7qyjx7z2k3v1kw2xxzns968ianarcji19wzcns4";
};
- patches = [
- # Fix double includedir path in plank.pc
- (fetchpatch {
- url = "https://github.com/elementary/dock/commit/3bc368e2c4fafcd5b8baca2711c773b0e2441c7c.patch";
- sha256 = "0gg35phi1cg7ixljc388i0h70w323r1gqzjhanccnsbjpqsgvs3k";
- })
- ];
-
nativeBuildInputs = [
gettext
meson
@@ -67,6 +59,7 @@ stdenv.mkDerivation rec {
glib
gnome-menus
dconf
+ granite
gtk3
libX11
libXfixes
@@ -82,6 +75,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/dock";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = with maintainers; [ davidak ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ davidak ] ++ teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-feedback/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-feedback/default.nix
index 2e20314d480..e65e45809c4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-feedback/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-feedback/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, pkg-config
@@ -21,7 +22,7 @@
stdenv.mkDerivation rec {
pname = "elementary-feedback";
- version = "6.0.0";
+ version = "6.1.0";
repoName = "feedback";
@@ -29,9 +30,18 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "1fh9a0nfvbrxamki9avm9by760csj2nqy4ya7wzbnqbrrvjwd3fv";
+ sha256 = "02wydbpa5qaa4xmmh4m7rbj4djbrn2i44zjakj5i6mzwjlj6sv5n";
};
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/feedback/pull/48
+ (fetchpatch {
+ url = "https://github.com/elementary/feedback/commit/080005153977a86d10099eff6a5b3e68f7b12847.patch";
+ sha256 = "01710i90qsaqsrjs92ahwwj198bdrrif6mnw29l9har2rncfkfk2";
+ })
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -69,6 +79,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/feedback";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-files/0001-filechooser-module-hardcode-gsettings-for-nixos.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-files/0001-filechooser-module-hardcode-gsettings-for-nixos.patch
deleted file mode 100644
index 9bcedac3f03..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-files/0001-filechooser-module-hardcode-gsettings-for-nixos.patch
+++ /dev/null
@@ -1,35 +0,0 @@
-From f51974c9736c3e28755245d15729578214652343 Mon Sep 17 00:00:00 2001
-Message-Id:
-From: worldofpeace
-Date: Thu, 3 Sep 2020 20:08:15 -0400
-Subject: [PATCH] filechooser-module: hardcode gsettings for nixos
-
----
- filechooser-module/FileChooserDialog.vala | 8 ++++++--
- 1 file changed, 6 insertions(+), 2 deletions(-)
-
-diff --git a/filechooser-module/FileChooserDialog.vala b/filechooser-module/FileChooserDialog.vala
-index a70fe10..08fde2c 100644
---- a/filechooser-module/FileChooserDialog.vala
-+++ b/filechooser-module/FileChooserDialog.vala
-@@ -60,10 +60,14 @@ public class CustomFileChooserDialog : Object {
- /* If not local only during creation, strange bug occurs on fresh installs */
- chooser_dialog.local_only = true;
-
-- var files_preferences = new Settings ("io.elementary.files.preferences");
-+ SettingsSchemaSource sss = new SettingsSchemaSource.from_directory ("@ELEMENTARY_FILES_GSETTINGS_PATH@", SettingsSchemaSource.get_default (), true);
-+ SettingsSchema preferences_schema = sss.lookup ("io.elementary.files.preferences", false);
-+ SettingsSchema chooser_schema = sss.lookup ("io.elementary.files.file-chooser", false);
-+
-+ var files_preferences = new Settings.full (preferences_schema, null, null);
- is_single_click = files_preferences.get_boolean ("single-click");
-
-- var chooser_settings = new Settings ("io.elementary.files.file-chooser");
-+ var chooser_settings = new Settings.full (chooser_schema, null, null);
-
- assign_container_box ();
- remove_gtk_widgets ();
-
-base-commit: 57cb89b64fd2d5c08f4aaf23e8c74bfaa5d0384f
---
-git-series 0.9.1
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-files/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-files/default.nix
index 99fd2a6555f..9ac17741745 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-files/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-files/default.nix
@@ -13,9 +13,9 @@
, gtk3
, glib
, libgee
+, libhandy
, granite
, libnotify
-, libunity
, pango
, elementary-dock
, bamf
@@ -27,11 +27,12 @@
, libcloudproviders
, libgit2-glib
, wrapGAppsHook
+, systemd
}:
stdenv.mkDerivation rec {
pname = "elementary-files";
- version = "4.5.0";
+ version = "6.0.3";
repoName = "files";
@@ -41,7 +42,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "sha256-wtQW1poX791DAlSFdVV9psnCfBDeVXI2fDZ2GcvvNn8=";
+ sha256 = "10hgj5rrqxzk4q8jlhkwwrs4hgyavlhz3z1pqf36y663bq3h0izv";
};
passthru = {
@@ -73,30 +74,31 @@ stdenv.mkDerivation rec {
libdbusmenu-gtk3
libgee
libgit2-glib
+ libhandy
libnotify
- libunity
pango
sqlite
+ systemd
zeitgeist
];
patches = [
- ./0001-filechooser-module-hardcode-gsettings-for-nixos.patch
+ ./filechooser-portal-hardcode-gsettings-for-nixos.patch
];
postPatch = ''
chmod +x meson/post_install.py
patchShebangs meson/post_install.py
- substituteInPlace filechooser-module/FileChooserDialog.vala \
+ substituteInPlace filechooser-portal/LegacyFileChooserDialog.vala \
--subst-var-by ELEMENTARY_FILES_GSETTINGS_PATH ${glib.makeSchemaPath "$out" "${pname}-${version}"}
'';
meta = with lib; {
description = "File browser designed for elementary OS";
homepage = "https://github.com/elementary/files";
- license = licenses.lgpl3;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-files/filechooser-portal-hardcode-gsettings-for-nixos.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-files/filechooser-portal-hardcode-gsettings-for-nixos.patch
new file mode 100644
index 00000000000..b04208cc8b8
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-files/filechooser-portal-hardcode-gsettings-for-nixos.patch
@@ -0,0 +1,24 @@
+From 726ab4c0ab01273cb2197e4c151a5b69ff0954b6 Mon Sep 17 00:00:00 2001
+From: Bobby Rong
+Date: Fri, 16 Jul 2021 18:24:58 +0800
+Subject: [PATCH] filechooser-portal: hardcode gsettings for nixos
+
+---
+ filechooser-portal/LegacyFileChooserDialog.vala | 4 +++-
+ 1 file changed, 3 insertions(+), 1 deletion(-)
+
+diff --git a/filechooser-portal/LegacyFileChooserDialog.vala b/filechooser-portal/LegacyFileChooserDialog.vala
+index 330718f..f383bb1 100644
+--- a/filechooser-portal/LegacyFileChooserDialog.vala
++++ b/filechooser-portal/LegacyFileChooserDialog.vala
+@@ -60,7 +60,9 @@ public class Files.LegacyFileChooserDialog : Object {
+ /* If not local only during creation, strange bug occurs on fresh installs */
+ chooser_dialog.local_only = true;
+
+- var chooser_settings = new Settings ("io.elementary.files.file-chooser");
++ SettingsSchemaSource sss = new SettingsSchemaSource.from_directory ("@ELEMENTARY_FILES_GSETTINGS_PATH@", SettingsSchemaSource.get_default (), true);
++ SettingsSchema chooser_schema = sss.lookup ("io.elementary.files.file-chooser", false);
++ var chooser_settings = new Settings.full (chooser_schema, null, null);
+
+ action_area = (Gtk.ButtonBox) chooser_dialog.get_action_area ();
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-mail/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-mail/default.nix
index c990ecb24d6..743ed40d6dc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-mail/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-mail/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, pkg-config
@@ -25,7 +26,7 @@
stdenv.mkDerivation rec {
pname = "elementary-mail";
- version = "6.0.0";
+ version = "6.2.0";
repoName = "mail";
@@ -33,7 +34,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "07iiipl0nsp63g5bn1y2hg6bmgj6i9cxqcfpd7q3vmldnkijvaxs";
+ sha256 = "1ab620zhwqqjq1bs1alvpcw9jmdxjij0ywczvwbg8gqvcsc80lkn";
};
passthru = {
@@ -42,6 +43,12 @@ stdenv.mkDerivation rec {
};
};
+ patches = [
+ # The app stuck when loading gravatar, temporarily reverts part
+ # of https://github.com/elementary/mail/pull/600 to fix this
+ ./revert-fix-warning.patch
+ ];
+
nativeBuildInputs = [
appstream
desktop-file-utils
@@ -77,6 +84,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/mail";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers ++ [ maintainers.ethancedwards8 ];
+ maintainers = with maintainers; [ ethancedwards8 ] ++ teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-mail/revert-fix-warning.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-mail/revert-fix-warning.patch
new file mode 100644
index 00000000000..85534aaf4c6
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-mail/revert-fix-warning.patch
@@ -0,0 +1,55 @@
+From 9e61e9493e78b83b9599886561ee596c096030ed Mon Sep 17 00:00:00 2001
+From: Bobby Rong
+Date: Thu, 29 Jul 2021 13:57:21 +0800
+Subject: [PATCH] Partly revert "Fix Warnings in Vala Code (#600)"
+
+This partly reverts commit 9477c24201d3c9c3c7bcc650e0290daa0ee1ab21.
+---
+ src/MessageList/MessageListItem.vala | 27 ++++++++++++++++++++++++++-
+ 1 file changed, 26 insertions(+), 1 deletion(-)
+
+diff --git a/src/MessageList/MessageListItem.vala b/src/MessageList/MessageListItem.vala
+index 96b61027..a7ef8f9e 100644
+--- a/src/MessageList/MessageListItem.vala
++++ b/src/MessageList/MessageListItem.vala
+@@ -290,7 +290,7 @@ public class Mail.MessageListItem : Gtk.ListBoxRow {
+ expanded = false;
+ show_all ();
+
+- avatar.set_loadable_icon (new GravatarIcon (parsed_address, get_style_context ().get_scale ()));
++ download_gravatar.begin (parsed_address, avatar.size);
+
+ /* Override default handler to stop event propagation. Otherwise clicking the menu will
+ expand or collapse the MessageListItem. */
+@@ -348,6 +348,31 @@ public class Mail.MessageListItem : Gtk.ListBoxRow {
+ });
+ }
+
++ private async void download_gravatar (string address, int size) {
++ if (avatars[address] == null) {
++ var uri = "https://secure.gravatar.com/avatar/%s?d=404&s=%d".printf (
++ Checksum.compute_for_string (ChecksumType.MD5, address.strip ().down ()),
++ size * get_style_context ().get_scale ()
++ );
++
++ var server_file = File.new_for_uri (uri);
++ var path = Path.build_filename (Environment.get_tmp_dir (), server_file.get_basename ());
++ var local_file = File.new_for_path (path);
++
++ try {
++ yield server_file.copy_async (local_file, FileCopyFlags.OVERWRITE, Priority.DEFAULT, null);
++ avatars[address] = new Gdk.Pixbuf.from_file_at_scale (path, size, size, true);
++ } catch (Error e) {
++ debug ("Unable to fetch gravatar: %s", e.message);
++ return;
++ }
++ }
++
++ avatar.set_image_load_func (() => {
++ return avatars[address];
++ });
++ }
++
+ private void add_inline_composer (ComposerWidget.Type composer_type) {
+ var message_list_box = (MessageListBox) get_parent ();
+ message_list_box.add_inline_composer.begin (composer_type, this);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-music/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-music/default.nix
index fe6c3f187fe..300e7919dce 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-music/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-music/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, pkg-config
@@ -31,7 +32,7 @@
stdenv.mkDerivation rec {
pname = "elementary-music";
- version = "5.1.0";
+ version = "5.1.1";
repoName = "music";
@@ -39,9 +40,18 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "13v7rii9ardyd661s6d4hvvs4ig44v7s3qd1bx7imaigr72gg58b";
+ sha256 = "1wqsn4ss9acg0scaqpg514ll2dj3bl71wly4mm79qkinhy30yv9n";
};
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/music/pull/648
+ (fetchpatch {
+ url = "https://github.com/elementary/music/commit/aea97103d59afd213467403a48788e476e47c4c3.patch";
+ sha256 = "1ayj8l6lb19hhl9bhsdfbq7jgchfmpjx0qkljnld90czcksn95yx";
+ })
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -84,7 +94,7 @@ stdenv.mkDerivation rec {
];
mesonFlags = [
- "-Dplugins=lastfm,audioplayer,cdrom,ipod"
+ "-Dplugins=audioplayer,cdrom,ipod"
];
postPatch = ''
@@ -95,8 +105,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Music player and library designed for elementary OS";
homepage = "https://github.com/elementary/music";
- license = licenses.lgpl2Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-photos/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-photos/default.nix
index bced420dbc0..61ec86dec44 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-photos/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-photos/default.nix
@@ -5,7 +5,7 @@
, meson
, ninja
, pkg-config
-, vala
+, vala_0_52
, desktop-file-utils
, gtk3
, libaccounts-glib
@@ -28,14 +28,13 @@
, webkitgtk
, libwebp
, appstream
-, libunity
, wrapGAppsHook
, elementary-icon-theme
}:
stdenv.mkDerivation rec {
pname = "elementary-photos";
- version = "2.7.1";
+ version = "2.7.2";
repoName = "photos";
@@ -43,7 +42,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "1dql14k43rv3in451amiwv4z71hz3ailx67hd8gw1ka3yw12128p";
+ sha256 = "1zq9zfsc987vvrzadw9xqi3rlbi4jv2s82axkgy7ijm3ibi58ddc";
};
passthru = {
@@ -59,7 +58,9 @@ stdenv.mkDerivation rec {
ninja
pkg-config
python3
- vala
+ # Does not build with vala 0.54
+ # https://github.com/elementary/photos/issues/638
+ vala_0_52
wrapGAppsHook
];
@@ -84,7 +85,6 @@ stdenv.mkDerivation rec {
libraw
librest
libsoup
- libunity
libwebp
scour
sqlite
@@ -105,6 +105,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/photos";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-screenshot-tool/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-screenshot/default.nix
similarity index 78%
rename from infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-screenshot-tool/default.nix
rename to infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-screenshot/default.nix
index 048aa4ab129..4eb574d69f2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-screenshot-tool/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-screenshot/default.nix
@@ -11,14 +11,15 @@
, gtk3
, granite
, libgee
+, libhandy
, libcanberra
, elementary-icon-theme
, wrapGAppsHook
}:
stdenv.mkDerivation rec {
- pname = "elementary-screenshot-tool"; # This will be renamed to "screenshot" soon. See -> https://github.com/elementary/screenshot/pull/93
- version = "1.7.1";
+ pname = "elementary-screenshot";
+ version = "6.0.0";
repoName = "screenshot";
@@ -26,7 +27,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "sha256-qo55fzp0ieYF5I5uxnCQY066mege06InHL3B3ahYMZ0=";
+ sha256 = "1fvsl9zdkv7bgx3jpy7pr9lflm4ckr3swdby379mdxn2x6kxji0x";
};
passthru = {
@@ -51,6 +52,7 @@ stdenv.mkDerivation rec {
gtk3
libcanberra
libgee
+ libhandy
];
postPatch = ''
@@ -63,6 +65,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/screenshot";
license = licenses.lgpl3;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-tasks/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-tasks/default.nix
new file mode 100644
index 00000000000..545a21ba200
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-tasks/default.nix
@@ -0,0 +1,84 @@
+{ lib, stdenv
+, fetchFromGitHub
+, nix-update-script
+, pantheon
+, appstream
+, desktop-file-utils
+, meson
+, ninja
+, pkg-config
+, python3
+, vala
+, wrapGAppsHook
+, clutter-gtk
+, elementary-icon-theme
+, evolution-data-server
+, granite
+, geoclue2
+, geocode-glib
+, gtk3
+, libchamplain
+, libgdata
+, libgee
+, libhandy
+, libical
+}:
+
+stdenv.mkDerivation rec {
+ pname = "elementary-tasks";
+ version = "6.0.4";
+
+ repoName = "tasks";
+
+ src = fetchFromGitHub {
+ owner = "elementary";
+ repo = repoName;
+ rev = version;
+ sha256 = "1gb51gm8qgd8yzhqb7v69p2f1fgm3qf534if4lc85jrjsb8hgmhl";
+ };
+
+ passthru = {
+ updateScript = nix-update-script {
+ attrPath = "pantheon.${pname}";
+ };
+ };
+
+ nativeBuildInputs = [
+ appstream
+ desktop-file-utils
+ meson
+ ninja
+ pkg-config
+ python3
+ vala
+ wrapGAppsHook
+ ];
+
+ buildInputs = [
+ clutter-gtk
+ elementary-icon-theme
+ evolution-data-server
+ granite
+ geoclue2
+ geocode-glib
+ gtk3
+ libchamplain
+ libgdata
+ libgee
+ libhandy
+ libical
+ ];
+
+ postPatch = ''
+ chmod +x meson/post_install.py
+ patchShebangs meson/post_install.py
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/elementary/tasks";
+ description = "Synced tasks and reminders on elementary OS";
+ license = licenses.gpl3Plus;
+ platforms = platforms.linux;
+ maintainers = teams.pantheon.members;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-terminal/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-terminal/default.nix
index 6e6beba50ce..cd0e3962802 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-terminal/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-terminal/default.nix
@@ -35,6 +35,15 @@ stdenv.mkDerivation rec {
sha256 = "08akr4sv4jy9kd4s26kib6j7i8hc3vs0sp71fifv7ww4mi9cm6jc";
};
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/terminal/pull/611
+ (fetchpatch {
+ url = "https://github.com/elementary/terminal/commit/4f6f2d9f58642ed904240c247cc0a0846baecb6b.patch";
+ sha256 = "04pbd72migxw8i949v3bmw8kfi5nr02rjcwfrx3b6xkiic9825sv";
+ })
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -81,6 +90,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/terminal";
license = licenses.lgpl3;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-videos/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-videos/default.nix
index 95df9a613a8..0c06e7b2443 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-videos/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/elementary-videos/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, pkg-config
@@ -31,6 +32,15 @@ stdenv.mkDerivation rec {
sha256 = "04nl9kn33dysvsg0n5qx1z8qgrifkgfwsm7gh1l308v3n8c69lh7";
};
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/videos/pull/233
+ (fetchpatch {
+ url = "https://github.com/elementary/videos/commit/19ba2a9148be09ea521d2e9ac29dede6b9c6fa07.patch";
+ sha256 = "0ffp7ana98846xi7vxrzfg6dbs4yy28x2i4ky85mqs1gj6fjqin5";
+ })
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -72,6 +82,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/videos";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/sideload/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/sideload/default.nix
index c3ba1717801..df152afc1a0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/sideload/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/sideload/default.nix
@@ -10,6 +10,7 @@
, granite
, gtk3
, libgee
+, libhandy
, meson
, ninja
, pantheon
@@ -22,13 +23,13 @@
stdenv.mkDerivation rec {
pname = "sideload";
- version = "1.1.1";
+ version = "6.0.2";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-eI/j6+lok9axRHV5DCsFY1fDCV+X5zBR7X8rK6odjFY=";
+ sha256 = "0abpcawmmv5mgzk2i5n9rlairmjr2v9rg9b8c9g7xa085s496bi9";
};
passthru = {
@@ -56,6 +57,7 @@ stdenv.mkDerivation rec {
granite
gtk3
libgee
+ libhandy
libxml2
];
@@ -69,6 +71,6 @@ stdenv.mkDerivation rec {
description = "Flatpak installer, designed for elementary OS";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/a11y/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/a11y/default.nix
index 06f097e81af..949f1ecc3d0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/a11y/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/a11y/default.nix
@@ -1,6 +1,7 @@
{ lib, stdenv
, substituteAll
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, meson
@@ -11,18 +12,19 @@
, granite
, gtk3
, switchboard
+, wingpanel-indicator-a11y
, onboard
}:
stdenv.mkDerivation rec {
pname = "switchboard-plug-a11y";
- version = "2.2.0";
+ version = "2.3.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-3PaOIadlEdYvfNZJaoAQVDKdSTfUdn+snCa8tHmDFD0=";
+ sha256 = "0dc5jv335j443rg08cb7p8wvmcg36wrf1vlcfg9r20cksdis9v4l";
};
patches = [
@@ -30,6 +32,12 @@ stdenv.mkDerivation rec {
src = ./fix-paths.patch;
inherit onboard;
})
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/switchboard-plug-a11y/pull/79
+ (fetchpatch {
+ url = "https://github.com/elementary/switchboard-plug-a11y/commit/08db4b696128a6bf809da3403a818834fcd62b02.patch";
+ sha256 = "1s13ak23bdxgcb74wdz3ql192bla5qhabdicqyjv1rp32plhkbg5";
+ })
];
passthru = {
@@ -50,13 +58,14 @@ stdenv.mkDerivation rec {
gtk3
libgee
switchboard
+ wingpanel-indicator-a11y
];
meta = with lib; {
description = "Switchboard Universal Access Plug";
homepage = "https://github.com/elementary/switchboard-plug-a11y";
- license = licenses.lgpl3Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/about/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/about/default.nix
index adba2ec0801..c1144dd4ecd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/about/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/about/default.nix
@@ -1,6 +1,5 @@
{ lib, stdenv
, fetchFromGitHub
-, fetchpatch
, nix-update-script
, pantheon
, substituteAll
@@ -9,22 +8,24 @@
, pkg-config
, vala
, libgee
+, libgtop
+, libhandy
, granite
, gtk3
, switchboard
-, pciutils
-, elementary-feedback
+, fwupd
+, appstream
}:
stdenv.mkDerivation rec {
pname = "switchboard-plug-about";
- version = "2.6.3";
+ version = "6.0.1";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-wis6wNEOOjPLUCT9vRRhMxbKHR2Y2nZArKogSF/FQv8=";
+ sha256 = "0c075ac7iqz4hqbp2ph0cwyhiq0jn6c1g1jjfhygjbssv3vvd268";
};
passthru = {
@@ -41,32 +42,20 @@ stdenv.mkDerivation rec {
];
buildInputs = [
+ appstream
+ fwupd
granite
gtk3
libgee
+ libgtop
+ libhandy
switchboard
];
patches = [
- # Get OS Info from GLib.Environment
- # https://github.com/elementary/switchboard-plug-about/pull/128
- (fetchpatch {
- url = "https://github.com/elementary/switchboard-plug-about/commit/5ed29988e3a895b2df66e5529df0f12a94d5517c.patch";
- sha256 = "1ipDxnpDZjpSEzZdtOeNe5U+QOXiB5M+hC3yDAsl/rQ=";
- })
-
- # Use Pretty Name
- # https://github.com/elementary/switchboard-plug-about/pull/134
- (fetchpatch {
- url = "https://github.com/elementary/switchboard-plug-about/commit/653d131dc8fac10ae7523f2bf6b179ffffa9c0fd.patch";
- sha256 = "AsM49Dc9/yn2tG6fqjfedeOlDXUu+iEoyNUmNYLH+zE=";
- })
-
- (substituteAll {
- src = ./fix-paths.patch;
- inherit pciutils;
- elementary_feedback = elementary-feedback;
- })
+ # The NixOS logo is not centered in the circular background and path
+ # to the background is hardcoded, we will drop the background.
+ ./remove-logo-background.patch
];
meta = with lib; {
@@ -74,7 +63,7 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/switchboard-plug-about";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/about/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/about/fix-paths.patch
deleted file mode 100644
index d458689a325..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/about/fix-paths.patch
+++ /dev/null
@@ -1,26 +0,0 @@
-diff --git a/src/Plug.vala b/src/Plug.vala
-index c32efcbe..0cdaeaca 100644
---- a/src/Plug.vala
-+++ b/src/Plug.vala
-@@ -178,7 +178,7 @@ public class About.Plug : Switchboard.Plug {
-
- var bug_button = new Gtk.Button.with_label (_("Report a Problem"));
- bug_button.clicked.connect (() => {
-- var appinfo = new GLib.DesktopAppInfo ("io.elementary.feedback.desktop");
-+ var appinfo = new GLib.DesktopAppInfo ("@elementary_feedback@/bin/io.elementary.feedback.desktop");
- if (appinfo != null) {
- try {
- appinfo.launch (null, null);
-diff --git a/src/Views/HardwareView.vala b/src/Views/HardwareView.vala
-index f8113634..3794bad8 100644
---- a/src/Views/HardwareView.vala
-+++ b/src/Views/HardwareView.vala
-@@ -179,7 +179,7 @@ public class About.HardwareView : Gtk.Grid {
-
- // Graphics
- try {
-- Process.spawn_command_line_sync ("lspci", out graphics);
-+ Process.spawn_command_line_sync ("@pciutils@/bin/lspci", out graphics);
-
- if ("VGA" in graphics) { //VGA-keyword indicates graphics-line
- string[] lines = graphics.split("\n");
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/about/remove-logo-background.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/about/remove-logo-background.patch
new file mode 100644
index 00000000000..bc7805a22c4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/about/remove-logo-background.patch
@@ -0,0 +1,36 @@
+diff --git a/src/Views/OperatingSystemView.vala b/src/Views/OperatingSystemView.vala
+index fdb92e7..87bb3a4 100644
+--- a/src/Views/OperatingSystemView.vala
++++ b/src/Views/OperatingSystemView.vala
+@@ -39,21 +39,6 @@ public class About.OperatingSystemView : Gtk.Grid {
+ logo_icon_name = "distributor-logo";
+ }
+
+- var logo = new Hdy.Avatar (128, "", false) {
+- // In case the wallpaper can't be loaded, we don't want an icon or text
+- icon_name = "invalid-icon-name",
+- // We need this for the shadow to not get clipped by Gtk.Overlay
+- margin = 6
+- };
+- logo.set_image_load_func ((size) => {
+- try {
+- return new Gdk.Pixbuf.from_file_at_scale ("/usr/share/backgrounds/elementaryos-default", -1, size, true);
+- } catch (Error e) {
+- critical (e.message);
+- }
+- });
+- logo.get_style_context ().add_provider (style_provider, Gtk.STYLE_PROVIDER_PRIORITY_APPLICATION);
+-
+ var icon = new Gtk.Image () {
+ icon_name = logo_icon_name + "-symbolic",
+ // 128 minus 3px padding on each side
+@@ -65,8 +50,7 @@ public class About.OperatingSystemView : Gtk.Grid {
+ icon_style_context.add_provider (style_provider, Gtk.STYLE_PROVIDER_PRIORITY_APPLICATION);
+
+ var logo_overlay = new Gtk.Overlay ();
+- logo_overlay.add (logo);
+- logo_overlay.add_overlay (icon);
++ logo_overlay.add (icon);
+
+ // Intentionally not using GLib.OsInfoKey.PRETTY_NAME here because we
+ // want more granular control over text formatting
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/applications/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/applications/default.nix
index 66596fa2ff1..22c2f4f64af 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/applications/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/applications/default.nix
@@ -10,17 +10,18 @@
, granite
, gtk3
, switchboard
+, flatpak
}:
stdenv.mkDerivation rec {
pname = "switchboard-plug-applications";
- version = "2.1.7";
+ version = "6.0.1";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-uiu19XyogNR0LX/2IIZM6B1JKAfqDMOHniQ29EAa9XM=";
+ sha256 = "18izmzhqp6x5ivha9yl8gyz9adyrsylw7w5p0cwm1bndgqbi7yh5";
};
passthru = {
@@ -37,6 +38,7 @@ stdenv.mkDerivation rec {
];
buildInputs = [
+ flatpak
granite
gtk3
libgee
@@ -46,8 +48,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Switchboard Applications Plug";
homepage = "https://github.com/elementary/switchboard-plug-applications";
- license = licenses.gpl2Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/bluetooth/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/bluetooth/default.nix
index dea3c0306a3..2238125fe2f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/bluetooth/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/bluetooth/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, meson
@@ -15,15 +16,24 @@
stdenv.mkDerivation rec {
pname = "switchboard-plug-bluetooth";
- version = "2.3.2";
+ version = "2.3.6";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-avu9Hya3C9g8kGl3D9bLwuZBkNPdwyvLspuBWgvpXU8=";
+ sha256 = "0n9fhi9g0ww341bjk6lpc5ppnl7qj9b3d63j9a7iqnap57bgks9y";
};
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/switchboard-plug-bluetooth/pull/182
+ (fetchpatch {
+ url = "https://github.com/elementary/switchboard-plug-bluetooth/commit/031dd5660b4bcb0bb4e82ebe6d8bcdaa1791c385.patch";
+ sha256 = "1g01ad6md7pqp1fx00avbra8yfnr8ipg8y6zhfg35fgjakj4aags";
+ })
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -50,7 +60,7 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/switchboard-plug-bluetooth";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/datetime/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/datetime/default.nix
index 2337ef24119..b4518ea1e44 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/datetime/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/datetime/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, meson
@@ -17,13 +18,13 @@
stdenv.mkDerivation rec {
pname = "switchboard-plug-datetime";
- version = "2.1.9";
+ version = "2.2.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-qgsU3NXqH7ryUah7rEnUrsbecV4AsOo4QfgTcWc5bc4=";
+ sha256 = "10rqhxsqbl1xnz5n84d7m39c3vb71k153989xvyc55djia1wjx96";
};
passthru = {
@@ -32,6 +33,19 @@ stdenv.mkDerivation rec {
};
};
+ patches = [
+ (substituteAll {
+ src = ./fix-paths.patch;
+ tzdata = tzdata;
+ })
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/switchboard-plug-datetime/pull/100
+ (fetchpatch {
+ url = "https://github.com/elementary/switchboard-plug-datetime/commit/a90639ed4f185f50d4ae448cd9503203dc24b3f4.patch";
+ sha256 = "0dz0s02ccnds62dqil44k652pc5icka2rfhcx0a5bj1wi5sifnp7";
+ })
+ ];
+
nativeBuildInputs = [
libxml2
meson
@@ -52,6 +66,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/switchboard-plug-datetime";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/datetime/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/datetime/fix-paths.patch
new file mode 100644
index 00000000000..784ea9daa0b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/datetime/fix-paths.patch
@@ -0,0 +1,15 @@
+diff --git a/src/Parser.vala b/src/Parser.vala
+index 7c7b82d..2c3a061 100644
+--- a/src/Parser.vala
++++ b/src/Parser.vala
+@@ -28,9 +28,9 @@ public class DateTime.Parser : GLib.Object {
+ return parser;
+ }
+ private Parser () {
+- var file = File.new_for_path ("/usr/share/zoneinfo/zone.tab");
++ var file = File.new_for_path ("@tzdata@/share/zoneinfo/zone.tab");
+ if (!file.query_exists ()) {
+- critical ("/usr/share/zoneinfo/zone.tab doesn't exist !");
++ critical ("@tzdata@/share/zoneinfo/zone.tab doesn't exist !");
+ return;
+ }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/display/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/display/default.nix
index 055a4098963..0fbb1eb81e7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/display/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/display/default.nix
@@ -14,13 +14,13 @@
stdenv.mkDerivation rec {
pname = "switchboard-plug-display";
- version = "2.2.2";
+ version = "2.3.1";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-mjmvuSipCRGg/HSa1n1eLyVU1gERNT2ciqgz/0KqX0Y=";
+ sha256 = "1dqd34v124y71nnk0l617a53x652m0sb7b58465imr6ppyhx4vsv";
};
passthru = {
@@ -46,8 +46,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Switchboard Displays Plug";
homepage = "https://github.com/elementary/switchboard-plug-display";
- license = licenses.lgpl2Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/0001-Remove-Install-Unlisted-Engines-function.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/0001-Remove-Install-Unlisted-Engines-function.patch
index 42900c38062..550aefbb1f1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/0001-Remove-Install-Unlisted-Engines-function.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/0001-Remove-Install-Unlisted-Engines-function.patch
@@ -1,19 +1,21 @@
-From 4fd6da39ab33a6eef46ee2c64eb4f5595c7fe633 Mon Sep 17 00:00:00 2001
-Message-Id: <4fd6da39ab33a6eef46ee2c64eb4f5595c7fe633.1599180249.git-series.worldofpeace@protonmail.ch>
-From: worldofpeace
-Date: Thu, 3 Sep 2020 20:43:25 -0400
+From f23363ad2fa402d59b41ffe2c13a46462c6d2194 Mon Sep 17 00:00:00 2001
+From: Bobby Rong
+Date: Fri, 16 Jul 2021 23:28:56 +0800
Subject: [PATCH] Remove Install Unlisted Engines function
https://github.com/elementary/switchboard-plug-keyboard/issues/324
+
+Co-Authored-By: WORLDofPEACE
+
---
- src/Dialogs/InstallEngineDialog.vala | 140 +------------------
- src/Dialogs/ProgressDialog.vala | 82 +----------
- src/InputMethod/Installer/InstallList.vala | 73 +---------
- src/InputMethod/Installer/UbuntuInstaller.vala | 142 +------------------
- src/InputMethod/Installer/aptd-client.vala | 93 +------------
- src/Widgets/InputMethod/AddEnginesPopover.vala | 12 +--
- src/Widgets/InputMethod/LanguagesRow.vala | 43 +-----
- src/meson.build | 6 +-
+ src/Dialogs/InstallEngineDialog.vala | 140 -----------------
+ src/Dialogs/ProgressDialog.vala | 82 ----------
+ src/InputMethod/Installer/InstallList.vala | 73 ---------
+ .../Installer/UbuntuInstaller.vala | 142 ------------------
+ src/InputMethod/Installer/aptd-client.vala | 93 ------------
+ .../InputMethod/AddEnginesPopover.vala | 12 --
+ src/Widgets/InputMethod/LanguagesRow.vala | 43 ------
+ src/meson.build | 6 -
8 files changed, 591 deletions(-)
delete mode 100644 src/Dialogs/InstallEngineDialog.vala
delete mode 100644 src/Dialogs/ProgressDialog.vala
@@ -170,7 +172,7 @@ index ffba3a8..0000000
-}
diff --git a/src/Dialogs/ProgressDialog.vala b/src/Dialogs/ProgressDialog.vala
deleted file mode 100644
-index f110aca..0000000
+index 868f167..0000000
--- a/src/Dialogs/ProgressDialog.vala
+++ /dev/null
@@ -1,82 +0,0 @@
@@ -191,7 +193,7 @@ index f110aca..0000000
-* with this program. If not, see http://www.gnu.org/licenses/.
-*/
-
--public class Pantheon.Keyboard.InputMethodPage.ProgressDialog : Gtk.Dialog {
+-public class Pantheon.Keyboard.InputMethodPage.ProgressDialog : Granite.Dialog {
- public int progress {
- set {
- if (value >= 100) {
@@ -583,10 +585,10 @@ index ee5c3f5..0000000
- }
-}
diff --git a/src/Widgets/InputMethod/AddEnginesPopover.vala b/src/Widgets/InputMethod/AddEnginesPopover.vala
-index 46e005d..6b56c6b 100644
+index 110bc1d..8a03ca8 100644
--- a/src/Widgets/InputMethod/AddEnginesPopover.vala
+++ b/src/Widgets/InputMethod/AddEnginesPopover.vala
-@@ -49,8 +49,6 @@ public class Pantheon.Keyboard.InputMethodPage.AddEnginesPopover : Gtk.Popover {
+@@ -43,8 +43,6 @@ public class Pantheon.Keyboard.InputMethodPage.AddEnginesPopover : Gtk.Popover {
};
scrolled.add (listbox);
@@ -595,7 +597,7 @@ index 46e005d..6b56c6b 100644
var cancel_button = new Gtk.Button.with_label (_("Cancel"));
var add_button = new Gtk.Button.with_label (_("Add Engine"));
-@@ -61,10 +59,8 @@ public class Pantheon.Keyboard.InputMethodPage.AddEnginesPopover : Gtk.Popover {
+@@ -55,10 +53,8 @@ public class Pantheon.Keyboard.InputMethodPage.AddEnginesPopover : Gtk.Popover {
margin = 12,
spacing = 6
};
@@ -606,7 +608,7 @@ index 46e005d..6b56c6b 100644
var grid = new Gtk.Grid ();
grid.attach (search_entry, 0, 0);
-@@ -92,14 +88,6 @@ public class Pantheon.Keyboard.InputMethodPage.AddEnginesPopover : Gtk.Popover {
+@@ -88,14 +84,6 @@ public class Pantheon.Keyboard.InputMethodPage.AddEnginesPopover : Gtk.Popover {
listbox.invalidate_filter ();
});
@@ -671,20 +673,20 @@ index dc064ae..0000000
- }
-}
diff --git a/src/meson.build b/src/meson.build
-index 28f07c1..a515419 100644
+index 77a51d0..26711c5 100644
--- a/src/meson.build
+++ b/src/meson.build
-@@ -16,7 +16,6 @@ plug_files = files(
- 'Widgets/Shortcuts/CustomTree.vala',
+@@ -17,7 +17,6 @@ plug_files = files(
'Widgets/Layout/Display.vala',
- 'Widgets/Layout/AddLayoutPopover.vala',
+ 'Widgets/Layout/AdvancedSettingsPanel.vala',
+ 'Widgets/Layout/AdvancedSettingsGrid.vala',
- 'Widgets/InputMethod/LanguagesRow.vala',
'Widgets/InputMethod/EnginesRow.vala',
'Widgets/InputMethod/AddEnginesPopover.vala',
'Views/Shortcuts.vala',
-@@ -36,11 +35,6 @@ plug_files = files(
- 'Layout/AdvancedSettingsGrid.vala',
- 'InputMethod/Utils.vala',
+@@ -33,11 +32,6 @@ plug_files = files(
+ 'InputSources/SourceSettings.vala',
+ 'InputSources/InputSource.vala',
'InputMethod/AddEnginesList.vala',
- 'InputMethod/Installer/UbuntuInstaller.vala',
- 'InputMethod/Installer/InstallList.vala',
@@ -692,9 +694,5 @@ index 28f07c1..a515419 100644
- 'Dialogs/ProgressDialog.vala',
- 'Dialogs/InstallEngineDialog.vala',
'Dialogs/ConflictDialog.vala',
+ 'Dialogs/AddLayoutDialog.vala'
)
-
-
-base-commit: 9d9eddeb7da8450a309496c25066f4f78a9d4070
---
-git-series 0.9.1
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/default.nix
index 06bdce19f45..c3860ba2278 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/default.nix
@@ -2,7 +2,6 @@
, fetchFromGitHub
, nix-update-script
, pantheon
-, fetchpatch
, substituteAll
, meson
, ninja
@@ -11,27 +10,31 @@
, libgee
, granite
, gtk3
+, libhandy
, libxml2
, libgnomekbd
, libxklavier
-, xorg
, ibus
, switchboard
}:
stdenv.mkDerivation rec {
pname = "switchboard-plug-keyboard";
- version = "2.4.1";
+ version = "2.5.1";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-iuv5NZ7v+rXyFsKB/PvGa/7hm9MIV8E6JnTzEGROlhM=";
+ sha256 = "1p1l7dx5v1zzz89hhhkm6n3ls7ig4cf2prh1099f1c054qiy9b0y";
};
patches = [
./0001-Remove-Install-Unlisted-Engines-function.patch
+ (substituteAll {
+ src = ./fix-paths.patch;
+ ibus = ibus;
+ })
];
passthru = {
@@ -54,6 +57,7 @@ stdenv.mkDerivation rec {
ibus
libgee
libgnomekbd
+ libhandy
libxklavier
switchboard
];
@@ -63,6 +67,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/switchboard-plug-keyboard";
license = licenses.gpl2Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/fix-paths.patch
new file mode 100644
index 00000000000..bb21712a5bc
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/fix-paths.patch
@@ -0,0 +1,13 @@
+diff --git a/src/Views/InputMethod.vala b/src/Views/InputMethod.vala
+index 6d79fdc..de4276e 100644
+--- a/src/Views/InputMethod.vala
++++ b/src/Views/InputMethod.vala
+@@ -325,7 +325,7 @@ public class Pantheon.Keyboard.InputMethodPage.Page : Gtk.Grid {
+ private void spawn_ibus_daemon () {
+ bool is_spawn_succeeded = false;
+ try {
+- is_spawn_succeeded = Process.spawn_sync ("/", { "ibus-daemon", "-drx" }, Environ.get (), SpawnFlags.SEARCH_PATH, null);
++ is_spawn_succeeded = Process.spawn_sync ("/", { "@ibus@/bin/ibus-daemon", "-drx" }, Environ.get (), SpawnFlags.SEARCH_PATH, null);
+ } catch (GLib.SpawnError e) {
+ warning (e.message);
+ set_visible_view (e.message);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/default.nix
index 67eeee584a6..0368314e7b4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/default.nix
@@ -1,28 +1,32 @@
{ lib, stdenv
, fetchFromGitHub
, nix-update-script
+, substituteAll
, pantheon
, meson
, ninja
, pkg-config
, vala
, libgee
+, libxml2
, granite
, gtk3
, switchboard
-, elementary-settings-daemon
+, gnome-settings-daemon
, glib
+, gala # needed for gestures support
+, touchegg
}:
stdenv.mkDerivation rec {
pname = "switchboard-plug-mouse-touchpad";
- version = "2.4.2";
+ version = "6.1.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-WJ/GRhZsSwC31HEIjHHWBy9/Skqbwor0tNVTedue3kk=";
+ sha256 = "0nqgbpk1knvbj5xa078i0ka6lzqmaaa873gwj3mhjr5q2gzkw7y5";
};
passthru = {
@@ -39,19 +43,29 @@ stdenv.mkDerivation rec {
];
buildInputs = [
+ gala
glib
granite
gtk3
libgee
- elementary-settings-daemon
+ libxml2
+ gnome-settings-daemon
switchboard
+ touchegg
+ ];
+
+ patches = [
+ (substituteAll {
+ src = ./fix-paths.patch;
+ touchegg = touchegg;
+ })
];
meta = with lib; {
description = "Switchboard Mouse & Touchpad Plug";
homepage = "https://github.com/elementary/switchboard-plug-mouse-touchpad";
- license = licenses.gpl2Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/fix-paths.patch
new file mode 100644
index 00000000000..e0c63bac832
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/fix-paths.patch
@@ -0,0 +1,13 @@
+diff --git a/src/Utils/ToucheggSettings.vala b/src/Utils/ToucheggSettings.vala
+index 1d621b9c..73c89714 100644
+--- a/src/Utils/ToucheggSettings.vala
++++ b/src/Utils/ToucheggSettings.vala
+@@ -36,7 +36,7 @@ public class MouseTouchpad.ToucheggSettings : GLib.Object {
+ private const string MAXIMIZE_4_XPATH = "//application[@name=\"All\"]/gesture[@fingers=\"4\"]/action[@type=\"MAXIMIZE_RESTORE_WINDOW\"]/..";
+
+ public ToucheggSettings () {
+- system_config_path = Path.build_filename (GLib.Path.DIR_SEPARATOR_S, "usr", "share", "touchegg", "touchegg.conf");
++ system_config_path = Path.build_filename ("@touchegg@", "share", "touchegg", "touchegg.conf");
+ user_config_dir_path = Path.build_filename (GLib.Environment.get_home_dir (), ".config", "touchegg");
+ user_config_path = Path.build_filename (GLib.Environment.get_home_dir (), ".config", "touchegg", "touchegg.conf");
+ }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/network/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/network/default.nix
index fce9402e131..7ccfb265e9e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/network/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/network/default.nix
@@ -18,13 +18,13 @@
stdenv.mkDerivation rec {
pname = "switchboard-plug-network";
- version = "2.3.2";
+ version = "2.4.1";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-PYgewxBblhOfOJQSeRaq8xD7qZ3083EvgUjpi92FqyI=";
+ sha256 = "0nqihsbrpjw4nx1c50g854bqybniw38adi78vzg8nyl6ikj2r0z4";
};
passthru = {
@@ -60,8 +60,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Switchboard Networking Plug";
homepage = "https://github.com/elementary/switchboard-plug-network";
- license = licenses.lgpl21Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/notifications/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/notifications/default.nix
index 8c750710aed..42cddfd2d67 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/notifications/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/notifications/default.nix
@@ -16,15 +16,24 @@
stdenv.mkDerivation rec {
pname = "switchboard-plug-notifications";
- version = "2.1.7";
+ version = "2.2.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-MBCSQ+4l0mpS2OTDRJ7+91qo0SWm5nJNYO7SZaSoVQk=";
+ sha256 = "0zzhgs8m1y7ab31hbn7v8g8k7rx51gqajl243zmysn86lfqk8iay";
};
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/switchboard-plug-notifications/pull/83
+ (fetchpatch {
+ url = "https://github.com/elementary/switchboard-plug-notifications/commit/2e0320aab62b6932e8ef5f941d02e244de381957.patch";
+ sha256 = "0rcamasq837grck0i2yx6psggzrhv7p7m3mra5l0k9zsjxgar92v";
+ })
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -51,6 +60,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/switchboard-plug-notifications";
license = licenses.gpl2Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/onlineaccounts/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/onlineaccounts/default.nix
index ef12cb38e1b..5e8447f629a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/onlineaccounts/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/onlineaccounts/default.nix
@@ -1,33 +1,36 @@
{ lib, stdenv
, fetchFromGitHub
, nix-update-script
-, fetchpatch
, pantheon
, meson
, ninja
, pkg-config
-, vala_0_46
+, vala
, libgee
, granite
, gtk3
, libaccounts-glib
+, libgdata
+, libhandy
, libsignon-glib
, json-glib
, librest
, webkitgtk
, libsoup
+, sqlite
, switchboard
+, evolution-data-server
}:
stdenv.mkDerivation rec {
pname = "switchboard-plug-onlineaccounts";
- version = "2.0.1";
+ version = "6.2.1";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-CVYKcRty5bBEMNyoY51JAJQy6uh+U+7IvS6V/1GMCA4=";
+ sha256 = "1q3f7zr04p2100mb255zy38il2i47l6vqdc9a9acjbk3n7q5sf92";
};
passthru = {
@@ -40,47 +43,35 @@ stdenv.mkDerivation rec {
meson
ninja
pkg-config
- vala_0_46
+ vala
];
buildInputs = [
+ evolution-data-server
granite
gtk3
json-glib
libaccounts-glib
+ libgdata
libgee
+ libhandy
libsignon-glib
libsoup
librest
+ sqlite # needed for camel-1.2
switchboard
webkitgtk
];
- patches = [
- # Fix build with latest vala
- (fetchpatch {
- url = "https://github.com/elementary/switchboard-plug-onlineaccounts/commit/5fa2882f765076d20c6ef4886198a34a05159f8a.patch";
- sha256 = "1szryyy7shdmbvx9yhpi0bhzaayg7hl6pq2c456j1qf9kfv0m4hf";
- })
-
- # fix build with vala 0.48.9
- (fetchpatch {
- url = "https://github.com/elementary/switchboard-plug-onlineaccounts/commit/b1853b7427102b8dd94774e1e2cc455f50902263.patch";
- sha256 = "J3C71GyEHEZWSghGXlwXPHFJRhrvx6i0yvi8NIDb4WE=";
- })
- ];
-
PKG_CONFIG_LIBACCOUNTS_GLIB_PROVIDERFILESDIR = "${placeholder "out"}/share/accounts/providers";
PKG_CONFIG_LIBACCOUNTS_GLIB_SERVICEFILESDIR = "${placeholder "out"}/share/accounts/services";
- PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder "out"}/lib/switchboard";
-
meta = with lib; {
description = "Switchboard Online Accounts Plug";
homepage = "https://github.com/elementary/switchboard-plug-onlineaccounts";
- license = licenses.lgpl2Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/pantheon-shell/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/pantheon-shell/default.nix
index 97668d35471..69242e8132f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/pantheon-shell/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/pantheon-shell/default.nix
@@ -1,18 +1,48 @@
-{ lib, stdenv, fetchFromGitHub, nix-update-script, pantheon, meson, ninja, pkg-config, vala, glib
-, libgee, granite, gexiv2, elementary-settings-daemon, gtk3, gnome-desktop
-, gala, wingpanel, elementary-dock, switchboard, gettext, bamf, fetchpatch }:
+{ lib, stdenv
+, fetchFromGitHub
+, fetchpatch
+, nix-update-script
+, pantheon
+, meson
+, ninja
+, pkg-config
+, vala
+, glib
+, libgee
+, granite
+, gexiv2
+, gnome-settings-daemon
+, elementary-settings-daemon
+, gtk3
+, gnome-desktop
+, gala
+, wingpanel
+, elementary-dock
+, switchboard
+, gettext
+, bamf
+}:
stdenv.mkDerivation rec {
pname = "switchboard-plug-pantheon-shell";
- version = "2.8.4";
+ version = "6.0.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-CHl+4mVjrDg2gusrWOCfI++DZMWKLdvHxG3ZWMjZ2to=";
+ sha256 = "0349150kxdv14ald79pzn7lasiqipyc37fgchygbc8hsy62d9a32";
};
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/switchboard-plug-pantheon-shell/pull/286
+ (fetchpatch {
+ url = "https://github.com/elementary/switchboard-plug-pantheon-shell/commit/0c3207ffaeaa82ca3c743bc9ec772185fbd7e8cf.patch";
+ sha256 = "11ymzqx6has4zf8y0xy7pfhymcl128hzzjcgp46inshjf99v5kiv";
+ })
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -31,6 +61,7 @@ stdenv.mkDerivation rec {
bamf
elementary-dock
elementary-settings-daemon
+ gnome-settings-daemon
gala
gexiv2
glib
@@ -47,6 +78,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/switchboard-plug-pantheon-shell";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/power/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/power/default.nix
index f0cf47cfdfe..6a9848b34a7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/power/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/power/default.nix
@@ -2,31 +2,31 @@
, fetchFromGitHub
, nix-update-script
, pantheon
-, substituteAll
, meson
, ninja
, pkg-config
, vala
, libgee
-, elementary-dpms-helper
, elementary-settings-daemon
+, gnome-settings-daemon
, granite
, gtk3
, glib
, dbus
, polkit
, switchboard
+, wingpanel-indicator-power
}:
stdenv.mkDerivation rec {
pname = "switchboard-plug-power";
- version = "2.4.2";
+ version = "2.6.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-swcbkaHHe9BZxMWvjdRutvYfXXrSCUJWuld1btfYeH0=";
+ sha256 = "006h8mrhmdrbd83vhdyahgrfk9wh6j9kjincpp7dz7sl8fsyhmcr";
};
passthru = {
@@ -44,21 +44,15 @@ stdenv.mkDerivation rec {
buildInputs = [
dbus
- elementary-dpms-helper
elementary-settings-daemon
+ gnome-settings-daemon
glib
granite
gtk3
libgee
polkit
switchboard
- ];
-
- patches = [
- (substituteAll {
- src = ./dpms-helper-exec.patch;
- elementary_dpms_helper = elementary-dpms-helper;
- })
+ wingpanel-indicator-power # settings schema
];
meta = with lib; {
@@ -66,6 +60,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/switchboard-plug-power";
license = licenses.gpl2Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/power/dpms-helper-exec.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/power/dpms-helper-exec.patch
deleted file mode 100644
index 335d3f29626..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/power/dpms-helper-exec.patch
+++ /dev/null
@@ -1,13 +0,0 @@
-diff --git a/src/MainView.vala b/src/MainView.vala
-index 1654e68..175f220 100644
---- a/src/MainView.vala
-+++ b/src/MainView.vala
-@@ -317,7 +317,7 @@ public class Power.MainView : Gtk.Grid {
-
- private static void run_dpms_helper () {
- try {
-- string[] argv = { "io.elementary.dpms-helper" };
-+ string[] argv = { "@elementary_dpms_helper@/bin/io.elementary.dpms-helper" };
- Process.spawn_async (null, argv, Environ.get (),
- SpawnFlags.SEARCH_PATH | SpawnFlags.STDERR_TO_DEV_NULL | SpawnFlags.STDOUT_TO_DEV_NULL,
- null, null);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/printers/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/printers/default.nix
index 7387ea34e4d..cae5b570547 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/printers/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/printers/default.nix
@@ -16,20 +16,21 @@
stdenv.mkDerivation rec {
pname = "switchboard-plug-printers";
- version = "2.1.9";
+ version = "2.1.10";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-tnAJyyPN/Xy1pmlgBpgO2Eb5CeHrRltjQTHmuTPBt8s=";
+ sha256 = "0frvybbx7mcs87kww0if4zn0c6c2gb400cpiqrl8b0294py58xpb";
};
patches = [
- # Fix build with latest Vala.
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/switchboard-plug-printers/pull/153
(fetchpatch {
- url = "https://github.com/elementary/switchboard-plug-printers/commit/5eced5ddda6f229d7265ea0a713f6c1cd181a526.patch";
- sha256 = "lPTNqka6jjvv1JnAqVzVIQBIdDXlCOQ5ASvgZNuEUC8=";
+ url = "https://github.com/elementary/switchboard-plug-printers/commit/3e2b01378cbb8e666d23daeef7f40fcaa90daa45.patch";
+ sha256 = "0b8pq525xnir06pn65rcz68bcp5xdxl0gpbj7p5x1hs23p5dp04n";
})
];
@@ -57,9 +58,9 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Switchboard Printers Plug";
homepage = "https://github.com/elementary/switchboard-plug-printers";
- license = licenses.lgpl3Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/security-privacy/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/security-privacy/default.nix
index 26e26de33b1..986aa171e92 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/security-privacy/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/security-privacy/default.nix
@@ -10,30 +10,31 @@
, vala
, libgee
, granite
+, gala
, gtk3
, glib
, polkit
, zeitgeist
, switchboard
-, lightlocker
}:
stdenv.mkDerivation rec {
pname = "switchboard-plug-security-privacy";
- version = "2.2.4";
+ version = "2.2.5";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-Sws6FqUL7QAROInDrcqYAp6j1TCC4aGV0/hi5Kmm5wQ=";
+ sha256 = "1ydr1xpbyxjcnd36c9j7a64srbz6gpbshwhcqj6591kmiqhmvknk";
};
patches = [
- # Fix build with latest Vala.
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/switchboard-plug-security-privacy/pull/130
(fetchpatch {
- url = "https://github.com/elementary/switchboard-plug-security-privacy/commit/c8e422e630bbee0badcf4df26364c9e83e06bad0.patch";
- sha256 = "5Gm+muZiCraJC5JaGVVo0HDJ7KxjOpclHRW1RKsk3bc=";
+ url = "https://github.com/elementary/switchboard-plug-security-privacy/commit/18fe438baba651670d7f0534856c3b2433e3d75d.patch";
+ sha256 = "19qwm725k6h41kgg4a98i4rxx45s4bb1wxx0fzkh75gz9syfi58w";
})
];
@@ -52,13 +53,13 @@ stdenv.mkDerivation rec {
];
buildInputs = [
+ gala
glib
granite
gtk3
libgee
polkit
switchboard
- lightlocker
zeitgeist
];
@@ -70,9 +71,9 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Switchboard Security & Privacy Plug";
homepage = "https://github.com/elementary/switchboard-plug-security-privacy";
- license = licenses.lgpl3Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/sharing/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/sharing/default.nix
index 6baaed293c9..2eacf558510 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/sharing/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/sharing/default.nix
@@ -15,15 +15,24 @@
stdenv.mkDerivation rec {
pname = "switchboard-plug-sharing";
- version = "2.1.4";
+ version = "2.1.5";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-/M60w14zfAUXspabvTUWlOPRrHvKtCYUio82b034k6s=";
+ sha256 = "00lqrxq1wz3y2s9jiz8rh9d571va2vza2gdwj6c86z3q4c4hmn17";
};
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/switchboard-plug-sharing/pull/55
+ (fetchpatch {
+ url = "https://github.com/elementary/switchboard-plug-sharing/commit/5219839738b79e3c5f039a811d96a40eb2644eab.patch";
+ sha256 = "020w746q7gzmic0pdnbxs792sx15wlsqaf2x770r5xwbyfmqr7bs";
+ })
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -47,8 +56,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Switchboard Sharing Plug";
homepage = "https://github.com/elementary/switchboard-plug-sharing";
- license = licenses.gpl2Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/sound/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/sound/default.nix
index 10117dd8f95..7719477ce70 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/sound/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/sound/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, meson
@@ -10,22 +11,30 @@
, granite
, gtk3
, pulseaudio
-, libcanberra
, libcanberra-gtk3
, switchboard
}:
stdenv.mkDerivation rec {
pname = "switchboard-plug-sound";
- version = "2.2.5";
+ version = "2.2.7";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-ITgxLZSB4zhSaFKX7Vbf89DGI8ibIcGEQTtLjcGN2tA=";
+ sha256 = "0yjqws7ryfid9lcafgzzbfnjjwccsankscwdwqfw486qid85whzc";
};
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/switchboard-plug-sound/pull/203
+ (fetchpatch {
+ url = "https://github.com/elementary/switchboard-plug-sound/commit/1f3b9f001ace4c457ab194158dff04ba5e25d278.patch";
+ sha256 = "1lmf2bbif2wkfv500nxbqxp8jdmnjg8yk8xhx3g2g55d15rc5hk4";
+ })
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -42,7 +51,6 @@ stdenv.mkDerivation rec {
buildInputs = [
granite
gtk3
- libcanberra
libcanberra-gtk3
libgee
pulseaudio
@@ -52,8 +60,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Switchboard Sound Plug";
homepage = "https://github.com/elementary/switchboard-plug-sound";
- license = licenses.lgpl2Plus;
+ license = licenses.lgpl21Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/wacom/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/wacom/default.nix
new file mode 100644
index 00000000000..9268fa76aef
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard-plugs/wacom/default.nix
@@ -0,0 +1,72 @@
+{ lib, stdenv
+, fetchFromGitHub
+, fetchpatch
+, nix-update-script
+, pantheon
+, meson
+, ninja
+, pkg-config
+, vala
+, glib
+, granite
+, gtk3
+, libgee
+, libgudev
+, libwacom
+, switchboard
+, xorg
+}:
+
+stdenv.mkDerivation rec {
+ pname = "switchboard-plug-wacom";
+ version = "1.0.0";
+
+ src = fetchFromGitHub {
+ owner = "elementary";
+ repo = pname;
+ rev = version;
+ sha256 = "1n2yfq4s9xpnfqjikchjp4z2nk8cmfz4g0p18cplzh5w1lvz17lm";
+ };
+
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/switchboard-plug-wacom/pull/29
+ (fetchpatch {
+ url = "https://github.com/elementary/switchboard-plug-wacom/commit/2a7dee180d73ffb3521d806efb7028f5a71cb511.patch";
+ sha256 = "06ra5c0f14brmj2mmsqscpc4d1114i4qazgnsazzh2hrp04ilnva";
+ })
+ ];
+
+ passthru = {
+ updateScript = nix-update-script {
+ attrPath = "pantheon.${pname}";
+ };
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ vala
+ ];
+
+ buildInputs = [
+ glib
+ granite
+ gtk3
+ libgee
+ libgudev
+ libwacom
+ switchboard
+ xorg.libX11
+ xorg.libXi
+ ];
+
+ meta = with lib; {
+ description = "Switchboard Wacom Plug";
+ homepage = "https://github.com/elementary/switchboard-plug-wacom";
+ license = licenses.gpl3Plus;
+ platforms = platforms.linux;
+ maintainers = teams.pantheon.members;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard/default.nix
index d0b56d7456a..bdab9b0d766 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/apps/switchboard/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, pkg-config
@@ -9,6 +10,7 @@
, vala
, gtk3
, libgee
+, libhandy
, granite
, gettext
, clutter-gtk
@@ -18,13 +20,13 @@
stdenv.mkDerivation rec {
pname = "switchboard";
- version = "2.4.0";
+ version = "6.0.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-N3WZysLIah40kcyIyhryZpm2FxCmlvp0EB1krZ/IsYs=";
+ sha256 = "02dfsrfmr297cxpyd5m3746ihcgjyfnb3d42ng9m4ljdvh0dxgim";
};
passthru = {
@@ -49,10 +51,17 @@ stdenv.mkDerivation rec {
granite
gtk3
libgee
+ libhandy
];
patches = [
./plugs-path-env.patch
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/switchboard/pull/214
+ (fetchpatch {
+ url = "https://github.com/elementary/switchboard/commit/8d6b5f4cbbaf134880252afbf1e25d70033e6402.patch";
+ sha256 = "0gwq3wwj45jrnlhsmxfclbjw6xjr8kf6pp3a84vbnrazw76lg5nc";
+ })
];
postPatch = ''
@@ -65,6 +74,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/switchboard";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-gtk-theme/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-gtk-theme/default.nix
index 437f3794122..e0299767ef3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-gtk-theme/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-gtk-theme/default.nix
@@ -5,11 +5,12 @@
, meson
, ninja
, gettext
+, sassc
}:
stdenv.mkDerivation rec {
pname = "elementary-gtk-theme";
- version = "5.4.2";
+ version = "6.0.0";
repoName = "stylesheet";
@@ -17,7 +18,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "sha256-eE0/LLdnpxOpBvdpioGKV/DOQ5lIuQt9dPnhGkQDGCs=";
+ sha256 = "08iga854s6w77xr5rhvr74pgn2lc884aigc7gkn0xjlwysd195fr";
};
passthru = {
@@ -30,6 +31,7 @@ stdenv.mkDerivation rec {
gettext
meson
ninja
+ sassc
];
meta = with lib; {
@@ -37,6 +39,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/stylesheet";
license = licenses.gpl3;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-icon-theme/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-icon-theme/default.nix
index bd4845172c5..abaf8d6c31c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-icon-theme/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-icon-theme/default.nix
@@ -65,6 +65,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/icons";
license = licenses.gpl3;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-redacted-script/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-redacted-script/default.nix
index 6a9d1b0aca3..b9c51f5688c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-redacted-script/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-redacted-script/default.nix
@@ -25,7 +25,7 @@ stdenv.mkDerivation {
description = "Font for concealing text";
homepage = "https://github.com/png2378/redacted-elementary";
license = licenses.ofl;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-sound-theme/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-sound-theme/default.nix
index d273f03f4bd..6fe18119b45 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-sound-theme/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-sound-theme/default.nix
@@ -37,6 +37,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/sound-theme";
license = licenses.unlicense;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-wallpapers/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-wallpapers/default.nix
index 3e4e64d7301..9fd0a4d1a4e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-wallpapers/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/artwork/elementary-wallpapers/default.nix
@@ -9,7 +9,7 @@
stdenv.mkDerivation rec {
pname = "elementary-wallpapers";
- version = "5.5.0";
+ version = "6.0.0";
repoName = "wallpapers";
@@ -17,7 +17,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "sha256-Q5sYDXqFhiTu8nABmyND3L8bIXd1BJ3GZQ9TL3SzwzA=";
+ sha256 = "1qpf8w7x9sp3sd4zpsrlj5ywpwqkq4ywbagm4sf25cwwn82dl59b";
};
nativeBuildInputs = [
@@ -37,7 +37,7 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/wallpapers";
license = licenses.publicDomain;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/default.nix
index dc1245e1b15..668638c1bfe 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/default.nix
@@ -12,25 +12,31 @@ lib.makeScope pkgs.newScope (self: with self; {
switchboard-plug-onlineaccounts switchboard-plug-pantheon-shell
switchboard-plug-power switchboard-plug-printers
switchboard-plug-security-privacy switchboard-plug-sharing
- switchboard-plug-sound
+ switchboard-plug-sound switchboard-plug-wacom
];
wingpanelIndicators = [
- wingpanel-applications-menu wingpanel-indicator-bluetooth
- wingpanel-indicator-datetime wingpanel-indicator-keyboard
- wingpanel-indicator-network wingpanel-indicator-nightlight
- wingpanel-indicator-notifications wingpanel-indicator-power
- wingpanel-indicator-session wingpanel-indicator-sound
+ wingpanel-applications-menu wingpanel-indicator-a11y
+ wingpanel-indicator-bluetooth wingpanel-indicator-datetime
+ wingpanel-indicator-keyboard wingpanel-indicator-network
+ wingpanel-indicator-nightlight wingpanel-indicator-notifications
+ wingpanel-indicator-power wingpanel-indicator-session
+ wingpanel-indicator-sound
];
maintainers = lib.teams.pantheon.members;
- mutter = pkgs.gnome.mutter334;
+ mutter = pkgs.gnome.mutter338;
+
+ # Using 3.38 to match Mutter used in Pantheon
+ gnome-settings-daemon = pkgs.gnome.gnome-settings-daemon338;
elementary-gsettings-schemas = callPackage ./desktop/elementary-gsettings-schemas { };
notes-up = pkgs.notes-up.override { withPantheon = true; };
+ touchegg = pkgs.touchegg.override { withPantheon = true; };
+
#### APPS
appcenter = callPackage ./apps/appcenter { };
@@ -55,12 +61,18 @@ lib.makeScope pkgs.newScope (self: with self; {
elementary-photos = callPackage ./apps/elementary-photos { };
- elementary-screenshot-tool = callPackage ./apps/elementary-screenshot-tool { };
+ elementary-screenshot = callPackage ./apps/elementary-screenshot { };
+
+ elementary-tasks = callPackage ./apps/elementary-tasks { };
elementary-terminal = callPackage ./apps/elementary-terminal { };
elementary-videos = callPackage ./apps/elementary-videos { };
+ epiphany = pkgs.epiphany.override { withPantheon = true; };
+
+ evince = pkgs.evince.override { withPantheon = true; };
+
sideload = callPackage ./apps/sideload { };
#### DESKTOP
@@ -103,16 +115,9 @@ lib.makeScope pkgs.newScope (self: with self; {
elementary-capnet-assist = callPackage ./services/elementary-capnet-assist { };
- elementary-dpms-helper = callPackage ./services/elementary-dpms-helper { };
-
elementary-notifications = callPackage ./services/elementary-notifications { };
- # We're using ubuntu and elementary's patchset due to reasons
- # explained here -> https://github.com/elementary/greeter/issues/92#issuecomment-376215614
- # Take note of "I am holding off on "fixing" this bug for as long as possible."
- elementary-settings-daemon = callPackage ./services/elementary-settings-daemon {
- inherit (gnome) gnome-desktop;
- };
+ elementary-settings-daemon = callPackage ./services/elementary-settings-daemon { };
pantheon-agent-geoclue2 = callPackage ./services/pantheon-agent-geoclue2 { };
@@ -122,6 +127,8 @@ lib.makeScope pkgs.newScope (self: with self; {
wingpanel-applications-menu = callPackage ./desktop/wingpanel-indicators/applications-menu { };
+ wingpanel-indicator-a11y = callPackage ./desktop/wingpanel-indicators/a11y { };
+
wingpanel-indicator-bluetooth = callPackage ./desktop/wingpanel-indicators/bluetooth { };
wingpanel-indicator-datetime = callPackage ./desktop/wingpanel-indicators/datetime { };
@@ -184,6 +191,8 @@ lib.makeScope pkgs.newScope (self: with self; {
switchboard-plug-sound = callPackage ./apps/switchboard-plugs/sound { };
+ switchboard-plug-wacom = callPackage ./apps/switchboard-plugs/wacom { };
+
### ARTWORK
elementary-gtk-theme = callPackage ./artwork/elementary-gtk-theme { };
@@ -204,4 +213,6 @@ lib.makeScope pkgs.newScope (self: with self; {
cerbere = throw "Cerbere is now obsolete https://github.com/elementary/cerbere/releases/tag/2.5.1.";
+ elementary-screenshot-tool = elementary-screenshot; # added 2021-07-21
+
})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/0001-Build-with-Meson.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/0001-Build-with-Meson.patch
deleted file mode 100644
index 3a0b3812450..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/0001-Build-with-Meson.patch
+++ /dev/null
@@ -1,309 +0,0 @@
-From f728ac670d8f6bb7600a007d92034b711471fab6 Mon Sep 17 00:00:00 2001
-From: worldofpeace
-Date: Sat, 13 Jul 2019 19:06:20 -0400
-Subject: [PATCH 1/2] Build with Meson
-
-Has the following meson build options:
-* default-wallpaper
-* plank-dockitems
-* default-gsettings-overrides
-
-All default to true.
-
-We also split the default-settings gsettings override into another that
-only sets plank's default dockitems. This is installed when plank-dockitems
-is true. We need to have this because those settings are dependent on
-those dockitems actually being installed and we have it optional.
----
- accountsservice/create-symlink.py | 24 ++++++
- accountsservice/meson.build | 25 ++++++
- .../appcenter.blacklist | 0
- .../default-testpage.pdf | Bin
- settings.ini => gtk/settings.ini | 0
- .inputrc => inputrc/.inputrc | 0
- meson.build | 79 ++++++++++++++++++
- meson/post_install.py | 12 +++
- meson_options.txt | 14 ++++
- ...e => default-settings.gschema.override.in} | 3 +-
- overrides/meson.build | 21 +++++
- overrides/plank-dockitems.gschema.override | 2 +
- .../sessioninstaller.desktop | 0
- 13 files changed, 178 insertions(+), 2 deletions(-)
- create mode 100644 accountsservice/create-symlink.py
- create mode 100644 accountsservice/meson.build
- rename appcenter.blacklist => appcenter/appcenter.blacklist (100%)
- rename default-testpage.pdf => cups/default-testpage.pdf (100%)
- rename settings.ini => gtk/settings.ini (100%)
- rename .inputrc => inputrc/.inputrc (100%)
- create mode 100644 meson.build
- create mode 100755 meson/post_install.py
- create mode 100644 meson_options.txt
- rename overrides/{default-settings.gschema.override => default-settings.gschema.override.in} (92%)
- create mode 100644 overrides/meson.build
- create mode 100644 overrides/plank-dockitems.gschema.override
- rename sessioninstaller.desktop => sessioninstaller/sessioninstaller.desktop (100%)
-
-diff --git a/accountsservice/create-symlink.py b/accountsservice/create-symlink.py
-new file mode 100644
-index 0000000..e502134
---- /dev/null
-+++ b/accountsservice/create-symlink.py
-@@ -0,0 +1,24 @@
-+#!/usr/bin/env python3
-+
-+import os
-+import sys
-+
-+if len(sys.argv) < 3:
-+ print('Usage: create-symlink.py SOURCE DESTINATION')
-+ sys.exit(1)
-+
-+src = sys.argv[1]
-+dest = sys.argv[2]
-+
-+if 'MESON_INSTALL_DESTDIR_PREFIX' in os.environ:
-+ src = os.path.join(os.environ['MESON_INSTALL_DESTDIR_PREFIX'], src)
-+ dest = os.path.join(os.environ['MESON_INSTALL_DESTDIR_PREFIX'], dest)
-+
-+if os.path.isabs(src):
-+ src = os.path.relpath(src, os.path.dirname(os.path.realpath(dest)))
-+
-+if not os.path.exists(dest):
-+ print('Creating symbolic link: ' + dest + ' -> ' + src)
-+ if not os.path.exists(os.path.dirname(dest)):
-+ os.makedirs(os.path.dirname(dest))
-+ os.symlink(src, dest)
-diff --git a/accountsservice/meson.build b/accountsservice/meson.build
-new file mode 100644
-index 0000000..6d18459
---- /dev/null
-+++ b/accountsservice/meson.build
-@@ -0,0 +1,25 @@
-+dbus_dep = dependency('dbus-1')
-+dbus_interfaces_dir = dbus_dep.get_pkgconfig_variable('interfaces_dir', define_variable: ['datadir', datadir])
-+
-+install_data(
-+ 'io.elementary.pantheon.AccountsService.xml',
-+ install_dir: dbus_interfaces_dir
-+)
-+
-+
-+polkit_dep = dependency('polkit-gobject-1')
-+polkit_actiondir = polkit_dep.get_pkgconfig_variable('actiondir', define_variable: ['prefix', prefix])
-+
-+install_data(
-+ 'io.elementary.pantheon.AccountsService.policy',
-+ install_dir: polkit_actiondir
-+)
-+
-+act_dep = dependency('accountsservice')
-+act_interfacesdir = act_dep.get_pkgconfig_variable('interfacesdir', define_variable: ['datadir', datadir])
-+
-+meson.add_install_script(
-+ 'create-symlink.py',
-+ join_paths(dbus_interfaces_dir, 'io.elementary.pantheon.AccountsService.xml'),
-+ join_paths(act_interfacesdir, 'io.elementary.pantheon.AccountsService.xml'),
-+)
-diff --git a/appcenter.blacklist b/appcenter/appcenter.blacklist
-similarity index 100%
-rename from appcenter.blacklist
-rename to appcenter/appcenter.blacklist
-diff --git a/default-testpage.pdf b/cups/default-testpage.pdf
-similarity index 100%
-rename from default-testpage.pdf
-rename to cups/default-testpage.pdf
-diff --git a/settings.ini b/gtk/settings.ini
-similarity index 100%
-rename from settings.ini
-rename to gtk/settings.ini
-diff --git a/.inputrc b/inputrc/.inputrc
-similarity index 100%
-rename from .inputrc
-rename to inputrc/.inputrc
-diff --git a/meson.build b/meson.build
-new file mode 100644
-index 0000000..7740a34
---- /dev/null
-+++ b/meson.build
-@@ -0,0 +1,79 @@
-+project(
-+ 'elementary-default-settings',
-+ version: '5.1.0',
-+ default_options: 'sysconfdir=/etc',
-+)
-+
-+prefix = get_option('prefix')
-+datadir = join_paths(prefix, get_option('datadir'))
-+sysconfdir = get_option('sysconfdir')
-+
-+meson.add_install_script('meson/post_install.py', datadir)
-+
-+# Planks Default DockItems
-+if get_option('plank-dockitems')
-+ install_subdir(
-+ 'plank',
-+ install_dir: join_paths(sysconfdir, 'skel', '.config')
-+ )
-+endif
-+
-+# Setup system wide global environment for applications
-+install_subdir(
-+ 'profile.d',
-+ install_dir: join_paths(sysconfdir, 'profile.d'),
-+ strip_directory : true
-+)
-+
-+# elementary Plymouth Theme
-+install_subdir(
-+ 'plymouth/elementary',
-+ install_dir: join_paths(datadir, 'plymouth', 'themes')
-+)
-+
-+install_data(
-+ 'plymouth/ubuntu-text.plymouth',
-+ install_dir: join_paths(datadir, 'plymouth', 'themes')
-+)
-+
-+# Sudo password feedback in terminals
-+install_data(
-+ 'sudoers.d/pwfeedback',
-+ install_dir: join_paths(sysconfdir, 'sudoers.d')
-+)
-+
-+# CUPS Printing testpage
-+install_data(
-+ 'cups/default-testpage.pdf',
-+ install_dir: join_paths(datadir, 'cups', 'data')
-+)
-+
-+# GTK3 Settings
-+install_data(
-+ 'gtk/settings.ini',
-+ install_dir: join_paths(sysconfdir, 'gtk-3.0')
-+)
-+
-+# So SessionInstaller can have an Icon
-+install_data(
-+ 'sessioninstaller/sessioninstaller.desktop',
-+ install_dir: join_paths(datadir, 'applications')
-+)
-+
-+# Default Appcenter Blacklist
-+install_data(
-+ 'appcenter/appcenter.blacklist',
-+ install_dir: join_paths(sysconfdir, 'appcenter')
-+)
-+
-+# Configuration file of GNU readline
-+install_data(
-+ 'inputrc/.inputrc',
-+ install_dir: join_paths(sysconfdir, 'skel')
-+)
-+
-+# Default gsettings overrides
-+subdir('overrides')
-+
-+# AccountsService extension for Greeter.
-+subdir('accountsservice')
-diff --git a/meson/post_install.py b/meson/post_install.py
-new file mode 100755
-index 0000000..c6b5617
---- /dev/null
-+++ b/meson/post_install.py
-@@ -0,0 +1,12 @@
-+#!/usr/bin/env python3
-+
-+import os
-+import sys
-+import subprocess
-+
-+if 'DESTDIR' not in os.environ:
-+ default_settings_datadir = sys.argv[1]
-+
-+ print('Compiling GSettings schemas...')
-+ subprocess.call(['glib-compile-schemas',
-+ os.path.join(default_settings_datadir, 'glib-2.0', 'schemas')])
-diff --git a/meson_options.txt b/meson_options.txt
-new file mode 100644
-index 0000000..8fd19ba
---- /dev/null
-+++ b/meson_options.txt
-@@ -0,0 +1,14 @@
-+option('default-wallpaper',
-+ type: 'string',
-+ value: '/usr/share/backgrounds/elementaryos-default',
-+ description: 'Path to default wallpaper to use in Pantheon.')
-+
-+option('plank-dockitems',
-+ type: 'boolean',
-+ value: true,
-+ description: 'Install default Pantheon Plank dockitems')
-+
-+option('default-gsettings-overrides',
-+ type: 'boolean',
-+ value: true,
-+ description: 'Install default Pantheon GSettings Overrides')
-diff --git a/overrides/default-settings.gschema.override b/overrides/default-settings.gschema.override.in
-similarity index 92%
-rename from overrides/default-settings.gschema.override
-rename to overrides/default-settings.gschema.override.in
-index 1aef29c..69d272b 100644
---- a/overrides/default-settings.gschema.override
-+++ b/overrides/default-settings.gschema.override.in
-@@ -1,5 +1,4 @@
- [net.launchpad.plank.dock.settings]
--dock-items=['gala-multitaskingview.dockitem','org.gnome.Epiphany.dockitem','org.pantheon.mail.dockitem','io.elementary.calendar.dockitem','io.elementary.music.dockitem','io.elementary.videos.dockitem','io.elementary.photos.dockitem','io.elementary.switchboard.dockitem','io.elementary.appcenter.dockitem']
- hide-delay=250
- hide-mode='window-dodge'
- show-dock-item=false
-@@ -11,7 +10,7 @@ triggers=['space']
- [org.gnome.desktop.background]
- draw-background=true
- picture-options='zoom'
--picture-uri='file:///usr/share/backgrounds/elementaryos-default'
-+picture-uri='file://@DEFAULT_WALLPAPER@'
- primary-color='#000000'
- show-desktop-icons=false
-
-diff --git a/overrides/meson.build b/overrides/meson.build
-new file mode 100644
-index 0000000..ad80644
---- /dev/null
-+++ b/overrides/meson.build
-@@ -0,0 +1,21 @@
-+if get_option('default-gsettings-overrides')
-+ default_wallpaper = get_option('default-wallpaper')
-+
-+ wallpaper_configuration = configuration_data()
-+ wallpaper_configuration.set('DEFAULT_WALLPAPER', default_wallpaper)
-+
-+ settings_override = configure_file(
-+ input: 'default-settings.gschema.override.in',
-+ output: '@BASENAME@',
-+ configuration: wallpaper_configuration,
-+ install_dir: join_paths(datadir, 'glib-2.0', 'schemas')
-+ )
-+endif
-+
-+# Install conditionally because it's dependent on our dockitems being installed
-+if get_option('plank-dockitems')
-+ install_data(
-+ 'plank-dockitems.gschema.override',
-+ install_dir: join_paths(datadir, 'glib-2.0', 'schemas')
-+ )
-+endif
-diff --git a/overrides/plank-dockitems.gschema.override b/overrides/plank-dockitems.gschema.override
-new file mode 100644
-index 0000000..72b38d4
---- /dev/null
-+++ b/overrides/plank-dockitems.gschema.override
-@@ -0,0 +1,2 @@
-+[net.launchpad.plank.dock.settings]
-+dock-items=['gala-multitaskingview.dockitem','org.gnome.Epiphany.dockitem','org.pantheon.mail.dockitem','io.elementary.calendar.dockitem','io.elementary.music.dockitem','io.elementary.videos.dockitem','io.elementary.photos.dockitem','io.elementary.switchboard.dockitem','io.elementary.appcenter.dockitem']
-diff --git a/sessioninstaller.desktop b/sessioninstaller/sessioninstaller.desktop
-similarity index 100%
-rename from sessioninstaller.desktop
-rename to sessioninstaller/sessioninstaller.desktop
---
-2.23.0
-
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/default.nix
index 982502f5161..1751636da00 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/default.nix
@@ -11,12 +11,11 @@
, polkit
, accountsservice
, python3
-, fetchpatch
}:
stdenv.mkDerivation rec {
pname = "elementary-default-settings";
- version = "5.1.2";
+ version = "6.0.1";
repoName = "default-settings";
@@ -24,7 +23,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "sha256-HKrDs2frEWVPpwyGNP+NikrjyplSXJj1hFMLy6kK4wM=";
+ sha256 = "0gqnrm968j4v699yhhiyw5fqjy4zbvvrjci2v1jrlycn09c2yrwf";
};
passthru = {
@@ -33,23 +32,6 @@ stdenv.mkDerivation rec {
};
};
- patches = [
- # Use new notifications
- (fetchpatch {
- url = "https://github.com/elementary/default-settings/commit/0658bb75b9f49f58b35746d05fb6c4b811f125e9.patch";
- sha256 = "0wa7iq0vfp2av5v23w94a5844ddj4g48d4wk3yrp745dyrimg739";
- })
-
- # Fix media key syntax
- (fetchpatch {
- url = "https://github.com/elementary/default-settings/commit/332aefe1883be5dfe90920e165c39e331a53b2ea.patch";
- sha256 = "0ypcaga55pw58l30srq3ga1mhz2w6hkwanv41jjr6g3ia9jvq69n";
- })
-
- # https://github.com/elementary/default-settings/pull/119
- ./0001-Build-with-Meson.patch
- ];
-
nativeBuildInputs = [
accountsservice
dbus
@@ -73,9 +55,9 @@ stdenv.mkDerivation rec {
'';
preInstall = ''
- # Install our override for plank dockitems.
- # This is because we don't have Pantheon's mail or Appcenter.
- # See: https://github.com/NixOS/nixpkgs/issues/58161
+ # Install our override for plank dockitems as Appcenter and Tasks is not ready to be preinstalled.
+ # For Appcenter, see: https://github.com/NixOS/nixpkgs/issues/70214.
+ # For Tasks, see: https://github.com/elementary/tasks/issues/243#issuecomment-846259496
schema_dir=$out/share/glib-2.0/schemas
install -D ${./overrides/plank-dockitems.gschema.override} $schema_dir/plank-dockitems.gschema.override
@@ -84,7 +66,7 @@ stdenv.mkDerivation rec {
cp -avr ${./launchers} $out/etc/skel/.config/plank/dock1/launchers
# Whitelist wingpanel indicators to be used in the greeter
- # hhttps://github.com/elementary/greeter/blob/fc19752f147c62767cd2097c0c0c0fcce41e5873/debian/io.elementary.greeter.whitelist
+ # https://github.com/elementary/greeter/blob/fc19752f147c62767cd2097c0c0c0fcce41e5873/debian/io.elementary.greeter.whitelist
# wingpanel 2.3.2 renamed this to .allowed to .forbidden
# https://github.com/elementary/wingpanel/pull/326
install -D ${./io.elementary.greeter.allowed} $out/etc/wingpanel.d/io.elementary.greeter.allowed
@@ -103,6 +85,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/default-settings";
license = licenses.gpl2Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/launchers/io.elementary.mail.dockitem b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/launchers/io.elementary.mail.dockitem
new file mode 100644
index 00000000000..e07328c5947
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/launchers/io.elementary.mail.dockitem
@@ -0,0 +1,2 @@
+[PlankDockItemPreferences]
+Launcher=file:///run/current-system/sw/share/applications/io.elementary.mail.desktop
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/launchers/org.gnome.Geary.dockitem b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/launchers/org.gnome.Geary.dockitem
deleted file mode 100644
index 8b04efe417e..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/launchers/org.gnome.Geary.dockitem
+++ /dev/null
@@ -1,2 +0,0 @@
-[PlankDockItemPreferences]
-Launcher=file:///run/current-system/sw/share/applications/org.gnome.Geary.desktop
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/overrides/plank-dockitems.gschema.override b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/overrides/plank-dockitems.gschema.override
index 671448745c5..b3ca51853b7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/overrides/plank-dockitems.gschema.override
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-default-settings/overrides/plank-dockitems.gschema.override
@@ -1,2 +1,2 @@
[net.launchpad.plank.dock.settings]
-dock-items=['gala-multitaskingview.dockitem','org.gnome.Epiphany.dockitem','org.gnome.Geary.dockitem','io.elementary.calendar.dockitem','io.elementary.music.dockitem','io.elementary.videos.dockitem','io.elementary.photos.dockitem','io.elementary.switchboard.dockitem']
+dock-items=['gala-multitaskingview.dockitem','org.gnome.Epiphany.dockitem','io.elementary.mail.dockitem','io.elementary.calendar.dockitem','io.elementary.music.dockitem','io.elementary.videos.dockitem','io.elementary.photos.dockitem','io.elementary.switchboard.dockitem']
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-greeter/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-greeter/default.nix
index e80e7d951cd..e85acf39e72 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-greeter/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-greeter/default.nix
@@ -13,11 +13,13 @@
, gtk3
, granite
, libgee
-, elementary-settings-daemon
+, libhandy
+, gnome-settings-daemon
, mutter
, elementary-icon-theme
, wingpanel-with-indicators
, elementary-gtk-theme
+, elementary-settings-daemon
, nixos-artwork
, lightdm
, gdk-pixbuf
@@ -29,7 +31,7 @@
stdenv.mkDerivation rec {
pname = "elementary-greeter";
- version = "5.0.4";
+ version = "6.0.1";
repoName = "greeter";
@@ -37,7 +39,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "sha256-Enn+ekALWbk7FVJJuea/rNiwEZDIyb3kyMcZNNraOv8=";
+ sha256 = "1f606ds56sp1c58q8dblfpaq9pwwkqw9i4gkwksw45m2xkwlbflq";
};
passthru = {
@@ -66,10 +68,12 @@ stdenv.mkDerivation rec {
elementary-gtk-theme
elementary-icon-theme
elementary-settings-daemon
+ gnome-settings-daemon
gdk-pixbuf
granite
gtk3
libgee
+ libhandy
lightdm
mutter
wingpanel-with-indicators
@@ -80,9 +84,7 @@ stdenv.mkDerivation rec {
"--sbindir=${placeholder "out"}/bin"
# baked into the program for discovery of the greeter configuration
"--sysconfdir=/etc"
- # We use the patched gnome-settings-daemon
- "-Dubuntu-patched-gsd=true"
- "-Dgsd-dir=${elementary-settings-daemon}/libexec/" # trailing slash is needed
+ "-Dgsd-dir=${gnome-settings-daemon}/libexec/" # trailing slash is needed
];
patches = [
@@ -125,6 +127,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/greeter";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-gsettings-schemas/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-gsettings-schemas/default.nix
index bfe95e0be46..1f8c811d9d1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-gsettings-schemas/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-gsettings-schemas/default.nix
@@ -6,7 +6,7 @@
, glib
, gala
, epiphany
-, elementary-settings-daemon
+, gnome-settings-daemon
, gtk3
, elementary-dock
, gsettings-desktop-schemas
@@ -18,7 +18,7 @@ let
gsettingsOverridePackages = [
elementary-dock
- elementary-settings-daemon
+ gnome-settings-daemon
epiphany
gala
gsettings-desktop-schemas
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-onboarding/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-onboarding/default.nix
index 70da883392a..e7b0248ad42 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-onboarding/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-onboarding/default.nix
@@ -1,8 +1,8 @@
{ lib, stdenv
, fetchFromGitHub
, nix-update-script
+, substituteAll
, pantheon
-, fetchpatch
, pkg-config
, meson
, ninja
@@ -17,11 +17,12 @@
, gettext
, libhandy
, wrapGAppsHook
+, appcenter
}:
stdenv.mkDerivation rec {
pname = "elementary-onboarding";
- version = "1.2.1";
+ version = "6.0.0";
repoName = "onboarding";
@@ -29,20 +30,9 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "sha256-tLTwXA2miHqYqCUbIiBjb2nQB+uN/WzuE4F9m3fVCbM=";
+ sha256 = "1mpw0j8ymb41py9v9qlk4nwy1lnwj7k388c7gqdv34ynck0ymfi4";
};
- patches = [
- # Port to Libhandy-1
- (fetchpatch {
- url = "https://github.com/elementary/onboarding/commit/8af6b7d9216f8cbf725f708b36ef4d4f6c400c78.patch";
- sha256 = "cnSCSSFEQlNd9Ncw5VCJ32stZ8D4vhl3f+derAk/Cas=";
- excludes = [
- ".github/workflows/main.yml"
- ];
- })
- ];
-
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -69,6 +59,13 @@ stdenv.mkDerivation rec {
libhandy
];
+ patches = [
+ (substituteAll {
+ src = ./fix-paths.patch;
+ appcenter = appcenter;
+ })
+ ];
+
postPatch = ''
chmod +x meson/post_install.py
patchShebangs meson/post_install.py
@@ -79,6 +76,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/onboarding";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-onboarding/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-onboarding/fix-paths.patch
new file mode 100644
index 00000000000..c98d898bb48
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-onboarding/fix-paths.patch
@@ -0,0 +1,13 @@
+diff --git a/src/Views/AppCenterView.vala b/src/Views/AppCenterView.vala
+index 16cd18b..5895897 100644
+--- a/src/Views/AppCenterView.vala
++++ b/src/Views/AppCenterView.vala
+@@ -55,7 +55,7 @@ public class Onboarding.AppCenterView : AbstractOnboardingView {
+ appcenter_button.clicked.connect (() => {
+ try {
+ var appcenter = AppInfo.create_from_commandline (
+- "io.elementary.appcenter",
++ "@appcenter@/bin/io.elementary.appcenter",
+ "AppCenter",
+ AppInfoCreateFlags.SUPPORTS_STARTUP_NOTIFICATION
+ );
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-print-shim/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-print-shim/default.nix
index 7b821f883ce..c64cfced0c5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-print-shim/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-print-shim/default.nix
@@ -42,6 +42,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/print";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-session-settings/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-session-settings/default.nix
index 38fcb8d40b4..e3d0cb8c728 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-session-settings/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-session-settings/default.nix
@@ -11,7 +11,7 @@
, orca
, onboard
, elementary-default-settings
-, elementary-settings-daemon
+, gnome-settings-daemon
, runtimeShell
, writeText
, meson
@@ -89,16 +89,16 @@ let
in
stdenv.mkDerivation rec {
- pname = "elementary-session-settings-unstable";
- version = "2020-07-06";
+ pname = "elementary-session-settings";
+ version = "6.0.0";
repoName = "session-settings";
src = fetchFromGitHub {
owner = "elementary";
repo = repoName;
- rev = "fa15cbd83fba0ba30e9a302db880350bff5ace52";
- hash = "sha256-26H791c7OAjFYtjVChIatICSocMt0uTej1TKBOvw+6w=";
+ rev = version;
+ sha256 = "1faglpa7q3a4335gnd074a3lnsdspyjdnskgy4bfnf6xmwjx7kjx";
};
nativeBuildInputs = [
@@ -109,8 +109,8 @@ stdenv.mkDerivation rec {
];
buildInputs = [
- pantheon.elementary-settings-daemon
gnome-keyring
+ gnome-settings-daemon
onboard
orca
];
@@ -124,7 +124,6 @@ stdenv.mkDerivation rec {
postInstall = ''
# our mimeapps patched from upstream to exclude:
- # * pantheon-mail -> geary
# * evince.desktop -> org.gnome.Evince.desktop
mkdir -p $out/share/applications
cp -av ${./pantheon-mimeapps.list} $out/share/applications/pantheon-mimeapps.list
@@ -154,8 +153,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Session settings for elementary";
homepage = "https://github.com/elementary/session-settings";
- license = licenses.lgpl3;
+ license = licenses.gpl2Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-session-settings/pantheon-mimeapps.list b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-session-settings/pantheon-mimeapps.list
index 3b5e3b0e77a..8deb6ae8c1c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-session-settings/pantheon-mimeapps.list
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-session-settings/pantheon-mimeapps.list
@@ -163,6 +163,6 @@ x-content/video-svcd=io.elementary.videos.desktop
x-content/video-vcd=io.elementary.videos.desktop
x-scheme-handler/http=org.gnome.Epiphany.desktop
x-scheme-handler/https=org.gnome.Epiphany.desktop
-x-scheme-handler/mailto=org.gnome.Evolution.desktop
+x-scheme-handler/mailto=io.elementary.mail.desktop
x-scheme-handler/trash=io.elementary.files.desktop
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-shortcut-overlay/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-shortcut-overlay/default.nix
index 314267d8612..3a6fbc19477 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-shortcut-overlay/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/elementary-shortcut-overlay/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, pkg-config
@@ -12,13 +13,14 @@
, glib
, granite
, libgee
+, libhandy
, elementary-icon-theme
, wrapGAppsHook
}:
stdenv.mkDerivation rec {
pname = "elementary-shortcut-overlay";
- version = "1.1.2";
+ version = "1.2.0";
repoName = "shortcut-overlay";
@@ -26,9 +28,18 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "sha256-TFS29vwDkTtoFcIVAbKskyLemqW9fxE7fQkM61DpDm0=";
+ sha256 = "1zs2fpx4agr00rsfmpi00nhiw92mlypzm4p9x3g851p24m62fn79";
};
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/shortcut-overlay/pull/100
+ (fetchpatch {
+ url = "https://github.com/elementary/shortcut-overlay/commit/f26e3684568e30cb6e151438e2d86c4d392626bf.patch";
+ sha256 = "0zxyqpk9xbxdm8lmgdwbb4yzzwbjlhypsca3xs34a2pl0b9pcdwd";
+ })
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -51,6 +62,7 @@ stdenv.mkDerivation rec {
granite
gtk3
libgee
+ libhandy
];
meta = with lib; {
@@ -58,6 +70,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/shortcut-overlay";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/gala/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/gala/default.nix
index c4467c53a2b..db757b1aa4a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/gala/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/gala/default.nix
@@ -1,7 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
, nix-update-script
-, fetchpatch
, pantheon
, pkg-config
, meson
@@ -23,18 +22,20 @@
, elementary-dock
, elementary-icon-theme
, elementary-settings-daemon
+, gnome-settings-daemon
, wrapGAppsHook
+, gexiv2
}:
stdenv.mkDerivation rec {
pname = "gala";
- version = "3.3.2";
+ version = "6.2.1";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-BOarHUEgWqQM6jmVMauJi0JnsM+jE45MnPNnAqz1qOE=";
+ sha256 = "1phnhj731kvk8ykmm33ypcxk8fkfny9k6kdapl582qh4d47wcy6f";
};
passthru = {
@@ -61,6 +62,8 @@ stdenv.mkDerivation rec {
elementary-dock
elementary-icon-theme
elementary-settings-daemon
+ gnome-settings-daemon
+ gexiv2
gnome-desktop
granite
gtk3
@@ -71,15 +74,7 @@ stdenv.mkDerivation rec {
];
patches = [
- # https://github.com/elementary/gala/pull/869
- # build failure in vala 0.48.7
- # https://github.com/elementary/gala/pull/869#issuecomment-657147695
- (fetchpatch {
- url = "https://github.com/elementary/gala/commit/85d290c75eaa147b704ad34e6c67498071707ee8.patch";
- sha256 = "19jkvmxidf453qfrxkvi35igxzfz2cm8srwkabvyn9wyd1yhiw0l";
- })
./plugins-dir.patch
- ./use-new-notifications-default.patch
];
postPatch = ''
@@ -92,6 +87,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/gala";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/gala/plugins-dir.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/gala/plugins-dir.patch
index f08652e9f63..b0e72bbe1ab 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/gala/plugins-dir.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/gala/plugins-dir.patch
@@ -1,16 +1,17 @@
diff --git a/meson.build b/meson.build
-index 78113d6..926596c 100644
+index d0f00e5..977d2e2 100644
--- a/meson.build
+++ b/meson.build
-@@ -24,13 +24,14 @@ vapi_dir = meson.current_source_dir() / 'vapi'
-
+@@ -25,6 +25,7 @@ vapi_dir = meson.current_source_dir() / 'vapi'
+ locale_dir = join_paths(get_option('prefix'), get_option('localedir'))
data_dir = join_paths(get_option('prefix'), get_option('datadir'))
plugins_dir = join_paths(get_option('prefix'), get_option('libdir'), meson.project_name(), 'plugins')
+plugins_dir_for_build = join_paths('/run/current-system/sw/lib/', meson.project_name(), 'plugins')
pkgdata_dir = join_paths(get_option('prefix'), get_option('datadir'), meson.project_name())
pkglib_dir = join_paths(get_option('prefix'), get_option('libdir'), meson.project_name())
-
- conf = configuration_data()
+
+@@ -33,7 +34,7 @@ conf.set_quoted('GETTEXT_PACKAGE', meson.project_name())
+ conf.set_quoted('LOCALEDIR', locale_dir)
conf.set_quoted('DATADIR', data_dir)
conf.set_quoted('PKGDATADIR', pkgdata_dir)
-conf.set_quoted('PLUGINDIR', plugins_dir)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/gala/use-new-notifications-default.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/gala/use-new-notifications-default.patch
deleted file mode 100644
index dc256bcff3a..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/gala/use-new-notifications-default.patch
+++ /dev/null
@@ -1,13 +0,0 @@
-diff --git a/data/gala.gschema.xml b/data/gala.gschema.xml
-index 8032583..7f4f03c 100644
---- a/data/gala.gschema.xml
-+++ b/data/gala.gschema.xml
-@@ -58,7 +58,7 @@
- If enabled, dropping windows on vertical screen edges maximizes them vertically and resizes them horizontally to cover half of the available area. Dropping windows on the top screen edge maximizes them completely.
-
-
-- false
-+ true
- If new notifications should be used
- If new notifications UI should be used, requires io.elemenetary.notifications to be present and running
-
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/a11y/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/a11y/default.nix
new file mode 100644
index 00000000000..7e185ece23a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/a11y/default.nix
@@ -0,0 +1,70 @@
+{ lib, stdenv
+, fetchFromGitHub
+, fetchpatch
+, nix-update-script
+, pantheon
+, meson
+, ninja
+, pkg-config
+, python3
+, vala
+, granite
+, gtk3
+, libgee
+, wingpanel
+}:
+
+stdenv.mkDerivation rec {
+ pname = "wingpanel-indicator-a11y";
+ version = "1.0.0";
+
+ src = fetchFromGitHub {
+ owner = "elementary";
+ repo = pname;
+ rev = version;
+ sha256 = "1adx1sx9qh02hjgv5h0gwyn116shjl3paxmyaiv4cgh6vq3ndp3c";
+ };
+
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/wingpanel-indicator-a11y/pull/48
+ (fetchpatch {
+ url = "https://github.com/elementary/wingpanel-indicator-a11y/commit/fb8412d56bc1c42b70e8ee41b837e8024e1297f7.patch";
+ sha256 = "0619npdw9wvaz1zk2lzikczyjdqba8v8c9ry9zizvvl4j1i1ad7k";
+ })
+ ];
+
+ passthru = {
+ updateScript = nix-update-script {
+ attrPath = "pantheon.${pname}";
+ };
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ python3
+ vala
+ ];
+
+ buildInputs = [
+ granite
+ gtk3
+ libgee
+ wingpanel
+ ];
+
+ postPatch = ''
+ chmod +x meson/post_install.py
+ patchShebangs meson/post_install.py
+ '';
+
+ meta = with lib; {
+ description = "Universal Access Indicator for Wingpanel";
+ homepage = "https://github.com/elementary/wingpanel-indicator-a11y";
+ license = licenses.gpl2Plus;
+ platforms = platforms.linux;
+ maintainers = teams.pantheon.members;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/applications-menu/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/applications-menu/default.nix
index 230ae29ffa0..6799887247d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/applications-menu/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/applications-menu/default.nix
@@ -1,6 +1,5 @@
{ lib, stdenv
, fetchFromGitHub
-, fetchpatch
, nix-update-script
, pantheon
, substituteAll
@@ -13,13 +12,11 @@
, libgee
, gettext
, gtk3
-, appstream
, gnome-menus
, json-glib
, elementary-dock
, bamf
, switchboard-with-plugs
-, libunity
, libsoup
, wingpanel
, zeitgeist
@@ -29,7 +26,7 @@
stdenv.mkDerivation rec {
pname = "wingpanel-applications-menu";
- version = "2.7.1";
+ version = "2.9.0";
repoName = "applications-menu";
@@ -37,7 +34,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "sha256-NeazBzkbdQTC6OzPxxyED4OstMkNkUGtCIaZD67fTnM=";
+ sha256 = "0mwjw2ghbdj336ax5srxbqnjprdhj1if7sm9k9idqkmifpzccs7i";
};
passthru = {
@@ -47,7 +44,6 @@ stdenv.mkDerivation rec {
};
nativeBuildInputs = [
- appstream
gettext
meson
ninja
@@ -59,14 +55,12 @@ stdenv.mkDerivation rec {
buildInputs = [
bamf
elementary-dock
- gnome-menus
granite
gtk3
json-glib
libgee
libhandy
libsoup
- libunity
switchboard-with-plugs
wingpanel
zeitgeist
@@ -83,12 +77,6 @@ stdenv.mkDerivation rec {
];
patches = [
- # Port to Libhandy-1
- (fetchpatch {
- url = "https://github.com/elementary/applications-menu/commit/8eb2430e8513e9d37f875c5c9b8b15a968c27127.patch";
- sha256 = "8Uw9mUw7U5nrAwUDGVpAwoRqb9ah503wQCr9kPbBJIo=";
- })
-
(substituteAll {
src = ./fix-paths.patch;
bc = "${bc}/bin/bc";
@@ -105,6 +93,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/applications-menu";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/applications-menu/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/applications-menu/fix-paths.patch
index 25e3891a205..aea828b3633 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/applications-menu/fix-paths.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/applications-menu/fix-paths.patch
@@ -1,8 +1,8 @@
diff --git a/src/synapse-plugins/calculator-plugin.vala b/src/synapse-plugins/calculator-plugin.vala
-index 18ca3af..f5d1076 100644
+index 886a44cd..a4601da6 100644
--- a/src/synapse-plugins/calculator-plugin.vala
+++ b/src/synapse-plugins/calculator-plugin.vala
-@@ -44,9 +44,7 @@ namespace Synapse {
+@@ -50,9 +50,7 @@ namespace Synapse {
_("Calculator"),
_("Calculate basic expressions."),
"accessories-calculator",
@@ -13,10 +13,10 @@ index 18ca3af..f5d1076 100644
);
}
-@@ -87,7 +85,7 @@ namespace Synapse {
- if (matched) {
+@@ -94,7 +92,7 @@ namespace Synapse {
Pid pid;
int read_fd, write_fd;
+ /* Must include math library to get non-integer results and to access standard math functions */
- string[] argv = {"bc", "-l"};
+ string[] argv = {"@bc@", "-l"};
string? solution = null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/bluetooth/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/bluetooth/default.nix
index 03500abd623..a9b13650ddb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/bluetooth/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/bluetooth/default.nix
@@ -18,13 +18,13 @@
stdenv.mkDerivation rec {
pname = "wingpanel-indicator-bluetooth";
- version = "unstable-2020-10-01";
+ version = "2.1.8";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
- rev = "2b59d646ea0d66ae45dafc851374f24dcd98c064";
- sha256 = "sha256-selp70P+7RhbdHF6iiNmcNbMf/c+B9zZ6nlxwRSZGn4=";
+ rev = version;
+ sha256 = "12rasf8wy3cqnfjlm9s2qnx4drzx0w0yviagkng3kspdzm3vzsqy";
};
passthru = {
@@ -62,6 +62,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/wingpanel-indicator-bluetooth";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/datetime/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/datetime/default.nix
index 03954fac644..ad030277463 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/datetime/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/datetime/default.nix
@@ -1,6 +1,8 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
+, substituteAll
, pantheon
, pkg-config
, meson
@@ -13,6 +15,7 @@
, evolution-data-server
, libical
, libgee
+, libhandy
, libxml2
, libsoup
, libgdata
@@ -21,13 +24,13 @@
stdenv.mkDerivation rec {
pname = "wingpanel-indicator-datetime";
- version = "2.2.5";
+ version = "2.3.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-rZzZIh4bwZfwQFDbfPDKQtfLMJQ2IdykH1yiV6ckqnw=";
+ sha256 = "1mdm0fsnmmyw8c0ik2jmfri3kas9zkz1hskzf8wvbd51vnazfpgw";
};
passthru = {
@@ -50,12 +53,26 @@ stdenv.mkDerivation rec {
granite
gtk3
libgee
+ libhandy
libical
libsoup
wingpanel
libgdata # required by some dependency transitively
];
+ patches = [
+ (substituteAll {
+ src = ./fix-paths.patch;
+ elementary_calendar = elementary-calendar;
+ })
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/wingpanel-indicator-datetime/pull/269
+ (fetchpatch {
+ url = "https://github.com/elementary/wingpanel-indicator-datetime/commit/f7befa68a9fd6215297c334a366919d3431cae65.patch";
+ sha256 = "0l997b1pnpjscs886xy28as5yykxamxacvxdv8466zin7zynarfs";
+ })
+ ];
+
postPatch = ''
chmod +x meson/post_install.py
patchShebangs meson/post_install.py
@@ -64,8 +81,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Date & Time Indicator for Wingpanel";
homepage = "https://github.com/elementary/wingpanel-indicator-datetime";
- license = licenses.gpl2Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/datetime/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/datetime/fix-paths.patch
new file mode 100644
index 00000000000..bec5f43e0d7
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/datetime/fix-paths.patch
@@ -0,0 +1,13 @@
+diff --git a/src/Widgets/calendar/CalendarView.vala b/src/Widgets/calendar/CalendarView.vala
+index 6e0d530a..2f90f96c 100644
+--- a/src/Widgets/calendar/CalendarView.vala
++++ b/src/Widgets/calendar/CalendarView.vala
+@@ -222,7 +222,7 @@ public class DateTime.Widgets.CalendarView : Gtk.Grid {
+
+ // TODO: As far as maya supports it use the Dbus Activation feature to run the calendar-app.
+ public void show_date_in_maya (GLib.DateTime date) {
+- var command = "io.elementary.calendar --show-day %s".printf (date.format ("%F"));
++ var command = "@elementary_calendar@/bin/io.elementary.calendar --show-day %s".printf (date.format ("%F"));
+
+ try {
+ var appinfo = AppInfo.create_from_commandline (command, null, AppInfoCreateFlags.NONE);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/keyboard/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/keyboard/default.nix
index 4e2f9455073..6d5227b7139 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/keyboard/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/keyboard/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, pkg-config
@@ -14,17 +15,18 @@
, libgee
, xorg
, libgnomekbd
+, ibus
}:
stdenv.mkDerivation rec {
pname = "wingpanel-indicator-keyboard";
- version = "2.2.1";
+ version = "2.4.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-/sTx0qT7gNj1waQg9OKqHY6MtL+p0NljiIAXKA3DYmA=";
+ sha256 = "10zzsil5l6snz47nx887r22sl2n0j6bg4dhxmgk3j3xp3jhgmrgl";
};
passthru = {
@@ -44,6 +46,7 @@ stdenv.mkDerivation rec {
buildInputs = [
granite
gtk3
+ ibus
libgee
wingpanel
xorg.xkeyboardconfig
@@ -54,13 +57,19 @@ stdenv.mkDerivation rec {
src = ./fix-paths.patch;
gkbd_keyboard_display = "${libgnomekbd}/bin/gkbd-keyboard-display";
})
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/wingpanel-indicator-keyboard/pull/110
+ (fetchpatch {
+ url = "https://github.com/elementary/wingpanel-indicator-keyboard/commit/ea5df2f62a99a216ee5ed137268e710490a852a4.patch";
+ sha256 = "0fmdz10xgzsryj0f0dnpjrh9yygjkb91a7pxg0rwddxbprhnr7j0";
+ })
];
meta = with lib; {
description = "Keyboard Indicator for Wingpanel";
homepage = "https://github.com/elementary/wingpanel-indicator-keyboard";
- license = licenses.lgpl21Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/network/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/network/default.nix
index ab898084c08..92738df7b35 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/network/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/network/default.nix
@@ -1,6 +1,8 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
+, substituteAll
, pantheon
, pkg-config
, meson
@@ -12,17 +14,18 @@
, libnma
, wingpanel
, libgee
+, elementary-capnet-assist
}:
stdenv.mkDerivation rec {
pname = "wingpanel-indicator-network";
- version = "2.2.4";
+ version = "2.3.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-wVHvHduUT55rIWRfRWg3Z3jL3FdzUJfiqFONRmpCR8k=";
+ sha256 = "0q5ad2sj0nmigrh1rykb2kvik3hzibzyafdvkkmjd6y92145lwl1";
};
passthru = {
@@ -47,11 +50,24 @@ stdenv.mkDerivation rec {
wingpanel
];
+ patches = [
+ (substituteAll {
+ src = ./fix-paths.patch;
+ elementary_capnet_assist = elementary-capnet-assist;
+ })
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/wingpanel-indicator-network/pull/228
+ (fetchpatch {
+ url = "https://github.com/elementary/wingpanel-indicator-network/commit/eacc7d46a94a980005e87e38e6c943143a09692a.patch";
+ sha256 = "1svg07fqmplchp1ass0h8qkr3g24pkw8dcsnd54ddmvnjzwrzz0a";
+ })
+ ];
+
meta = with lib; {
description = "Network Indicator for Wingpanel";
homepage = "https://github.com/elementary/wingpanel-indicator-network";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/network/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/network/fix-paths.patch
new file mode 100644
index 00000000000..b98c50b3e49
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/network/fix-paths.patch
@@ -0,0 +1,13 @@
+diff --git a/src/Indicator.vala b/src/Indicator.vala
+index 83ce932a..f95ed142 100644
+--- a/src/Indicator.vala
++++ b/src/Indicator.vala
+@@ -67,7 +67,7 @@ public class Network.Indicator : Wingpanel.Indicator {
+
+ if (network_monitor.get_connectivity () == NetworkConnectivity.FULL || network_monitor.get_connectivity () == NetworkConnectivity.PORTAL) {
+ try {
+- var appinfo = AppInfo.create_from_commandline ("io.elementary.capnet-assist", null, AppInfoCreateFlags.NONE);
++ var appinfo = AppInfo.create_from_commandline ("@elementary_capnet_assist@/bin/io.elementary.capnet-assist", null, AppInfoCreateFlags.NONE);
+ appinfo.launch (null, null);
+ } catch (Error e) {
+ warning ("%s\n", e.message);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/nightlight/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/nightlight/default.nix
index 4481c181f53..23f9e400c01 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/nightlight/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/nightlight/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, pkg-config
@@ -15,15 +16,24 @@
stdenv.mkDerivation rec {
pname = "wingpanel-indicator-nightlight";
- version = "2.0.4";
+ version = "2.1.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-0f03XO74ezzS/Uy0mXT4raoazETL/SOVh58sAo9bEIA=";
+ sha256 = "1zxjw68byg4sjn8lzsidzmy4ipwxgnv8rm529a7wzlpgj2xq3x4j";
};
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/wingpanel-indicator-nightlight/pull/91
+ (fetchpatch {
+ url = "https://github.com/elementary/wingpanel-indicator-nightlight/commit/4e15f71ed958df3569b2f1e224b9fb18613281f1.patch";
+ sha256 = "07awmswyy0988pm6ggyz22mllja675cbdzrjdqc1xd4knwcgy77v";
+ })
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -45,13 +55,11 @@ stdenv.mkDerivation rec {
wingpanel
];
- PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "${placeholder "out"}/lib/wingpanel";
-
meta = with lib; {
description = "Night Light Indicator for Wingpanel";
homepage = "https://github.com/elementary/wingpanel-indicator-nightlight";
license = licenses.gpl2Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/notifications/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/notifications/default.nix
index 97ab0cb80ed..46d90e4acc3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/notifications/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/notifications/default.nix
@@ -3,7 +3,6 @@
, nix-update-script
, pantheon
, pkg-config
-, fetchpatch
, meson
, ninja
, vala
@@ -11,29 +10,21 @@
, granite
, wingpanel
, libgee
+, libhandy
, elementary-notifications
}:
stdenv.mkDerivation rec {
pname = "wingpanel-indicator-notifications";
- version = "2.1.4";
+ version = "6.0.1";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-tVPSJO/9IXlibLkb6Cv+8azdvuXbcNOI1qYk4VQc4WI=";
+ sha256 = "1qrbg8l3ifz09jx6v5j7hmgw0hmirj6mh3z634yl1cadz45p8fc9";
};
- patches = [
- # Fix do not disturb on NixOS
- # https://github.com/elementary/wingpanel-indicator-notifications/pull/110
- (fetchpatch {
- url = "https://github.com/elementary/wingpanel-indicator-notifications/commit/02b1e226c0262c1535fdf2b4f1daba6be9084f67.patch";
- sha256 = "1a5phygygndr28yx8yp0lyk0wxypc5656dpidw1z8x1yd6xysqhy";
- })
- ];
-
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -52,6 +43,7 @@ stdenv.mkDerivation rec {
granite
gtk3
libgee
+ libhandy
wingpanel
];
@@ -60,6 +52,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/wingpanel-indicator-notifications";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/power/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/power/default.nix
index 69a17b9dd30..f3d3ac0e46d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/power/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/power/default.nix
@@ -1,7 +1,9 @@
{ lib, stdenv
, fetchFromGitHub
+, substituteAll
, nix-update-script
, pantheon
+, gnome
, pkg-config
, meson
, python3
@@ -11,6 +13,7 @@
, granite
, bamf
, libgtop
+, libnotify
, udev
, wingpanel
, libgee
@@ -18,13 +21,13 @@
stdenv.mkDerivation rec {
pname = "wingpanel-indicator-power";
- version = "2.2.0";
+ version = "6.1.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-wjYZXFnzvPSukzh1BNvyaFxKpYm+kNNFm5AsthLXGVE=";
+ sha256 = "1zlpnl7983jkpy2nik08ih8lwrqvm456h993ixa6armzlazdvnjk";
};
passthru = {
@@ -47,10 +50,18 @@ stdenv.mkDerivation rec {
gtk3
libgee
libgtop
+ libnotify
udev
wingpanel
];
+ patches = [
+ (substituteAll {
+ src = ./fix-paths.patch;
+ gnome_power_manager = gnome.gnome-power-manager;
+ })
+ ];
+
postPatch = ''
chmod +x meson/post_install.py
patchShebangs meson/post_install.py
@@ -59,8 +70,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Power Indicator for Wingpanel";
homepage = "https://github.com/elementary/wingpanel-indicator-power";
- license = licenses.gpl2Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/power/fix-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/power/fix-paths.patch
new file mode 100644
index 00000000000..532001eb663
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/power/fix-paths.patch
@@ -0,0 +1,13 @@
+diff --git a/src/Widgets/DeviceList.vala b/src/Widgets/DeviceList.vala
+index 0c56f46..33d97b3 100644
+--- a/src/Widgets/DeviceList.vala
++++ b/src/Widgets/DeviceList.vala
+@@ -39,7 +39,7 @@ public class Power.Widgets.DeviceList : Gtk.ListBox {
+ string device_path = path_entries.@get (value);
+ try {
+ AppInfo statistics_app = AppInfo.create_from_commandline (
+- "gnome-power-statistics --device " + device_path,
++ "@gnome_power_manager@/bin/gnome-power-statistics --device " + device_path,
+ "",
+ AppInfoCreateFlags.NONE
+ );
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/session/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/session/default.nix
index a6c08b613bb..eaccad35aa0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/session/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/session/default.nix
@@ -12,19 +12,29 @@
, wingpanel
, accountsservice
, libgee
+, libhandy
}:
stdenv.mkDerivation rec {
pname = "wingpanel-indicator-session";
- version = "unstable-2020-09-13";
+ version = "2.3.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
- rev = "e65c95f46adbfd598ad61933394d7bc3c5998278";
- sha256 = "sha256-QKOfgAc6pDQYpETrFunZB6+rF1P8XIf0pjft/t9aWW0=";
+ rev = version;
+ sha256 = "0hww856qjl4kjmmksd5gp8bc5vj4fhs2s9fmbnpbf88lg5ds0wv0";
};
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/wingpanel-indicator-session/pull/162
+ (fetchpatch {
+ url = "https://github.com/elementary/wingpanel-indicator-session/commit/e85032da8e923df4589dc75ccded10026b6c1cd7.patch";
+ sha256 = "139b2zbc6qjaw41nwfjkqv4npahkzryv4p5m6v10273clv6l72ng";
+ })
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -43,6 +53,7 @@ stdenv.mkDerivation rec {
granite
gtk3
libgee
+ libhandy
wingpanel
];
@@ -51,6 +62,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/wingpanel-indicator-session";
license = licenses.gpl2Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/sound/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/sound/default.nix
index 25707f39e46..55f27d60646 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/sound/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel-indicators/sound/default.nix
@@ -1,12 +1,13 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, nix-update-script
, pantheon
, pkg-config
, meson
, python3
, ninja
-, vala
+, vala_0_52
, gtk3
, granite
, wingpanel
@@ -19,15 +20,24 @@
stdenv.mkDerivation rec {
pname = "wingpanel-indicator-sound";
- version = "2.1.6";
+ version = "6.0.0";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-WGkxLsbdJ7Z7kolymYpggsVy4cN4CicNKdfCbunklSI=";
+ sha256 = "0cv97c0qrhqisyghy9a9qr4ffcx3g4bkswxm6rn4r2wfg4gvljri";
};
+ patches = [
+ # Upstream code not respecting our localedir
+ # https://github.com/elementary/wingpanel-indicator-sound/pull/216
+ (fetchpatch {
+ url = "https://github.com/elementary/wingpanel-indicator-sound/commit/df816104c15e4322c1077313b1f43114cdaf710e.patch";
+ sha256 = "029z7l467jz1ymxwrzrf874062r6xmskl7mldpq39jh110fijy5l";
+ })
+ ];
+
passthru = {
updateScript = nix-update-script {
attrPath = "pantheon.${pname}";
@@ -40,7 +50,9 @@ stdenv.mkDerivation rec {
ninja
pkg-config
python3
- vala
+ # Does not build with vala 0.54
+ # https://github.com/elementary/wingpanel-indicator-sound/issues/219
+ vala_0_52
];
buildInputs = [
@@ -61,8 +73,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Sound Indicator for Wingpanel";
homepage = "https://github.com/elementary/wingpanel-indicator-sound";
- license = licenses.gpl2Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel/default.nix
index 97c2d0c8845..4529b519bb0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/desktop/wingpanel/default.nix
@@ -22,13 +22,13 @@
stdenv.mkDerivation rec {
pname = "wingpanel";
- version = "2.3.2";
+ version = "3.0.1";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-mXi600gufUK81Uks9p4+al0tCI7H9KpizZGyoomp42s=";
+ sha256 = "078yi36r452sc33mv2ck8z0icya1lhzhickllrlhc60rdri36sb8";
};
passthru = {
@@ -82,8 +82,8 @@ stdenv.mkDerivation rec {
including the applications menu.
'';
homepage = "https://github.com/elementary/wingpanel";
- license = licenses.gpl2Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/granite/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/granite/default.nix
index 7f3a6dc0983..0e6d14d2425 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/granite/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/granite/default.nix
@@ -18,7 +18,7 @@
stdenv.mkDerivation rec {
pname = "granite";
- version = "6.1.0";
+ version = "6.1.1";
outputs = [ "out" "dev" ];
@@ -26,7 +26,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "02hn4abnsn6fm2m33pjmlnkj8dljsm292z62vn8ccvy7l8f9my6l";
+ sha256 = "13c6xk014psvmll9qybdqviskllw4g150m2k4ikjbv59g0rfp7xq";
};
passthru = {
@@ -67,6 +67,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/granite";
license = licenses.lgpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/contractor/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/contractor/default.nix
index e15480c8cc8..666e17362ac 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/contractor/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/contractor/default.nix
@@ -16,13 +16,13 @@
stdenv.mkDerivation rec {
pname = "contractor";
- version = "0.3.4";
+ version = "0.3.5";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-FHLecRxTE8v3M7cV6rkQKNhPhKFhuhvkzB5C+u7Z+Ms=";
+ sha256 = "1sqww7zlzl086pjww3d21ah1g78lfrc9aagrqhmsnnbji9gwb8ab";
};
passthru = {
@@ -54,6 +54,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/contractor";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-capnet-assist/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-capnet-assist/default.nix
index d9f224ce45d..0b904a11217 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-capnet-assist/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-capnet-assist/default.nix
@@ -11,6 +11,7 @@
, gtk3
, granite
, libgee
+, libhandy
, gcr
, webkitgtk
, wrapGAppsHook
@@ -18,7 +19,7 @@
stdenv.mkDerivation rec {
pname = "elementary-capnet-assist";
- version = "2.2.5";
+ version = "2.3.0";
repoName = "capnet-assist";
@@ -26,7 +27,7 @@ stdenv.mkDerivation rec {
owner = "elementary";
repo = repoName;
rev = version;
- sha256 = "sha256-o6J3vNWvV0zRde8VWWfpb56PQhSck2sJQVLimq0P9CY=";
+ sha256 = "1gma8a04ndivx1fd3ha9f45r642qq2li80wrd6dsrp4v3vqix9bn";
};
passthru = {
@@ -50,6 +51,7 @@ stdenv.mkDerivation rec {
granite
gtk3
libgee
+ libhandy
webkitgtk
];
@@ -66,8 +68,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A small WebKit app that assists a user with login when a captive portal is detected";
homepage = "https://github.com/elementary/capnet-assist";
- license = licenses.gpl2Plus;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-dpms-helper/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-dpms-helper/default.nix
deleted file mode 100644
index 65995c45659..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-dpms-helper/default.nix
+++ /dev/null
@@ -1,66 +0,0 @@
-{ lib, stdenv
-, fetchFromGitHub
-, nix-update-script
-, pantheon
-, meson
-, ninja
-, desktop-file-utils
-, glib
-, coreutils
-, elementary-settings-daemon
-, wrapGAppsHook
-}:
-
-stdenv.mkDerivation rec {
- pname = "elementary-dpms-helper";
- version = "1.0";
-
- repoName = "dpms-helper";
-
- src = fetchFromGitHub {
- owner = "elementary";
- repo = repoName;
- rev = version;
- sha256 = "sha256-0KbfAxvZ+aFjq+XEK4uoRHSyKlaky0FlJd2a5TG4bms=";
- };
-
- passthru = {
- updateScript = nix-update-script {
- attrPath = "pantheon.${pname}";
- };
- };
-
- nativeBuildInputs = [
- desktop-file-utils
- meson
- ninja
- wrapGAppsHook
- ];
-
- buildInputs = [
- elementary-settings-daemon
- glib
- ];
-
- preFixup = ''
- gappsWrapperArgs+=(--prefix PATH : "${lib.makeBinPath [ glib.dev coreutils ]}")
- '';
-
- postFixup = ''
- substituteInPlace $out/etc/xdg/autostart/io.elementary.dpms-helper.desktop \
- --replace "Exec=io.elementary.dpms-helper" "Exec=$out/bin/io.elementary.dpms-helper"
- '';
-
- # See: https://github.com/elementary/dpms-helper/pull/10
- postInstall = ''
- ${glib.dev}/bin/glib-compile-schemas $out/share/glib-2.0/schemas
- '';
-
- meta = with lib; {
- description = "Sets DPMS settings found in org.pantheon.dpms";
- homepage = "https://github.com/elementary/dpms-helper";
- license = licenses.gpl2;
- platforms = platforms.linux;
- maintainers = pantheon.maintainers;
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-notifications/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-notifications/default.nix
index 73cace23cca..15ae6840e45 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-notifications/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-notifications/default.nix
@@ -8,6 +8,7 @@
, glib
, granite
, libgee
+, libhandy
, libcanberra-gtk3
, pantheon
, python3
@@ -16,15 +17,15 @@
stdenv.mkDerivation rec {
pname = "elementary-notifications";
- version = "unstable-2020-03-31";
+ version = "6.0.0";
repoName = "notifications";
src = fetchFromGitHub {
owner = "elementary";
repo = repoName;
- rev = "db552b0c3466ba1099c7737c353b7225ab1896cc";
- sha256 = "1fhf4zx73qka935x5afv6zqsm2l37d1mjbhrbzzzz44dqwa2vp16";
+ rev = version;
+ sha256 = "0jfppafbc8jwhhnillylicz4zfds789d8b31ifsx0qijlxa7kji9";
};
nativeBuildInputs = [
@@ -43,6 +44,7 @@ stdenv.mkDerivation rec {
gtk3
libcanberra-gtk3
libgee
+ libhandy
];
postPatch = ''
@@ -54,7 +56,7 @@ stdenv.mkDerivation rec {
description = "GTK notification server for Pantheon";
homepage = "https://github.com/elementary/notifications";
license = licenses.gpl3Plus;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-settings-daemon/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-settings-daemon/default.nix
index 568414aab35..d1aaad42e2f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-settings-daemon/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-settings-daemon/default.nix
@@ -1,166 +1,67 @@
{ lib, stdenv
-, substituteAll
-, fetchurl
-, fetchgit
-, fetchpatch
+, fetchFromGitHub
, meson
, ninja
+, pantheon
, pkg-config
-, gnome
-, perl
-, gettext
-, gtk3
-, glib
-, libnotify
-, libgnomekbd
-, lcms2
-, libpulseaudio
-, alsa-lib
-, libcanberra-gtk3
-, upower
-, colord
-, libgweather
-, polkit
-, gsettings-desktop-schemas
-, geoclue2
-, systemd
-, libgudev
-, libwacom
-, libxslt
-, libxml2
-, modemmanager
-, networkmanager
-, gnome-desktop
-, geocode-glib
-, docbook_xsl
+, python3
+, vala
, accountsservice
+, dbus
+, desktop-file-utils
+, geoclue2
+, glib
+, gobject-introspection
+, gtk3
+, granite
+, libgee
, wrapGAppsHook
-, python3
-, tzdata
-, nss
-, gcr
-, pantheon
}:
stdenv.mkDerivation rec {
pname = "elementary-settings-daemon";
- version = "3.34.1";
+ version = "1.0.0";
- repoName = "gnome-settings-daemon";
+ repoName = "settings-daemon";
- src = fetchgit {
- url = "https://git.launchpad.net/~ubuntu-desktop/ubuntu/+source/${repoName}";
- rev = "refs/tags/ubuntu/${version}-1ubuntu2";
- sha256 = "0w0dsbzif7v0gk61rs9g20ldlimbdwb5yvlfdc568yyx5z643jbv";
+ src = fetchFromGitHub {
+ owner = "elementary";
+ repo = repoName;
+ rev = version;
+ sha256 = "1masvy1f9z2cp8w5ajnhy4k9bzvzgfziqlm59bf146pdd2567hiw";
};
- # We've omitted the 53_sync_input_sources_to_accountsservice patch because it breaks the build.
- # See: https://gist.github.com/worldofpeace/2f152a20b7c47895bb93239fce1c9f52
- #
- # Also omit ubuntu_calculator_snap.patch as that's obviously not useful here.
- patches = let patchPath = "${src}/debian/patches"; in [
- (substituteAll {
- src = ./fix-paths.patch;
- inherit tzdata;
- })
- ./global-backlight-helper.patch
- "${patchPath}/45_suppress-printer-may-not-be-connected-notification.patch"
- #"${patchPath}/53_sync_input_sources_to_accountsservice.patch"
- "${patchPath}/64_restore_terminal_keyboard_shortcut_schema.patch"
- "${patchPath}/correct_logout_action.patch"
- "${patchPath}/ubuntu-lid-close-suspend.patch"
- "${patchPath}/revert-gsettings-removals.patch"
- "${patchPath}/revert-mediakeys-dbus-interface-drop.patch"
- #"${patchPath}/ubuntu_ibus_configs.patch"
- # https://github.com/elementary/os-patches/blob/6975d1c254cb6ab913b8e2396877203aea8eaa65/debian/patches/elementary-dpms.patch
- ./elementary-dpms.patch
-
- # Query GWeather DB on the fly instead of caching.
- # Needed for the next patch to apply.
- # https://gitlab.gnome.org/GNOME/gnome-settings-daemon/merge_requests/175
- (fetchpatch {
- url = "https://gitlab.gnome.org/GNOME/gnome-settings-daemon/commit/df6c69f028d27b53ac86829e11df103b25ed5a74.patch";
- sha256 = "bKZkPzN64DXMgitjn0vUzUvKl7ldhN/mNVtPKVmHd0Q=";
- })
- # Adjust to libgweather changes.
- # https://gitlab.gnome.org/GNOME/gnome-settings-daemon/-/merge_requests/217
- (fetchpatch {
- url = "https://gitlab.gnome.org/GNOME/gnome-settings-daemon/commit/82d88014dfca2df7e081712870e1fb017c16b808.patch";
- sha256 = "H5k/v+M2bRaswt5nrDJFNn4gS4BdB0UfzdjUCT4yLKg=";
- })
- ];
-
nativeBuildInputs = [
+ desktop-file-utils
+ gobject-introspection
meson
ninja
pkg-config
- perl
- gettext
- libxml2
- libxslt
- docbook_xsl
- wrapGAppsHook
python3
+ vala
+ wrapGAppsHook
];
buildInputs = [
accountsservice
- alsa-lib
- colord
- gcr
+ dbus
geoclue2
- geocode-glib
glib
- gnome-desktop
- gsettings-desktop-schemas
gtk3
- lcms2
- libcanberra-gtk3
- libgnomekbd # for org.gnome.libgnomekbd.keyboard schema
- libgudev
- libgweather
- libnotify
- libpulseaudio
- libwacom
- modemmanager
- networkmanager
- nss
- polkit
- systemd
- upower
- ];
-
- mesonFlags = [
- "-Dudev_dir=${placeholder "out"}/lib/udev"
+ granite
+ libgee
];
- # Default for release buildtype but passed manually because
- # we're using plain
- NIX_CFLAGS_COMPILE = "-DG_DISABLE_CAST_CHECKS";
-
postPatch = ''
- for f in gnome-settings-daemon/codegen.py plugins/power/gsd-power-constants-update.pl meson_post_install.py; do
- chmod +x $f
- patchShebangs $f
- done
- '';
-
- postFixup = ''
- # So the polkit policy can reference /run/current-system/sw/bin/elementary-settings-daemon/gsd-backlight-helper
- mkdir -p $out/bin/elementary-settings-daemon
- ln -s $out/libexec/gsd-backlight-helper $out/bin/elementary-settings-daemon/gsd-backlight-helper
+ chmod +x meson/post_install.py
+ patchShebangs meson/post_install.py
'';
- passthru = {
- updateScript = gnome.updateScript {
- packageName = repoName;
- attrPath = "pantheon.${pname}";
- };
- };
-
meta = with lib; {
- license = licenses.gpl2Plus;
- maintainers = pantheon.maintainers;
+ description = "Settings daemon for Pantheon";
+ homepage = "https://github.com/elementary/settings-daemon";
+ license = licenses.gpl3Plus;
+ maintainers = teams.pantheon.members;
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-settings-daemon/elementary-dpms.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-settings-daemon/elementary-dpms.patch
deleted file mode 100644
index 14d056ceca2..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-settings-daemon/elementary-dpms.patch
+++ /dev/null
@@ -1,84 +0,0 @@
-diff --git a/data/org.gnome.settings-daemon.plugins.power.gschema.xml.in b/data/org.gnome.settings-daemon.plugins.power.gschema.xml.in
-index ec805d8a..cf0d6793 100644
---- a/data/org.gnome.settings-daemon.plugins.power.gschema.xml.in
-+++ b/data/org.gnome.settings-daemon.plugins.power.gschema.xml.in
-@@ -11,6 +11,11 @@
- Activation of this plugin
- Whether this plugin would be activated by unity-settings-daemon or not
-
-+
-+ false
-+ Reset X DPMS values
-+ Whether DPMS values will be adjusted by gnome-settings-daemon
-+
-
- 30
- The brightness of the screen when idle
-diff --git a/plugins/power/gpm-common.c b/plugins/power/gpm-common.c
-index a7ca87fb..a56a7cdc 100644
---- a/plugins/power/gpm-common.c
-+++ b/plugins/power/gpm-common.c
-@@ -280,6 +280,18 @@ disable_builtin_screensaver (gpointer unused)
- return TRUE;
- }
-
-+gboolean
-+manage_dpms (void)
-+{
-+ GSettings *settings;
-+ gboolean manage_dpms_defaults;
-+
-+ settings = g_settings_new ("org.gnome.settings-daemon.plugins.power");
-+ manage_dpms_defaults = g_settings_get_boolean (settings, "manage-dpms-defaults");
-+ g_object_unref (settings);
-+ return manage_dpms_defaults;
-+}
-+
- guint
- gsd_power_enable_screensaver_watchdog (void)
- {
-@@ -290,7 +302,7 @@ gsd_power_enable_screensaver_watchdog (void)
- * way. The defaults are now applied in Fedora 20 from
- * being "0" by default to being "600" by default */
- gdk_x11_display_error_trap_push (gdk_display_get_default ());
-- if (DPMSQueryExtension(GDK_DISPLAY_XDISPLAY (gdk_display_get_default ()), &dummy, &dummy))
-+ if (manage_dpms () && DPMSQueryExtension(GDK_DISPLAY_XDISPLAY (gdk_display_get_default ()), &dummy, &dummy))
- DPMSSetTimeouts (GDK_DISPLAY_XDISPLAY (gdk_display_get_default ()), 0, 0, 0);
- gdk_x11_display_error_trap_pop_ignored (gdk_display_get_default ());
- id = g_timeout_add_seconds (XSCREENSAVER_WATCHDOG_TIMEOUT,
-diff --git a/plugins/power/gpm-common.h b/plugins/power/gpm-common.h
-index 88a8e00e..af106479 100644
---- a/plugins/power/gpm-common.h
-+++ b/plugins/power/gpm-common.h
-@@ -34,6 +34,7 @@ gchar *gpm_get_timestring (guint time);
- gboolean gsd_power_is_hardware_a_vm (void);
- guint gsd_power_enable_screensaver_watchdog (void);
- void reset_idletime (void);
-+gboolean manage_dpms (void);
-
- /* Backlight helpers */
-
-diff --git a/plugins/power/gsd-power-manager.c b/plugins/power/gsd-power-manager.c
-index c500fa38..445496ee 100644
---- a/plugins/power/gsd-power-manager.c
-+++ b/plugins/power/gsd-power-manager.c
-@@ -1033,6 +1033,9 @@ backlight_enable (GsdPowerManager *manager)
- gboolean ret;
- GError *error = NULL;
-
-+ if (!(manage_dpms ()))
-+ return;
-+
- iio_proxy_claim_light (manager, TRUE);
- ret = gnome_rr_screen_set_dpms_mode (manager->rr_screen,
- GNOME_RR_DPMS_ON,
-@@ -1052,6 +1055,9 @@ backlight_disable (GsdPowerManager *manager)
- gboolean ret;
- GError *error = NULL;
-
-+ if (!(manage_dpms ()))
-+ return;
-+
- iio_proxy_claim_light (manager, FALSE);
- ret = gnome_rr_screen_set_dpms_mode (manager->rr_screen,
- GNOME_RR_DPMS_OFF,
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-settings-daemon/global-backlight-helper.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-settings-daemon/global-backlight-helper.patch
deleted file mode 100644
index dcdc83934ba..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/elementary-settings-daemon/global-backlight-helper.patch
+++ /dev/null
@@ -1,26 +0,0 @@
-diff --git a/plugins/power/gsd-backlight.c b/plugins/power/gsd-backlight.c
-index d7d10fd2..5619d6ad 100644
---- a/plugins/power/gsd-backlight.c
-+++ b/plugins/power/gsd-backlight.c
-@@ -358,7 +358,7 @@ gsd_backlight_run_set_helper (GsdBacklight *backlight, GTask *task)
- proc = g_subprocess_new (G_SUBPROCESS_FLAGS_STDOUT_SILENCE,
- &error,
- "pkexec",
-- LIBEXECDIR "/gsd-backlight-helper",
-+ "/run/current-system/sw/bin/elementary-settings-daemon/gsd-backlight-helper",
- g_udev_device_get_sysfs_path (backlight->udev_device),
- data->value_str, NULL);
- } else {
-diff --git a/plugins/power/org.gnome.settings-daemon.plugins.power.policy.in.in b/plugins/power/org.gnome.settings-daemon.plugins.power.policy.in.in
-index f16300f8..79d6bd17 100644
---- a/plugins/power/org.gnome.settings-daemon.plugins.power.policy.in.in
-+++ b/plugins/power/org.gnome.settings-daemon.plugins.power.policy.in.in
-@@ -25,7 +25,7 @@
- no
- yes
-
-- @libexecdir@/gsd-backlight-helper
-+ /run/current-system/sw/bin/elementary-settings-daemon/gsd-backlight-helper
-
-
-
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/pantheon-agent-geoclue2/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/pantheon-agent-geoclue2/default.nix
index 70b62e2b9a0..e2053ebf6a3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/pantheon-agent-geoclue2/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/pantheon-agent-geoclue2/default.nix
@@ -16,13 +16,13 @@
stdenv.mkDerivation rec {
pname = "pantheon-agent-geoclue2";
- version = "1.0.4";
+ version = "1.0.5";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-LrDu9NczSKN9YLo922MqYbcHG1QAwzXUb7W0Q/g9ftI=";
+ sha256 = "0hx3sky0vd2vshkscy3w5x3s18gd45cfqh510xhbmvc0sa32q9gd";
};
passthru = {
@@ -56,6 +56,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/pantheon-agent-geoclue2";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/pantheon-agent-polkit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/pantheon-agent-polkit/default.nix
index 61bbb6ef9a4..4bef7fd5e08 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/pantheon-agent-polkit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/pantheon/services/pantheon-agent-polkit/default.nix
@@ -15,13 +15,13 @@
stdenv.mkDerivation rec {
pname = "pantheon-agent-polkit";
- version = "1.0.3";
+ version = "1.0.4";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
rev = version;
- sha256 = "sha256-YL1LHnPH7pP0EW9IkjdSEX+VuaAF9uNyFbl47vjVps0=";
+ sha256 = "1acqjjarl225yk0f68wkldsamcrzrj0ibpcxma04wq9w7jlmz60c";
};
passthru = {
@@ -50,6 +50,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/elementary/pantheon-agent-polkit";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
- maintainers = pantheon.maintainers;
+ maintainers = teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/default.nix
index 7e1bb2b1092..e5a23930888 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/default.nix
@@ -24,9 +24,8 @@ existing packages here and modify it as necessary.
*/
-{
- libsForQt5, lib, fetchurl,
- gconf, gsettings-desktop-schemas
+{ libsForQt5, lib, config, fetchurl
+, gconf, gsettings-desktop-schemas
}:
let
@@ -123,7 +122,6 @@ let
kscreen = callPackage ./kscreen.nix {};
kscreenlocker = callPackage ./kscreenlocker.nix {};
ksshaskpass = callPackage ./ksshaskpass.nix {};
- ksysguard = throw "ksysguard has been replaced with plasma-systemmonitor";
ksystemstats = callPackage ./ksystemstats.nix {};
kwallet-pam = callPackage ./kwallet-pam.nix {};
kwayland-integration = callPackage ./kwayland-integration.nix {};
@@ -164,6 +162,8 @@ let
parachute = callPackage ./3rdparty/kwin/scripts/parachute.nix { };
};
+ } // lib.optionalAttrs (config.allowAliases or true) {
+ ksysguard = throw "ksysguard has been replaced with plasma-systemmonitor";
};
in
lib.makeScope libsForQt5.newScope packages
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/fetch.sh b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/fetch.sh
index db200b4cd1e..5e9e799e2e7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/fetch.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/fetch.sh
@@ -1 +1 @@
-WGET_ARGS=( https://download.kde.org/stable/plasma/5.22.4/ -A '*.tar.xz' )
+WGET_ARGS=( https://download.kde.org/stable/plasma/5.22.5/ -A '*.tar.xz' )
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/kwin/0001-NixOS-Unwrap-executable-name-for-.desktop-search.patch b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/kwin/0001-NixOS-Unwrap-executable-name-for-.desktop-search.patch
index d273e262226..e6ab62caf71 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/kwin/0001-NixOS-Unwrap-executable-name-for-.desktop-search.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/kwin/0001-NixOS-Unwrap-executable-name-for-.desktop-search.patch
@@ -8,22 +8,32 @@ Because it's completely bypassing argv0! This looks at the executable
file in-use according to the kernel!
Wrappers cannot affect the `/proc/.../exe` symlink!
+
+Co-authored-by: Yaroslav Bolyukin
---
- src/service_utils.h | 28 +++++++++++++++++++++++++++-
- 1 file changed, 27 insertions(+), 1 deletion(-)
+ src/nixos_utils.h | 41 +++++++++++++++++++++++++++++++++++++++++
+ src/service_utils.h | 4 +++-
+ src/waylandclient.cpp | 5 ++++-
+ 3 files changed, 48 insertions(+), 2 deletions(-)
+ create mode 100644 src/nixos_utils.h
-diff --git a/src/service_utils.h b/src/service_utils.h
-index 8a70c1fad..6674f553b 100644
---- a/src/service_utils.h
-+++ b/src/service_utils.h
-@@ -26,8 +26,34 @@ namespace KWin
- const static QString s_waylandInterfaceName = QStringLiteral("X-KDE-Wayland-Interfaces");
- const static QString s_dbusRestrictedInterfaceName = QStringLiteral("X-KDE-DBUS-Restricted-Interfaces");
-
--static QStringList fetchProcessServiceField(const QString &executablePath, const QString &fieldName)
-+static QStringList fetchProcessServiceField(const QString &in_executablePath, const QString &fieldName)
- {
-+ // !! Start NixOS fix
+diff --git a/src/nixos_utils.h b/src/nixos_utils.h
+new file mode 100644
+index 0000000..726065d
+--- /dev/null
++++ b/src/nixos_utils.h
+@@ -0,0 +1,41 @@
++#ifndef NIXOS_UTILS_H
++#define NIXOS_UTILS_H
++
++// kwin
++#include
++
++namespace KWin
++{
++
++static QString unwrapExecutablePath(const QString &in_executablePath)
++{
+ // NixOS fixes many packaging issues through "wrapper" scripts that manipulates the environment or does
+ // miscellaneous trickeries and mischievous things to make the programs work.
+ // In turn, programs often employs different mischievous schemes and trickeries to do *other things.
@@ -47,11 +57,58 @@ index 8a70c1fad..6674f553b 100644
+ // Approximately equivalent to s;/\.;/;
+ executablePath.remove(executablePath.lastIndexOf("/")+1, 1);
+ }
-+ // !! End NixOS fix
+
++ return executablePath;
++}
++
++}// namespace
++
++#endif // SERVICE_UTILS_H
+diff --git a/src/service_utils.h b/src/service_utils.h
+index 8a70c1f..475b15d 100644
+--- a/src/service_utils.h
++++ b/src/service_utils.h
+@@ -19,6 +19,7 @@
+ #include
+ //KF
+ #include
++#include "nixos_utils.h"
+
+ namespace KWin
+ {
+@@ -26,8 +27,9 @@ namespace KWin
+ const static QString s_waylandInterfaceName = QStringLiteral("X-KDE-Wayland-Interfaces");
+ const static QString s_dbusRestrictedInterfaceName = QStringLiteral("X-KDE-DBUS-Restricted-Interfaces");
+
+-static QStringList fetchProcessServiceField(const QString &executablePath, const QString &fieldName)
++static QStringList fetchProcessServiceField(const QString &in_executablePath, const QString &fieldName)
+ {
++ const QString executablePath = unwrapExecutablePath(in_executablePath);
// needed to be able to use the logging category in a header static function
static QLoggingCategory KWIN_UTILS ("KWIN_UTILS", QtWarningMsg);
const auto servicesFound = KApplicationTrader::query([&executablePath] (const KService::Ptr &service) {
+diff --git a/src/waylandclient.cpp b/src/waylandclient.cpp
+index fd2c0c1..ae8cf96 100644
+--- a/src/waylandclient.cpp
++++ b/src/waylandclient.cpp
+@@ -10,6 +10,7 @@
+ #include "screens.h"
+ #include "wayland_server.h"
+ #include "workspace.h"
++#include "nixos_utils.h"
+
+ #include
+ #include
+@@ -173,7 +174,9 @@ void WaylandClient::updateIcon()
+
+ void WaylandClient::updateResourceName()
+ {
+- const QFileInfo fileInfo(surface()->client()->executablePath());
++ const QString in_path = surface()->client()->executablePath();
++ const QString path = unwrapExecutablePath(in_path);
++ const QFileInfo fileInfo(path);
+ if (fileInfo.exists()) {
+ const QByteArray executableFileName = fileInfo.fileName().toUtf8();
+ setResourceClass(executableFileName, executableFileName);
--
-2.28.0
-
+2.32.0
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/kwin/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/kwin/default.nix
index e6e49a59181..c650f4ef83d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/kwin/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/kwin/default.nix
@@ -40,6 +40,18 @@ mkDerivation {
./0002-xwayland.patch
./0003-plugins-qpa-allow-using-nixos-wrapper.patch
./0001-NixOS-Unwrap-executable-name-for-.desktop-search.patch
+ # Fix build against libglvnd 1.3.4+
+ # Remove with release 5.22.90
+ (fetchpatch {
+ url = "https://invent.kde.org/plasma/kwin/-/commit/839710201c389b7f4ed248cb3818e755a37ce977.patch";
+ sha256 = "09rldhy0sbmqdfpyjzwm20cwnmrmj0w2751vyi5xlr414g0rzyc1";
+ })
+ # Fixup previous patch for i686
+ # Remove with release 5.22.90
+ (fetchpatch {
+ url = "https://invent.kde.org/plasma/kwin/-/commit/38e24ecd6416a975db0989c21b70d6a4cc242f35.patch";
+ sha256 = "0zsjmzswcnvfd2jm1c8i9aijpbap1141mjv6y4j282bplyqlp966";
+ })
];
CXXFLAGS = [
''-DNIXPKGS_XWAYLAND=\"${lib.getBin xwayland}/bin/Xwayland\"''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/plasma-desktop/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/plasma-desktop/default.nix
index d8ca0ddfdec..1dc3d99b5e4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/plasma-desktop/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/plasma-desktop/default.nix
@@ -1,5 +1,5 @@
{
- mkDerivation, lib,
+ mkDerivation, lib, fetchpatch,
extra-cmake-modules, kdoctools,
boost, fontconfig, ibus, libXcursor, libXft, libcanberra_kde, libpulseaudio,
@@ -21,7 +21,8 @@ mkDerivation {
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
buildInputs = [
boost fontconfig ibus libcanberra_kde libpulseaudio libXcursor libXft xorgserver
- libxkbfile phonon xf86inputevdev xf86inputsynaptics xinput xkeyboard_config
+ libxkbfile phonon xf86inputlibinput xf86inputevdev xf86inputsynaptics xinput
+ xkeyboard_config
accounts-qt qtdeclarative qtquickcontrols qtquickcontrols2 qtsvg qtx11extras
@@ -35,19 +36,15 @@ mkDerivation {
patches = [
./hwclock-path.patch
./tzdir.patch
+ # https://invent.kde.org/plasma/plasma-desktop/-/merge_requests/563
+ (fetchpatch {
+ url = "https://invent.kde.org/plasma/plasma-desktop/-/commit/8d9bf2032b8a2e5de75edf5713c42866f5b80649.patch";
+ sha256 = "sha256-2jqqFjBljbhf7I+fTsIvuFs3Ic662KTKRnbcSm5Jing=";
+ })
];
- postPatch = ''
- sed '1i#include ' -i kcms/touchpad/backends/x11/synapticstouchpad.cpp
- '';
CXXFLAGS = [
- "-I${lib.getDev xorgserver}/include/xorg"
- "-I${lib.getDev xf86inputsynaptics}/include/xorg"
''-DNIXPKGS_HWCLOCK=\"${lib.getBin util-linux}/sbin/hwclock\"''
];
- cmakeFlags = [
- "-DEvdev_INCLUDE_DIRS=${lib.getDev xf86inputevdev}/include/xorg"
- "-DXORGLIBINPUT_INCLUDE_DIRS=${lib.getDev xf86inputlibinput}/include/xorg"
- ];
postInstall = ''
# Display ~/Desktop contents on the desktop by default.
sed -i "''${!outputBin}/share/plasma/shells/org.kde.plasma.desktop/contents/defaults" \
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/plasma-workspace/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/plasma-workspace/default.nix
index b65bc7df8ed..fac10cf31d4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/plasma-workspace/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/plasma-workspace/default.nix
@@ -24,6 +24,7 @@ let inherit (lib) getBin getLib; in
mkDerivation {
name = "plasma-workspace";
+ passthru.providedSessions = [ "plasma" "plasmawayland" ];
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/srcs.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/srcs.nix
index 0cd26b6a6cf..c90bc704237 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/srcs.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/plasma-5/srcs.nix
@@ -4,427 +4,427 @@
{
bluedevil = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/bluedevil-5.22.4.tar.xz";
- sha256 = "10bqk46ygnf72aqxxaxlx4khv1gwj46la1czsjmlszvkcqxrpwa0";
- name = "bluedevil-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/bluedevil-5.22.5.tar.xz";
+ sha256 = "01fc5zk3qh3kx8z3dpikaaidi6vg21s75kmpd9w65rj5akg98452";
+ name = "bluedevil-5.22.5.tar.xz";
};
};
breeze = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/breeze-5.22.4.tar.xz";
- sha256 = "1b4zrwpaayd6mlwsnwg416ryba32zpg8w2dlh56qbmg6jxzjnybx";
- name = "breeze-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/breeze-5.22.5.tar.xz";
+ sha256 = "09ll0bddsbbhz7ihqcn0wbd2llbjrblgk90gp556kpy09jh4rz73";
+ name = "breeze-5.22.5.tar.xz";
};
};
breeze-grub = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/breeze-grub-5.22.4.tar.xz";
- sha256 = "19zlhq3k80id676sxlf8nhk0a11rkrwmbd256aggdwhz1fivxc1c";
- name = "breeze-grub-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/breeze-grub-5.22.5.tar.xz";
+ sha256 = "1p08pmhkac3s5pccryy5s33594kr0v8z6j1hg94l419nzaqqya1v";
+ name = "breeze-grub-5.22.5.tar.xz";
};
};
breeze-gtk = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/breeze-gtk-5.22.4.tar.xz";
- sha256 = "1p47vsr2xj00p1r2jhyns2wzchjlhymzzyv2xqy9xd4l8pkv8scb";
- name = "breeze-gtk-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/breeze-gtk-5.22.5.tar.xz";
+ sha256 = "0lifs97wad9cg5hp1vdd5ag9fkcbqj3h2bkg6x5jd4f41j0x2fy2";
+ name = "breeze-gtk-5.22.5.tar.xz";
};
};
breeze-plymouth = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/breeze-plymouth-5.22.4.tar.xz";
- sha256 = "0b9sjn8lfhgyc2sz1r9rnknkas79526qmwi5j3wbxb0va2rcap9z";
- name = "breeze-plymouth-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/breeze-plymouth-5.22.5.tar.xz";
+ sha256 = "1735ii7is873yz6rhcsrj81crvmdxj4a368k22rkj8nm374s44g1";
+ name = "breeze-plymouth-5.22.5.tar.xz";
};
};
discover = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/discover-5.22.4.tar.xz";
- sha256 = "0ij7b1fyv9rgiw6ywgxzj35c9bd3937w3njzqmkzi2l9zlnrzwvg";
- name = "discover-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/discover-5.22.5.tar.xz";
+ sha256 = "1c22910ainm4819xzkri8j2x8lng0g6zgmh1k770jsgjyg49x069";
+ name = "discover-5.22.5.tar.xz";
};
};
drkonqi = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/drkonqi-5.22.4.tar.xz";
- sha256 = "1dy5v50icnlwa4pl5z30q5abv2sbznlrpgiy28hh1mf64hx6hl3w";
- name = "drkonqi-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/drkonqi-5.22.5.tar.xz";
+ sha256 = "1f23p35wzsk0wx2kz0r7x616in6kizzdvl9j37v2a94hh8z3f7my";
+ name = "drkonqi-5.22.5.tar.xz";
};
};
kactivitymanagerd = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kactivitymanagerd-5.22.4.tar.xz";
- sha256 = "1km0mlqyrvflq45gwffrbwvkrqirb44qn1rp37iif4d82pmx11yv";
- name = "kactivitymanagerd-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kactivitymanagerd-5.22.5.tar.xz";
+ sha256 = "069a862myj9b0303qc6j8iv3mdja8qhzx5ax52206pjrglvn9ar2";
+ name = "kactivitymanagerd-5.22.5.tar.xz";
};
};
kde-cli-tools = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kde-cli-tools-5.22.4.tar.xz";
- sha256 = "1kh8pba9q61qjjpc945nvx42mm63vrj5bny4iv60jgcfxxwy7qj4";
- name = "kde-cli-tools-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kde-cli-tools-5.22.5.tar.xz";
+ sha256 = "1jj5vywai9di05wzr81dzvrcsb5h6l300llw3ma49f0jl4z3gjwh";
+ name = "kde-cli-tools-5.22.5.tar.xz";
};
};
- kdecoration = {
- version = "5.22.4";
+ kde-gtk-config = {
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kdecoration-5.22.4.tar.xz";
- sha256 = "0cc0lskm359lbg93bxny84cf1qnk0h53f64bxy3dvbyn5gmvzsch";
- name = "kdecoration-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kde-gtk-config-5.22.5.tar.xz";
+ sha256 = "0v0yjy6diwby3y71kkipx8h0wxfc49nwr2r3g2j8cf9ybqnwmy6s";
+ name = "kde-gtk-config-5.22.5.tar.xz";
};
};
- kde-gtk-config = {
- version = "5.22.4";
+ kdecoration = {
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kde-gtk-config-5.22.4.tar.xz";
- sha256 = "0d56brzpk5yi7cdyvpqg3jlk5n3l2dvk98npw34fd4i3gw357px8";
- name = "kde-gtk-config-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kdecoration-5.22.5.tar.xz";
+ sha256 = "1vqv44ls79x2d71ldkkkzpk4mzpv110y270wf1gbkmxaxwp20xxm";
+ name = "kdecoration-5.22.5.tar.xz";
};
};
kdeplasma-addons = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kdeplasma-addons-5.22.4.tar.xz";
- sha256 = "1flf4mq0zcjh7fnv155hklliidfvflh20d1s84rj8q2ka7phcwk0";
- name = "kdeplasma-addons-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kdeplasma-addons-5.22.5.tar.xz";
+ sha256 = "00ricjqxcafhji8b33zqynrlh56z3nr516v5jghp8cz2wclvnh32";
+ name = "kdeplasma-addons-5.22.5.tar.xz";
};
};
kgamma5 = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kgamma5-5.22.4.tar.xz";
- sha256 = "0fgx9i031iqrp7w7v7px1vha079cjcdv9w5ah4k1m53g8abriddl";
- name = "kgamma5-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kgamma5-5.22.5.tar.xz";
+ sha256 = "0m2h4wwkg3dnkvq31z8mvn4q1r7hwi1q2d7csy350ycrv9x7f402";
+ name = "kgamma5-5.22.5.tar.xz";
};
};
khotkeys = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/khotkeys-5.22.4.tar.xz";
- sha256 = "1lm1xrbrpym7nhvnzljdgr5nsas8z3i0hgda53j5k6svzk5r3qg8";
- name = "khotkeys-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/khotkeys-5.22.5.tar.xz";
+ sha256 = "1l0p9q7bmljism188mzssryyd31b1x0alivnpsk0jhhjr9hwbqb4";
+ name = "khotkeys-5.22.5.tar.xz";
};
};
kinfocenter = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kinfocenter-5.22.4.tar.xz";
- sha256 = "14vfz5j3fxhfb1fip00fgg9k6dc9ffjf0ss8ij1cx7bga14nmzvw";
- name = "kinfocenter-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kinfocenter-5.22.5.tar.xz";
+ sha256 = "1pxr4pihy6asflpij5r4payxnbagzkli3qm5zh4zgap4bhq447lm";
+ name = "kinfocenter-5.22.5.tar.xz";
};
};
kmenuedit = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kmenuedit-5.22.4.tar.xz";
- sha256 = "186j8ky5z3l0mmxx327xzahhsyf7wlds1rsmzzmlxficpg43n90b";
- name = "kmenuedit-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kmenuedit-5.22.5.tar.xz";
+ sha256 = "1in8q0hd8wgcnwmx0cpv2w5l2w75xhv5j38mc5py322h9gkg1mqs";
+ name = "kmenuedit-5.22.5.tar.xz";
};
};
kscreen = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kscreen-5.22.4.tar.xz";
- sha256 = "0hkn7ap55x4rzm6x3qdinjar9qhnb742zgzmvswy1kn3a8mxby17";
- name = "kscreen-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kscreen-5.22.5.tar.xz";
+ sha256 = "0q0ykp10nwfzzxjrcra11k4b81di4r37jbhis4b9wn9j0pqv3ykb";
+ name = "kscreen-5.22.5.tar.xz";
};
};
kscreenlocker = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kscreenlocker-5.22.4.tar.xz";
- sha256 = "0i7c6a378h7366h7nl5051mwrx7cadzfaryfnhpskhlgy3l7119j";
- name = "kscreenlocker-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kscreenlocker-5.22.5.tar.xz";
+ sha256 = "107icbr0cdcpbzi5npgx3fw2m2wp1z91k1iw26n595dp3n2czv98";
+ name = "kscreenlocker-5.22.5.tar.xz";
};
};
ksshaskpass = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/ksshaskpass-5.22.4.tar.xz";
- sha256 = "01f2rz1xqb1jy83427f7rmsb3a7ivkgf2qmm04kwjv29zplg796f";
- name = "ksshaskpass-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/ksshaskpass-5.22.5.tar.xz";
+ sha256 = "0ig2cx80ba57k9mq7bcnmriymjln7kvr81mgm5rsdi4asal2zpgp";
+ name = "ksshaskpass-5.22.5.tar.xz";
};
};
ksystemstats = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/ksystemstats-5.22.4.tar.xz";
- sha256 = "1daz3890v7qbkcsb9m535mfnijdq3rbasxwqs0ixhn2m400yivvg";
- name = "ksystemstats-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/ksystemstats-5.22.5.tar.xz";
+ sha256 = "1cb5hbwnj6j9ziin6bflcz9b8jyvjqbqqhqbzvgs8dyji2xz0gb8";
+ name = "ksystemstats-5.22.5.tar.xz";
};
};
kwallet-pam = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kwallet-pam-5.22.4.tar.xz";
- sha256 = "1ljrrgjvkvs3fsiijgaxj82hzp1fhsiy39r4amwp21v411c80jwq";
- name = "kwallet-pam-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kwallet-pam-5.22.5.tar.xz";
+ sha256 = "03rj2kgda1as547jjvvigkb4pblh1w9jv8hsrjrs5vwfir0ag8nq";
+ name = "kwallet-pam-5.22.5.tar.xz";
};
};
kwayland-integration = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kwayland-integration-5.22.4.tar.xz";
- sha256 = "17nl033vl8i9a92bjbgwwwrkf03lg4726lwdbj3y8xajdp8ql1nb";
- name = "kwayland-integration-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kwayland-integration-5.22.5.tar.xz";
+ sha256 = "0kgv6klb32y7ckflsi5xbs8ajn7zg461621fqhmgn1x54w931g2c";
+ name = "kwayland-integration-5.22.5.tar.xz";
};
};
kwayland-server = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kwayland-server-5.22.4.tar.xz";
- sha256 = "0z3ni5ar2bwpc75ssb3qmkbff85a489sxr7vzqhxa40n48bp85ns";
- name = "kwayland-server-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kwayland-server-5.22.5.tar.xz";
+ sha256 = "17gkbcam9dpqbw618rvb5ia8inp0yvpyr3bxd0fn4fdj57bbsr6x";
+ name = "kwayland-server-5.22.5.tar.xz";
};
};
kwin = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kwin-5.22.4.tar.xz";
- sha256 = "1x5338aib7kn1lgpb06b8s06bfj2ybfgyr6k0q91zlc53x61qamh";
- name = "kwin-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kwin-5.22.5.tar.xz";
+ sha256 = "18zmzhmjr6q5vsfd7lr0ym5ga7l2x8xcxqizmpfnb7hv3kaax38j";
+ name = "kwin-5.22.5.tar.xz";
};
};
kwrited = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/kwrited-5.22.4.tar.xz";
- sha256 = "1rbkbqf5v8wqd2aldpg396ki8a9fsw82jmzmdhsirq33r5yznn4i";
- name = "kwrited-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/kwrited-5.22.5.tar.xz";
+ sha256 = "02cffj88hqs5rfvrkkmk9z23qsdnqhavm98hksx1v5ajjh4rbgb3";
+ name = "kwrited-5.22.5.tar.xz";
};
};
layer-shell-qt = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/layer-shell-qt-5.22.4.tar.xz";
- sha256 = "11iqk4bla0y0w2frmvzxi4a3jxj3cj2m8y473z3nfb0z8i5yca0m";
- name = "layer-shell-qt-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/layer-shell-qt-5.22.5.tar.xz";
+ sha256 = "0i9gsckqk9608drxvym6ghcwxqilcf6ilcxq48sbrnpswid71k7z";
+ name = "layer-shell-qt-5.22.5.tar.xz";
};
};
libkscreen = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/libkscreen-5.22.4.tar.xz";
- sha256 = "0z2mzha22f2yl7l0ijy4pqpab6n1ivib3grnd583znff02wvj4d2";
- name = "libkscreen-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/libkscreen-5.22.5.tar.xz";
+ sha256 = "1qqnra28r698kbps6ywk22ncac4sm3f9d9wrwmicp963mkmwlksv";
+ name = "libkscreen-5.22.5.tar.xz";
};
};
libksysguard = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/libksysguard-5.22.4.tar.xz";
- sha256 = "14h66gs7z6gf7wrpdhpd1461431q2plv7kvfsh02fj52l1dzpcc0";
- name = "libksysguard-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/libksysguard-5.22.5.tar.xz";
+ sha256 = "1hkjsjfl4hsxbk998hpq4f38rahqfx6nmznbh0dqrymadfbsn8m5";
+ name = "libksysguard-5.22.5.tar.xz";
};
};
milou = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/milou-5.22.4.tar.xz";
- sha256 = "11fa9bj3yzriaydfk8q9kc626yv0s0sal5ws13pcd6ksbhslz83s";
- name = "milou-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/milou-5.22.5.tar.xz";
+ sha256 = "1d1zg1fbhl6cbxfhgrp9njvpcn052psn96cfyw314255v532phpp";
+ name = "milou-5.22.5.tar.xz";
};
};
oxygen = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/oxygen-5.22.4.tar.xz";
- sha256 = "1p5hklryi02xw0byy5zcaxx5zw81vd6vq3s1h8dyhj07vspimpzw";
- name = "oxygen-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/oxygen-5.22.5.tar.xz";
+ sha256 = "0fy4dr8kjyh96w482qbf47vkbnb2qqwwp8d0jlf0xc20w6fb4fqc";
+ name = "oxygen-5.22.5.tar.xz";
};
};
plasma-browser-integration = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-browser-integration-5.22.4.tar.xz";
- sha256 = "023qbp77ga0jblhhx3437v9jjxx5va7q58abmnpv2nls1xwyq8hb";
- name = "plasma-browser-integration-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-browser-integration-5.22.5.tar.xz";
+ sha256 = "1zkz4qd9nk2kw8zx0mj0p5q4yclmfgz5ihfmgqb2iw4j0d2ckw8f";
+ name = "plasma-browser-integration-5.22.5.tar.xz";
};
};
plasma-desktop = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-desktop-5.22.4.tar.xz";
- sha256 = "0c225lckhsmhig7xsnv5yfajys3w67g6xj4w1hvz1x3hqs79z3kj";
- name = "plasma-desktop-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-desktop-5.22.5.tar.xz";
+ sha256 = "1kmcmpfjgmiazalczjchyrvgy365s1gqdnyv3xav4g4irb62llxl";
+ name = "plasma-desktop-5.22.5.tar.xz";
};
};
plasma-disks = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-disks-5.22.4.tar.xz";
- sha256 = "02brm36akqfhjz9fzyzfinjnb954glrrlwpyhiq1sx073v2ibyap";
- name = "plasma-disks-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-disks-5.22.5.tar.xz";
+ sha256 = "14ml1vxdp6brms8yqg5x96bad2r9n81cki91fsq6qk0aq098dqbh";
+ name = "plasma-disks-5.22.5.tar.xz";
};
};
plasma-firewall = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-firewall-5.22.4.tar.xz";
- sha256 = "1c1mzpd45hd4sb6qsylqgq2x4fay1nskkgmcc1vswmnapcm9gp91";
- name = "plasma-firewall-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-firewall-5.22.5.tar.xz";
+ sha256 = "19ii5ha3m9jmfrdg59z9nfx8frmp4f2gc3a7c0krsnajhyrm0npg";
+ name = "plasma-firewall-5.22.5.tar.xz";
};
};
plasma-integration = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-integration-5.22.4.tar.xz";
- sha256 = "0rslli0jsyyhm6prac3xgilwf58gjxqhsijgvr25sipg6200r2z0";
- name = "plasma-integration-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-integration-5.22.5.tar.xz";
+ sha256 = "0w7jnsyz876k6kzppd6lx0i58ywbfhaycsnq3nn2s10im7ql7ir8";
+ name = "plasma-integration-5.22.5.tar.xz";
};
};
plasma-nano = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-nano-5.22.4.tar.xz";
- sha256 = "1ag57nphgkj3f17s42d81npk0z2n27623szbiz1hpgp7f6994l90";
- name = "plasma-nano-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-nano-5.22.5.tar.xz";
+ sha256 = "0i8r8mxf00c0rfnybxy2nzl2hn2r7vqfzwlbmkykd6b1z333xfjh";
+ name = "plasma-nano-5.22.5.tar.xz";
};
};
plasma-nm = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-nm-5.22.4.tar.xz";
- sha256 = "1cvfawsqzk3yzjwnz6gc6l7p3pz9brbh0n6km23i1bis08rks168";
- name = "plasma-nm-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-nm-5.22.5.tar.xz";
+ sha256 = "0jgwp41l4h16qyif2bwnsdfd190ykpddv7gi3zrcmc57fnhrzavz";
+ name = "plasma-nm-5.22.5.tar.xz";
};
};
plasma-pa = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-pa-5.22.4.tar.xz";
- sha256 = "1p000y08p89wvv73glv9ic0gdbdhc9fpzvphx72y420g5hhmnnwa";
- name = "plasma-pa-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-pa-5.22.5.tar.xz";
+ sha256 = "1axm564si8g9j9f9ndvq39x7s6awiwiiyqnvs1wf76miyyjfdba0";
+ name = "plasma-pa-5.22.5.tar.xz";
};
};
plasma-phone-components = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-phone-components-5.22.4.tar.xz";
- sha256 = "0mkr7amxvr325y7f98y1368iv4gs6j2x6bkpi20rp8c2vifkvg5b";
- name = "plasma-phone-components-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-phone-components-5.22.5.tar.xz";
+ sha256 = "1m2swgkydjrpxsnj87fs8zkyavba6zrfrzvimbhxf15c3199yrj0";
+ name = "plasma-phone-components-5.22.5.tar.xz";
};
};
plasma-sdk = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-sdk-5.22.4.tar.xz";
- sha256 = "0nrh3zbff25wr59hbsvrygjix56as8rd95smr5075qwdyamcqnhf";
- name = "plasma-sdk-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-sdk-5.22.5.tar.xz";
+ sha256 = "0gvmvdlqjm2kvkb7bw3bhryql4d9mp0max89l9y25kzqadd6byad";
+ name = "plasma-sdk-5.22.5.tar.xz";
};
};
plasma-systemmonitor = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-systemmonitor-5.22.4.tar.xz";
- sha256 = "1gl6kjk6b8xwcfrk6xf41jf1lh3zxr5b6qvdv7z6i8wb3pll63cb";
- name = "plasma-systemmonitor-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-systemmonitor-5.22.5.tar.xz";
+ sha256 = "18s72vdcx4jrjs1hfr7mq8zjng2pmba2x23k11jdk8hxl7msm7nx";
+ name = "plasma-systemmonitor-5.22.5.tar.xz";
};
};
plasma-tests = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-tests-5.22.4.tar.xz";
- sha256 = "1wf33c0izm9yyjcysiimcpiwmsa64b4ypklga2rbg7kkk7q0nq82";
- name = "plasma-tests-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-tests-5.22.5.tar.xz";
+ sha256 = "1wmwm9mmdy98qrmr0r8h99j0cpmib2vyv66jk99wf43bwddy2hxi";
+ name = "plasma-tests-5.22.5.tar.xz";
};
};
plasma-thunderbolt = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-thunderbolt-5.22.4.tar.xz";
- sha256 = "1c5ihvam5hfk7xiy3m707jjhpv2rxgl7d2f6m0d764zynm6zax79";
- name = "plasma-thunderbolt-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-thunderbolt-5.22.5.tar.xz";
+ sha256 = "13rjn21sdga5yx9983zx26jdb260lg5815ilfjnkdfp7g6ckjlmc";
+ name = "plasma-thunderbolt-5.22.5.tar.xz";
};
};
plasma-vault = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-vault-5.22.4.tar.xz";
- sha256 = "1p6bl8as8rx36nzwx2rymqmx4rg7dg0bfrxr0flx9jqp1adclf39";
- name = "plasma-vault-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-vault-5.22.5.tar.xz";
+ sha256 = "1ap9kp9agnqljlszzkd14sivpfz9ihjlhq67lhg2sg570s8ng4a0";
+ name = "plasma-vault-5.22.5.tar.xz";
};
};
plasma-workspace = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-workspace-5.22.4.tar.xz";
- sha256 = "1fi0c66f2cgqcbshbaxzch75r28l5w4l3flggccil5c73lavf5mg";
- name = "plasma-workspace-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-workspace-5.22.5.tar.xz";
+ sha256 = "01inn7jawqn5brcmbglqs3szfzkq637qzf39kya8siq3lgg14bpj";
+ name = "plasma-workspace-5.22.5.tar.xz";
};
};
plasma-workspace-wallpapers = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plasma-workspace-wallpapers-5.22.4.tar.xz";
- sha256 = "0abz3qic8m7dcbd0m1ci8qspfds3fdsqhgv8m6ks2jkcm7z4vnnr";
- name = "plasma-workspace-wallpapers-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plasma-workspace-wallpapers-5.22.5.tar.xz";
+ sha256 = "1h582vqw14zyngfyjppg6lgs17d1nmc7gcr8kw1zzbc0ynbl68dy";
+ name = "plasma-workspace-wallpapers-5.22.5.tar.xz";
};
};
plymouth-kcm = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/plymouth-kcm-5.22.4.tar.xz";
- sha256 = "0vh39lidm0dqah14y7nkzqpanlkxpmylf7wc40giavady3d2i1y1";
- name = "plymouth-kcm-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/plymouth-kcm-5.22.5.tar.xz";
+ sha256 = "1rn8c0z6ycagmxm72gs9cm6pwv1fy8zg5881brglpxy8x63prb9g";
+ name = "plymouth-kcm-5.22.5.tar.xz";
};
};
polkit-kde-agent = {
- version = "1-5.22.4";
+ version = "1-5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/polkit-kde-agent-1-5.22.4.tar.xz";
- sha256 = "0pxrrn4qs96a5p9cp890vdq2g79ah72p655643ciqdb14936p0z2";
- name = "polkit-kde-agent-1-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/polkit-kde-agent-1-5.22.5.tar.xz";
+ sha256 = "1a1b4baszlx01x4n66wikgw8z7wwnycz5rqzjr8r6q1b9dafmqv0";
+ name = "polkit-kde-agent-1-5.22.5.tar.xz";
};
};
powerdevil = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/powerdevil-5.22.4.tar.xz";
- sha256 = "17427sv6yh16hmgl94lyb4d7gds0r4hvx8vbbqhzysih2x81xl6m";
- name = "powerdevil-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/powerdevil-5.22.5.tar.xz";
+ sha256 = "17qw7w9h60illpzd1zlymdipx0mpwfhn12d9k0f165qcabk02wsr";
+ name = "powerdevil-5.22.5.tar.xz";
};
};
qqc2-breeze-style = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/qqc2-breeze-style-5.22.4.tar.xz";
- sha256 = "15h9rjc4ry3kw18aw18r8y8av4cn2wckab8gyyi7zx7s54n6zpvc";
- name = "qqc2-breeze-style-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/qqc2-breeze-style-5.22.5.tar.xz";
+ sha256 = "0qi8b11f45lnyy09w3b65h0s7qj7d40b7ppwy8mapr92m0zqrkpf";
+ name = "qqc2-breeze-style-5.22.5.tar.xz";
};
};
sddm-kcm = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/sddm-kcm-5.22.4.tar.xz";
- sha256 = "08j0qd288a5msagpyaqwrw0w6wymxsgqq3rlk8kv3n6qvrsm7174";
- name = "sddm-kcm-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/sddm-kcm-5.22.5.tar.xz";
+ sha256 = "163p426bd9zfval5zz2hmq3na0px3pz016shzzgna3rqwh7s8sa6";
+ name = "sddm-kcm-5.22.5.tar.xz";
};
};
systemsettings = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/systemsettings-5.22.4.tar.xz";
- sha256 = "1ap2h1sa6hdakhf6lzy4bhaq5pxc8g7p32iz04894hd7dbb2iv8h";
- name = "systemsettings-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/systemsettings-5.22.5.tar.xz";
+ sha256 = "1fvmp6nhmn71hxrf0nfg9m8ifp36kvk5k550hiazgz63l7x7hyfc";
+ name = "systemsettings-5.22.5.tar.xz";
};
};
xdg-desktop-portal-kde = {
- version = "5.22.4";
+ version = "5.22.5";
src = fetchurl {
- url = "${mirror}/stable/plasma/5.22.4/xdg-desktop-portal-kde-5.22.4.tar.xz";
- sha256 = "1xmlw66bw60cl530hjjab8g4krv6di4wpimjaz0a9mv3dnq9xz7m";
- name = "xdg-desktop-portal-kde-5.22.4.tar.xz";
+ url = "${mirror}/stable/plasma/5.22.5/xdg-desktop-portal-kde-5.22.5.tar.xz";
+ sha256 = "00d6dh9jh15y0ndcrm86wzhmpv81s9pm0x0pbiywdia606yp27c6";
+ name = "xdg-desktop-portal-kde-5.22.5.tar.xz";
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/catfish/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/catfish/default.nix
index df072f86208..5a4a5dc2a18 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/catfish/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/catfish/default.nix
@@ -5,11 +5,11 @@
python3Packages.buildPythonApplication rec {
pname = "catfish";
- version = "4.16.2";
+ version = "4.16.3";
src = fetchurl {
url = "https://archive.xfce.org/src/apps/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.bz2";
- sha256 = "sha256-shouFRlD8LGU04sX/qrzghh5R+0SoCw9ZJKvt0gBKms=";
+ sha256 = "sha256-6amaYtEJgTkVCN1D88v6LVCmm9a30e7vfTC6TGc9z9o=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/mousepad/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/mousepad/default.nix
index c3e7805b112..1fdbe267c03 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/mousepad/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/mousepad/default.nix
@@ -3,10 +3,10 @@
mkXfceDerivation {
category = "apps";
pname = "mousepad";
- version = "0.5.6";
+ version = "0.5.7";
odd-unstable = false;
- sha256 = "sha256-cdM2NHUnN2FITITb4077Je5Z8xwZAJfjmwXfV+WE6jk=";
+ sha256 = "sha256-VLPzzM9dl+HAPI+Qn2QTjrKfRgngsExlPFRsdmsNcSM=";
nativeBuildInputs = [ gobject-introspection ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/xfce4-notifyd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/xfce4-notifyd/default.nix
index e28c169b26e..64fc7db4dbc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/xfce4-notifyd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/xfce4-notifyd/default.nix
@@ -1,4 +1,4 @@
-{ mkXfceDerivation, glib, exo, gtk3, libnotify, libxfce4ui, libxfce4util
+{ mkXfceDerivation, glib, gtk3, libnotify, libxfce4ui, libxfce4util
, xfce4-panel, xfconf }:
mkXfceDerivation {
@@ -8,7 +8,11 @@ mkXfceDerivation {
sha256 = "sha256-Gomehef68+mOgGFDaH48jG51nbaV4ruN925h71w7FuE=";
- buildInputs = [ exo gtk3 glib libnotify libxfce4ui libxfce4util xfce4-panel xfconf ];
+ buildInputs = [ gtk3 glib libnotify libxfce4ui libxfce4util xfce4-panel xfconf ];
+
+ configureFlags = [
+ "--enable-dbus-start-daemon"
+ ];
meta = {
description = "Simple notification daemon for Xfce";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/xfdashboard/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/xfdashboard/default.nix
index 527696c995e..bee7f513608 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/xfdashboard/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/applications/xfdashboard/default.nix
@@ -17,11 +17,11 @@
mkXfceDerivation {
category = "apps";
pname = "xfdashboard";
- version = "0.9.3";
+ version = "0.9.4";
rev-prefix = "";
odd-unstable = false;
- sha256 = "sha256-xoeqVsfvBH2zzQqDUJGiA47hgVvEkvVf9bNYQmyiytk=";
+ sha256 = "sha256-ZDrBLSfRBw5/nIs/x1jJQCVgNJer85b8Hm1kkX1Dk3s=";
buildInputs = [
clutter
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/core/libxfce4ui/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/core/libxfce4ui/default.nix
index 99cb0e7cc62..0a937f68fd0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/core/libxfce4ui/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/core/libxfce4ui/default.nix
@@ -4,9 +4,9 @@
mkXfceDerivation {
category = "xfce";
pname = "libxfce4ui";
- version = "4.16.0";
+ version = "4.16.1";
- sha256 = "sha256-YmawNgkCM2xwoMKZrY9SxRhm2t0tsmk2j2+grW9zPCk=";
+ sha256 = "sha256-5mwyC3YA1LvdVSvaHN7CXDJh+IXjmdHGLKzhpjtUZkw=";
nativeBuildInputs = [ gobject-introspection vala ];
buildInputs = [ gtk3 libstartup_notification libgtop epoxy xfconf ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/core/thunar/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/core/thunar/default.nix
index 7efc64e942d..2672da6ad37 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/core/thunar/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/core/thunar/default.nix
@@ -21,9 +21,9 @@
let unwrapped = mkXfceDerivation {
category = "xfce";
pname = "thunar";
- version = "4.16.8";
+ version = "4.16.10";
- sha256 = "sha256-KFx01vbVeGR+0U3DkUVRhO7pTv3R2h7qSe5VQE2b+OQ=";
+ sha256 = "sha256-BeEy8+zEsJ5fJAbvP37tfekqF5LTHil0RDcE5RY0f64=";
nativeBuildInputs = [
docbook_xsl
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/panel-plugins/xfce4-whiskermenu-plugin/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/panel-plugins/xfce4-whiskermenu-plugin/default.nix
index b9d6f5b23eb..62e454a6285 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/panel-plugins/xfce4-whiskermenu-plugin/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/desktops/xfce/panel-plugins/xfce4-whiskermenu-plugin/default.nix
@@ -3,10 +3,10 @@
mkXfceDerivation {
category = "panel-plugins";
pname = "xfce4-whiskermenu-plugin";
- version = "2.5.3";
+ version = "2.6.0";
rev-prefix = "v";
odd-unstable = false;
- sha256 = "sha256-Hw4vdFChKOqllsxMS2Bi7UL48a3Z6oB8oCPKWwa8bJY=";
+ sha256 = "sha256-VTv4nOL1ltHrewf3q4Uz4e2QjV+Jf7YZTNqILjuAEpM=";
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/default.nix
index b6be8c3e7fb..1c9d5099b44 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/default.nix
@@ -76,7 +76,7 @@ let
debugInfo = true;
};
- elixir_ls = callPackage ./elixir_ls.nix { inherit elixir fetchMixDeps mixRelease; };
+ elixir_ls = callPackage ./elixir-ls { inherit elixir fetchMixDeps mixRelease; };
lfe = lfe_1_3;
lfe_1_3 = lib'.callLFE ../interpreters/lfe/1.3.nix { inherit erlang buildRebar3 buildHex; };
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/elixir_ls.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/elixir-ls/default.nix
similarity index 92%
rename from infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/elixir_ls.nix
rename to infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/elixir-ls/default.nix
index 2b6cc4f5681..1385b40fc1f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/elixir_ls.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/elixir-ls/default.nix
@@ -4,20 +4,20 @@
mixRelease rec {
pname = "elixir-ls";
- version = "0.8.0";
+ version = "0.8.1";
src = fetchFromGitHub {
owner = "elixir-lsp";
repo = "elixir-ls";
rev = "v${version}";
- sha256 = "sha256-pUvONMTYH8atF/p2Ep/K3bwJUDxTzCsxLPbpjP0tQpM=";
+ sha256 = "sha256-KlZq12RCor9GrwA8QMP3R+jUQ/xFHRjkLwwkvthiMU0=";
fetchSubmodules = true;
};
mixFodDeps = fetchMixDeps {
pname = "mix-deps-${pname}";
inherit src version;
- sha256 = "sha256-YRzPASpg1K2kZUga5/aQf4Q33d8aHCwhw7KJxSY56k4=";
+ sha256 = "sha256-OzjToAg+q/ybCyqzNFk28OBsItjFTbdPi416EPh2qX0=";
};
# elixir_ls is an umbrella app
@@ -68,4 +68,5 @@ mixRelease rec {
platforms = platforms.unix;
maintainers = teams.beam.members;
};
+ passthru.updateScript = ./update.sh;
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/elixir-ls/update.sh b/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/elixir-ls/update.sh
new file mode 100755
index 00000000000..8bc1c2b6e96
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/elixir-ls/update.sh
@@ -0,0 +1,32 @@
+#!/usr/bin/env nix-shell
+#! nix-shell -i oil -p jq sd nix-prefetch-github ripgrep
+
+# TODO set to `verbose` or `extdebug` once implemented in oil
+shopt --set xtrace
+
+var directory = $(dirname $0 | xargs realpath)
+var owner = "elixir-lsp"
+var repo = "elixir-ls"
+var latest_rev = $(curl -q https://api.github.com/repos/${owner}/${repo}/releases/latest | \
+ jq -r '.tag_name')
+var latest_version = $(echo $latest_rev | sd 'v' '')
+var current_version = $(nix-instantiate -A elixir_ls.version --eval --json | jq -r)
+if ("$latest_version" == "$current_version") {
+ echo "elixir-ls is already up-to-date"
+ return 0
+} else {
+ var tarball_meta = $(nix-prefetch-github $owner $repo --rev "$latest_rev")
+ var tarball_hash = "sha256-$(echo $tarball_meta | jq -r '.sha256')"
+ var sha256s = $(rg '"sha256-.+"' $directory/default.nix | sd '.+"(.+)";' '$1' )
+ echo $sha256s | read --line :github_sha256
+ echo $sha256s | tail -n 1 | read --line :old_mix_sha256
+ sd 'version = ".+"' "version = \"$latest_version\"" "$directory/default.nix"
+ sd "sha256 = \"$github_sha256\"" "sha256 = \"$tarball_hash\"" "$directory/default.nix"
+ sd "sha256 = \"$old_mix_sha256\"" "sha256 = \"\"" "$directory/default.nix"
+
+ var new_mix_hash = $(nix-build -A elixir_ls.mixFodDeps 2>&1 | \
+ tail -n 1 | \
+ sd '\s+got:\s+' '')
+
+ sd "sha256 = \"\"" "sha256 = \"$new_mix_hash\"" "$directory/default.nix"
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/erlang-ls/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/erlang-ls/default.nix
index 9f7d434193a..cec3336dcb4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/erlang-ls/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/erlang-ls/default.nix
@@ -1,7 +1,7 @@
{ fetchFromGitHub, fetchgit, fetchHex, rebar3Relx, buildRebar3, rebar3-proper
, stdenv, writeScript, lib }:
let
- version = "0.18.0";
+ version = "0.20.0";
owner = "erlang-ls";
repo = "erlang_ls";
deps = import ./rebar-deps.nix {
@@ -19,7 +19,7 @@ rebar3Relx {
inherit version;
src = fetchFromGitHub {
inherit owner repo;
- sha256 = "sha256-miCl04qqrirVPubOs558yWvXP3Sgs3bcDuGO9DZIsow=";
+ sha256 = "sha256-XBCauvPalIPjVOYlMfWC+5mKku28b/qqKhp9NgSkoyA=";
rev = version;
};
releaseType = "escript";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/mix-release.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/mix-release.nix
index c65e497f4d7..554e2e2daed 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/mix-release.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/mix-release.nix
@@ -104,8 +104,12 @@ stdenv.mkDerivation (overridable // {
if [ -e $out/erts-* ]; then
echo "ERTS found in $out - removing references to erlang to reduce closure size"
# there is a link in $out/erts-*/bin/start always
+ # TODO:
# sometimes there are links in dependencies like bcrypt compiled binaries
- for file in $(rg "${erlang}/lib/erlang" "$out" --text --files-with-matches); do
+ # at the moment those are not removed since substituteInPlace will
+ # error on binaries
+ for file in $(rg "${erlang}/lib/erlang" "$out" --files-with-matches); do
+ echo "removing reference to erlang in $file"
substituteInPlace "$file" --replace "${erlang}/lib/erlang" "$out"
done
fi
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/webdriver/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/webdriver/default.nix
index 1255ec59c3a..131fd03d86d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/webdriver/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/beam-modules/webdriver/default.nix
@@ -7,14 +7,14 @@ let
};
pkg = self: stdenv.mkDerivation {
- name = "webdriver";
- version = "0.0.0+build.18.7ceaf1f";
+ pname = "webdriver";
+ version = "0.pre+unstable=2015-02-08";
src = fetchFromGitHub {
- owner = "Quviq";
- repo = "webdrv";
- rev = "7ceaf1f67d834e841ca0133b4bf899a9fa2db6bb";
- sha256 = "1pq6pmlr6xb4hv2fvmlrvzd8c70kdcidlgjv4p8n9pwvkif0cb87";
+ owner = "Quviq";
+ repo = "webdrv";
+ rev = "7ceaf1f67d834e841ca0133b4bf899a9fa2db6bb";
+ sha256 = "1pq6pmlr6xb4hv2fvmlrvzd8c70kdcidlgjv4p8n9pwvkif0cb87";
};
setupHook = writeText "setupHook.sh" ''
@@ -36,5 +36,5 @@ let
env = shell self;
};
-};
+ };
in lib.fix pkg
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/as31/0000-getline-break.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/as31/0000-getline-break.patch
new file mode 100644
index 00000000000..c53f6587f8a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/as31/0000-getline-break.patch
@@ -0,0 +1,24 @@
+diff --git old/as31/run.c new/as31/run.c
+index 28c5317..9e5263b 100644
+--- old/as31/run.c
++++ new/as31/run.c
+@@ -113,7 +113,8 @@ int run_as31(const char *infile, int lst, int use_stdout,
+ }
+
+ while (!feof(finPre)) {
+- getline(&lineBuffer,&sizeBuf,finPre);
++ if (getline(&lineBuffer,&sizeBuf,finPre) == -1)
++ break;
+ if ((includePtr=strstr(lineBuffer,INC_CMD))) {
+ includePtr=includePtr+strlen(INC_CMD);
+ while ((*includePtr==' ')|| //move includePtr to filename
+@@ -138,7 +139,8 @@ int run_as31(const char *infile, int lst, int use_stdout,
+ mesg_f("Cannot open include file: %s\n",includePtr);
+ } else {
+ while (!feof(includeFile)) {
+- getline(&incLineBuffer,&incSizeBuf,includeFile);
++ if (getline(&incLineBuffer,&incSizeBuf,includeFile) == -1)
++ break;
+ fprintf(fin,"%s",incLineBuffer);
+ if (strlen(incLineBuffer)) {
+ incLineCount++;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/as31/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/as31/default.nix
new file mode 100644
index 00000000000..c76b8a03312
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/as31/default.nix
@@ -0,0 +1,43 @@
+{ lib
+, stdenv
+, fetchurl
+, bison
+}:
+
+stdenv.mkDerivation rec {
+ pname = "as31";
+ version = "2.3.1";
+
+ src = fetchurl {
+ url = "http://wiki.erazor-zone.de/_media/wiki:projects:linux:as31:${pname}-${version}.tar.gz";
+ name = "${pname}-${version}.tar.gz";
+ hash = "sha256-zSEyWHFon5nyq717Mpmdv1XZ5Hz0e8ZABqsP8M83c1U=";
+ };
+
+ patches = [
+ # Check return value of getline in run.c
+ ./0000-getline-break.patch
+ ];
+
+ postPatch = ''
+ # parser.c is generated from parser.y; it is better to generate it via bison
+ # instead of using the prebuilt one, especially in x86_64
+ rm -f as31/parser.c
+ '';
+
+ preConfigure = ''
+ chmod +x configure
+ '';
+
+ nativeBuildInputs = [
+ bison
+ ];
+
+ meta = with lib; {
+ homepage = "http://wiki.erazor-zone.de/wiki:projects:linux:as31";
+ description = "An 8031/8051 assembler";
+ license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ AndersonTorres ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/atasm/0000-file-not-found.diff b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/atasm/0000-file-not-found.diff
new file mode 100644
index 00000000000..39caaf30417
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/atasm/0000-file-not-found.diff
@@ -0,0 +1,16 @@
+diff -Naur atasm109-old/src/Makefile atasm109-new/src/Makefile
+--- atasm109-old/src/Makefile 2021-09-08 09:53:25.581598063 -0300
++++ atasm109-new/src/Makefile 2021-09-08 09:55:20.366131338 -0300
+@@ -55,9 +55,9 @@
+ chown root.root $(DESTDIR)/atasm || true
+ chmod 711 $(DESTDIR)/atasm
+ mkdir $(DOCDIR) >/dev/null 2>&1 || echo $(DOCDIR) already exists
+- cp ../atasm.txt $(DOCDIR)
+- chown root.root $(DOCDIR)/atasm.txt || true
+- chmod 644 $(DOCDIR)/atasm.txt
++ # cp ../atasm.txt $(DOCDIR)
++ # chown root.root $(DOCDIR)/atasm.txt || true
++ # chmod 644 $(DOCDIR)/atasm.txt
+ sed -e 's,%%DOCDIR%%,$(DOCDIR),g' < atasm.1.in > atasm.1
+ cp atasm.1 $(MANDIR)
+ chown root.root $(MANDIR)/atasm.1 || true
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/atasm/0001-select-flags.diff b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/atasm/0001-select-flags.diff
new file mode 100644
index 00000000000..b8fce38fcf2
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/atasm/0001-select-flags.diff
@@ -0,0 +1,14 @@
+diff -Naur atasm109-old/src/Makefile atasm109-new/src/Makefile
+--- atasm109-old/src/Makefile 2021-09-08 09:53:25.581598063 -0300
++++ atasm109-new/src/Makefile 2021-09-08 09:55:20.366131338 -0300
+@@ -16,8 +16,8 @@
+ UNIX = -DUNIX
+
+ # Compiler flags, if you are using egcs, pgcs, or gcc >2.8.1 use:
+-#CFLAGS = -g -Wall $(USEZ) $(DOS) $(UNIX) $(ARCH)
+-CFLAGS = -Wall $(USEZ) $(DOS) $(UNIX) -O3 -fomit-frame-pointer $(ARCH)
++CFLAGS = -g -Wall $(USEZ) $(DOS) $(UNIX) $(ARCH)
++#CFLAGS = -Wall $(USEZ) $(DOS) $(UNIX) -O3 -fomit-frame-pointer $(ARCH)
+
+ L = $(ZLIB)
+ CC = gcc
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/atasm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/atasm/default.nix
new file mode 100644
index 00000000000..74f54d8d03c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/atasm/default.nix
@@ -0,0 +1,64 @@
+{ lib
+, stdenv
+, fetchurl
+, unzip
+, zlib
+}:
+
+stdenv.mkDerivation rec {
+ pname = "atasm";
+ version = "1.09";
+
+ src = fetchurl {
+ url = "https://atari.miribilist.com/${pname}/${pname}${builtins.replaceStrings ["."] [""] version}.zip";
+ hash = "sha256-26shhw2r30GZIPz6S1rf6dOLKRpgpLwrqCRZX3+8PvA=";
+ };
+
+ patches = [
+ # make install fails because atasm.txt was moved; report to upstream
+ ./0000-file-not-found.diff
+ # select flags for compilation
+ ./0001-select-flags.diff
+ ];
+
+ dontConfigure = true;
+
+ nativeBuildInputs = [
+ unzip
+ ];
+
+ buildInputs = [
+ zlib
+ ];
+
+ preBuild = ''
+ makeFlagsArray+=(
+ -C ./src
+ CC=cc
+ USEZ="-DZLIB_CAPABLE -I${zlib}/include"
+ ZLIB="-L${zlib}/lib -lz"
+ UNIX="-DUNIX"
+ )
+ '';
+
+ preInstall = ''
+ install -d $out/share/doc/${pname} $out/man/man1
+ installFlagsArray+=(
+ DESTDIR=$out
+ DOCDIR=$out/share/doc/${pname}
+ MANDIR=$out/man/man1
+ )
+ '';
+
+ postInstall = ''
+ mv docs/* $out/share/doc/${pname}
+ '';
+
+ meta = with lib; {
+ homepage = "https://atari.miribilist.com/atasm/";
+ description = "A commandline 6502 assembler compatible with Mac/65";
+ license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ AndersonTorres ];
+ platforms = with platforms; unix;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/bigloo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/bigloo/default.nix
index c4fa5712ea6..d61d34276a3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/bigloo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/bigloo/default.nix
@@ -1,5 +1,5 @@
{ fetchurl, lib, stdenv, autoconf, automake, libtool, gmp
-, darwin
+, darwin, libunistring
}:
stdenv.mkDerivation rec {
@@ -13,9 +13,10 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [ autoconf automake libtool ];
- buildInputs = lib.optional stdenv.isDarwin
+ buildInputs = lib.optionals stdenv.isDarwin [
darwin.apple_sdk.frameworks.ApplicationServices
- ;
+ libunistring
+ ];
propagatedBuildInputs = [ gmp ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/bluespec/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/bluespec/default.nix
index 7a76d4948e7..d89ed3e0d49 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/bluespec/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/bluespec/default.nix
@@ -1,66 +1,45 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchFromGitHub
-, fetchpatch
, autoconf
, automake
, fontconfig
-, gmp-static
-, gperf
, libX11
-, libpoly
, perl
, flex
, bison
, pkg-config
-, itktcl
-, incrtcl
, tcl
, tk
-, verilog
, xorg
, yices
, zlib
, ghc
-}:
+, gmp-static
+, verilog
+, asciidoctor
+, tex }:
let
- ghcWithPackages = ghc.withPackages (g: (with g; [old-time regex-compat syb split ]));
+ ghcWithPackages = ghc.withPackages (g: (with g; [ old-time regex-compat syb split ]));
+
in stdenv.mkDerivation rec {
pname = "bluespec";
- version = "unstable-2021.03.29";
+ version = "2021.07";
src = fetchFromGitHub {
- owner = "B-Lang-org";
- repo = "bsc";
- rev = "00185f7960bd1bd5554a1167be9f37e1f18ac454";
- sha256 = "1bcdhql4cla137d8xr8m2h21dyxv0jpjpalpr5mgj2jxqfsmkbrn";
- };
+ owner = "B-Lang-org";
+ repo = "bsc";
+ rev = version;
+ sha256 = "0gw8wyp65lpkyfhv3laazz9qypdl8qkp1j7cqp0gv11592a9p5qw";
+ };
enableParallelBuilding = true;
- patches = [ ./libstp_stub_makefile.patch ];
-
- buildInputs = yices.buildInputs ++ [
- zlib
- tcl tk
- libX11 # tcltk
- xorg.libXft
- fontconfig
- ];
-
- nativeBuildInputs = [
- automake autoconf
- perl
- flex
- bison
- pkg-config
- ghcWithPackages
- ];
-
- checkInputs = [
- verilog
- ];
+ outputs = [ "out" "doc" ];
+ # https://github.com/B-Lang-org/bsc/pull/278
+ patches = [ ./libstp_stub_makefile.patch ];
postUnpack = ''
mkdir -p $sourceRoot/src/vendor/yices/v2.6/yices2
@@ -79,25 +58,65 @@ in stdenv.mkDerivation rec {
substituteInPlace src/comp/Makefile \
--replace 'BINDDIR' 'BINDIR' \
--replace 'install-bsc install-bluetcl' 'install-bsc install-bluetcl $(UTILEXES) install-utils'
+
# allow running bsc to bootstrap
- export LD_LIBRARY_PATH=/build/source/inst/lib/SAT
+ export LD_LIBRARY_PATH=$PWD/inst/lib/SAT
'';
+ buildInputs = yices.buildInputs ++ [
+ fontconfig
+ libX11 # tcltk
+ tcl
+ tk
+ xorg.libXft
+ zlib
+ ];
+
+ nativeBuildInputs = [
+ automake
+ autoconf
+ asciidoctor
+ bison
+ flex
+ ghcWithPackages
+ perl
+ pkg-config
+ tex
+ ];
+
makeFlags = [
+ "release"
"NO_DEPS_CHECKS=1" # skip the subrepo check (this deriviation uses yices.src instead of the subrepo)
"NOGIT=1" # https://github.com/B-Lang-org/bsc/issues/12
"LDCONFIG=ldconfig" # https://github.com/B-Lang-org/bsc/pull/43
"STP_STUB=1"
];
- installPhase = "mv inst $out";
-
doCheck = true;
+ checkInputs = [
+ gmp-static
+ verilog
+ ];
+
+ checkTarget = "check-smoke";
+
+ installPhase = ''
+ mkdir -p $out
+ mv inst/bin $out
+ mv inst/lib $out
+
+ # fragile, I know..
+ mkdir -p $doc/share/doc/bsc
+ mv inst/README $doc/share/doc/bsc
+ mv inst/ReleaseNotes.* $doc/share/doc/bsc
+ mv inst/doc/*.pdf $doc/share/doc/bsc
+ '';
+
meta = {
description = "Toolchain for the Bluespec Hardware Definition Language";
- homepage = "https://github.com/B-Lang-org/bsc";
- license = lib.licenses.bsd3;
+ homepage = "https://github.com/B-Lang-org/bsc";
+ license = lib.licenses.bsd3;
platforms = [ "x86_64-linux" ];
# darwin fails at https://github.com/B-Lang-org/bsc/pull/35#issuecomment-583731562
# aarch64 fails, as GHC fails with "ghc: could not execute: opt"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/crystal/build-package.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/crystal/build-package.nix
index 67c8128f6b5..2328e76ad77 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/crystal/build-package.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/crystal/build-package.nix
@@ -10,7 +10,7 @@
, format ? "make"
, installManPages ? true
# Specify binaries to build in the form { foo.src = "src/foo.cr"; }
- # The default `crystal build` options can be overridden with { foo.options = [ "--no-debug" ]; }
+ # The default `crystal build` options can be overridden with { foo.options = [ "--optionname" ]; }
, crystalBinaries ? { }
, ...
}@args:
@@ -32,8 +32,7 @@ let
})
(import shardsFile));
- # we previously had --no-debug here but that is not recommended by upstream
- defaultOptions = [ "--release" "--progress" "--verbose" ];
+ defaultOptions = [ "--release" "--progress" "--verbose" "--no-debug" ];
buildDirectly = shardsFile == null || crystalBinaries != { };
@@ -120,7 +119,7 @@ stdenv.mkDerivation (mkDerivationArgs // {
installCheckPhase = args.installCheckPhase or ''
for f in $out/bin/*; do
- $f --help
+ $f --help > /dev/null
done
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/crystal/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/crystal/default.nix
index 8fc4a15efce..29e826c88d6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/crystal/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/crystal/default.nix
@@ -56,6 +56,7 @@ let
buildCommand = ''
mkdir -p $out
tar --strip-components=1 -C $out -xf ${src}
+ patchShebangs $out/bin/crystal
'';
};
@@ -93,6 +94,10 @@ let
outputs = [ "out" "lib" "bin" ];
postPatch = ''
+ export TMP=$(mktemp -d)
+ export HOME=$TMP
+ mkdir -p $HOME/test
+
# Add dependency of crystal to docs to avoid issue on flag changes between releases
# https://github.com/crystal-lang/crystal/pull/8792#issuecomment-614004782
substituteInPlace Makefile \
@@ -103,39 +108,35 @@ let
ln -sf spec/compiler spec/std
- # Dirty fix for when no sandboxing is enabled
- rm -rf /tmp/crystal
- mkdir -p /tmp/crystal
+ mkdir -p $TMP/crystal
substituteInPlace spec/std/file_spec.cr \
--replace '/bin/ls' '${coreutils}/bin/ls' \
- --replace '/usr/share' '/tmp/crystal' \
- --replace '/usr' '/tmp'
+ --replace '/usr/share' "$TMP/crystal" \
+ --replace '/usr' "$TMP" \
+ --replace '/tmp' "$TMP"
substituteInPlace spec/std/process_spec.cr \
--replace '/bin/cat' '${coreutils}/bin/cat' \
--replace '/bin/ls' '${coreutils}/bin/ls' \
--replace '/usr/bin/env' '${coreutils}/bin/env' \
--replace '"env"' '"${coreutils}/bin/env"' \
- --replace '"/usr"' '"/tmp"'
-
- substituteInPlace spec/std/socket/tcp_server_spec.cr \
- --replace '{% if flag?(:gnu) %}"listen: "{% else %}"bind: "{% end %}' '"bind: "'
+ --replace '/usr' "$TMP" \
+ --replace '/tmp' "$TMP"
substituteInPlace spec/std/system_spec.cr \
--replace '`hostname`' '`${hostname}/bin/hostname`'
- # See https://github.com/crystal-lang/crystal/pull/8640
- substituteInPlace spec/std/http/cookie_spec.cr \
- --replace '01 Jan 2020' '01 Jan #{Time.utc.year + 2}'
-
# See https://github.com/crystal-lang/crystal/issues/8629
substituteInPlace spec/std/socket/udp_socket_spec.cr \
--replace 'it "joins and transmits to multicast groups"' 'pending "joins and transmits to multicast groups"'
+ '';
- # See https://github.com/crystal-lang/crystal/pull/8699
- substituteInPlace spec/std/xml/xml_spec.cr \
- --replace 'it "handles errors"' 'pending "handles errors"'
+ # Defaults are 4
+ preBuild = ''
+ export CRYSTAL_WORKERS=$NIX_BUILD_CORES
+ export threads=$NIX_BUILD_CORES
+ export CRYSTAL_CACHE_DIR=$TMP
'';
buildInputs = commonBuildInputs extraBuildInputs;
@@ -197,9 +198,6 @@ let
checkTarget = "compiler_spec";
preCheck = ''
- export HOME=/tmp
- mkdir -p $HOME/test
-
export LIBRARY_PATH=${lib.makeLibraryPath checkInputs}:$LIBRARY_PATH
export PATH=${lib.makeBinPath checkInputs}:$PATH
'';
@@ -214,69 +212,41 @@ let
license = licenses.asl20;
maintainers = with maintainers; [ david50407 fabianhjr manveru peterhoeg ];
platforms = builtins.attrNames archs;
- # Error running at_exit handler: Nil assertion failed
- broken = lib.versions.minor version == "32" && stdenv.isDarwin;
+ broken = lib.versionOlder version "0.36.1" && stdenv.isDarwin;
};
})
);
in
rec {
- binaryCrystal_0_31 = genericBinary {
- version = "0.31.1";
+ binaryCrystal_1_0 = genericBinary {
+ version = "1.0.0";
sha256s = {
- x86_64-linux = "0r8salf572xrnr4m6ll9q5hz6jj8q7ff1rljlhmqb1r26a8mi2ih";
- i686-linux = "0hridnis5vvrswflx0q67xfg5hryhz6ivlwrb9n4pryj5d1gwjrr";
- x86_64-darwin = "1dgxgv0s3swkc5cwawzgpbc6bcd2nx4hjxc7iw2h907y1vgmbipz";
+ x86_64-linux = "1949argajiyqyq09824yj3wjyv88gd8wbf20xh895saqfykiq880";
+ i686-linux = "0w0f4fwr2ijhx59i7ppicbh05hfmq7vffmgl7lal6im945m29vch";
+ x86_64-darwin = "01n0rf8zh551vv8wq3h0ifnsai0fz9a77yq87xx81y9dscl9h099";
};
};
- crystal_0_31 = generic {
- version = "0.31.1";
- sha256 = "1dswxa32w16gnc6yjym12xj7ibg0g6zk3ngvl76lwdjqb1h6lwz8";
- doCheck = false; # 5 checks are failing now
- binary = binaryCrystal_0_31;
- };
-
- crystal_0_32 = generic {
- version = "0.32.1";
- sha256 = "120ndi3nhh2r52hjvhwfb49cdggr1bzdq6b8xg7irzavhjinfza6";
- binary = crystal_0_31;
- };
-
- crystal_0_33 = generic {
- version = "0.33.0";
- sha256 = "1zg0qixcws81s083wrh54hp83ng2pa8iyyafaha55mzrh8293jbi";
- binary = crystal_0_32;
- };
-
- crystal_0_34 = generic {
- version = "0.34.0";
- sha256 = "110lfpxk9jnqyznbfnilys65ixj5sdmy8pvvnlhqhc3ccvrlnmq4";
- binary = crystal_0_33;
- };
-
- crystal_0_35 = generic {
- version = "0.35.1";
- sha256 = "0p51bjl1nsvwsm64lqq421dcsxa201w7wwq8plw4r8wqarpq0g69";
- binary = crystal_0_34;
- # Needs git to build as per https://github.com/crystal-lang/crystal/issues/9789
- extraBuildInputs = [ git ];
+ crystal_1_0 = generic {
+ version = "1.0.0";
+ sha256 = "sha256-RI+a3w6Rr+uc5jRf7xw0tOenR+q6qii/ewWfID6dbQ8=";
+ binary = binaryCrystal_1_0;
};
- crystal_0_36 = generic {
- version = "0.36.1";
- sha256 = "sha256-5rjrvwZKM4lHpmxLyUVbi0Zw98xT+iJKonxwfUwS/Wk=";
- binary = crystal_0_35;
+ crystal_1_1 = generic {
+ version = "1.1.1";
+ sha256 = "sha256-hhhT3reia8acZiPsflwfuD638Ll2JiXwMfES1TyGyNQ=";
+ binary = crystal_1_0;
};
- crystal_1_0 = generic {
- version = "1.0.0";
- sha256 = "sha256-RI+a3w6Rr+uc5jRf7xw0tOenR+q6qii/ewWfID6dbQ8=";
- binary = crystal_0_36;
+ crystal_1_2 = generic {
+ version = "1.2.0";
+ sha256 = "sha256-38mmsolzmCnv+MFUMc+AEiklDLBHIr/jqXMLzc0nVq4=";
+ binary = crystal_1_1;
};
- crystal = crystal_1_0;
+ crystal = crystal_1_2;
crystal2nix = callPackage ./crystal2nix.nix { };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/cudatoolkit/common.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/cudatoolkit/common.nix
index c8a1964814e..585277064ab 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/cudatoolkit/common.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/cudatoolkit/common.nix
@@ -193,11 +193,11 @@ stdenv.mkDerivation rec {
--set-interpreter "''$(cat $NIX_CC/nix-support/dynamic-linker)" $i
fi
if [[ $i =~ libcudart ]]; then
- rpath2=
+ patchelf --remove-rpath $i
else
rpath2=$rpath:$lib/lib:$out/jre/lib/amd64/jli:$out/lib:$out/lib64:$out/nvvm/lib:$out/nvvm/lib64
+ patchelf --set-rpath "$rpath2" --force-rpath $i
fi
- patchelf --set-rpath "$rpath2" --force-rpath $i
done < <(find $out $lib $doc -type f -print0)
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/cudatoolkit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/cudatoolkit/default.nix
index da6857f6ab9..1747f16f12d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/cudatoolkit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/cudatoolkit/default.nix
@@ -1,13 +1,15 @@
-{ lib
-, callPackage
+{ callPackage
, fetchurl
, gcc7
, gcc9
+, gcc10
+, lib
}:
let
common = callPackage ./common.nix;
-in rec {
+in
+rec {
cudatoolkit_10_0 = common {
version = "10.0.130";
url = "https://developer.nvidia.com/compute/cuda/10.0/Prod/local_installers/cuda_10.0.130_410.48_linux";
@@ -56,5 +58,19 @@ in rec {
gcc = gcc9;
};
+ cudatoolkit_11_3 = common {
+ version = "11.3.1";
+ url = "https://developer.download.nvidia.com/compute/cuda/11.3.1/local_installers/cuda_11.3.1_465.19.01_linux.run";
+ sha256 = "0d19pwcqin76scbw1s5kgj8n0z1p4v1hyfldqmamilyfxycfm4xd";
+ gcc = gcc9;
+ };
+
+ cudatoolkit_11_4 = common {
+ version = "11.4.1";
+ url = "https://developer.download.nvidia.com/compute/cuda/11.4.1/local_installers/cuda_11.4.1_470.57.02_linux.run";
+ sha256 = "0180pb1zfajb9l6blr467xkx01yp3snfwm2xix8x52crf6d36v6x";
+ gcc = gcc10; # can bump to 11 along with stdenv.cc
+ };
+
cudatoolkit_11 = cudatoolkit_11_2;
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dmd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dmd/default.nix
index 3ae72e5862f..04dbfc0faa9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dmd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dmd/default.nix
@@ -1,26 +1,25 @@
{ stdenv, lib, fetchFromGitHub
, makeWrapper, unzip, which, writeTextFile
-, curl, tzdata, gdb, darwin, git, callPackage
+, curl, tzdata, gdb, Foundation, git, callPackage
, targetPackages, fetchpatch, bash
-, dmdBootstrap ? callPackage ./bootstrap.nix { }
-, HOST_DMD ? "${dmdBootstrap}/bin/dmd"
-, version ? "2.095.1"
-, dmdSha256 ? "sha256:0faca1y42a1h16aml4lb7z118mh9k9fjx3xlw3ki5f1h3ln91xhk"
-, druntimeSha256 ? "sha256:0ad4pa5llr9m9wqbvfv4yrcra4zz9qxlh5kx43mrv48f9bcxm2ha"
-, phobosSha256 ? "sha256:04w6jw4izix2vbw62j13wvz6q3pi7vivxnmxqj0g8904j5g0cxjl"
+, HOST_DMD? "${callPackage ./bootstrap.nix { }}/bin/dmd"
+, version? "2.097.2"
+, dmdSha256? "16ldkk32y7ln82n7g2ym5d1xf3vly3i31hf8600cpvimf6yhr6kb"
+, druntimeSha256? "1sayg6ia85jln8g28vb4m124c27lgbkd6xzg9gblss8ardb8dsp1"
+, phobosSha256? "0czg13h65b6qwhk9ibya21z3iv3fpk3rsjr3zbcrpc2spqjknfw5"
}:
let
-
dmdConfFile = writeTextFile {
- name = "dmd.conf";
- text = (lib.generators.toINI {} {
- Environment = {
- DFLAGS = ''-I@out@/include/dmd -L-L@out@/lib -fPIC ${lib.optionalString (!targetPackages.stdenv.cc.isClang) "-L--export-dynamic"}'';
- };
- });
+ name = "dmd.conf";
+ text = (lib.generators.toINI {} {
+ Environment = {
+ DFLAGS = ''-I@out@/include/dmd -L-L@out@/lib -fPIC ${lib.optionalString (!targetPackages.stdenv.cc.isClang) "-L--export-dynamic"}'';
+ };
+ });
};
+ bits = builtins.toString stdenv.hostPlatform.parsed.cpu.bits;
in
stdenv.mkDerivation rec {
@@ -30,27 +29,27 @@ stdenv.mkDerivation rec {
enableParallelBuilding = true;
srcs = [
- (fetchFromGitHub {
- owner = "dlang";
- repo = "dmd";
- rev = "v${version}";
- sha256 = dmdSha256;
- name = "dmd";
- })
- (fetchFromGitHub {
- owner = "dlang";
- repo = "druntime";
- rev = "v${version}";
- sha256 = druntimeSha256;
- name = "druntime";
- })
- (fetchFromGitHub {
- owner = "dlang";
- repo = "phobos";
- rev = "v${version}";
- sha256 = phobosSha256;
- name = "phobos";
- })
+ (fetchFromGitHub {
+ owner = "dlang";
+ repo = "dmd";
+ rev = "v${version}";
+ sha256 = dmdSha256;
+ name = "dmd";
+ })
+ (fetchFromGitHub {
+ owner = "dlang";
+ repo = "druntime";
+ rev = "v${version}";
+ sha256 = druntimeSha256;
+ name = "druntime";
+ })
+ (fetchFromGitHub {
+ owner = "dlang";
+ repo = "phobos";
+ rev = "v${version}";
+ sha256 = phobosSha256;
+ name = "phobos";
+ })
];
sourceRoot = ".";
@@ -58,61 +57,73 @@ stdenv.mkDerivation rec {
# https://issues.dlang.org/show_bug.cgi?id=19553
hardeningDisable = [ "fortify" ];
- postUnpack = ''
- patchShebangs .
- '';
+ # Not using patches option to make it easy to patch, for example, dmd and
+ # Phobos at same time if that's required
+ patchPhase =
+ lib.optionalString (builtins.compareVersions version "2.092.1" <= 0) ''
+ patch -p1 -F3 --directory=druntime -i ${(fetchpatch {
+ url = "https://github.com/dlang/druntime/commit/438990def7e377ca1f87b6d28246673bb38022ab.patch";
+ sha256 = "0nxzkrd1rzj44l83j7jj90yz2cv01na8vn9d116ijnm85jl007b4";
+ })}
- postPatch = ''
- substituteInPlace dmd/test/dshell/test6952.d --replace "/usr/bin/env bash" "${bash}/bin/bash"
-
- rm dmd/test/runnable/gdb1.d
- rm dmd/test/runnable/gdb10311.d
- rm dmd/test/runnable/gdb14225.d
- rm dmd/test/runnable/gdb14276.d
- rm dmd/test/runnable/gdb14313.d
- rm dmd/test/runnable/gdb14330.d
- rm dmd/test/runnable/gdb15729.sh
- rm dmd/test/runnable/gdb4149.d
- rm dmd/test/runnable/gdb4181.d
- ''
- + lib.optionalString stdenv.hostPlatform.isLinux ''
- substituteInPlace phobos/std/socket.d --replace "assert(ih.addrList[0] == 0x7F_00_00_01);" ""
+ '' + postPatch;
+
+ postPatch =
''
- + lib.optionalString stdenv.hostPlatform.isDarwin ''
- substituteInPlace phobos/std/socket.d --replace "foreach (name; names)" "names = []; foreach (name; names)"
+ patchShebangs .
+
+ '' + lib.optionalString (version == "2.092.1") ''
+ rm dmd/test/dshell/test6952.d
+ '' + lib.optionalString (builtins.compareVersions "2.092.1" version < 0) ''
+ substituteInPlace dmd/test/dshell/test6952.d --replace "/usr/bin/env bash" "${bash}/bin/bash"
+
+ '' + ''
+ rm dmd/test/runnable/gdb1.d
+ rm dmd/test/runnable/gdb10311.d
+ rm dmd/test/runnable/gdb14225.d
+ rm dmd/test/runnable/gdb14276.d
+ rm dmd/test/runnable/gdb14313.d
+ rm dmd/test/runnable/gdb14330.d
+ rm dmd/test/runnable/gdb15729.sh
+ rm dmd/test/runnable/gdb4149.d
+ rm dmd/test/runnable/gdb4181.d
+
+ '' + lib.optionalString stdenv.isLinux ''
+ substituteInPlace phobos/std/socket.d --replace "assert(ih.addrList[0] == 0x7F_00_00_01);" ""
+ '' + lib.optionalString stdenv.isDarwin ''
+ substituteInPlace phobos/std/socket.d --replace "foreach (name; names)" "names = []; foreach (name; names)"
'';
- nativeBuildInputs = [ makeWrapper unzip which gdb git ]
+ nativeBuildInputs = [ makeWrapper unzip which git ];
- ++ lib.optional stdenv.hostPlatform.isDarwin (with darwin.apple_sdk.frameworks; [
- Foundation
- ]);
+ buildInputs = [ gdb curl tzdata ]
+ ++ lib.optional stdenv.isDarwin [ Foundation gdb ];
- buildInputs = [ curl tzdata ];
- bits = builtins.toString stdenv.hostPlatform.parsed.cpu.bits;
- osname = if stdenv.hostPlatform.isDarwin then
+ osname = if stdenv.isDarwin then
"osx"
else
stdenv.hostPlatform.parsed.kernel.name;
- top = "$(echo $NIX_BUILD_TOP)";
+ top = "$NIX_BUILD_TOP";
pathToDmd = "${top}/dmd/generated/${osname}/release/${bits}/dmd";
- # Buid and install are based on http://wiki.dlang.org/Building_DMD
+ # Build and install are based on http://wiki.dlang.org/Building_DMD
buildPhase = ''
- cd dmd
- make -j$NIX_BUILD_CORES -f posix.mak INSTALL_DIR=$out BUILD=release ENABLE_RELEASE=1 PIC=1 HOST_DMD=${HOST_DMD}
- cd ../druntime
- make -j$NIX_BUILD_CORES -f posix.mak BUILD=release ENABLE_RELEASE=1 PIC=1 INSTALL_DIR=$out DMD=${pathToDmd}
- cd ../phobos
- echo ${tzdata}/share/zoneinfo/ > TZDatabaseDirFile
- echo ${curl.out}/lib/libcurl${stdenv.hostPlatform.extensions.sharedLibrary} > LibcurlPathFile
- make -j$NIX_BUILD_CORES -f posix.mak BUILD=release ENABLE_RELEASE=1 PIC=1 INSTALL_DIR=$out DMD=${pathToDmd} DFLAGS="-version=TZDatabaseDir -version=LibcurlPath -J$(pwd)"
- cd ..
+ cd dmd
+ make -j$NIX_BUILD_CORES -f posix.mak INSTALL_DIR=$out BUILD=release ENABLE_RELEASE=1 PIC=1 HOST_DMD=${HOST_DMD}
+ cd ../druntime
+ make -j$NIX_BUILD_CORES -f posix.mak BUILD=release ENABLE_RELEASE=1 PIC=1 INSTALL_DIR=$out DMD=${pathToDmd}
+ cd ../phobos
+ echo ${tzdata}/share/zoneinfo/ > TZDatabaseDirFile
+ echo ${curl.out}/lib/libcurl${stdenv.hostPlatform.extensions.sharedLibrary} > LibcurlPathFile
+ make -j$NIX_BUILD_CORES -f posix.mak BUILD=release ENABLE_RELEASE=1 PIC=1 INSTALL_DIR=$out DMD=${pathToDmd} DFLAGS="-version=TZDatabaseDir -version=LibcurlPath -J$(pwd)"
+ cd ..
'';
doCheck = true;
+ # many tests are disbled because they are failing
+
# NOTE: Purity check is disabled for checkPhase because it doesn't fare well
# with the DMD linker. See https://github.com/NixOS/nixpkgs/issues/97420
checkPhase = ''
@@ -132,43 +143,42 @@ stdenv.mkDerivation rec {
'';
installPhase = ''
- cd dmd
- mkdir $out
- mkdir $out/bin
- cp ${pathToDmd} $out/bin
+ cd dmd
+ mkdir $out
+ mkdir $out/bin
+ cp ${pathToDmd} $out/bin
- mkdir -p $out/share/man/man1
- mkdir -p $out/share/man/man5
- cp -r docs/man/man1/* $out/share/man/man1/
- cp -r docs/man/man5/* $out/share/man/man5/
+ mkdir -p $out/share/man/man1
+ mkdir -p $out/share/man/man5
+ cp -r docs/man/man1/* $out/share/man/man1/
+ cp -r docs/man/man5/* $out/share/man/man5/
- cd ../druntime
- mkdir $out/include
- mkdir $out/include/dmd
- cp -r import/* $out/include/dmd
+ cd ../druntime
+ mkdir $out/include
+ mkdir $out/include/dmd
+ cp -r import/* $out/include/dmd
- cd ../phobos
- mkdir $out/lib
- cp generated/${osname}/release/${bits}/libphobos2.* $out/lib
+ cd ../phobos
+ mkdir $out/lib
+ cp generated/${osname}/release/${bits}/libphobos2.* $out/lib
- cp -r std $out/include/dmd
- cp -r etc $out/include/dmd
+ cp -r std $out/include/dmd
+ cp -r etc $out/include/dmd
- wrapProgram $out/bin/dmd \
- --prefix PATH ":" "${targetPackages.stdenv.cc}/bin" \
- --set-default CC "${targetPackages.stdenv.cc}/bin/cc"
+ wrapProgram $out/bin/dmd \
+ --prefix PATH ":" "${targetPackages.stdenv.cc}/bin" \
+ --set-default CC "${targetPackages.stdenv.cc}/bin/cc"
- substitute ${dmdConfFile} "$out/bin/dmd.conf" --subst-var out
+ substitute ${dmdConfFile} "$out/bin/dmd.conf" --subst-var out
'';
meta = with lib; {
description = "Official reference compiler for the D language";
- homepage = "http://dlang.org/";
+ homepage = "https://dlang.org/";
# Everything is now Boost licensed, even the backend.
# https://github.com/dlang/dmd/pull/6680
license = licenses.boost;
maintainers = with maintainers; [ ThomasMader lionello ];
platforms = [ "x86_64-linux" "i686-linux" "x86_64-darwin" ];
- # many tests are failing
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dotnet/build-dotnet.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dotnet/build-dotnet.nix
index 56880826a19..38c2fae6034 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dotnet/build-dotnet.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dotnet/build-dotnet.nix
@@ -3,7 +3,7 @@
, sha512
}:
-assert builtins.elem type [ "aspnetcore" "netcore" "sdk"];
+assert builtins.elem type [ "aspnetcore" "runtime" "sdk"];
{ lib, stdenv
, fetchurl
, libunwind
@@ -17,7 +17,7 @@ assert builtins.elem type [ "aspnetcore" "netcore" "sdk"];
let
pname = if type == "aspnetcore" then
"aspnetcore-runtime"
- else if type == "netcore" then
+ else if type == "runtime" then
"dotnet-runtime"
else
"dotnet-sdk";
@@ -30,12 +30,12 @@ let
"Unsupported system: ${stdenv.hostPlatform.system}");
urls = {
aspnetcore = "https://dotnetcli.azureedge.net/dotnet/aspnetcore/Runtime/${version}/${pname}-${version}-${platform}-${suffix}.tar.gz";
- netcore = "https://dotnetcli.azureedge.net/dotnet/Runtime/${version}/${pname}-${version}-${platform}-${suffix}.tar.gz";
+ runtime = "https://dotnetcli.azureedge.net/dotnet/Runtime/${version}/${pname}-${version}-${platform}-${suffix}.tar.gz";
sdk = "https://dotnetcli.azureedge.net/dotnet/Sdk/${version}/${pname}-${version}-${platform}-${suffix}.tar.gz";
};
descriptions = {
- aspnetcore = "ASP .NET Core runtime ${version}";
- netcore = ".NET Core runtime ${version}";
+ aspnetcore = "ASP.NET Core Runtime ${version}";
+ runtime = ".NET Runtime ${version}";
sdk = ".NET SDK ${version}";
};
in stdenv.mkDerivation rec {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dotnet/combine-packages.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dotnet/combine-packages.nix
index a38d44e37ef..c99af4e62ae 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dotnet/combine-packages.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dotnet/combine-packages.nix
@@ -5,7 +5,7 @@ in
assert lib.assertMsg ((builtins.length packages) != 0)
''You must include at least one package, e.g
`with dotnetCorePackages; combinePackages [
- sdk_3_0 aspnetcore_2_1
+ sdk_3_1 aspnetcore_5_0
];`'' ;
buildEnv {
name = "dotnet-core-combined";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dotnet/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dotnet/default.nix
index f78c546542a..5b4740c22e5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dotnet/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/dotnet/default.nix
@@ -1,6 +1,6 @@
/*
How to combine packages for use in development:
-dotnetCombined = with dotnetCorePackages; combinePackages [ sdk_3_1 sdk_2_2 sdk_3_0 sdk aspnetcore_2_1 ];
+dotnetCombined = with dotnetCorePackages; combinePackages [ sdk_3_1 sdk_5_0 aspnetcore_5_0 ];
Hashes below are retrived from:
https://dotnet.microsoft.com/download/dotnet
@@ -9,129 +9,73 @@ https://dotnet.microsoft.com/download/dotnet
let
buildDotnet = attrs: callPackage (import ./build-dotnet.nix attrs) {};
buildAspNetCore = attrs: buildDotnet (attrs // { type = "aspnetcore"; });
- buildNetCore = attrs: buildDotnet (attrs // { type = "netcore"; });
- buildNetCoreSdk = attrs: buildDotnet (attrs // { type = "sdk"; });
+ buildNetRuntime = attrs: buildDotnet (attrs // { type = "runtime"; });
+ buildNetSdk = attrs: buildDotnet (attrs // { type = "sdk"; });
in
rec {
combinePackages = attrs: callPackage (import ./combine-packages.nix attrs) {};
- # v2.1.22 (LTS)
+ # EOL
- aspnetcore_2_1 = buildAspNetCore {
- version = "2.1.22";
- sha512 = {
- x86_64-linux = "27v3a69dgnnb4lz5p2dn2qwadb8vpnqwdy6mnnqfp1dl4kgg3izvriz2268if272sy6flcz5lckjlmn0i0i1jci5zypc7x9kykj991l";
- aarch64-linux = null; # no aarch64 version of this package is available
- x86_64-darwin = "0xh06jmzx2cfq51hv9l4h72hbfyh3r0wlla217821gi0hlw6xcc0gb3b4xmqcs240fllqnwrnrwz0axi3xi21wacgn3xbcmzpbi6jml";
- };
- };
-
- netcore_2_1 = buildNetCore {
- version = "2.1.22";
- sha512 = {
- x86_64-linux = "0c2b31l59izcxbhz5wzjpjkdl550s5p3aid4vyghq468vyf67pm0npjny50c172b63vw0ikfbps2b2hj16hpifp116gj4b5llmqjhyc";
- aarch64-linux = "3llai3d2xpgbr7a4ndg9wqfpnb5zb8k07dicc57a6cmniiqyqigyxinhpx2k0l45mbnihjsr5k1rih3r6bwlj241v67iwd2i0dpqd8a";
- x86_64-darwin = "106mx6a4rwcvq41v54c1yx89156s43n889im9g0q2pvm7054q8b6xm6qrnymzmj5i2i6awyk0z02j5pfiyh35sw9afxb3695ymsb3v8";
- };
- };
-
- sdk_2_1 = buildNetCoreSdk {
- version = "2.1.810";
- sha512 = {
- x86_64-linux = "388nrba5f7z9syq23xh3k45rzy3iys58s32ya7a0q9mwdf1y3haw7yvbq79cn08741hhqdi73mip8jf50f4s64mbr62ay1p76zsrkj5";
- aarch64-linux = "2vs8bhk63cjrqkm5n164ahc6bdz58aq9vmhaiyy27krp7wlkz4gpiva9153h7mywhk709l1qc7cddj99qsh2ygv6axjfigbhgrzslqi";
- x86_64-darwin = "3qxlgbd0np0w8wmp98mhp4cqgva4zglqf7k9kzqbwxfwr5s795kap7rs5w0cy7h0bsvj0ygx3d5nzyn9hp3fsswx4jl4mkvillnvjzy";
- };
- };
-
- # v2.2
-
- sdk_2_2 = throw "Dotnet SDK 2.2 is EOL, please use 3.1";
-
- # v3.0.2 (Maintenance)
-
- aspnetcore_3_0 = buildAspNetCore {
- version = "3.0.3";
- sha512 = {
- x86_64-linux = "342v6kxxbxky09d1c392vvr9rm30lf75wccka1bk2h4advlcga5nlgv93g7vrq48bsyxfi5gc36r3b0dlwl1g409g5mlk1042n6d0yq";
- aarch64-linux = "2xkg4q88q5jw6jdz6cxj8vsjr475nd0fcvifkv1shdm2j9dsjy233hwpxbr140m5n5ggyh6z99238z9j4kp2az977y8y8irz8m8ppvf";
- x86_64-darwin = "2p04j6p4j93pan71ih13hv57zxalcirh0n3vfjq0cfb80pbhf1f5cgxl24pw6kifh6hhh38rj62c4mr69lxzlqc8sfcfaws8dyz2avm";
- };
- };
-
- netcore_3_0 = buildNetCore {
- version = "3.0.3";
- sha512 = {
- x86_64-linux = "32ykpcw2xx708r2lxcwcbxnmy4sk159rlfjfvkw990qh7n79pm3lm2qwa3zhqcslznmpg18kwxz8qb5fgsa0h49g843xx4kyai0n7rx";
- aarch64-linux = "1lp8din7d5jv5fkyq1a7m01i1xg9jwpiljvam1kcyzsnwzvi0cb4ji336cfx4lqrn95gvc75gkzi6q8b4fz0h21gvk6z6kmlcr63nyg";
- x86_64-darwin = "0s20k7xawwd09xhy4xdcxp1rw6jd418ibrvhb509dnj480g48xryda2203g4mpswd24v2kx0n9qzxgbrbq9lvasfglkxi84bbqayp83";
- };
- };
-
- sdk_3_0 = buildNetCoreSdk {
- version = "3.0.103";
- sha512 = {
- x86_64-linux = "2diiplgxs92fkb6ym68b02d79z4qn63x5qlky5lvr757c1zkh0vfpk3khawdg94kdn4qkn6dmyqr0msxqgmiqyhp63cadzqq4vx7b12";
- aarch64-linux = "32843q2lj7dgciq62g9v1q31vwfjyv5vaxrz712d942mcg5lyzjygwri106bv4naq3a22131ldzwnsifbdn2vq1iz60raqdb7ss9vnf";
- x86_64-darwin = "3apswk2bhalgi0hm7h2j9p152jvp39h4xilxxzix5j1n36b442l1pwk7lj7019lxafjqkz5y850xkfcp14ks5wcvs33xs2c0aqwxvcn";
- };
- };
+ sdk_2_1 = throw "Dotnet SDK 2.1 is EOL, please use 3.1 (LTS) or 5.0 (Current)";
+ sdk_2_2 = throw "Dotnet SDK 2.2 is EOL, please use 3.1 (LTS) or 5.0 (Current)";
+ sdk_3_0 = throw "Dotnet SDK 3.0 is EOL, please use 3.1 (LTS) or 5.0 (Current)";
- # v3.1.1 (LTS)
+ # v3.1 (LTS)
aspnetcore_3_1 = buildAspNetCore {
- version = "3.1.8";
+ version = "3.1.19";
sha512 = {
- x86_64-linux = "0i3dqsmh5x2aaagw30zvr0ydmzms5j6xvmwq0yx79r1hncw0zg8w1zq7cxvaddszl13d24wxb8vm4varkiv3fy8z3n2ndmhlqa2qcyw";
- aarch64-linux = "3f3xky7jqpqwnsg730ka1576ppsspi25xlqsrqmwlbanad0r89lidfppr34nsys9gb5f1vx1zkv73dn4jhl6yawnas9j9f8nhi5mq40";
- x86_64-darwin = "1gbiizljh80m9sqv4ynvch7si55if43f4ccfd9ynakwm777fddbg8py338l7irnxc5rid3xzw7c0yj5p8f22n38krlxkvr1zcwij68b";
+ x86_64-linux = "c9234b5dba62c88b5f7e869c7dc7fc94d9cd7b300b0ed2461132c32762c0d3d1f23a1ee0432264704fefeb35f77ca7d1dd41910daee84df9aa325559a43cfc71";
+ aarch64-linux = "4cba9b43a9beb977bb50c290c0e7cbbf42664538609079dd1bc0e925a0cc4a1f76748492d4d0d402610ae910e878449bab5d797a9f12e63399585b11f9bfbee9";
+ x86_64-darwin = "a30959156c818d8d93df398742a70a050decfddbd777ddd6adb4d3c1aa5ed129545eab8f0aa3f12be991611dbc3582336ed747f3075906a2a29a1cd30ef3ad90";
};
};
- netcore_3_1 = buildNetCore {
- version = "3.1.8";
+ runtime_3_1 = buildNetRuntime {
+ version = "3.1.19";
sha512 = {
- x86_64-linux = "19gbb92lkfwmx4ic27v5g4cs8qzxiq8cv7sw9pxa8kibgr7lgifvg8dh3pd0i30a78yg5lc3fsdy0jal5i2k049nak72rfhxhrk5yxc";
- aarch64-linux = "0h0zfj82wafk6brmh35ah1qfxgxs4nm3wc47i14vhvkg78rz25w46rnah88zf9gkllnbhfxkw1ivcl4mm6l4ri9hv9367rr627hybvf";
- x86_64-darwin = "0zcp77lh6rvj1vlnjnnd9gqrwazn9v572l0x6r7b9pkjjq7fdh5cnjcc1cvkv9rb00mssd9jjv7yjdpv4i8i9hwby85g9bn500qx42c";
+ x86_64-linux = "2cf268cc13bb4739203a18a9160aac58f2088e8ec275b1f7ef2fe2b74bc16edfdfbeb886a74fc9421edbf2899fa9e3ee7ea2734a83b1800b4a9848fb7e10bbbe";
+ aarch64-linux = "c6a97bc6e2f2644d57d3fa5a512274b7129956371b07e0f39f734875b15bc0c178a9b068e2fa3e06e8a3a6696163ad1856f5d87a689612211ee02e589138110e";
+ x86_64-darwin = "41ae9bc0212247c4721f41be384dae620971360c09fa31430f062c2ab851f10506ef620bf63ee414819b5dd20ddae8a1ce581bbe0d699378b244375e0822bd34";
};
};
- sdk_3_1 = buildNetCoreSdk {
- version = "3.1.402";
+ sdk_3_1 = buildNetSdk {
+ version = "3.1.413";
sha512 = {
- x86_64-linux = "2zdb5cl4swg7kvnla6kgnnwg3kkb3rj2ccizg43fw89h8nacr1klz3zdl5km9l553lvm364dy8xsdwm79bw1ch0qgff6snnbbxlw5a2";
- aarch64-linux = "1aq8fhsn15362x99dfp72m67zbswrg30xscy1n983mmq76qn5ha6sy8pyj84l7qcs0n1b7akb77qyi3d2ns8bd2wl6s1dacl24gn10p";
- x86_64-darwin = "1gk6sgd9gdc6nr64mdfj6lhzdi6ixi5c1r0i1b7bhkg2xycx5cnbgjycrpqh17h6wbp68dz4mkg5hf1y3527hdwypa9k0sqdg3yrdb8";
+ x86_64-linux = "2a0824f11aba0b79d3f9a36af0395649bc9b4137e61b240a48dccb671df0a5b8c2086054f8e495430b7ed6c344bb3f27ac3dfda5967d863718a6dadeca951a83";
+ aarch64-linux = "39f198f07577faf81f09ca621fb749d5aac38fc05e7e6bd6226009679abc7d001454068430ddb34b320901955f42de3951e2707e01bce825b5216df2bc0c8eca";
+ x86_64-darwin = "2c8e5b7e578aa36d40946d0deea93dff72a68ebb5504b1593d86d63022be8b65b284cf2adad711a926b7170fc865ac4cb38531a4f2ef087e07147e67300e86b8";
};
};
- # v5.0.0
+ # v5.0 (Current)
aspnetcore_5_0 = buildAspNetCore {
- version = "5.0.0";
+ version = "5.0.10";
sha512 = {
- x86_64-linux = "402046ee144915ef7d75a788cf19552eea56cf897681721b74bfc403fd366f71eb7e56f6b83ea299b6b812c6b87378c15e7bfe249415427dcd147dfeacd084d0";
- aarch64-linux = "13e174de1cf10135531468c2a76852de2c37253f4d8b487ff25d249c2d7a1c590475545ca246515338baff2950422ec6c5ffe2180e8327f25cb5f9fede696ccc";
- x86_64-darwin = "b47a9958f5412b22edb2cb47702ad442c389901ede3ca2a7f75d901f8ed608494431849f498c2191327065ff1db52a1658b1a8c0feb53aaec4c814fb0baf6818";
+ x86_64-linux = "60fd41e42e07a96416baf2dd7ea8112a7c7d510bc6f4656274981777d2cf5e824cd519924cdf06215338d74e78cdc7801e1b24c39b3d67cd2d1b3c6fee6474a9";
+ aarch64-linux = "e86dd5b26e5add2f35c3a029c78e3c447755a319c105d371da297f66db5eff260f3f55ccf23e508e9a33536876708ac2e358dc62a4a28518f88df3a9131adb01";
+ x86_64-darwin = "124abacba27e26249d265d51fd7abc7ab0bed9859ce3a6a8e4f193031bff3f28dd81af639542b0cc043a3957e2a90a2f5b41c6ec6b4a50a4cb8fce12bc8654f9";
};
};
- net_5_0 = buildNetCore {
- version = "5.0.0";
+ runtime_5_0 = buildNetRuntime {
+ version = "5.0.10";
sha512 = {
- x86_64-linux = "d4d67df5ff5f6dde0d865a6e87559955bd57429df396cf7d05fe77f09e6220c67dc5e66439b1801ca4d301a62f81f666122bf4b623b31a46b861677dcafc62a4";
- aarch64-linux = "c7a5ae2bd4e0edbd3b681c2997ebf1633bfa1cd30a4333cb63fc9945b4e7c9278282516fb5bc22c710ce6fb59dc2e28230c07b0e99826165fa148406ab8afb0f";
- x86_64-darwin = "eba97211e158a0c1c15b03a79b42027319d83456dc377a2513c32defb560cd43fcfa1e84154a43243b77ca6b454c4dbc32be4153f0ba9c954c7b1e69ab5d7c53";
+ x86_64-linux = "421b00d5751381e6bf829dcba8fa0d781f0efd065be492739d60a4bef2b7b362dbec77fa3289e2ee45cab40616f95318fc214699ffe2f33aa15e77c2d163841c";
+ aarch64-linux = "30861f2bd41fcd7c1d657be1eafa09f22886af0c3e09d1854c626b675a469347ce9fb79b2ea92b5ed4e2fd3d3457766ac03fc5393a690099e1d2b9b15f3334b9";
+ x86_64-darwin = "2839f4fafa1f85a90b43a74a4898cbba915324f7363f1716e58cb9163d1415fa4d360703e27d0cadfe8495a370ccddbcfcc514076a880d6343a0bff76bb5ac2a";
};
};
- sdk_5_0 = buildNetCoreSdk {
- version = "5.0.202";
+ sdk_5_0 = buildNetSdk {
+ version = "5.0.401";
sha512 = {
- x86_64-linux = "Ae1Z8jYYSYdAVnPSSUDVXOKdgw59u8GVVv3AOJMDnmBGcS3m+QHcmREEeg3uT9FTGbfpT4ox32uYH6Nb2T2YOA==";
- aarch64-linux = "JuwSWgY35xrK0gOGR034mhAemulIkhtd4M00P0vA6EtOeyMY4Vl4cj6zudMh6Jt5DD8EJKQ8KbABX8byuePp2Q==";
- x86_64-darwin = "jxnfTbQUb0dJ2/NX2pu8Pi/F/e4EaDm2Ta5U+6sSYj/s6nNp6NHxtEn7BzhQ9/EVLszl/oXi3lL0d/BPbzldEA==";
+ x86_64-linux = "a444d44007709ceb68d8f72dec0531e17f85f800efc0007ace4fa66ba27f095066930e6c6defcd2f85cdedea2fec25e163f5da461c1c2b8563e5cd7cb47091e0";
+ aarch64-linux = "770dcf18c08cd285934af61bedc06ffcc16a74115d15376f72376cdfbb4ab9cc9f53537ca1fe5d906b4b3c30b960ffe1404d6f7e01254091b4b9d288e9e972fa";
+ x86_64-darwin = "eca773f407314123fd5b2017f68520c0647651f53e546583c4145b596c230c42898b3e56355cd5ace76b793df4aca3cd7ff9142718c86eedeabbabb70b393d0e";
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ecl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ecl/default.nix
index 44f7eab62ee..5896e948826 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ecl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ecl/default.nix
@@ -16,7 +16,7 @@ let
url="https://common-lisp.net/project/ecl/static/files/release/${name}.tgz";
sha256="000906nnq25177bgsfndiw3iqqgrjc9spk10hzk653sbz3f7anmi";
};
- buildInputs = [
+ nativeBuildInputs = [
libtool autoconf automake texinfo makeWrapper
];
propagatedBuildInputs = [
@@ -29,7 +29,7 @@ let
in
stdenv.mkDerivation {
inherit (s) name version;
- inherit buildInputs propagatedBuildInputs;
+ inherit nativeBuildInputs propagatedBuildInputs;
src = fetchurl {
inherit (s) url sha256;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/edk2/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/edk2/default.nix
index 1a6685e9141..aaf59ca1ef5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/edk2/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/edk2/default.nix
@@ -36,13 +36,13 @@ buildType = if stdenv.isDarwin then
edk2 = buildStdenv.mkDerivation {
pname = "edk2";
- version = "202102";
+ version = "202108";
# submodules
src = fetchgit {
url = "https://github.com/tianocore/edk2";
rev = "edk2-stable${edk2.version}";
- sha256 = "1292hfbqz4wyikdf6glqdy80n9zpy54gnfngqnyv05908hww6h82";
+ sha256 = "1ps244f7y43afxxw6z95xscy24f9mpp8g0mfn90rd4229f193ba2";
};
buildInputs = [ libuuid pythonEnv ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/elm/packages/node-packages.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/elm/packages/node-packages.nix
index 3453d8d54bf..68b4c97604b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/elm/packages/node-packages.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/elm/packages/node-packages.nix
@@ -22,22 +22,22 @@ let
sha512 = "OfC2uemaknXr87bdLUkWog7nYuliM9Ij5HUcajsVcMCpQrcLmtxRbVFTIqmcSkSeYRBFBRxs2FiUqFJDLdiebA==";
};
};
- "@babel/code-frame-7.12.13" = {
+ "@babel/code-frame-7.14.5" = {
name = "_at_babel_slash_code-frame";
packageName = "@babel/code-frame";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/code-frame/-/code-frame-7.12.13.tgz";
- sha512 = "HV1Cm0Q3ZrpCR93tkWOYiuYIgLxZXZFVG2VgK+MBWjUqZTundupbfx2aXarXuw5Ko5aMcjtJgbSs4vUGBS5v6g==";
+ url = "https://registry.npmjs.org/@babel/code-frame/-/code-frame-7.14.5.tgz";
+ sha512 = "9pzDqyc6OLDaqe+zbACgFkb6fKMNG6CObKpnYXChRsvYGyEdc7CA2BaqeOM+vOtCS5ndmJicPJhKAwYRI6UfFw==";
};
};
- "@babel/compat-data-7.13.8" = {
+ "@babel/compat-data-7.15.0" = {
name = "_at_babel_slash_compat-data";
packageName = "@babel/compat-data";
- version = "7.13.8";
+ version = "7.15.0";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/compat-data/-/compat-data-7.13.8.tgz";
- sha512 = "EaI33z19T4qN3xLXsGf48M2cDqa6ei9tPZlfLdb2HC+e/cFtREiRd8hdSqDbwdLB0/+gLwqJmCYASH0z2bUdog==";
+ url = "https://registry.npmjs.org/@babel/compat-data/-/compat-data-7.15.0.tgz";
+ sha512 = "0NqAC1IJE0S0+lL1SWFMxMkz1pKCNCjI4tr2Zx4LJSXxCLAdr6KyArnY+sno5m3yH9g737ygOyPABDsnXkpxiA==";
};
};
"@babel/core-7.12.10" = {
@@ -49,355 +49,355 @@ let
sha512 = "eTAlQKq65zHfkHZV0sIVODCPGVgoo1HdBlbSLi9CqOzuZanMv2ihzY+4paiKr1mH+XmYESMAmJ/dpZ68eN6d8w==";
};
};
- "@babel/generator-7.13.9" = {
+ "@babel/generator-7.15.4" = {
name = "_at_babel_slash_generator";
packageName = "@babel/generator";
- version = "7.13.9";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/generator/-/generator-7.13.9.tgz";
- sha512 = "mHOOmY0Axl/JCTkxTU6Lf5sWOg/v8nUa+Xkt4zMTftX0wqmb6Sh7J8gvcehBw7q0AhrhAR+FDacKjCZ2X8K+Sw==";
+ url = "https://registry.npmjs.org/@babel/generator/-/generator-7.15.4.tgz";
+ sha512 = "d3itta0tu+UayjEORPNz6e1T3FtvWlP5N4V5M+lhp/CxT4oAA7/NcScnpRyspUMLK6tu9MNHmQHxRykuN2R7hw==";
};
};
- "@babel/helper-annotate-as-pure-7.12.13" = {
+ "@babel/helper-annotate-as-pure-7.15.4" = {
name = "_at_babel_slash_helper-annotate-as-pure";
packageName = "@babel/helper-annotate-as-pure";
- version = "7.12.13";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-annotate-as-pure/-/helper-annotate-as-pure-7.12.13.tgz";
- sha512 = "7YXfX5wQ5aYM/BOlbSccHDbuXXFPxeoUmfWtz8le2yTkTZc+BxsiEnENFoi2SlmA8ewDkG2LgIMIVzzn2h8kfw==";
+ url = "https://registry.npmjs.org/@babel/helper-annotate-as-pure/-/helper-annotate-as-pure-7.15.4.tgz";
+ sha512 = "QwrtdNvUNsPCj2lfNQacsGSQvGX8ee1ttrBrcozUP2Sv/jylewBP/8QFe6ZkBsC8T/GYWonNAWJV4aRR9AL2DA==";
};
};
- "@babel/helper-builder-binary-assignment-operator-visitor-7.12.13" = {
+ "@babel/helper-builder-binary-assignment-operator-visitor-7.15.4" = {
name = "_at_babel_slash_helper-builder-binary-assignment-operator-visitor";
packageName = "@babel/helper-builder-binary-assignment-operator-visitor";
- version = "7.12.13";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-builder-binary-assignment-operator-visitor/-/helper-builder-binary-assignment-operator-visitor-7.12.13.tgz";
- sha512 = "CZOv9tGphhDRlVjVkAgm8Nhklm9RzSmWpX2my+t7Ua/KT616pEzXsQCjinzvkRvHWJ9itO4f296efroX23XCMA==";
+ url = "https://registry.npmjs.org/@babel/helper-builder-binary-assignment-operator-visitor/-/helper-builder-binary-assignment-operator-visitor-7.15.4.tgz";
+ sha512 = "P8o7JP2Mzi0SdC6eWr1zF+AEYvrsZa7GSY1lTayjF5XJhVH0kjLYUZPvTMflP7tBgZoe9gIhTa60QwFpqh/E0Q==";
};
};
- "@babel/helper-compilation-targets-7.13.10" = {
+ "@babel/helper-compilation-targets-7.15.4" = {
name = "_at_babel_slash_helper-compilation-targets";
packageName = "@babel/helper-compilation-targets";
- version = "7.13.10";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-compilation-targets/-/helper-compilation-targets-7.13.10.tgz";
- sha512 = "/Xju7Qg1GQO4mHZ/Kcs6Au7gfafgZnwm+a7sy/ow/tV1sHeraRUHbjdat8/UvDor4Tez+siGKDk6zIKtCPKVJA==";
+ url = "https://registry.npmjs.org/@babel/helper-compilation-targets/-/helper-compilation-targets-7.15.4.tgz";
+ sha512 = "rMWPCirulnPSe4d+gwdWXLfAXTTBj8M3guAf5xFQJ0nvFY7tfNAFnWdqaHegHlgDZOCT4qvhF3BYlSJag8yhqQ==";
};
};
- "@babel/helper-create-class-features-plugin-7.13.10" = {
+ "@babel/helper-create-class-features-plugin-7.15.4" = {
name = "_at_babel_slash_helper-create-class-features-plugin";
packageName = "@babel/helper-create-class-features-plugin";
- version = "7.13.10";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-create-class-features-plugin/-/helper-create-class-features-plugin-7.13.10.tgz";
- sha512 = "YV7r2YxdTUaw84EwNkyrRke/TJHR/UXGiyvACRqvdVJ2/syV2rQuJNnaRLSuYiop8cMRXOgseTGoJCWX0q2fFg==";
+ url = "https://registry.npmjs.org/@babel/helper-create-class-features-plugin/-/helper-create-class-features-plugin-7.15.4.tgz";
+ sha512 = "7ZmzFi+DwJx6A7mHRwbuucEYpyBwmh2Ca0RvI6z2+WLZYCqV0JOaLb+u0zbtmDicebgKBZgqbYfLaKNqSgv5Pw==";
};
};
- "@babel/helper-create-regexp-features-plugin-7.12.17" = {
+ "@babel/helper-create-regexp-features-plugin-7.14.5" = {
name = "_at_babel_slash_helper-create-regexp-features-plugin";
packageName = "@babel/helper-create-regexp-features-plugin";
- version = "7.12.17";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-create-regexp-features-plugin/-/helper-create-regexp-features-plugin-7.12.17.tgz";
- sha512 = "p2VGmBu9oefLZ2nQpgnEnG0ZlRPvL8gAGvPUMQwUdaE8k49rOMuZpOwdQoy5qJf6K8jL3bcAMhVUlHAjIgJHUg==";
+ url = "https://registry.npmjs.org/@babel/helper-create-regexp-features-plugin/-/helper-create-regexp-features-plugin-7.14.5.tgz";
+ sha512 = "TLawwqpOErY2HhWbGJ2nZT5wSkR192QpN+nBg1THfBfftrlvOh+WbhrxXCH4q4xJ9Gl16BGPR/48JA+Ryiho/A==";
};
};
- "@babel/helper-explode-assignable-expression-7.13.0" = {
+ "@babel/helper-explode-assignable-expression-7.15.4" = {
name = "_at_babel_slash_helper-explode-assignable-expression";
packageName = "@babel/helper-explode-assignable-expression";
- version = "7.13.0";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-explode-assignable-expression/-/helper-explode-assignable-expression-7.13.0.tgz";
- sha512 = "qS0peLTDP8kOisG1blKbaoBg/o9OSa1qoumMjTK5pM+KDTtpxpsiubnCGP34vK8BXGcb2M9eigwgvoJryrzwWA==";
+ url = "https://registry.npmjs.org/@babel/helper-explode-assignable-expression/-/helper-explode-assignable-expression-7.15.4.tgz";
+ sha512 = "J14f/vq8+hdC2KoWLIQSsGrC9EFBKE4NFts8pfMpymfApds+fPqR30AOUWc4tyr56h9l/GA1Sxv2q3dLZWbQ/g==";
};
};
- "@babel/helper-function-name-7.12.13" = {
+ "@babel/helper-function-name-7.15.4" = {
name = "_at_babel_slash_helper-function-name";
packageName = "@babel/helper-function-name";
- version = "7.12.13";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-function-name/-/helper-function-name-7.12.13.tgz";
- sha512 = "TZvmPn0UOqmvi5G4vvw0qZTpVptGkB1GL61R6lKvrSdIxGm5Pky7Q3fpKiIkQCAtRCBUwB0PaThlx9vebCDSwA==";
+ url = "https://registry.npmjs.org/@babel/helper-function-name/-/helper-function-name-7.15.4.tgz";
+ sha512 = "Z91cOMM4DseLIGOnog+Z8OI6YseR9bua+HpvLAQ2XayUGU+neTtX+97caALaLdyu53I/fjhbeCnWnRH1O3jFOw==";
};
};
- "@babel/helper-get-function-arity-7.12.13" = {
+ "@babel/helper-get-function-arity-7.15.4" = {
name = "_at_babel_slash_helper-get-function-arity";
packageName = "@babel/helper-get-function-arity";
- version = "7.12.13";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-get-function-arity/-/helper-get-function-arity-7.12.13.tgz";
- sha512 = "DjEVzQNz5LICkzN0REdpD5prGoidvbdYk1BVgRUOINaWJP2t6avB27X1guXK1kXNrX0WMfsrm1A/ZBthYuIMQg==";
+ url = "https://registry.npmjs.org/@babel/helper-get-function-arity/-/helper-get-function-arity-7.15.4.tgz";
+ sha512 = "1/AlxSF92CmGZzHnC515hm4SirTxtpDnLEJ0UyEMgTMZN+6bxXKg04dKhiRx5Enel+SUA1G1t5Ed/yQia0efrA==";
};
};
- "@babel/helper-hoist-variables-7.13.0" = {
+ "@babel/helper-hoist-variables-7.15.4" = {
name = "_at_babel_slash_helper-hoist-variables";
packageName = "@babel/helper-hoist-variables";
- version = "7.13.0";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-hoist-variables/-/helper-hoist-variables-7.13.0.tgz";
- sha512 = "0kBzvXiIKfsCA0y6cFEIJf4OdzfpRuNk4+YTeHZpGGc666SATFKTz6sRncwFnQk7/ugJ4dSrCj6iJuvW4Qwr2g==";
+ url = "https://registry.npmjs.org/@babel/helper-hoist-variables/-/helper-hoist-variables-7.15.4.tgz";
+ sha512 = "VTy085egb3jUGVK9ycIxQiPbquesq0HUQ+tPO0uv5mPEBZipk+5FkRKiWq5apuyTE9FUrjENB0rCf8y+n+UuhA==";
};
};
- "@babel/helper-member-expression-to-functions-7.13.0" = {
+ "@babel/helper-member-expression-to-functions-7.15.4" = {
name = "_at_babel_slash_helper-member-expression-to-functions";
packageName = "@babel/helper-member-expression-to-functions";
- version = "7.13.0";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-member-expression-to-functions/-/helper-member-expression-to-functions-7.13.0.tgz";
- sha512 = "yvRf8Ivk62JwisqV1rFRMxiSMDGnN6KH1/mDMmIrij4jztpQNRoHqqMG3U6apYbGRPJpgPalhva9Yd06HlUxJQ==";
+ url = "https://registry.npmjs.org/@babel/helper-member-expression-to-functions/-/helper-member-expression-to-functions-7.15.4.tgz";
+ sha512 = "cokOMkxC/BTyNP1AlY25HuBWM32iCEsLPI4BHDpJCHHm1FU2E7dKWWIXJgQgSFiu4lp8q3bL1BIKwqkSUviqtA==";
};
};
- "@babel/helper-module-imports-7.12.13" = {
+ "@babel/helper-module-imports-7.15.4" = {
name = "_at_babel_slash_helper-module-imports";
packageName = "@babel/helper-module-imports";
- version = "7.12.13";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-module-imports/-/helper-module-imports-7.12.13.tgz";
- sha512 = "NGmfvRp9Rqxy0uHSSVP+SRIW1q31a7Ji10cLBcqSDUngGentY4FRiHOFZFE1CLU5eiL0oE8reH7Tg1y99TDM/g==";
+ url = "https://registry.npmjs.org/@babel/helper-module-imports/-/helper-module-imports-7.15.4.tgz";
+ sha512 = "jeAHZbzUwdW/xHgHQ3QmWR4Jg6j15q4w/gCfwZvtqOxoo5DKtLHk8Bsf4c5RZRC7NmLEs+ohkdq8jFefuvIxAA==";
};
};
- "@babel/helper-module-transforms-7.13.0" = {
+ "@babel/helper-module-transforms-7.15.7" = {
name = "_at_babel_slash_helper-module-transforms";
packageName = "@babel/helper-module-transforms";
- version = "7.13.0";
+ version = "7.15.7";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-module-transforms/-/helper-module-transforms-7.13.0.tgz";
- sha512 = "Ls8/VBwH577+pw7Ku1QkUWIyRRNHpYlts7+qSqBBFCW3I8QteB9DxfcZ5YJpOwH6Ihe/wn8ch7fMGOP1OhEIvw==";
+ url = "https://registry.npmjs.org/@babel/helper-module-transforms/-/helper-module-transforms-7.15.7.tgz";
+ sha512 = "ZNqjjQG/AuFfekFTY+7nY4RgBSklgTu970c7Rj3m/JOhIu5KPBUuTA9AY6zaKcUvk4g6EbDXdBnhi35FAssdSw==";
};
};
- "@babel/helper-optimise-call-expression-7.12.13" = {
+ "@babel/helper-optimise-call-expression-7.15.4" = {
name = "_at_babel_slash_helper-optimise-call-expression";
packageName = "@babel/helper-optimise-call-expression";
- version = "7.12.13";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-optimise-call-expression/-/helper-optimise-call-expression-7.12.13.tgz";
- sha512 = "BdWQhoVJkp6nVjB7nkFWcn43dkprYauqtk++Py2eaf/GRDFm5BxRqEIZCiHlZUGAVmtwKcsVL1dC68WmzeFmiA==";
+ url = "https://registry.npmjs.org/@babel/helper-optimise-call-expression/-/helper-optimise-call-expression-7.15.4.tgz";
+ sha512 = "E/z9rfbAOt1vDW1DR7k4SzhzotVV5+qMciWV6LaG1g4jeFrkDlJedjtV4h0i4Q/ITnUu+Pk08M7fczsB9GXBDw==";
};
};
- "@babel/helper-plugin-utils-7.13.0" = {
+ "@babel/helper-plugin-utils-7.14.5" = {
name = "_at_babel_slash_helper-plugin-utils";
packageName = "@babel/helper-plugin-utils";
- version = "7.13.0";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-plugin-utils/-/helper-plugin-utils-7.13.0.tgz";
- sha512 = "ZPafIPSwzUlAoWT8DKs1W2VyF2gOWthGd5NGFMsBcMMol+ZhK+EQY/e6V96poa6PA/Bh+C9plWN0hXO1uB8AfQ==";
+ url = "https://registry.npmjs.org/@babel/helper-plugin-utils/-/helper-plugin-utils-7.14.5.tgz";
+ sha512 = "/37qQCE3K0vvZKwoK4XU/irIJQdIfCJuhU5eKnNxpFDsOkgFaUAwbv+RYw6eYgsC0E4hS7r5KqGULUogqui0fQ==";
};
};
- "@babel/helper-remap-async-to-generator-7.13.0" = {
+ "@babel/helper-remap-async-to-generator-7.15.4" = {
name = "_at_babel_slash_helper-remap-async-to-generator";
packageName = "@babel/helper-remap-async-to-generator";
- version = "7.13.0";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-remap-async-to-generator/-/helper-remap-async-to-generator-7.13.0.tgz";
- sha512 = "pUQpFBE9JvC9lrQbpX0TmeNIy5s7GnZjna2lhhcHC7DzgBs6fWn722Y5cfwgrtrqc7NAJwMvOa0mKhq6XaE4jg==";
+ url = "https://registry.npmjs.org/@babel/helper-remap-async-to-generator/-/helper-remap-async-to-generator-7.15.4.tgz";
+ sha512 = "v53MxgvMK/HCwckJ1bZrq6dNKlmwlyRNYM6ypaRTdXWGOE2c1/SCa6dL/HimhPulGhZKw9W0QhREM583F/t0vQ==";
};
};
- "@babel/helper-replace-supers-7.13.0" = {
+ "@babel/helper-replace-supers-7.15.4" = {
name = "_at_babel_slash_helper-replace-supers";
packageName = "@babel/helper-replace-supers";
- version = "7.13.0";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-replace-supers/-/helper-replace-supers-7.13.0.tgz";
- sha512 = "Segd5me1+Pz+rmN/NFBOplMbZG3SqRJOBlY+mA0SxAv6rjj7zJqr1AVr3SfzUVTLCv7ZLU5FycOM/SBGuLPbZw==";
+ url = "https://registry.npmjs.org/@babel/helper-replace-supers/-/helper-replace-supers-7.15.4.tgz";
+ sha512 = "/ztT6khaXF37MS47fufrKvIsiQkx1LBRvSJNzRqmbyeZnTwU9qBxXYLaaT/6KaxfKhjs2Wy8kG8ZdsFUuWBjzw==";
};
};
- "@babel/helper-simple-access-7.12.13" = {
+ "@babel/helper-simple-access-7.15.4" = {
name = "_at_babel_slash_helper-simple-access";
packageName = "@babel/helper-simple-access";
- version = "7.12.13";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-simple-access/-/helper-simple-access-7.12.13.tgz";
- sha512 = "0ski5dyYIHEfwpWGx5GPWhH35j342JaflmCeQmsPWcrOQDtCN6C1zKAVRFVbK53lPW2c9TsuLLSUDf0tIGJ5hA==";
+ url = "https://registry.npmjs.org/@babel/helper-simple-access/-/helper-simple-access-7.15.4.tgz";
+ sha512 = "UzazrDoIVOZZcTeHHEPYrr1MvTR/K+wgLg6MY6e1CJyaRhbibftF6fR2KU2sFRtI/nERUZR9fBd6aKgBlIBaPg==";
};
};
- "@babel/helper-skip-transparent-expression-wrappers-7.12.1" = {
+ "@babel/helper-skip-transparent-expression-wrappers-7.15.4" = {
name = "_at_babel_slash_helper-skip-transparent-expression-wrappers";
packageName = "@babel/helper-skip-transparent-expression-wrappers";
- version = "7.12.1";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-skip-transparent-expression-wrappers/-/helper-skip-transparent-expression-wrappers-7.12.1.tgz";
- sha512 = "Mf5AUuhG1/OCChOJ/HcADmvcHM42WJockombn8ATJG3OnyiSxBK/Mm5x78BQWvmtXZKHgbjdGL2kin/HOLlZGA==";
+ url = "https://registry.npmjs.org/@babel/helper-skip-transparent-expression-wrappers/-/helper-skip-transparent-expression-wrappers-7.15.4.tgz";
+ sha512 = "BMRLsdh+D1/aap19TycS4eD1qELGrCBJwzaY9IE8LrpJtJb+H7rQkPIdsfgnMtLBA6DJls7X9z93Z4U8h7xw0A==";
};
};
- "@babel/helper-split-export-declaration-7.12.13" = {
+ "@babel/helper-split-export-declaration-7.15.4" = {
name = "_at_babel_slash_helper-split-export-declaration";
packageName = "@babel/helper-split-export-declaration";
- version = "7.12.13";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-split-export-declaration/-/helper-split-export-declaration-7.12.13.tgz";
- sha512 = "tCJDltF83htUtXx5NLcaDqRmknv652ZWCHyoTETf1CXYJdPC7nohZohjUgieXhv0hTJdRf2FjDueFehdNucpzg==";
+ url = "https://registry.npmjs.org/@babel/helper-split-export-declaration/-/helper-split-export-declaration-7.15.4.tgz";
+ sha512 = "HsFqhLDZ08DxCpBdEVtKmywj6PQbwnF6HHybur0MAnkAKnlS6uHkwnmRIkElB2Owpfb4xL4NwDmDLFubueDXsw==";
};
};
- "@babel/helper-validator-identifier-7.12.11" = {
+ "@babel/helper-validator-identifier-7.15.7" = {
name = "_at_babel_slash_helper-validator-identifier";
packageName = "@babel/helper-validator-identifier";
- version = "7.12.11";
+ version = "7.15.7";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-validator-identifier/-/helper-validator-identifier-7.12.11.tgz";
- sha512 = "np/lG3uARFybkoHokJUmf1QfEvRVCPbmQeUQpKow5cQ3xWrV9i3rUHodKDJPQfTVX61qKi+UdYk8kik84n7XOw==";
+ url = "https://registry.npmjs.org/@babel/helper-validator-identifier/-/helper-validator-identifier-7.15.7.tgz";
+ sha512 = "K4JvCtQqad9OY2+yTU8w+E82ywk/fe+ELNlt1G8z3bVGlZfn/hOcQQsUhGhW/N+tb3fxK800wLtKOE/aM0m72w==";
};
};
- "@babel/helper-validator-option-7.12.17" = {
+ "@babel/helper-validator-option-7.14.5" = {
name = "_at_babel_slash_helper-validator-option";
packageName = "@babel/helper-validator-option";
- version = "7.12.17";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-validator-option/-/helper-validator-option-7.12.17.tgz";
- sha512 = "TopkMDmLzq8ngChwRlyjR6raKD6gMSae4JdYDB8bByKreQgG0RBTuKe9LRxW3wFtUnjxOPRKBDwEH6Mg5KeDfw==";
+ url = "https://registry.npmjs.org/@babel/helper-validator-option/-/helper-validator-option-7.14.5.tgz";
+ sha512 = "OX8D5eeX4XwcroVW45NMvoYaIuFI+GQpA2a8Gi+X/U/cDUIRsV37qQfF905F0htTRCREQIB4KqPeaveRJUl3Ow==";
};
};
- "@babel/helper-wrap-function-7.13.0" = {
+ "@babel/helper-wrap-function-7.15.4" = {
name = "_at_babel_slash_helper-wrap-function";
packageName = "@babel/helper-wrap-function";
- version = "7.13.0";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helper-wrap-function/-/helper-wrap-function-7.13.0.tgz";
- sha512 = "1UX9F7K3BS42fI6qd2A4BjKzgGjToscyZTdp1DjknHLCIvpgne6918io+aL5LXFcER/8QWiwpoY902pVEqgTXA==";
+ url = "https://registry.npmjs.org/@babel/helper-wrap-function/-/helper-wrap-function-7.15.4.tgz";
+ sha512 = "Y2o+H/hRV5W8QhIfTpRIBwl57y8PrZt6JM3V8FOo5qarjshHItyH5lXlpMfBfmBefOqSCpKZs/6Dxqp0E/U+uw==";
};
};
- "@babel/helpers-7.13.10" = {
+ "@babel/helpers-7.15.4" = {
name = "_at_babel_slash_helpers";
packageName = "@babel/helpers";
- version = "7.13.10";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/helpers/-/helpers-7.13.10.tgz";
- sha512 = "4VO883+MWPDUVRF3PhiLBUFHoX/bsLTGFpFK/HqvvfBZz2D57u9XzPVNFVBTc0PW/CWR9BXTOKt8NF4DInUHcQ==";
+ url = "https://registry.npmjs.org/@babel/helpers/-/helpers-7.15.4.tgz";
+ sha512 = "V45u6dqEJ3w2rlryYYXf6i9rQ5YMNu4FLS6ngs8ikblhu2VdR1AqAd6aJjBzmf2Qzh6KOLqKHxEN9+TFbAkAVQ==";
};
};
- "@babel/highlight-7.13.10" = {
+ "@babel/highlight-7.14.5" = {
name = "_at_babel_slash_highlight";
packageName = "@babel/highlight";
- version = "7.13.10";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/highlight/-/highlight-7.13.10.tgz";
- sha512 = "5aPpe5XQPzflQrFwL1/QoeHkP2MsA4JCntcXHRhEsdsfPVkvPi2w7Qix4iV7t5S/oC9OodGrggd8aco1g3SZFg==";
+ url = "https://registry.npmjs.org/@babel/highlight/-/highlight-7.14.5.tgz";
+ sha512 = "qf9u2WFWVV0MppaL877j2dBtQIDgmidgjGk5VIMw3OadXvYaXn66U1BFlH2t4+t3i+8PhedppRv+i40ABzd+gg==";
};
};
- "@babel/parser-7.13.10" = {
+ "@babel/parser-7.15.7" = {
name = "_at_babel_slash_parser";
packageName = "@babel/parser";
- version = "7.13.10";
+ version = "7.15.7";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/parser/-/parser-7.13.10.tgz";
- sha512 = "0s7Mlrw9uTWkYua7xWr99Wpk2bnGa0ANleKfksYAES8LpWH4gW1OUr42vqKNf0us5UQNfru2wPqMqRITzq/SIQ==";
+ url = "https://registry.npmjs.org/@babel/parser/-/parser-7.15.7.tgz";
+ sha512 = "rycZXvQ+xS9QyIcJ9HXeDWf1uxqlbVFAUq0Rq0dbc50Zb/+wUe/ehyfzGfm9KZZF0kBejYgxltBXocP+gKdL2g==";
};
};
- "@babel/plugin-proposal-async-generator-functions-7.13.8" = {
+ "@babel/plugin-proposal-async-generator-functions-7.15.4" = {
name = "_at_babel_slash_plugin-proposal-async-generator-functions";
packageName = "@babel/plugin-proposal-async-generator-functions";
- version = "7.13.8";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-proposal-async-generator-functions/-/plugin-proposal-async-generator-functions-7.13.8.tgz";
- sha512 = "rPBnhj+WgoSmgq+4gQUtXx/vOcU+UYtjy1AA/aeD61Hwj410fwYyqfUcRP3lR8ucgliVJL/G7sXcNUecC75IXA==";
+ url = "https://registry.npmjs.org/@babel/plugin-proposal-async-generator-functions/-/plugin-proposal-async-generator-functions-7.15.4.tgz";
+ sha512 = "2zt2g5vTXpMC3OmK6uyjvdXptbhBXfA77XGrd3gh93zwG8lZYBLOBImiGBEG0RANu3JqKEACCz5CGk73OJROBw==";
};
};
- "@babel/plugin-proposal-class-properties-7.13.0" = {
+ "@babel/plugin-proposal-class-properties-7.14.5" = {
name = "_at_babel_slash_plugin-proposal-class-properties";
packageName = "@babel/plugin-proposal-class-properties";
- version = "7.13.0";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-proposal-class-properties/-/plugin-proposal-class-properties-7.13.0.tgz";
- sha512 = "KnTDjFNC1g+45ka0myZNvSBFLhNCLN+GeGYLDEA8Oq7MZ6yMgfLoIRh86GRT0FjtJhZw8JyUskP9uvj5pHM9Zg==";
+ url = "https://registry.npmjs.org/@babel/plugin-proposal-class-properties/-/plugin-proposal-class-properties-7.14.5.tgz";
+ sha512 = "q/PLpv5Ko4dVc1LYMpCY7RVAAO4uk55qPwrIuJ5QJ8c6cVuAmhu7I/49JOppXL6gXf7ZHzpRVEUZdYoPLM04Gg==";
};
};
- "@babel/plugin-proposal-dynamic-import-7.13.8" = {
+ "@babel/plugin-proposal-dynamic-import-7.14.5" = {
name = "_at_babel_slash_plugin-proposal-dynamic-import";
packageName = "@babel/plugin-proposal-dynamic-import";
- version = "7.13.8";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-proposal-dynamic-import/-/plugin-proposal-dynamic-import-7.13.8.tgz";
- sha512 = "ONWKj0H6+wIRCkZi9zSbZtE/r73uOhMVHh256ys0UzfM7I3d4n+spZNWjOnJv2gzopumP2Wxi186vI8N0Y2JyQ==";
+ url = "https://registry.npmjs.org/@babel/plugin-proposal-dynamic-import/-/plugin-proposal-dynamic-import-7.14.5.tgz";
+ sha512 = "ExjiNYc3HDN5PXJx+bwC50GIx/KKanX2HiggnIUAYedbARdImiCU4RhhHfdf0Kd7JNXGpsBBBCOm+bBVy3Gb0g==";
};
};
- "@babel/plugin-proposal-export-namespace-from-7.12.13" = {
+ "@babel/plugin-proposal-export-namespace-from-7.14.5" = {
name = "_at_babel_slash_plugin-proposal-export-namespace-from";
packageName = "@babel/plugin-proposal-export-namespace-from";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-proposal-export-namespace-from/-/plugin-proposal-export-namespace-from-7.12.13.tgz";
- sha512 = "INAgtFo4OnLN3Y/j0VwAgw3HDXcDtX+C/erMvWzuV9v71r7urb6iyMXu7eM9IgLr1ElLlOkaHjJ0SbCmdOQ3Iw==";
+ url = "https://registry.npmjs.org/@babel/plugin-proposal-export-namespace-from/-/plugin-proposal-export-namespace-from-7.14.5.tgz";
+ sha512 = "g5POA32bXPMmSBu5Dx/iZGLGnKmKPc5AiY7qfZgurzrCYgIztDlHFbznSNCoQuv57YQLnQfaDi7dxCtLDIdXdA==";
};
};
- "@babel/plugin-proposal-json-strings-7.13.8" = {
+ "@babel/plugin-proposal-json-strings-7.14.5" = {
name = "_at_babel_slash_plugin-proposal-json-strings";
packageName = "@babel/plugin-proposal-json-strings";
- version = "7.13.8";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-proposal-json-strings/-/plugin-proposal-json-strings-7.13.8.tgz";
- sha512 = "w4zOPKUFPX1mgvTmL/fcEqy34hrQ1CRcGxdphBc6snDnnqJ47EZDIyop6IwXzAC8G916hsIuXB2ZMBCExC5k7Q==";
+ url = "https://registry.npmjs.org/@babel/plugin-proposal-json-strings/-/plugin-proposal-json-strings-7.14.5.tgz";
+ sha512 = "NSq2fczJYKVRIsUJyNxrVUMhB27zb7N7pOFGQOhBKJrChbGcgEAqyZrmZswkPk18VMurEeJAaICbfm57vUeTbQ==";
};
};
- "@babel/plugin-proposal-logical-assignment-operators-7.13.8" = {
+ "@babel/plugin-proposal-logical-assignment-operators-7.14.5" = {
name = "_at_babel_slash_plugin-proposal-logical-assignment-operators";
packageName = "@babel/plugin-proposal-logical-assignment-operators";
- version = "7.13.8";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-proposal-logical-assignment-operators/-/plugin-proposal-logical-assignment-operators-7.13.8.tgz";
- sha512 = "aul6znYB4N4HGweImqKn59Su9RS8lbUIqxtXTOcAGtNIDczoEFv+l1EhmX8rUBp3G1jMjKJm8m0jXVp63ZpS4A==";
+ url = "https://registry.npmjs.org/@babel/plugin-proposal-logical-assignment-operators/-/plugin-proposal-logical-assignment-operators-7.14.5.tgz";
+ sha512 = "YGn2AvZAo9TwyhlLvCCWxD90Xq8xJ4aSgaX3G5D/8DW94L8aaT+dS5cSP+Z06+rCJERGSr9GxMBZ601xoc2taw==";
};
};
- "@babel/plugin-proposal-nullish-coalescing-operator-7.13.8" = {
+ "@babel/plugin-proposal-nullish-coalescing-operator-7.14.5" = {
name = "_at_babel_slash_plugin-proposal-nullish-coalescing-operator";
packageName = "@babel/plugin-proposal-nullish-coalescing-operator";
- version = "7.13.8";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-proposal-nullish-coalescing-operator/-/plugin-proposal-nullish-coalescing-operator-7.13.8.tgz";
- sha512 = "iePlDPBn//UhxExyS9KyeYU7RM9WScAG+D3Hhno0PLJebAEpDZMocbDe64eqynhNAnwz/vZoL/q/QB2T1OH39A==";
+ url = "https://registry.npmjs.org/@babel/plugin-proposal-nullish-coalescing-operator/-/plugin-proposal-nullish-coalescing-operator-7.14.5.tgz";
+ sha512 = "gun/SOnMqjSb98Nkaq2rTKMwervfdAoz6NphdY0vTfuzMfryj+tDGb2n6UkDKwez+Y8PZDhE3D143v6Gepp4Hg==";
};
};
- "@babel/plugin-proposal-numeric-separator-7.12.13" = {
+ "@babel/plugin-proposal-numeric-separator-7.14.5" = {
name = "_at_babel_slash_plugin-proposal-numeric-separator";
packageName = "@babel/plugin-proposal-numeric-separator";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-proposal-numeric-separator/-/plugin-proposal-numeric-separator-7.12.13.tgz";
- sha512 = "O1jFia9R8BUCl3ZGB7eitaAPu62TXJRHn7rh+ojNERCFyqRwJMTmhz+tJ+k0CwI6CLjX/ee4qW74FSqlq9I35w==";
+ url = "https://registry.npmjs.org/@babel/plugin-proposal-numeric-separator/-/plugin-proposal-numeric-separator-7.14.5.tgz";
+ sha512 = "yiclALKe0vyZRZE0pS6RXgjUOt87GWv6FYa5zqj15PvhOGFO69R5DusPlgK/1K5dVnCtegTiWu9UaBSrLLJJBg==";
};
};
- "@babel/plugin-proposal-object-rest-spread-7.13.8" = {
+ "@babel/plugin-proposal-object-rest-spread-7.15.6" = {
name = "_at_babel_slash_plugin-proposal-object-rest-spread";
packageName = "@babel/plugin-proposal-object-rest-spread";
- version = "7.13.8";
+ version = "7.15.6";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-proposal-object-rest-spread/-/plugin-proposal-object-rest-spread-7.13.8.tgz";
- sha512 = "DhB2EuB1Ih7S3/IRX5AFVgZ16k3EzfRbq97CxAVI1KSYcW+lexV8VZb7G7L8zuPVSdQMRn0kiBpf/Yzu9ZKH0g==";
+ url = "https://registry.npmjs.org/@babel/plugin-proposal-object-rest-spread/-/plugin-proposal-object-rest-spread-7.15.6.tgz";
+ sha512 = "qtOHo7A1Vt+O23qEAX+GdBpqaIuD3i9VRrWgCJeq7WO6H2d14EK3q11urj5Te2MAeK97nMiIdRpwd/ST4JFbNg==";
};
};
- "@babel/plugin-proposal-optional-catch-binding-7.13.8" = {
+ "@babel/plugin-proposal-optional-catch-binding-7.14.5" = {
name = "_at_babel_slash_plugin-proposal-optional-catch-binding";
packageName = "@babel/plugin-proposal-optional-catch-binding";
- version = "7.13.8";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-proposal-optional-catch-binding/-/plugin-proposal-optional-catch-binding-7.13.8.tgz";
- sha512 = "0wS/4DUF1CuTmGo+NiaHfHcVSeSLj5S3e6RivPTg/2k3wOv3jO35tZ6/ZWsQhQMvdgI7CwphjQa/ccarLymHVA==";
+ url = "https://registry.npmjs.org/@babel/plugin-proposal-optional-catch-binding/-/plugin-proposal-optional-catch-binding-7.14.5.tgz";
+ sha512 = "3Oyiixm0ur7bzO5ybNcZFlmVsygSIQgdOa7cTfOYCMY+wEPAYhZAJxi3mixKFCTCKUhQXuCTtQ1MzrpL3WT8ZQ==";
};
};
- "@babel/plugin-proposal-optional-chaining-7.13.8" = {
+ "@babel/plugin-proposal-optional-chaining-7.14.5" = {
name = "_at_babel_slash_plugin-proposal-optional-chaining";
packageName = "@babel/plugin-proposal-optional-chaining";
- version = "7.13.8";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-proposal-optional-chaining/-/plugin-proposal-optional-chaining-7.13.8.tgz";
- sha512 = "hpbBwbTgd7Cz1QryvwJZRo1U0k1q8uyBmeXOSQUjdg/A2TASkhR/rz7AyqZ/kS8kbpsNA80rOYbxySBJAqmhhQ==";
+ url = "https://registry.npmjs.org/@babel/plugin-proposal-optional-chaining/-/plugin-proposal-optional-chaining-7.14.5.tgz";
+ sha512 = "ycz+VOzo2UbWNI1rQXxIuMOzrDdHGrI23fRiz/Si2R4kv2XZQ1BK8ccdHwehMKBlcH/joGW/tzrUmo67gbJHlQ==";
};
};
- "@babel/plugin-proposal-private-methods-7.13.0" = {
+ "@babel/plugin-proposal-private-methods-7.14.5" = {
name = "_at_babel_slash_plugin-proposal-private-methods";
packageName = "@babel/plugin-proposal-private-methods";
- version = "7.13.0";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-proposal-private-methods/-/plugin-proposal-private-methods-7.13.0.tgz";
- sha512 = "MXyyKQd9inhx1kDYPkFRVOBXQ20ES8Pto3T7UZ92xj2mY0EVD8oAVzeyYuVfy/mxAdTSIayOvg+aVzcHV2bn6Q==";
+ url = "https://registry.npmjs.org/@babel/plugin-proposal-private-methods/-/plugin-proposal-private-methods-7.14.5.tgz";
+ sha512 = "838DkdUA1u+QTCplatfq4B7+1lnDa/+QMI89x5WZHBcnNv+47N8QEj2k9I2MUU9xIv8XJ4XvPCviM/Dj7Uwt9g==";
};
};
- "@babel/plugin-proposal-unicode-property-regex-7.12.13" = {
+ "@babel/plugin-proposal-unicode-property-regex-7.14.5" = {
name = "_at_babel_slash_plugin-proposal-unicode-property-regex";
packageName = "@babel/plugin-proposal-unicode-property-regex";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-proposal-unicode-property-regex/-/plugin-proposal-unicode-property-regex-7.12.13.tgz";
- sha512 = "XyJmZidNfofEkqFV5VC/bLabGmO5QzenPO/YOfGuEbgU+2sSwMmio3YLb4WtBgcmmdwZHyVyv8on77IUjQ5Gvg==";
+ url = "https://registry.npmjs.org/@babel/plugin-proposal-unicode-property-regex/-/plugin-proposal-unicode-property-regex-7.14.5.tgz";
+ sha512 = "6axIeOU5LnY471KenAB9vI8I5j7NQ2d652hIYwVyRfgaZT5UpiqFKCuVXCDMSrU+3VFafnu2c5m3lrWIlr6A5Q==";
};
};
"@babel/plugin-syntax-async-generators-7.8.4" = {
@@ -499,238 +499,238 @@ let
sha512 = "KoK9ErH1MBlCPxV0VANkXW2/dw4vlbGDrFgz8bmUsBGYkFRcbRwMh6cIJubdPrkxRwuGdtCk0v/wPTKbQgBjkg==";
};
};
- "@babel/plugin-syntax-top-level-await-7.12.13" = {
+ "@babel/plugin-syntax-top-level-await-7.14.5" = {
name = "_at_babel_slash_plugin-syntax-top-level-await";
packageName = "@babel/plugin-syntax-top-level-await";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-syntax-top-level-await/-/plugin-syntax-top-level-await-7.12.13.tgz";
- sha512 = "A81F9pDwyS7yM//KwbCSDqy3Uj4NMIurtplxphWxoYtNPov7cJsDkAFNNyVlIZ3jwGycVsurZ+LtOA8gZ376iQ==";
+ url = "https://registry.npmjs.org/@babel/plugin-syntax-top-level-await/-/plugin-syntax-top-level-await-7.14.5.tgz";
+ sha512 = "hx++upLv5U1rgYfwe1xBQUhRmU41NEvpUvrp8jkrSCdvGSnM5/qdRMtylJ6PG5OFkBaHkbTAKTnd3/YyESRHFw==";
};
};
- "@babel/plugin-transform-arrow-functions-7.13.0" = {
+ "@babel/plugin-transform-arrow-functions-7.14.5" = {
name = "_at_babel_slash_plugin-transform-arrow-functions";
packageName = "@babel/plugin-transform-arrow-functions";
- version = "7.13.0";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-arrow-functions/-/plugin-transform-arrow-functions-7.13.0.tgz";
- sha512 = "96lgJagobeVmazXFaDrbmCLQxBysKu7U6Do3mLsx27gf5Dk85ezysrs2BZUpXD703U/Su1xTBDxxar2oa4jAGg==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-arrow-functions/-/plugin-transform-arrow-functions-7.14.5.tgz";
+ sha512 = "KOnO0l4+tD5IfOdi4x8C1XmEIRWUjNRV8wc6K2vz/3e8yAOoZZvsRXRRIF/yo/MAOFb4QjtAw9xSxMXbSMRy8A==";
};
};
- "@babel/plugin-transform-async-to-generator-7.13.0" = {
+ "@babel/plugin-transform-async-to-generator-7.14.5" = {
name = "_at_babel_slash_plugin-transform-async-to-generator";
packageName = "@babel/plugin-transform-async-to-generator";
- version = "7.13.0";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-async-to-generator/-/plugin-transform-async-to-generator-7.13.0.tgz";
- sha512 = "3j6E004Dx0K3eGmhxVJxwwI89CTJrce7lg3UrtFuDAVQ/2+SJ/h/aSFOeE6/n0WB1GsOffsJp6MnPQNQ8nmwhg==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-async-to-generator/-/plugin-transform-async-to-generator-7.14.5.tgz";
+ sha512 = "szkbzQ0mNk0rpu76fzDdqSyPu0MuvpXgC+6rz5rpMb5OIRxdmHfQxrktL8CYolL2d8luMCZTR0DpIMIdL27IjA==";
};
};
- "@babel/plugin-transform-block-scoped-functions-7.12.13" = {
+ "@babel/plugin-transform-block-scoped-functions-7.14.5" = {
name = "_at_babel_slash_plugin-transform-block-scoped-functions";
packageName = "@babel/plugin-transform-block-scoped-functions";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-block-scoped-functions/-/plugin-transform-block-scoped-functions-7.12.13.tgz";
- sha512 = "zNyFqbc3kI/fVpqwfqkg6RvBgFpC4J18aKKMmv7KdQ/1GgREapSJAykLMVNwfRGO3BtHj3YQZl8kxCXPcVMVeg==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-block-scoped-functions/-/plugin-transform-block-scoped-functions-7.14.5.tgz";
+ sha512 = "dtqWqdWZ5NqBX3KzsVCWfQI3A53Ft5pWFCT2eCVUftWZgjc5DpDponbIF1+c+7cSGk2wN0YK7HGL/ezfRbpKBQ==";
};
};
- "@babel/plugin-transform-block-scoping-7.12.13" = {
+ "@babel/plugin-transform-block-scoping-7.15.3" = {
name = "_at_babel_slash_plugin-transform-block-scoping";
packageName = "@babel/plugin-transform-block-scoping";
- version = "7.12.13";
+ version = "7.15.3";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-block-scoping/-/plugin-transform-block-scoping-7.12.13.tgz";
- sha512 = "Pxwe0iqWJX4fOOM2kEZeUuAxHMWb9nK+9oh5d11bsLoB0xMg+mkDpt0eYuDZB7ETrY9bbcVlKUGTOGWy7BHsMQ==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-block-scoping/-/plugin-transform-block-scoping-7.15.3.tgz";
+ sha512 = "nBAzfZwZb4DkaGtOes1Up1nOAp9TDRRFw4XBzBBSG9QK7KVFmYzgj9o9sbPv7TX5ofL4Auq4wZnxCoPnI/lz2Q==";
};
};
- "@babel/plugin-transform-classes-7.13.0" = {
+ "@babel/plugin-transform-classes-7.15.4" = {
name = "_at_babel_slash_plugin-transform-classes";
packageName = "@babel/plugin-transform-classes";
- version = "7.13.0";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-classes/-/plugin-transform-classes-7.13.0.tgz";
- sha512 = "9BtHCPUARyVH1oXGcSJD3YpsqRLROJx5ZNP6tN5vnk17N0SVf9WCtf8Nuh1CFmgByKKAIMstitKduoCmsaDK5g==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-classes/-/plugin-transform-classes-7.15.4.tgz";
+ sha512 = "Yjvhex8GzBmmPQUvpXRPWQ9WnxXgAFuZSrqOK/eJlOGIXwvv8H3UEdUigl1gb/bnjTrln+e8bkZUYCBt/xYlBg==";
};
};
- "@babel/plugin-transform-computed-properties-7.13.0" = {
+ "@babel/plugin-transform-computed-properties-7.14.5" = {
name = "_at_babel_slash_plugin-transform-computed-properties";
packageName = "@babel/plugin-transform-computed-properties";
- version = "7.13.0";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-computed-properties/-/plugin-transform-computed-properties-7.13.0.tgz";
- sha512 = "RRqTYTeZkZAz8WbieLTvKUEUxZlUTdmL5KGMyZj7FnMfLNKV4+r5549aORG/mgojRmFlQMJDUupwAMiF2Q7OUg==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-computed-properties/-/plugin-transform-computed-properties-7.14.5.tgz";
+ sha512 = "pWM+E4283UxaVzLb8UBXv4EIxMovU4zxT1OPnpHJcmnvyY9QbPPTKZfEj31EUvG3/EQRbYAGaYEUZ4yWOBC2xg==";
};
};
- "@babel/plugin-transform-destructuring-7.13.0" = {
+ "@babel/plugin-transform-destructuring-7.14.7" = {
name = "_at_babel_slash_plugin-transform-destructuring";
packageName = "@babel/plugin-transform-destructuring";
- version = "7.13.0";
+ version = "7.14.7";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-destructuring/-/plugin-transform-destructuring-7.13.0.tgz";
- sha512 = "zym5em7tePoNT9s964c0/KU3JPPnuq7VhIxPRefJ4/s82cD+q1mgKfuGRDMCPL0HTyKz4dISuQlCusfgCJ86HA==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-destructuring/-/plugin-transform-destructuring-7.14.7.tgz";
+ sha512 = "0mDE99nK+kVh3xlc5vKwB6wnP9ecuSj+zQCa/n0voENtP/zymdT4HH6QEb65wjjcbqr1Jb/7z9Qp7TF5FtwYGw==";
};
};
- "@babel/plugin-transform-dotall-regex-7.12.13" = {
+ "@babel/plugin-transform-dotall-regex-7.14.5" = {
name = "_at_babel_slash_plugin-transform-dotall-regex";
packageName = "@babel/plugin-transform-dotall-regex";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-dotall-regex/-/plugin-transform-dotall-regex-7.12.13.tgz";
- sha512 = "foDrozE65ZFdUC2OfgeOCrEPTxdB3yjqxpXh8CH+ipd9CHd4s/iq81kcUpyH8ACGNEPdFqbtzfgzbT/ZGlbDeQ==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-dotall-regex/-/plugin-transform-dotall-regex-7.14.5.tgz";
+ sha512 = "loGlnBdj02MDsFaHhAIJzh7euK89lBrGIdM9EAtHFo6xKygCUGuuWe07o1oZVk287amtW1n0808sQM99aZt3gw==";
};
};
- "@babel/plugin-transform-duplicate-keys-7.12.13" = {
+ "@babel/plugin-transform-duplicate-keys-7.14.5" = {
name = "_at_babel_slash_plugin-transform-duplicate-keys";
packageName = "@babel/plugin-transform-duplicate-keys";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-duplicate-keys/-/plugin-transform-duplicate-keys-7.12.13.tgz";
- sha512 = "NfADJiiHdhLBW3pulJlJI2NB0t4cci4WTZ8FtdIuNc2+8pslXdPtRRAEWqUY+m9kNOk2eRYbTAOipAxlrOcwwQ==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-duplicate-keys/-/plugin-transform-duplicate-keys-7.14.5.tgz";
+ sha512 = "iJjbI53huKbPDAsJ8EmVmvCKeeq21bAze4fu9GBQtSLqfvzj2oRuHVx4ZkDwEhg1htQ+5OBZh/Ab0XDf5iBZ7A==";
};
};
- "@babel/plugin-transform-exponentiation-operator-7.12.13" = {
+ "@babel/plugin-transform-exponentiation-operator-7.14.5" = {
name = "_at_babel_slash_plugin-transform-exponentiation-operator";
packageName = "@babel/plugin-transform-exponentiation-operator";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-exponentiation-operator/-/plugin-transform-exponentiation-operator-7.12.13.tgz";
- sha512 = "fbUelkM1apvqez/yYx1/oICVnGo2KM5s63mhGylrmXUxK/IAXSIf87QIxVfZldWf4QsOafY6vV3bX8aMHSvNrA==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-exponentiation-operator/-/plugin-transform-exponentiation-operator-7.14.5.tgz";
+ sha512 = "jFazJhMBc9D27o9jDnIE5ZErI0R0m7PbKXVq77FFvqFbzvTMuv8jaAwLZ5PviOLSFttqKIW0/wxNSDbjLk0tYA==";
};
};
- "@babel/plugin-transform-for-of-7.13.0" = {
+ "@babel/plugin-transform-for-of-7.15.4" = {
name = "_at_babel_slash_plugin-transform-for-of";
packageName = "@babel/plugin-transform-for-of";
- version = "7.13.0";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-for-of/-/plugin-transform-for-of-7.13.0.tgz";
- sha512 = "IHKT00mwUVYE0zzbkDgNRP6SRzvfGCYsOxIRz8KsiaaHCcT9BWIkO+H9QRJseHBLOGBZkHUdHiqj6r0POsdytg==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-for-of/-/plugin-transform-for-of-7.15.4.tgz";
+ sha512 = "DRTY9fA751AFBDh2oxydvVm4SYevs5ILTWLs6xKXps4Re/KG5nfUkr+TdHCrRWB8C69TlzVgA9b3RmGWmgN9LA==";
};
};
- "@babel/plugin-transform-function-name-7.12.13" = {
+ "@babel/plugin-transform-function-name-7.14.5" = {
name = "_at_babel_slash_plugin-transform-function-name";
packageName = "@babel/plugin-transform-function-name";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-function-name/-/plugin-transform-function-name-7.12.13.tgz";
- sha512 = "6K7gZycG0cmIwwF7uMK/ZqeCikCGVBdyP2J5SKNCXO5EOHcqi+z7Jwf8AmyDNcBgxET8DrEtCt/mPKPyAzXyqQ==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-function-name/-/plugin-transform-function-name-7.14.5.tgz";
+ sha512 = "vbO6kv0fIzZ1GpmGQuvbwwm+O4Cbm2NrPzwlup9+/3fdkuzo1YqOZcXw26+YUJB84Ja7j9yURWposEHLYwxUfQ==";
};
};
- "@babel/plugin-transform-literals-7.12.13" = {
+ "@babel/plugin-transform-literals-7.14.5" = {
name = "_at_babel_slash_plugin-transform-literals";
packageName = "@babel/plugin-transform-literals";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-literals/-/plugin-transform-literals-7.12.13.tgz";
- sha512 = "FW+WPjSR7hiUxMcKqyNjP05tQ2kmBCdpEpZHY1ARm96tGQCCBvXKnpjILtDplUnJ/eHZ0lALLM+d2lMFSpYJrQ==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-literals/-/plugin-transform-literals-7.14.5.tgz";
+ sha512 = "ql33+epql2F49bi8aHXxvLURHkxJbSmMKl9J5yHqg4PLtdE6Uc48CH1GS6TQvZ86eoB/ApZXwm7jlA+B3kra7A==";
};
};
- "@babel/plugin-transform-member-expression-literals-7.12.13" = {
+ "@babel/plugin-transform-member-expression-literals-7.14.5" = {
name = "_at_babel_slash_plugin-transform-member-expression-literals";
packageName = "@babel/plugin-transform-member-expression-literals";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-member-expression-literals/-/plugin-transform-member-expression-literals-7.12.13.tgz";
- sha512 = "kxLkOsg8yir4YeEPHLuO2tXP9R/gTjpuTOjshqSpELUN3ZAg2jfDnKUvzzJxObun38sw3wm4Uu69sX/zA7iRvg==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-member-expression-literals/-/plugin-transform-member-expression-literals-7.14.5.tgz";
+ sha512 = "WkNXxH1VXVTKarWFqmso83xl+2V3Eo28YY5utIkbsmXoItO8Q3aZxN4BTS2k0hz9dGUloHK26mJMyQEYfkn/+Q==";
};
};
- "@babel/plugin-transform-modules-amd-7.13.0" = {
+ "@babel/plugin-transform-modules-amd-7.14.5" = {
name = "_at_babel_slash_plugin-transform-modules-amd";
packageName = "@babel/plugin-transform-modules-amd";
- version = "7.13.0";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-modules-amd/-/plugin-transform-modules-amd-7.13.0.tgz";
- sha512 = "EKy/E2NHhY/6Vw5d1k3rgoobftcNUmp9fGjb9XZwQLtTctsRBOTRO7RHHxfIky1ogMN5BxN7p9uMA3SzPfotMQ==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-modules-amd/-/plugin-transform-modules-amd-7.14.5.tgz";
+ sha512 = "3lpOU8Vxmp3roC4vzFpSdEpGUWSMsHFreTWOMMLzel2gNGfHE5UWIh/LN6ghHs2xurUp4jRFYMUIZhuFbody1g==";
};
};
- "@babel/plugin-transform-modules-commonjs-7.13.8" = {
+ "@babel/plugin-transform-modules-commonjs-7.15.4" = {
name = "_at_babel_slash_plugin-transform-modules-commonjs";
packageName = "@babel/plugin-transform-modules-commonjs";
- version = "7.13.8";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-modules-commonjs/-/plugin-transform-modules-commonjs-7.13.8.tgz";
- sha512 = "9QiOx4MEGglfYZ4XOnU79OHr6vIWUakIj9b4mioN8eQIoEh+pf5p/zEB36JpDFWA12nNMiRf7bfoRvl9Rn79Bw==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-modules-commonjs/-/plugin-transform-modules-commonjs-7.15.4.tgz";
+ sha512 = "qg4DPhwG8hKp4BbVDvX1s8cohM8a6Bvptu4l6Iingq5rW+yRUAhe/YRup/YcW2zCOlrysEWVhftIcKzrEZv3sA==";
};
};
- "@babel/plugin-transform-modules-systemjs-7.13.8" = {
+ "@babel/plugin-transform-modules-systemjs-7.15.4" = {
name = "_at_babel_slash_plugin-transform-modules-systemjs";
packageName = "@babel/plugin-transform-modules-systemjs";
- version = "7.13.8";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-modules-systemjs/-/plugin-transform-modules-systemjs-7.13.8.tgz";
- sha512 = "hwqctPYjhM6cWvVIlOIe27jCIBgHCsdH2xCJVAYQm7V5yTMoilbVMi9f6wKg0rpQAOn6ZG4AOyvCqFF/hUh6+A==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-modules-systemjs/-/plugin-transform-modules-systemjs-7.15.4.tgz";
+ sha512 = "fJUnlQrl/mezMneR72CKCgtOoahqGJNVKpompKwzv3BrEXdlPspTcyxrZ1XmDTIr9PpULrgEQo3qNKp6dW7ssw==";
};
};
- "@babel/plugin-transform-modules-umd-7.13.0" = {
+ "@babel/plugin-transform-modules-umd-7.14.5" = {
name = "_at_babel_slash_plugin-transform-modules-umd";
packageName = "@babel/plugin-transform-modules-umd";
- version = "7.13.0";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-modules-umd/-/plugin-transform-modules-umd-7.13.0.tgz";
- sha512 = "D/ILzAh6uyvkWjKKyFE/W0FzWwasv6vPTSqPcjxFqn6QpX3u8DjRVliq4F2BamO2Wee/om06Vyy+vPkNrd4wxw==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-modules-umd/-/plugin-transform-modules-umd-7.14.5.tgz";
+ sha512 = "RfPGoagSngC06LsGUYyM9QWSXZ8MysEjDJTAea1lqRjNECE3y0qIJF/qbvJxc4oA4s99HumIMdXOrd+TdKaAAA==";
};
};
- "@babel/plugin-transform-named-capturing-groups-regex-7.12.13" = {
+ "@babel/plugin-transform-named-capturing-groups-regex-7.14.9" = {
name = "_at_babel_slash_plugin-transform-named-capturing-groups-regex";
packageName = "@babel/plugin-transform-named-capturing-groups-regex";
- version = "7.12.13";
+ version = "7.14.9";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-named-capturing-groups-regex/-/plugin-transform-named-capturing-groups-regex-7.12.13.tgz";
- sha512 = "Xsm8P2hr5hAxyYblrfACXpQKdQbx4m2df9/ZZSQ8MAhsadw06+jW7s9zsSw6he+mJZXRlVMyEnVktJo4zjk1WA==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-named-capturing-groups-regex/-/plugin-transform-named-capturing-groups-regex-7.14.9.tgz";
+ sha512 = "l666wCVYO75mlAtGFfyFwnWmIXQm3kSH0C3IRnJqWcZbWkoihyAdDhFm2ZWaxWTqvBvhVFfJjMRQ0ez4oN1yYA==";
};
};
- "@babel/plugin-transform-new-target-7.12.13" = {
+ "@babel/plugin-transform-new-target-7.14.5" = {
name = "_at_babel_slash_plugin-transform-new-target";
packageName = "@babel/plugin-transform-new-target";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-new-target/-/plugin-transform-new-target-7.12.13.tgz";
- sha512 = "/KY2hbLxrG5GTQ9zzZSc3xWiOy379pIETEhbtzwZcw9rvuaVV4Fqy7BYGYOWZnaoXIQYbbJ0ziXLa/sKcGCYEQ==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-new-target/-/plugin-transform-new-target-7.14.5.tgz";
+ sha512 = "Nx054zovz6IIRWEB49RDRuXGI4Gy0GMgqG0cII9L3MxqgXz/+rgII+RU58qpo4g7tNEx1jG7rRVH4ihZoP4esQ==";
};
};
- "@babel/plugin-transform-object-super-7.12.13" = {
+ "@babel/plugin-transform-object-super-7.14.5" = {
name = "_at_babel_slash_plugin-transform-object-super";
packageName = "@babel/plugin-transform-object-super";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-object-super/-/plugin-transform-object-super-7.12.13.tgz";
- sha512 = "JzYIcj3XtYspZDV8j9ulnoMPZZnF/Cj0LUxPOjR89BdBVx+zYJI9MdMIlUZjbXDX+6YVeS6I3e8op+qQ3BYBoQ==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-object-super/-/plugin-transform-object-super-7.14.5.tgz";
+ sha512 = "MKfOBWzK0pZIrav9z/hkRqIk/2bTv9qvxHzPQc12RcVkMOzpIKnFCNYJip00ssKWYkd8Sf5g0Wr7pqJ+cmtuFg==";
};
};
- "@babel/plugin-transform-parameters-7.13.0" = {
+ "@babel/plugin-transform-parameters-7.15.4" = {
name = "_at_babel_slash_plugin-transform-parameters";
packageName = "@babel/plugin-transform-parameters";
- version = "7.13.0";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-parameters/-/plugin-transform-parameters-7.13.0.tgz";
- sha512 = "Jt8k/h/mIwE2JFEOb3lURoY5C85ETcYPnbuAJ96zRBzh1XHtQZfs62ChZ6EP22QlC8c7Xqr9q+e1SU5qttwwjw==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-parameters/-/plugin-transform-parameters-7.15.4.tgz";
+ sha512 = "9WB/GUTO6lvJU3XQsSr6J/WKvBC2hcs4Pew8YxZagi6GkTdniyqp8On5kqdK8MN0LMeu0mGbhPN+O049NV/9FQ==";
};
};
- "@babel/plugin-transform-property-literals-7.12.13" = {
+ "@babel/plugin-transform-property-literals-7.14.5" = {
name = "_at_babel_slash_plugin-transform-property-literals";
packageName = "@babel/plugin-transform-property-literals";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-property-literals/-/plugin-transform-property-literals-7.12.13.tgz";
- sha512 = "nqVigwVan+lR+g8Fj8Exl0UQX2kymtjcWfMOYM1vTYEKujeyv2SkMgazf2qNcK7l4SDiKyTA/nHCPqL4e2zo1A==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-property-literals/-/plugin-transform-property-literals-7.14.5.tgz";
+ sha512 = "r1uilDthkgXW8Z1vJz2dKYLV1tuw2xsbrp3MrZmD99Wh9vsfKoob+JTgri5VUb/JqyKRXotlOtwgu4stIYCmnw==";
};
};
- "@babel/plugin-transform-regenerator-7.12.13" = {
+ "@babel/plugin-transform-regenerator-7.14.5" = {
name = "_at_babel_slash_plugin-transform-regenerator";
packageName = "@babel/plugin-transform-regenerator";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-regenerator/-/plugin-transform-regenerator-7.12.13.tgz";
- sha512 = "lxb2ZAvSLyJ2PEe47hoGWPmW22v7CtSl9jW8mingV4H2sEX/JOcrAj2nPuGWi56ERUm2bUpjKzONAuT6HCn2EA==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-regenerator/-/plugin-transform-regenerator-7.14.5.tgz";
+ sha512 = "NVIY1W3ITDP5xQl50NgTKlZ0GrotKtLna08/uGY6ErQt6VEQZXla86x/CTddm5gZdcr+5GSsvMeTmWA5Ii6pkg==";
};
};
- "@babel/plugin-transform-reserved-words-7.12.13" = {
+ "@babel/plugin-transform-reserved-words-7.14.5" = {
name = "_at_babel_slash_plugin-transform-reserved-words";
packageName = "@babel/plugin-transform-reserved-words";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-reserved-words/-/plugin-transform-reserved-words-7.12.13.tgz";
- sha512 = "xhUPzDXxZN1QfiOy/I5tyye+TRz6lA7z6xaT4CLOjPRMVg1ldRf0LHw0TDBpYL4vG78556WuHdyO9oi5UmzZBg==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-reserved-words/-/plugin-transform-reserved-words-7.14.5.tgz";
+ sha512 = "cv4F2rv1nD4qdexOGsRQXJrOcyb5CrgjUH9PKrrtyhSDBNWGxd0UIitjyJiWagS+EbUGjG++22mGH1Pub8D6Vg==";
};
};
"@babel/plugin-transform-runtime-7.12.10" = {
@@ -742,67 +742,67 @@ let
sha512 = "xOrUfzPxw7+WDm9igMgQCbO3cJKymX7dFdsgRr1eu9n3KjjyU4pptIXbXPseQDquw+W+RuJEJMHKHNsPNNm3CA==";
};
};
- "@babel/plugin-transform-shorthand-properties-7.12.13" = {
+ "@babel/plugin-transform-shorthand-properties-7.14.5" = {
name = "_at_babel_slash_plugin-transform-shorthand-properties";
packageName = "@babel/plugin-transform-shorthand-properties";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-shorthand-properties/-/plugin-transform-shorthand-properties-7.12.13.tgz";
- sha512 = "xpL49pqPnLtf0tVluuqvzWIgLEhuPpZzvs2yabUHSKRNlN7ScYU7aMlmavOeyXJZKgZKQRBlh8rHbKiJDraTSw==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-shorthand-properties/-/plugin-transform-shorthand-properties-7.14.5.tgz";
+ sha512 = "xLucks6T1VmGsTB+GWK5Pl9Jl5+nRXD1uoFdA5TSO6xtiNjtXTjKkmPdFXVLGlK5A2/or/wQMKfmQ2Y0XJfn5g==";
};
};
- "@babel/plugin-transform-spread-7.13.0" = {
+ "@babel/plugin-transform-spread-7.14.6" = {
name = "_at_babel_slash_plugin-transform-spread";
packageName = "@babel/plugin-transform-spread";
- version = "7.13.0";
+ version = "7.14.6";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-spread/-/plugin-transform-spread-7.13.0.tgz";
- sha512 = "V6vkiXijjzYeFmQTr3dBxPtZYLPcUfY34DebOU27jIl2M/Y8Egm52Hw82CSjjPqd54GTlJs5x+CR7HeNr24ckg==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-spread/-/plugin-transform-spread-7.14.6.tgz";
+ sha512 = "Zr0x0YroFJku7n7+/HH3A2eIrGMjbmAIbJSVv0IZ+t3U2WUQUA64S/oeied2e+MaGSjmt4alzBCsK9E8gh+fag==";
};
};
- "@babel/plugin-transform-sticky-regex-7.12.13" = {
+ "@babel/plugin-transform-sticky-regex-7.14.5" = {
name = "_at_babel_slash_plugin-transform-sticky-regex";
packageName = "@babel/plugin-transform-sticky-regex";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-sticky-regex/-/plugin-transform-sticky-regex-7.12.13.tgz";
- sha512 = "Jc3JSaaWT8+fr7GRvQP02fKDsYk4K/lYwWq38r/UGfaxo89ajud321NH28KRQ7xy1Ybc0VUE5Pz8psjNNDUglg==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-sticky-regex/-/plugin-transform-sticky-regex-7.14.5.tgz";
+ sha512 = "Z7F7GyvEMzIIbwnziAZmnSNpdijdr4dWt+FJNBnBLz5mwDFkqIXU9wmBcWWad3QeJF5hMTkRe4dAq2sUZiG+8A==";
};
};
- "@babel/plugin-transform-template-literals-7.13.0" = {
+ "@babel/plugin-transform-template-literals-7.14.5" = {
name = "_at_babel_slash_plugin-transform-template-literals";
packageName = "@babel/plugin-transform-template-literals";
- version = "7.13.0";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-template-literals/-/plugin-transform-template-literals-7.13.0.tgz";
- sha512 = "d67umW6nlfmr1iehCcBv69eSUSySk1EsIS8aTDX4Xo9qajAh6mYtcl4kJrBkGXuxZPEgVr7RVfAvNW6YQkd4Mw==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-template-literals/-/plugin-transform-template-literals-7.14.5.tgz";
+ sha512 = "22btZeURqiepOfuy/VkFr+zStqlujWaarpMErvay7goJS6BWwdd6BY9zQyDLDa4x2S3VugxFb162IZ4m/S/+Gg==";
};
};
- "@babel/plugin-transform-typeof-symbol-7.12.13" = {
+ "@babel/plugin-transform-typeof-symbol-7.14.5" = {
name = "_at_babel_slash_plugin-transform-typeof-symbol";
packageName = "@babel/plugin-transform-typeof-symbol";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-typeof-symbol/-/plugin-transform-typeof-symbol-7.12.13.tgz";
- sha512 = "eKv/LmUJpMnu4npgfvs3LiHhJua5fo/CysENxa45YCQXZwKnGCQKAg87bvoqSW1fFT+HA32l03Qxsm8ouTY3ZQ==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-typeof-symbol/-/plugin-transform-typeof-symbol-7.14.5.tgz";
+ sha512 = "lXzLD30ffCWseTbMQzrvDWqljvZlHkXU+CnseMhkMNqU1sASnCsz3tSzAaH3vCUXb9PHeUb90ZT1BdFTm1xxJw==";
};
};
- "@babel/plugin-transform-unicode-escapes-7.12.13" = {
+ "@babel/plugin-transform-unicode-escapes-7.14.5" = {
name = "_at_babel_slash_plugin-transform-unicode-escapes";
packageName = "@babel/plugin-transform-unicode-escapes";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-unicode-escapes/-/plugin-transform-unicode-escapes-7.12.13.tgz";
- sha512 = "0bHEkdwJ/sN/ikBHfSmOXPypN/beiGqjo+o4/5K+vxEFNPRPdImhviPakMKG4x96l85emoa0Z6cDflsdBusZbw==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-unicode-escapes/-/plugin-transform-unicode-escapes-7.14.5.tgz";
+ sha512 = "crTo4jATEOjxj7bt9lbYXcBAM3LZaUrbP2uUdxb6WIorLmjNKSpHfIybgY4B8SRpbf8tEVIWH3Vtm7ayCrKocA==";
};
};
- "@babel/plugin-transform-unicode-regex-7.12.13" = {
+ "@babel/plugin-transform-unicode-regex-7.14.5" = {
name = "_at_babel_slash_plugin-transform-unicode-regex";
packageName = "@babel/plugin-transform-unicode-regex";
- version = "7.12.13";
+ version = "7.14.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/plugin-transform-unicode-regex/-/plugin-transform-unicode-regex-7.12.13.tgz";
- sha512 = "mDRzSNY7/zopwisPZ5kM9XKCfhchqIYwAKRERtEnhYscZB79VRekuRSoYbN0+KVe3y8+q1h6A4svXtP7N+UoCA==";
+ url = "https://registry.npmjs.org/@babel/plugin-transform-unicode-regex/-/plugin-transform-unicode-regex-7.14.5.tgz";
+ sha512 = "UygduJpC5kHeCiRw/xDVzC+wj8VaYSoKl5JNVmbP7MadpNinAm3SvZCxZ42H37KZBKztz46YC73i9yV34d0Tzw==";
};
};
"@babel/preset-env-7.12.10" = {
@@ -832,31 +832,31 @@ let
sha512 = "plcc+hbExy3McchJCEQG3knOsuh3HH+Prx1P6cLIkET/0dLuQDEnrT+s27Axgc9bqfsmNUNHfscgMUdBpC9xfg==";
};
};
- "@babel/template-7.12.13" = {
+ "@babel/template-7.15.4" = {
name = "_at_babel_slash_template";
packageName = "@babel/template";
- version = "7.12.13";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/template/-/template-7.12.13.tgz";
- sha512 = "/7xxiGA57xMo/P2GVvdEumr8ONhFOhfgq2ihK3h1e6THqzTAkHbkXgB0xI9yeTfIUoH3+oAeHhqm/I43OTbbjA==";
+ url = "https://registry.npmjs.org/@babel/template/-/template-7.15.4.tgz";
+ sha512 = "UgBAfEa1oGuYgDIPM2G+aHa4Nlo9Lh6mGD2bDBGMTbYnc38vulXPuC1MGjYILIEmlwl6Rd+BPR9ee3gm20CBtg==";
};
};
- "@babel/traverse-7.13.0" = {
+ "@babel/traverse-7.15.4" = {
name = "_at_babel_slash_traverse";
packageName = "@babel/traverse";
- version = "7.13.0";
+ version = "7.15.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/traverse/-/traverse-7.13.0.tgz";
- sha512 = "xys5xi5JEhzC3RzEmSGrs/b3pJW/o87SypZ+G/PhaE7uqVQNv/jlmVIBXuoh5atqQ434LfXV+sf23Oxj0bchJQ==";
+ url = "https://registry.npmjs.org/@babel/traverse/-/traverse-7.15.4.tgz";
+ sha512 = "W6lQD8l4rUbQR/vYgSuCAE75ADyyQvOpFVsvPPdkhf6lATXAsQIG9YdtOcu8BB1dZ0LKu+Zo3c1wEcbKeuhdlA==";
};
};
- "@babel/types-7.13.0" = {
+ "@babel/types-7.15.6" = {
name = "_at_babel_slash_types";
packageName = "@babel/types";
- version = "7.13.0";
+ version = "7.15.6";
src = fetchurl {
- url = "https://registry.npmjs.org/@babel/types/-/types-7.13.0.tgz";
- sha512 = "hE+HE8rnG1Z6Wzo+MhaKE5lM5eMx71T4EHJgku2E3xIfaULhDcxiiRxUYgwX8qwP1BBSlag+TdGOt6JAidIZTA==";
+ url = "https://registry.npmjs.org/@babel/types/-/types-7.15.6.tgz";
+ sha512 = "BPU+7QhqNjmWyDO0/vitH/CuhpV8ZmK1wpKva8nuyNF5MJfuRNWMc+hc14+u9xT93kvykMdncrJT19h74uB1Ig==";
};
};
"@hapi/address-2.1.4" = {
@@ -913,13 +913,13 @@ let
sha512 = "bPHp6Ji8b41szTOcaP63VlnbbO5Ny6dwAATtY6JTjh5N2OLrb5Qk/Th5cRkRQhkWCt+EJsYrNB0MiL+Gpn6e3g==";
};
};
- "@nodelib/fs.scandir-2.1.4" = {
+ "@nodelib/fs.scandir-2.1.5" = {
name = "_at_nodelib_slash_fs.scandir";
packageName = "@nodelib/fs.scandir";
- version = "2.1.4";
+ version = "2.1.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@nodelib/fs.scandir/-/fs.scandir-2.1.4.tgz";
- sha512 = "33g3pMJk3bg5nXbL/+CY6I2eJDzZAni49PfJnL5fghPTggPvBd/pFNSgJsdAgWptuFu7qq/ERvOYFlhvsLTCKA==";
+ url = "https://registry.npmjs.org/@nodelib/fs.scandir/-/fs.scandir-2.1.5.tgz";
+ sha512 = "vq24Bq3ym5HEQm2NKCr3yXDwjc7vTsEThRDnkp2DK9p1uqLR+DHurm/NOTo0KG7HYHU7eppKZj3MyqYuMBf62g==";
};
};
"@nodelib/fs.stat-1.1.3" = {
@@ -931,22 +931,22 @@ let
sha512 = "shAmDyaQC4H92APFoIaVDHCx5bStIocgvbwQyxPRrbUY20V1EYTbSDchWbuwlMG3V17cprZhA6+78JfB+3DTPw==";
};
};
- "@nodelib/fs.stat-2.0.4" = {
+ "@nodelib/fs.stat-2.0.5" = {
name = "_at_nodelib_slash_fs.stat";
packageName = "@nodelib/fs.stat";
- version = "2.0.4";
+ version = "2.0.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@nodelib/fs.stat/-/fs.stat-2.0.4.tgz";
- sha512 = "IYlHJA0clt2+Vg7bccq+TzRdJvv19c2INqBSsoOLp1je7xjtr7J26+WXR72MCdvU9q1qTzIWDfhMf+DRvQJK4Q==";
+ url = "https://registry.npmjs.org/@nodelib/fs.stat/-/fs.stat-2.0.5.tgz";
+ sha512 = "RkhPPp2zrqDAQA/2jNhnztcPAlv64XdhIp7a7454A5ovI7Bukxgt7MX7udwAu3zg1DcpPU0rz3VV1SeaqvY4+A==";
};
};
- "@nodelib/fs.walk-1.2.6" = {
+ "@nodelib/fs.walk-1.2.8" = {
name = "_at_nodelib_slash_fs.walk";
packageName = "@nodelib/fs.walk";
- version = "1.2.6";
+ version = "1.2.8";
src = fetchurl {
- url = "https://registry.npmjs.org/@nodelib/fs.walk/-/fs.walk-1.2.6.tgz";
- sha512 = "8Broas6vTtW4GIXTAHDoE32hnN2M5ykgCpWGbuXHQ15vEMqr23pB76e/GZcYsZCHALv50ktd24qhEyKr6wBtow==";
+ url = "https://registry.npmjs.org/@nodelib/fs.walk/-/fs.walk-1.2.8.tgz";
+ sha512 = "oGB+UxlgWcgQkgwo8GcEGwemoTFt3FIO9ababBmaGwXIoBKZ+GTy0pP185beGg7Llih/NSHSV2XAs1lnznocSg==";
};
};
"@sindresorhus/is-0.14.0" = {
@@ -976,103 +976,94 @@ let
sha512 = "XIB2XbzHTN6ieIjfIMV9hlVcfPU26s2vafYWQcZHWXHOxiaRZYEDKEwdl129Zyg50+foYV2jCgtrqSA6qNuNSA==";
};
};
- "@szmarczak/http-timer-4.0.5" = {
+ "@szmarczak/http-timer-4.0.6" = {
name = "_at_szmarczak_slash_http-timer";
packageName = "@szmarczak/http-timer";
- version = "4.0.5";
+ version = "4.0.6";
src = fetchurl {
- url = "https://registry.npmjs.org/@szmarczak/http-timer/-/http-timer-4.0.5.tgz";
- sha512 = "PyRA9sm1Yayuj5OIoJ1hGt2YISX45w9WcFbh6ddT0Z/0yaFxOtGLInr4jUfU1EAFVs0Yfyfev4RNwBlUaHdlDQ==";
+ url = "https://registry.npmjs.org/@szmarczak/http-timer/-/http-timer-4.0.6.tgz";
+ sha512 = "4BAffykYOgO+5nzBWYwE3W90sBgLJoUPRWWcL8wlyiM8IB8ipJz3UMJ9KXQd1RKQXpKp8Tutn80HZtWsu2u76w==";
};
};
- "@types/anymatch-1.3.1" = {
- name = "_at_types_slash_anymatch";
- packageName = "@types/anymatch";
- version = "1.3.1";
- src = fetchurl {
- url = "https://registry.npmjs.org/@types/anymatch/-/anymatch-1.3.1.tgz";
- sha512 = "/+CRPXpBDpo2RK9C68N3b2cOvO0Cf5B9aPijHsoDQTHivnGSObdOF2BRQOYjojWTDy6nQvMjmqRXIxH55VjxxA==";
- };
- };
- "@types/cacheable-request-6.0.1" = {
+ "@types/cacheable-request-6.0.2" = {
name = "_at_types_slash_cacheable-request";
packageName = "@types/cacheable-request";
- version = "6.0.1";
+ version = "6.0.2";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/cacheable-request/-/cacheable-request-6.0.1.tgz";
- sha512 = "ykFq2zmBGOCbpIXtoVbz4SKY5QriWPh3AjyU4G74RYbtt5yOc5OfaY75ftjg7mikMOla1CTGpX3lLbuJh8DTrQ==";
+ url = "https://registry.npmjs.org/@types/cacheable-request/-/cacheable-request-6.0.2.tgz";
+ sha512 = "B3xVo+dlKM6nnKTcmm5ZtY/OL8bOAOd2Olee9M1zft65ox50OzjEHW91sDiU9j6cvW8Ejg1/Qkf4xd2kugApUA==";
};
};
- "@types/glob-7.1.3" = {
+ "@types/glob-7.1.4" = {
name = "_at_types_slash_glob";
packageName = "@types/glob";
- version = "7.1.3";
+ version = "7.1.4";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/glob/-/glob-7.1.3.tgz";
- sha512 = "SEYeGAIQIQX8NN6LDKprLjbrd5dARM5EXsd8GI/A5l0apYI1fGMWgPHSe4ZKL4eozlAyI+doUE9XbYS4xCkQ1w==";
+ url = "https://registry.npmjs.org/@types/glob/-/glob-7.1.4.tgz";
+ sha512 = "w+LsMxKyYQm347Otw+IfBXOv9UWVjpHpCDdbBMt8Kz/xbvCYNjP+0qPh91Km3iKfSRLBB0P7fAMf0KHrPu+MyA==";
};
};
- "@types/html-minifier-terser-5.1.1" = {
+ "@types/html-minifier-terser-5.1.2" = {
name = "_at_types_slash_html-minifier-terser";
packageName = "@types/html-minifier-terser";
- version = "5.1.1";
+ version = "5.1.2";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/html-minifier-terser/-/html-minifier-terser-5.1.1.tgz";
- sha512 = "giAlZwstKbmvMk1OO7WXSj4OZ0keXAcl2TQq4LWHiiPH2ByaH7WeUzng+Qej8UPxxv+8lRTuouo0iaNDBuzIBA==";
+ url = "https://registry.npmjs.org/@types/html-minifier-terser/-/html-minifier-terser-5.1.2.tgz";
+ sha512 = "h4lTMgMJctJybDp8CQrxTUiiYmedihHWkjnF/8Pxseu2S6Nlfcy8kwboQ8yejh456rP2yWoEVm1sS/FVsfM48w==";
};
};
- "@types/http-cache-semantics-4.0.0" = {
+ "@types/http-cache-semantics-4.0.1" = {
name = "_at_types_slash_http-cache-semantics";
packageName = "@types/http-cache-semantics";
- version = "4.0.0";
+ version = "4.0.1";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/http-cache-semantics/-/http-cache-semantics-4.0.0.tgz";
- sha512 = "c3Xy026kOF7QOTn00hbIllV1dLR9hG9NkSrLQgCVs8NF6sBU+VGWjD3wLPhmh1TYAc7ugCFsvHYMN4VcBN1U1A==";
+ url = "https://registry.npmjs.org/@types/http-cache-semantics/-/http-cache-semantics-4.0.1.tgz";
+ sha512 = "SZs7ekbP8CN0txVG2xVRH6EgKmEm31BOxA07vkFaETzZz1xh+cbt8BcI0slpymvwhx5dlFnQG2rTlPVQn+iRPQ==";
};
};
- "@types/http-proxy-1.17.5" = {
+ "@types/http-proxy-1.17.7" = {
name = "_at_types_slash_http-proxy";
packageName = "@types/http-proxy";
- version = "1.17.5";
+ version = "1.17.7";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/http-proxy/-/http-proxy-1.17.5.tgz";
- sha512 = "GNkDE7bTv6Sf8JbV2GksknKOsk7OznNYHSdrtvPJXO0qJ9odZig6IZKUi5RFGi6d1bf6dgIAe4uXi3DBc7069Q==";
+ url = "https://registry.npmjs.org/@types/http-proxy/-/http-proxy-1.17.7.tgz";
+ sha512 = "9hdj6iXH64tHSLTY+Vt2eYOGzSogC+JQ2H7bdPWkuh7KXP5qLllWx++t+K9Wk556c3dkDdPws/SpMRi0sdCT1w==";
};
};
- "@types/json-schema-7.0.7" = {
+ "@types/json-schema-7.0.9" = {
name = "_at_types_slash_json-schema";
packageName = "@types/json-schema";
- version = "7.0.7";
+ version = "7.0.9";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/json-schema/-/json-schema-7.0.7.tgz";
- sha512 = "cxWFQVseBm6O9Gbw1IWb8r6OS4OhSt3hPZLkFApLjM8TEXROBuQGLAH2i2gZpcXdLBIrpXuTDhH7Vbm1iXmNGA==";
+ url = "https://registry.npmjs.org/@types/json-schema/-/json-schema-7.0.9.tgz";
+ sha512 = "qcUXuemtEu+E5wZSJHNxUXeCZhAfXKQ41D+duX+VYPde7xyEVZci+/oXKJL13tnRs9lR2pr4fod59GT6/X1/yQ==";
};
};
- "@types/keyv-3.1.1" = {
+ "@types/keyv-3.1.3" = {
name = "_at_types_slash_keyv";
packageName = "@types/keyv";
- version = "3.1.1";
+ version = "3.1.3";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/keyv/-/keyv-3.1.1.tgz";
- sha512 = "MPtoySlAZQ37VoLaPcTHCu1RWJ4llDkULYZIzOYxlhxBqYPB0RsRlmMU0R6tahtFe27mIdkHV+551ZWV4PLmVw==";
+ url = "https://registry.npmjs.org/@types/keyv/-/keyv-3.1.3.tgz";
+ sha512 = "FXCJgyyN3ivVgRoml4h94G/p3kY+u/B86La+QptcqJaWtBWtmc6TtkNfS40n9bIvyLteHh7zXOtgbobORKPbDg==";
};
};
- "@types/minimatch-3.0.3" = {
+ "@types/minimatch-3.0.5" = {
name = "_at_types_slash_minimatch";
packageName = "@types/minimatch";
- version = "3.0.3";
+ version = "3.0.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/minimatch/-/minimatch-3.0.3.tgz";
- sha512 = "tHq6qdbT9U1IRSGf14CL0pUlULksvY9OZ+5eEgl1N7t+OA3tGvNpxJCzuKQlsNgCVwbAs670L1vcVQi8j9HjnA==";
+ url = "https://registry.npmjs.org/@types/minimatch/-/minimatch-3.0.5.tgz";
+ sha512 = "Klz949h02Gz2uZCMGwDUSDS1YBlTdDDgbWHi+81l29tQALUtvz4rAYi5uoVhE5Lagoq6DeqAUlbrHvW/mXDgdQ==";
};
};
- "@types/node-14.14.34" = {
+ "@types/node-16.9.3" = {
name = "_at_types_slash_node";
packageName = "@types/node";
- version = "14.14.34";
+ version = "16.9.3";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/node/-/node-14.14.34.tgz";
- sha512 = "dBPaxocOK6UVyvhbnpFIj2W+S+1cBTkHQbFQfeeJhoKFbzYcVUGHvddeWPSucKATb3F0+pgDq0i6ghEaZjsugA==";
+ url = "https://registry.npmjs.org/@types/node/-/node-16.9.3.tgz";
+ sha512 = "5UmMznRvrwKqisJ458JbNoq3AyXHxlAKMkGtNe143W1SkZ1BVgvCHYBzn7wD66J+smE+BolqA1mes5BeXlWY6w==";
};
};
"@types/parse-json-4.0.0" = {
@@ -1084,13 +1075,13 @@ let
sha512 = "//oorEZjL6sbPcKUaCdIGlIUeH26mgzimjBB77G6XRgnDl/L5wOnpyBGRe/Mmf5CVW3PwEBE1NjiMZ/ssFh4wA==";
};
};
- "@types/q-1.5.4" = {
+ "@types/q-1.5.5" = {
name = "_at_types_slash_q";
packageName = "@types/q";
- version = "1.5.4";
+ version = "1.5.5";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/q/-/q-1.5.4.tgz";
- sha512 = "1HcDas8SEj4z1Wc696tH56G8OlRaH/sqZOynNNB+HF0WOeXPaxTtbYzJY2oEfiUxjSKjhCKr+MvR7dCHcEelug==";
+ url = "https://registry.npmjs.org/@types/q/-/q-1.5.5.tgz";
+ sha512 = "L28j2FcJfSZOnL1WBjDYp2vUHCeIFlyYI/53EwD/rKUBQ7MtUUfbQWiyKJGpcnv4/WgrhWsFKrcPstcAt/J0tQ==";
};
};
"@types/responselike-1.0.0" = {
@@ -1111,40 +1102,40 @@ let
sha512 = "K5K+yml8LTo9bWJI/rECfIPrGgxdpeNbj+d53lwN4QjW1MCwlkhUms+gtdzigTeUyBr09+u8BwOIY3MXvHdcsA==";
};
};
- "@types/tapable-1.0.6" = {
+ "@types/tapable-1.0.8" = {
name = "_at_types_slash_tapable";
packageName = "@types/tapable";
- version = "1.0.6";
+ version = "1.0.8";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/tapable/-/tapable-1.0.6.tgz";
- sha512 = "W+bw9ds02rAQaMvaLYxAbJ6cvguW/iJXNT6lTssS1ps6QdrMKttqEAMEG/b5CR8TZl3/L7/lH0ZV5nNR1LXikA==";
+ url = "https://registry.npmjs.org/@types/tapable/-/tapable-1.0.8.tgz";
+ sha512 = "ipixuVrh2OdNmauvtT51o3d8z12p6LtFW9in7U79der/kwejjdNchQC5UMn5u/KxNoM7VHHOs/l8KS8uHxhODQ==";
};
};
- "@types/uglify-js-3.13.0" = {
+ "@types/uglify-js-3.13.1" = {
name = "_at_types_slash_uglify-js";
packageName = "@types/uglify-js";
- version = "3.13.0";
+ version = "3.13.1";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/uglify-js/-/uglify-js-3.13.0.tgz";
- sha512 = "EGkrJD5Uy+Pg0NUR8uA4bJ5WMfljyad0G+784vLCNUkD+QwOJXUbBYExXfVGf7YtyzdQp3L/XMYcliB987kL5Q==";
+ url = "https://registry.npmjs.org/@types/uglify-js/-/uglify-js-3.13.1.tgz";
+ sha512 = "O3MmRAk6ZuAKa9CHgg0Pr0+lUOqoMLpc9AS4R8ano2auvsg7IE8syF3Xh/NPr26TWklxYcqoEEFdzLLs1fV9PQ==";
};
};
- "@types/webpack-4.41.26" = {
+ "@types/webpack-4.41.31" = {
name = "_at_types_slash_webpack";
packageName = "@types/webpack";
- version = "4.41.26";
+ version = "4.41.31";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/webpack/-/webpack-4.41.26.tgz";
- sha512 = "7ZyTfxjCRwexh+EJFwRUM+CDB2XvgHl4vfuqf1ZKrgGvcS5BrNvPQqJh3tsZ0P6h6Aa1qClVHaJZszLPzpqHeA==";
+ url = "https://registry.npmjs.org/@types/webpack/-/webpack-4.41.31.tgz";
+ sha512 = "/i0J7sepXFIp1ZT7FjUGi1eXMCg8HCCzLJEQkKsOtbJFontsJLolBcDC+3qxn5pPwiCt1G0ZdRmYRzNBtvpuGQ==";
};
};
- "@types/webpack-sources-2.1.0" = {
+ "@types/webpack-sources-3.2.0" = {
name = "_at_types_slash_webpack-sources";
packageName = "@types/webpack-sources";
- version = "2.1.0";
+ version = "3.2.0";
src = fetchurl {
- url = "https://registry.npmjs.org/@types/webpack-sources/-/webpack-sources-2.1.0.tgz";
- sha512 = "LXn/oYIpBeucgP1EIJbKQ2/4ZmpvRl+dlrFdX7+94SKRUV3Evy3FsfMZY318vGhkWUS5MPhtOM3w1/hCOAOXcg==";
+ url = "https://registry.npmjs.org/@types/webpack-sources/-/webpack-sources-3.2.0.tgz";
+ sha512 = "Ft7YH3lEVRQ6ls8k4Ff1oB4jN6oy/XmU6tQISKdhfh+1mR+viZFphS6WL0IrtDOzvefmJg5a0s7ZQoRXwqTEFg==";
};
};
"@webassemblyjs/ast-1.9.0" = {
@@ -1417,13 +1408,13 @@ let
sha512 = "cBhpre4ma+U0T1oM5fXg7Dy1Jw7zzwv7lt/GoCpr+hDQJoYnKVPLL4dCvSEFMmQurOQvSrwT7SL/DAlhBI97RQ==";
};
};
- "ansi-escapes-4.3.1" = {
+ "ansi-escapes-4.3.2" = {
name = "ansi-escapes";
packageName = "ansi-escapes";
- version = "4.3.1";
+ version = "4.3.2";
src = fetchurl {
- url = "https://registry.npmjs.org/ansi-escapes/-/ansi-escapes-4.3.1.tgz";
- sha512 = "JWF7ocqNrp8u9oqpgV+wH5ftbt+cfvv+PTjOvKLT3AdYly/LmORARfEVT1iyjwN+4MqE5UmVKoAdIBqeoCHgLA==";
+ url = "https://registry.npmjs.org/ansi-escapes/-/ansi-escapes-4.3.2.tgz";
+ sha512 = "gKXj5ALrKWQLsYG9jlTRmR/xKluxHV+Z9QEwNIgCfM1/uwPMCuzVVnh5mwTd+OuBZcwSIMbqssNWRm1lE51QaQ==";
};
};
"ansi-html-0.0.7" = {
@@ -1462,13 +1453,13 @@ let
sha512 = "1apePfXM1UOSqw0o9IiFAovVz9M5S1Dg+4TrDwfMewQ6p/rmMueb7tWZjQ1rx4Loy1ArBggoqGpfqqdI4rondg==";
};
};
- "ansi-regex-5.0.0" = {
+ "ansi-regex-5.0.1" = {
name = "ansi-regex";
packageName = "ansi-regex";
- version = "5.0.0";
+ version = "5.0.1";
src = fetchurl {
- url = "https://registry.npmjs.org/ansi-regex/-/ansi-regex-5.0.0.tgz";
- sha512 = "bY6fj56OUQ0hU1KjFNDQuJFezqKdrAyFdIevADiqrWHwSlbmBNMHp5ak2f40Pm8JTFyM2mqxkG6ngkHO11f/lg==";
+ url = "https://registry.npmjs.org/ansi-regex/-/ansi-regex-5.0.1.tgz";
+ sha512 = "quJQXlTSUGL2LH9SUXo8VwsY4soanhgo6LNSm84E1LBcE8s3O0wpdiRzyR9z/ZZJMlMWv37qOOb9pdJlMUEKFQ==";
};
};
"ansi-styles-2.2.1" = {
@@ -1507,13 +1498,13 @@ let
sha512 = "5teOsQWABXHHBFP9y3skS5P3d/WfWXpv3FUpy+LorMrNYaT9pI4oLMQX7jzQ2KklNpGpWHzdCXTDT2Y3XGlZBw==";
};
};
- "anymatch-3.1.1" = {
+ "anymatch-3.1.2" = {
name = "anymatch";
packageName = "anymatch";
- version = "3.1.1";
+ version = "3.1.2";
src = fetchurl {
- url = "https://registry.npmjs.org/anymatch/-/anymatch-3.1.1.tgz";
- sha512 = "mM8522psRCqzV+6LhomX5wgp25YVibjh8Wj23I5RPkPppSVSjyKD2A2mBJmWGa+KN7f2D6LNh9jkBCeyLktzjg==";
+ url = "https://registry.npmjs.org/anymatch/-/anymatch-3.1.2.tgz";
+ sha512 = "P43ePfOAIupkguHUycrc4qJ9kz8ZiuOUijaETwX7THt0Y/GNK7v0aa8rY816xWjZ7rJdA5XdMcpVFTKMq+RvWg==";
};
};
"aproba-1.2.0" = {
@@ -1885,13 +1876,13 @@ let
sha512 = "q/UEjfGJ2Cm3oKV71DJz9d25TPnq5rhBVL2Q4fA5wcC3jcrdn7+SssEybFIxwAvvP+YCsCYNKughoF33GxgycQ==";
};
};
- "balanced-match-1.0.0" = {
+ "balanced-match-1.0.2" = {
name = "balanced-match";
packageName = "balanced-match";
- version = "1.0.0";
+ version = "1.0.2";
src = fetchurl {
- url = "https://registry.npmjs.org/balanced-match/-/balanced-match-1.0.0.tgz";
- sha1 = "89b4d199ab2bee49de164ea02b89ce462d71b767";
+ url = "https://registry.npmjs.org/balanced-match/-/balanced-match-1.0.2.tgz";
+ sha512 = "3oSeUO0TMV67hN1AmbXsK4yaqU7tjiHlbxRDZOpH0KW9+CeX4bRAaX0Anxt0tx2MrpRpWwQaPwIlISEJhYU5Pw==";
};
};
"base-0.11.2" = {
@@ -2002,6 +1993,15 @@ let
sha512 = "N4Pm7iyDEv0BrAMs+dny8WQa+e0nNTdzn2ODkf/MM6XBtKSCxCSUA1ZOQGoc1n7mUqdgOS5pwjsW91rmXVxy2Q==";
};
};
+ "bl-4.1.0" = {
+ name = "bl";
+ packageName = "bl";
+ version = "4.1.0";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/bl/-/bl-4.1.0.tgz";
+ sha512 = "1W07cM9gS6DcLperZfFSj+bWLtaPGSOHWhPiGzXmvVJbRLdG82sH/Kn8EtW1VqWVA54AKf2h5k5BbnIbwF3h6w==";
+ };
+ };
"bluebird-3.7.2" = {
name = "bluebird";
packageName = "bluebird";
@@ -2164,13 +2164,13 @@ let
sha512 = "VBorw+tgpOtZ1BYhrVSVTzTt/3+vSE3eFUh0N2GCFK1HffceOaf32YS/bs6WiFhjDAblAFrx85jMy3BG9fBK2Q==";
};
};
- "browserslist-4.16.3" = {
+ "browserslist-4.17.0" = {
name = "browserslist";
packageName = "browserslist";
- version = "4.16.3";
+ version = "4.17.0";
src = fetchurl {
- url = "https://registry.npmjs.org/browserslist/-/browserslist-4.16.3.tgz";
- sha512 = "vIyhWmIkULaq04Gt93txdh+j02yX/JzlyhLYbV3YQCn/zvES3JnY7TifHHvvr1w5hTDluNKMkV05cs4vy8Q7sw==";
+ url = "https://registry.npmjs.org/browserslist/-/browserslist-4.17.0.tgz";
+ sha512 = "g2BJ2a0nEYvEFQC208q8mVAhfNwpZ5Mu8BwgtCdZKO3qx98HChmeg448fPdUzld8aFmfLgVh7yymqV+q1lJZ5g==";
};
};
"buffer-4.9.2" = {
@@ -2182,13 +2182,22 @@ let
sha512 = "xq+q3SRMOxGivLhBNaUdC64hDTQwejJ+H0T/NB1XMtTVEwNTrfFF3gAxiyW0Bu/xWEGhjVKgUcMhCrUy2+uCWg==";
};
};
- "buffer-from-1.1.1" = {
+ "buffer-5.7.1" = {
+ name = "buffer";
+ packageName = "buffer";
+ version = "5.7.1";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/buffer/-/buffer-5.7.1.tgz";
+ sha512 = "EHcyIPBQ4BSGlvjB16k5KgAJ27CIsHY/2JBmCRReo48y9rQ3MaUzWX3KVlBa4U7MyX02HdVj0K7C3WaB3ju7FQ==";
+ };
+ };
+ "buffer-from-1.1.2" = {
name = "buffer-from";
packageName = "buffer-from";
- version = "1.1.1";
+ version = "1.1.2";
src = fetchurl {
- url = "https://registry.npmjs.org/buffer-from/-/buffer-from-1.1.1.tgz";
- sha512 = "MQcXEUbCKtEo7bhqEs6560Hyd4XaovZlO/k9V3hjVUF/zwW7KBVdSK4gIt/bzwS9MbR5qob+F5jusZsb0YQK2A==";
+ url = "https://registry.npmjs.org/buffer-from/-/buffer-from-1.1.2.tgz";
+ sha512 = "E+XQCRwSbaaiChtv6k6Dwgc+bx+Bs6vuKJHHl5kox/BaKbhiXzqQOwK4cO22yElGp2OCmjwVhT3HmxgyPGnJfQ==";
};
};
"buffer-indexof-1.1.1" = {
@@ -2290,13 +2299,13 @@ let
sha512 = "Oj3cAGPCqOZX7Rz64Uny2GYAZNliQSqfbePrgAQ1wKAihYmCUnraBtJtKcGR4xz7wF+LoJC+ssFZvv5BgF9Igg==";
};
};
- "cacheable-request-7.0.1" = {
+ "cacheable-request-7.0.2" = {
name = "cacheable-request";
packageName = "cacheable-request";
- version = "7.0.1";
+ version = "7.0.2";
src = fetchurl {
- url = "https://registry.npmjs.org/cacheable-request/-/cacheable-request-7.0.1.tgz";
- sha512 = "lt0mJ6YAnsrBErpTMWeu5kl/tg9xMAWjavYTN6VQXM1A/teBITuNcccXsCxF0tDQQJf9DfAaX5O4e0zp0KlfZw==";
+ url = "https://registry.npmjs.org/cacheable-request/-/cacheable-request-7.0.2.tgz";
+ sha512 = "pouW8/FmiPQbuGpkXQ9BAPv/Mo5xDGANgSNXzTzJ8DrKGuXOssM4wIQRjfanNRh3Yu5cfYPvcorqbhg2KIJtew==";
};
};
"call-bind-1.0.2" = {
@@ -2398,13 +2407,13 @@ let
sha512 = "bsTwuIg/BZZK/vreVTYYbSWoe2F+71P7K5QGEX+pT250DZbfU1MQ5prOKpPR+LL6uWKK3KMwMCAS74QB3Um1uw==";
};
};
- "caniuse-lite-1.0.30001199" = {
+ "caniuse-lite-1.0.30001258" = {
name = "caniuse-lite";
packageName = "caniuse-lite";
- version = "1.0.30001199";
+ version = "1.0.30001258";
src = fetchurl {
- url = "https://registry.npmjs.org/caniuse-lite/-/caniuse-lite-1.0.30001199.tgz";
- sha512 = "ifbK2eChUCFUwGhlEzIoVwzFt1+iriSjyKKFYNfv6hN34483wyWpLLavYQXhnR036LhkdUYaSDpHg1El++VgHQ==";
+ url = "https://registry.npmjs.org/caniuse-lite/-/caniuse-lite-1.0.30001258.tgz";
+ sha512 = "RBByOG6xWXUp0CR2/WU2amXz3stjKpSl5J1xU49F1n2OxD//uBZO4wCKUiG+QMGf7CHGfDDcqoKriomoGVxTeA==";
};
};
"case-sensitive-paths-webpack-plugin-2.3.0" = {
@@ -2479,13 +2488,13 @@ let
sha512 = "4D3B6Wf41KOYRFdszmDqMCGq5VV/uMAB273JILmO+3jAlh8X4qDtdtgCR3fxtbLEMzSx22QdhnDcJvu2u1fVwg==";
};
};
- "chalk-4.1.0" = {
+ "chalk-4.1.2" = {
name = "chalk";
packageName = "chalk";
- version = "4.1.0";
+ version = "4.1.2";
src = fetchurl {
- url = "https://registry.npmjs.org/chalk/-/chalk-4.1.0.tgz";
- sha512 = "qwx12AxXe2Q5xQ43Ac//I6v5aXTipYrSESdOgzrN+9XjgEpyjpKuvSGaN4qE93f7TQTlerQQ8S+EQ0EyDoVL1A==";
+ url = "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz";
+ sha512 = "oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==";
};
};
"chardet-0.7.0" = {
@@ -2533,13 +2542,13 @@ let
sha512 = "/j5PPkb5Feyps9e+jo07jUZGvkB5Aj953NrI4s8xSVScrAo/RHeILrtdb4uzR7N6aaFFxxJ+gt8mA8HfNpw76w==";
};
};
- "chokidar-3.5.1" = {
+ "chokidar-3.5.2" = {
name = "chokidar";
packageName = "chokidar";
- version = "3.5.1";
+ version = "3.5.2";
src = fetchurl {
- url = "https://registry.npmjs.org/chokidar/-/chokidar-3.5.1.tgz";
- sha512 = "9+s+Od+W0VJJzawDma/gvBNQqkTiqYTWLuZoyAsivsI4AaWTCzHG06/TMjsf1cYe9Cb97UCEhjz7HvnPk2p/tw==";
+ url = "https://registry.npmjs.org/chokidar/-/chokidar-3.5.2.tgz";
+ sha512 = "ekGhOnNVPgT77r4K/U3GDhu+FQ2S8TnK/s2KbIGXi0SZWuwkZ2QNyfWdZW+TVfn84DpEP7rLeCt2UI6bJ8GwbQ==";
};
};
"chownr-1.1.4" = {
@@ -2560,13 +2569,13 @@ let
sha512 = "bIomtDF5KGpdogkLd9VspvFzk9KfpyyGlS8YFVZl7TGPBHL5snIOnxeshwVgPteQ9b4Eydl+pVbIyE1DcvCWgQ==";
};
};
- "chrome-trace-event-1.0.2" = {
+ "chrome-trace-event-1.0.3" = {
name = "chrome-trace-event";
packageName = "chrome-trace-event";
- version = "1.0.2";
+ version = "1.0.3";
src = fetchurl {
- url = "https://registry.npmjs.org/chrome-trace-event/-/chrome-trace-event-1.0.2.tgz";
- sha512 = "9e/zx1jw7B4CO+c/RXoCsfg/x1AfUBioy4owYH0bJprEYAx5hRFLRhWBqHAG57D0ZM4H7vxbP7bPe0VwhQRYDQ==";
+ url = "https://registry.npmjs.org/chrome-trace-event/-/chrome-trace-event-1.0.3.tgz";
+ sha512 = "p3KULyQg4S7NIHixdwbGX+nFHkoBiA4YQmyWtjb8XngSKV124nJmRysgAeujbUVb15vh+RvFUfCPqU7rXk+hZg==";
};
};
"cipher-base-1.0.4" = {
@@ -2614,13 +2623,13 @@ let
sha512 = "I/zHAwsKf9FqGoXM4WWRACob9+SNukZTd94DWF57E4toouRulbCxcUh6RKUEOQlYTHJnzkPMySvPNaaSLNfLZw==";
};
};
- "cli-spinners-2.5.0" = {
+ "cli-spinners-2.6.0" = {
name = "cli-spinners";
packageName = "cli-spinners";
- version = "2.5.0";
+ version = "2.6.0";
src = fetchurl {
- url = "https://registry.npmjs.org/cli-spinners/-/cli-spinners-2.5.0.tgz";
- sha512 = "PC+AmIuK04E6aeSs/pUccSujsTzBhu4HzC2dL+CfJB/Jcc2qTRbEwZQDfIUpt2Xl8BodYBEq8w4fc0kU2I9DjQ==";
+ url = "https://registry.npmjs.org/cli-spinners/-/cli-spinners-2.6.0.tgz";
+ sha512 = "t+4/y50K/+4xcCRosKkA7W4gTr1MySvLV0q+PxmG7FJ5g+66ChKurYjxBCjHggHH3HA5Hh9cy+lcUGWDqVH+4Q==";
};
};
"cli-table-0.3.4" = {
@@ -2713,13 +2722,13 @@ let
sha1 = "4bc0373c164bc3291b4d368c829cf1a80a59dca0";
};
};
- "color-3.1.3" = {
+ "color-3.2.1" = {
name = "color";
packageName = "color";
- version = "3.1.3";
+ version = "3.2.1";
src = fetchurl {
- url = "https://registry.npmjs.org/color/-/color-3.1.3.tgz";
- sha512 = "xgXAcTHa2HeFCGLE9Xs/R82hujGtu9Jd9x4NW3T34+OMs7VoPsjwzRczKHvTAHeJwWFwX5j15+MgAppE8ztObQ==";
+ url = "https://registry.npmjs.org/color/-/color-3.2.1.tgz";
+ sha512 = "aBl7dZI9ENN6fUGC7mWpMTPNHmWUSNan9tuWN6ahh5ZLNk9baLJOnSMlrQkHcrfFgz2/RigjUVAjdx36VcemKA==";
};
};
"color-convert-1.9.3" = {
@@ -2758,22 +2767,22 @@ let
sha512 = "dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA==";
};
};
- "color-string-1.5.5" = {
+ "color-string-1.6.0" = {
name = "color-string";
packageName = "color-string";
- version = "1.5.5";
+ version = "1.6.0";
src = fetchurl {
- url = "https://registry.npmjs.org/color-string/-/color-string-1.5.5.tgz";
- sha512 = "jgIoum0OfQfq9Whcfc2z/VhCNcmQjWbey6qBX0vqt7YICflUmBCh9E9CiQD5GSJ+Uehixm3NUwHVhqUAWRivZg==";
+ url = "https://registry.npmjs.org/color-string/-/color-string-1.6.0.tgz";
+ sha512 = "c/hGS+kRWJutUBEngKKmk4iH3sD59MBkoxVapS/0wgpCz2u7XsNloxknyvBhzwEs1IbV36D9PwqLPJ2DTu3vMA==";
};
};
- "colorette-1.2.2" = {
+ "colorette-1.4.0" = {
name = "colorette";
packageName = "colorette";
- version = "1.2.2";
+ version = "1.4.0";
src = fetchurl {
- url = "https://registry.npmjs.org/colorette/-/colorette-1.2.2.tgz";
- sha512 = "MKGMzyfeuutC/ZJ1cba9NqcNpfeqMUcYmyF1ZFY6/Cn7CNSAKx6a+s48sqLqyAiZuaP2TcqMhoo+dlwFnVxT9w==";
+ url = "https://registry.npmjs.org/colorette/-/colorette-1.4.0.tgz";
+ sha512 = "Y2oEozpomLn7Q3HFP7dpww7AtMJplbM9lGZP6RDfHqmbeRjiwRg4n6VM6j4KLmRke85uWEI7JqF17f3pqdRA0g==";
};
};
"colors-1.0.3" = {
@@ -2857,13 +2866,13 @@ let
sha512 = "U7VdrJFnJgo4xjrHpTzu0yrHPGImdsmD95ZlgYSEajAn2JKzDhDTPG9kBTefmObL2w/ngeZnilk+OV9CG3d7UA==";
};
};
- "commander-7.1.0" = {
+ "commander-7.2.0" = {
name = "commander";
packageName = "commander";
- version = "7.1.0";
+ version = "7.2.0";
src = fetchurl {
- url = "https://registry.npmjs.org/commander/-/commander-7.1.0.tgz";
- sha512 = "pRxBna3MJe6HKnBGsDyMv8ETbptw3axEdYHoqNh7gu5oDcew8fs0xnivZGm06Ogk8zGAJ9VX+OPEr2GXEQK4dg==";
+ url = "https://registry.npmjs.org/commander/-/commander-7.2.0.tgz";
+ sha512 = "QrWXB+ZQSVPmIWIhtEO9H+gwHaMGYiF5ChvoJ+K9ZGHG/sVsa6yiesAD1GC/x46sET00Xlwo1u49RVVVzvcSkw==";
};
};
"common-tags-1.8.0" = {
@@ -2947,13 +2956,13 @@ let
sha512 = "27HBghJxjiZtIk3Ycvn/4kbJk/1uZuJFfuPEns6LaEvpvG1f0hTea8lilrouyo9mVc2GWdcEZ8OLoGmSADlrCw==";
};
};
- "config-chain-1.1.12" = {
+ "config-chain-1.1.13" = {
name = "config-chain";
packageName = "config-chain";
- version = "1.1.12";
+ version = "1.1.13";
src = fetchurl {
- url = "https://registry.npmjs.org/config-chain/-/config-chain-1.1.12.tgz";
- sha512 = "a1eOIcu8+7lUInge4Rpf/n4Krkf3Dd9lqhljRzII1/Zno/kRtUWnznPO3jOKBmTEktkt3fkxisUcivoj0ebzoA==";
+ url = "https://registry.npmjs.org/config-chain/-/config-chain-1.1.13.tgz";
+ sha512 = "qj+f8APARXHrM0hraqXYb2/bOVSV4PvJQlNZ/DVj0QrmNM2q2euizkeuVckQ57J+W0mRH6Hvi+k50M4Jul2VRQ==";
};
};
"connect-history-api-fallback-1.6.0" = {
@@ -3010,13 +3019,13 @@ let
sha512 = "hIP3EEPs8tB9AT1L+NUqtwOAps4mk2Zob89MWXMHjHWg9milF/j4osnnQLXBCBFBk/tvIG/tUc9mOUJiPBhPXA==";
};
};
- "convert-source-map-1.7.0" = {
+ "convert-source-map-1.8.0" = {
name = "convert-source-map";
packageName = "convert-source-map";
- version = "1.7.0";
+ version = "1.8.0";
src = fetchurl {
- url = "https://registry.npmjs.org/convert-source-map/-/convert-source-map-1.7.0.tgz";
- sha512 = "4FJkXzKXEDB1snCFZlLP4gpC3JILicCpGbzG9f9G7tGqGCzETQ2hWPrcinA9oU4wtf2biUaEH5065UnMeR33oA==";
+ url = "https://registry.npmjs.org/convert-source-map/-/convert-source-map-1.8.0.tgz";
+ sha512 = "+OQdjP49zViI/6i7nIJpA8rAl4sV/JdPfU9nZs3VqOwGIgizICvuN2ru6fMd+4llL0tar18UYJXfZ/TWtmhUjA==";
};
};
"cookie-0.3.1" = {
@@ -3082,13 +3091,13 @@ let
sha512 = "Kb2wC0fvsWfQrgk8HU5lW6U/Lcs8+9aaYcy4ZFc6DDlo4nZ7n70dEgE5rtR0oG6ufKDUnrwfWL1mXR5ljDatrQ==";
};
};
- "core-js-compat-3.9.1" = {
+ "core-js-compat-3.17.3" = {
name = "core-js-compat";
packageName = "core-js-compat";
- version = "3.9.1";
+ version = "3.17.3";
src = fetchurl {
- url = "https://registry.npmjs.org/core-js-compat/-/core-js-compat-3.9.1.tgz";
- sha512 = "jXAirMQxrkbiiLsCx9bQPJFA6llDadKMpYrBJQJ3/c4/vsPP/fAf29h24tviRlvwUL6AmY5CHLu2GvjuYviQqA==";
+ url = "https://registry.npmjs.org/core-js-compat/-/core-js-compat-3.17.3.tgz";
+ sha512 = "+in61CKYs4hQERiADCJsdgewpdl/X0GhEX77pjKgbeibXviIt2oxEjTc8O2fqHX8mDdBrDvX8MYD/RYsBv4OiA==";
};
};
"core-util-is-1.0.2" = {
@@ -3100,6 +3109,15 @@ let
sha1 = "b5fd54220aa2bc5ab57aab7140c940754503c1a7";
};
};
+ "core-util-is-1.0.3" = {
+ name = "core-util-is";
+ packageName = "core-util-is";
+ version = "1.0.3";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/core-util-is/-/core-util-is-1.0.3.tgz";
+ sha512 = "ZQBvi1DcpJ4GDqanjucZ2Hj3wEO5pZDS89BWbkcrvdxksJorwUDDZamX9ldFkp9aw2lmBDLgkObEA4DWNJ9FYQ==";
+ };
+ };
"cosmiconfig-5.2.1" = {
name = "cosmiconfig";
packageName = "cosmiconfig";
@@ -3109,13 +3127,13 @@ let
sha512 = "H65gsXo1SKjf8zmrJ67eJk8aIRKV5ff2D4uKZIBZShbhGSpEmsQOPW/SKMKYhSTrqR7ufy6RP69rPogdaPh/kA==";
};
};
- "cosmiconfig-7.0.0" = {
+ "cosmiconfig-7.0.1" = {
name = "cosmiconfig";
packageName = "cosmiconfig";
- version = "7.0.0";
+ version = "7.0.1";
src = fetchurl {
- url = "https://registry.npmjs.org/cosmiconfig/-/cosmiconfig-7.0.0.tgz";
- sha512 = "pondGvTuVYDk++upghXJabWzL6Kxu6f26ljFw64Swq9v6sQPUL3EUlVDV56diOjpCayKihL6hVe8exIACU4XcA==";
+ url = "https://registry.npmjs.org/cosmiconfig/-/cosmiconfig-7.0.1.tgz";
+ sha512 = "a1YWNUV2HwGimB7dU2s1wUMurNKjpx60HxBB6xUM8Re+2s1g1IIfJvFR0/iCF+XHdE0GMTKTuLR32UQff4TEyQ==";
};
};
"create-ecdh-4.0.4" = {
@@ -3253,6 +3271,15 @@ let
sha512 = "Dqk7LQKpwLoH3VovzZnkzegqNSuAziQyNZUcrdDM401iY+R5NkGBXGmtO05/yaXQziALuPogeG0b7UAgjnTJTQ==";
};
};
+ "css-select-4.1.3" = {
+ name = "css-select";
+ packageName = "css-select";
+ version = "4.1.3";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/css-select/-/css-select-4.1.3.tgz";
+ sha512 = "gT3wBNd9Nj49rAbmtFHj1cljIAOLYSX1nZ8CB7TBO3INYckygm5B7LISU/szY//YmdiSLbJvDLOx9VnMVpMBxA==";
+ };
+ };
"css-select-base-adapter-0.1.1" = {
name = "css-select-base-adapter";
packageName = "css-select-base-adapter";
@@ -3271,13 +3298,13 @@ let
sha512 = "DMxWJg0rnz7UgxKT0Q1HU/L9BeJI0M6ksor0OgqOnF+aRCDWg/N2641HmVyU9KVIu0OVVWOb2IpC9A+BJRnejg==";
};
};
- "css-tree-1.1.2" = {
+ "css-tree-1.1.3" = {
name = "css-tree";
packageName = "css-tree";
- version = "1.1.2";
+ version = "1.1.3";
src = fetchurl {
- url = "https://registry.npmjs.org/css-tree/-/css-tree-1.1.2.tgz";
- sha512 = "wCoWush5Aeo48GLhfHPbmvZs59Z+M7k5+B1xDnXbdWNcEF423DoFdqSWE0PM5aNk5nI5cp1q7ms36zGApY/sKQ==";
+ url = "https://registry.npmjs.org/css-tree/-/css-tree-1.1.3.tgz";
+ sha512 = "tRpdppF7TRazZrjJ6v3stzv93qxRcSsFmW6cX0Zm2NVKpxE1WV1HblnghVv9TreireHkqI/VDEsfolRF1p6y7Q==";
};
};
"css-what-3.4.2" = {
@@ -3289,6 +3316,15 @@ let
sha512 = "ACUm3L0/jiZTqfzRM3Hi9Q8eZqd6IK37mMWPLz9PJxkLWllYeRf+EHUSHYEtFop2Eqytaq1FizFVh7XfBnXCDQ==";
};
};
+ "css-what-5.0.1" = {
+ name = "css-what";
+ packageName = "css-what";
+ version = "5.0.1";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/css-what/-/css-what-5.0.1.tgz";
+ sha512 = "FYDTSHb/7KXsWICVsxdmiExPjCfRC4qRFBdVwv7Ax9hMnvMmEjP9RfxTEZ3qPZGmADDn2vAKSo9UcN1jKVYscg==";
+ };
+ };
"cssesc-3.0.0" = {
name = "cssesc";
packageName = "cssesc";
@@ -3298,22 +3334,22 @@ let
sha512 = "/Tb/JcjK111nNScGob5MNtsntNM1aCNUDipB/TkwZFhyDrrE47SOx/18wF2bbjgc3ZzCSKW1T5nt5EbFoAz/Vg==";
};
};
- "cssnano-4.1.10" = {
+ "cssnano-4.1.11" = {
name = "cssnano";
packageName = "cssnano";
- version = "4.1.10";
+ version = "4.1.11";
src = fetchurl {
- url = "https://registry.npmjs.org/cssnano/-/cssnano-4.1.10.tgz";
- sha512 = "5wny+F6H4/8RgNlaqab4ktc3e0/blKutmq8yNlBFXA//nSFFAqAngjNVRzUvCgYROULmZZUoosL/KSoZo5aUaQ==";
+ url = "https://registry.npmjs.org/cssnano/-/cssnano-4.1.11.tgz";
+ sha512 = "6gZm2htn7xIPJOHY824ERgj8cNPgPxyCSnkXc4v7YvNW+TdVfzgngHcEhy/8D11kUWRUMbke+tC+AUcUsnMz2g==";
};
};
- "cssnano-preset-default-4.0.7" = {
+ "cssnano-preset-default-4.0.8" = {
name = "cssnano-preset-default";
packageName = "cssnano-preset-default";
- version = "4.0.7";
+ version = "4.0.8";
src = fetchurl {
- url = "https://registry.npmjs.org/cssnano-preset-default/-/cssnano-preset-default-4.0.7.tgz";
- sha512 = "x0YHHx2h6p0fCl1zY9L9roD7rnlltugGu7zXSKQx6k2rYw0Hi3IqxcoAGF7u9Q5w1nt7vK0ulxV8Lo+EvllGsA==";
+ url = "https://registry.npmjs.org/cssnano-preset-default/-/cssnano-preset-default-4.0.8.tgz";
+ sha512 = "LdAyHuq+VRyeVREFmuxUZR1TXjQm8QQU/ktoo/x7bz+SdOge1YKc5eMN6pRW7YWBmyq59CqYba1dJ5cUukEjLQ==";
};
};
"cssnano-util-get-arguments-4.0.0" = {
@@ -3604,13 +3640,13 @@ let
sha1 = "978857442c44749e4206613e37946205826abd80";
};
};
- "detect-node-2.0.4" = {
+ "detect-node-2.1.0" = {
name = "detect-node";
packageName = "detect-node";
- version = "2.0.4";
+ version = "2.1.0";
src = fetchurl {
- url = "https://registry.npmjs.org/detect-node/-/detect-node-2.0.4.tgz";
- sha512 = "ZIzRpLJrOj7jjP2miAtgqIfmzbxa4ZOr5jJc601zklsfEx9oTzmmj2nVpIPRpNlRTIh8lc1kyViIY7BWSGNmKw==";
+ url = "https://registry.npmjs.org/detect-node/-/detect-node-2.1.0.tgz";
+ sha512 = "T0NIuQpnTvFDATNuHN5roPwSBG83rFsuO+MXXH9/3N1eFbn4wcPjttvjMLEPWJ0RGUYgQE7cGgS3tNxbqCGM7g==";
};
};
"detect-port-alt-1.1.6" = {
@@ -3658,13 +3694,13 @@ let
sha1 = "b39e7f1da6eb0a75ba9c17324b34753c47e0654d";
};
};
- "dns-packet-1.3.1" = {
+ "dns-packet-1.3.4" = {
name = "dns-packet";
packageName = "dns-packet";
- version = "1.3.1";
+ version = "1.3.4";
src = fetchurl {
- url = "https://registry.npmjs.org/dns-packet/-/dns-packet-1.3.1.tgz";
- sha512 = "0UxfQkMhYAUaZI+xrNZOz/as5KgDU0M/fQ9b6SpkyLbk3GEswDi6PADJVaYJradtRVsRIlF1zLyOodbcTCDzUg==";
+ url = "https://registry.npmjs.org/dns-packet/-/dns-packet-1.3.4.tgz";
+ sha512 = "BQ6F4vycLXBvdrJZ6S3gZewt6rcrks9KBgM9vrhW+knGRqc8uEdT7fuCwloc7nny5xNoMJ17HGH0R/6fpo8ECA==";
};
};
"dns-txt-2.0.2" = {
@@ -3694,6 +3730,15 @@ let
sha512 = "2/xPb3ORsQ42nHYiSunXkDjPLBaEj/xTwUO4B7XCZQTRk7EBtTOPaygh10YAAh2OI1Qrp6NWfpAhzswj0ydt9g==";
};
};
+ "dom-serializer-1.3.2" = {
+ name = "dom-serializer";
+ packageName = "dom-serializer";
+ version = "1.3.2";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/dom-serializer/-/dom-serializer-1.3.2.tgz";
+ sha512 = "5c54Bk5Dw4qAxNOI1pFEizPSjVsx5+bpJKmL2kPn8JhBUq2q09tTCa3mjijun2NfK78NMouDYNMBkOrPZiS+ig==";
+ };
+ };
"domain-browser-1.2.0" = {
name = "domain-browser";
packageName = "domain-browser";
@@ -3712,22 +3757,22 @@ let
sha512 = "BSKB+TSpMpFI/HOxCNr1O8aMOTZ8hT3pM3GQ0w/mWRmkhEDSFJkkyzz4XQsBV44BChwGkrDfMyjVD0eA2aFV3w==";
};
};
- "domelementtype-2.1.0" = {
+ "domelementtype-2.2.0" = {
name = "domelementtype";
packageName = "domelementtype";
- version = "2.1.0";
+ version = "2.2.0";
src = fetchurl {
- url = "https://registry.npmjs.org/domelementtype/-/domelementtype-2.1.0.tgz";
- sha512 = "LsTgx/L5VpD+Q8lmsXSHW2WpA+eBlZ9HPf3erD1IoPF00/3JKHZ3BknUVA2QGDNu69ZNmyFmCWBSO45XjYKC5w==";
+ url = "https://registry.npmjs.org/domelementtype/-/domelementtype-2.2.0.tgz";
+ sha512 = "DtBMo82pv1dFtUmHyr48beiuq792Sxohr+8Hm9zoxklYPfa6n0Z3Byjj2IV7bmr2IyqClnqEQhfgHJJ5QF0R5A==";
};
};
- "domhandler-2.4.2" = {
+ "domhandler-4.2.2" = {
name = "domhandler";
packageName = "domhandler";
- version = "2.4.2";
+ version = "4.2.2";
src = fetchurl {
- url = "https://registry.npmjs.org/domhandler/-/domhandler-2.4.2.tgz";
- sha512 = "JiK04h0Ht5u/80fdLMCEmV4zkNh2BcoMFBmZ/91WtYZ8qVXSKjiw7fXMgFPnHcSZgOo3XdinHvmnDUeMf5R4wA==";
+ url = "https://registry.npmjs.org/domhandler/-/domhandler-4.2.2.tgz";
+ sha512 = "PzE9aBMsdZO8TK4BnuJwH0QT41wgMbRzuZrHUcpYncEjmQazq8QEaBWgLG7ZyC/DAZKEgglpIA6j4Qn/HmxS3w==";
};
};
"domutils-1.7.0" = {
@@ -3739,6 +3784,15 @@ let
sha512 = "Lgd2XcJ/NjEw+7tFvfKxOzCYKZsdct5lczQ2ZaQY8Djz7pfAD3Gbp8ySJWtreII/vDlMVmxwa6pHmdxIYgttDg==";
};
};
+ "domutils-2.8.0" = {
+ name = "domutils";
+ packageName = "domutils";
+ version = "2.8.0";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/domutils/-/domutils-2.8.0.tgz";
+ sha512 = "w96Cjofp72M5IIhpjgobBimYEfoPjx1Vx0BSX9P30WBdZW2WIKU0T1Bd0kz2eNZ9ikjKgHbEyKx8BB6H1L3h3A==";
+ };
+ };
"dot-case-3.0.4" = {
name = "dot-case";
packageName = "dot-case";
@@ -3811,13 +3865,13 @@ let
sha1 = "590c61156b0ae2f4f0255732a158b266bc56b21d";
};
};
- "electron-to-chromium-1.3.687" = {
+ "electron-to-chromium-1.3.843" = {
name = "electron-to-chromium";
packageName = "electron-to-chromium";
- version = "1.3.687";
+ version = "1.3.843";
src = fetchurl {
- url = "https://registry.npmjs.org/electron-to-chromium/-/electron-to-chromium-1.3.687.tgz";
- sha512 = "IpzksdQNl3wdgkzf7dnA7/v10w0Utf1dF2L+B4+gKrloBrxCut+au+kky3PYvle3RMdSxZP+UiCZtLbcYRxSNQ==";
+ url = "https://registry.npmjs.org/electron-to-chromium/-/electron-to-chromium-1.3.843.tgz";
+ sha512 = "OWEwAbzaVd1Lk9MohVw8LxMXFlnYd9oYTYxfX8KS++kLLjDfbovLOcEEXwRhG612dqGQ6+44SZvim0GXuBRiKg==";
};
};
"elliptic-6.5.4" = {
@@ -3892,22 +3946,22 @@ let
sha512 = "SyZgZ/hxq62budS3k0M1Qj1E8fIRvldSxFSm4XfzE6qRRuHAT2a82fxprZRZl1yG2GwnImGmhuKH5hSyjPpzjA==";
};
};
- "elm-test-0.19.1-revision6" = {
+ "elm-test-0.19.1-revision7" = {
name = "elm-test";
packageName = "elm-test";
- version = "0.19.1-revision6";
+ version = "0.19.1-revision7";
src = fetchurl {
- url = "https://registry.npmjs.org/elm-test/-/elm-test-0.19.1-revision6.tgz";
- sha512 = "4VbIyCRlCUm/py0E0AjMT3/mwd6DR4Y5Z5gEox6z5JII6ZdKIJmcQzjgWRI5qo5ERJiw9M/Nxhk7SGXFUbZsxQ==";
+ url = "https://registry.npmjs.org/elm-test/-/elm-test-0.19.1-revision7.tgz";
+ sha512 = "sd3nCQMeYMaY84Sz41bVJ30ZvQN1/4ZcD8uYMOuUbM39FDh58NY9/AcImVJ7Z+gjCFdcSU6VscZzhUoPW8jp6Q==";
};
};
- "elm-tooling-1.3.0" = {
+ "elm-tooling-1.6.0" = {
name = "elm-tooling";
packageName = "elm-tooling";
- version = "1.3.0";
+ version = "1.6.0";
src = fetchurl {
- url = "https://registry.npmjs.org/elm-tooling/-/elm-tooling-1.3.0.tgz";
- sha512 = "OLRg8D7QCbzMmi8QTaebIIsH2qaIj4NiltuJ8vZj4JFHOIVa3utyD5tlfySBGZNfDG7+vZ2K8iDk82TalFaAbw==";
+ url = "https://registry.npmjs.org/elm-tooling/-/elm-tooling-1.6.0.tgz";
+ sha512 = "quliLTmqEcqqFZEcJKnYcZ9BrL1K2sYvtryQl6BfaMD6HaI8oRaZYDPY/Ihdo7X7t7mY5TbSlrcxv6coJgWwtA==";
};
};
"elm-webpack-loader-6.0.1" = {
@@ -3991,15 +4045,6 @@ let
sha512 = "Nv9m36S/vxpsI+Hc4/ZGRs0n9mXqSWGGq49zxb/cJfPAQMbUtttJAlNPS4AQzaBdw/pKskw5bMbekT/Y7W/Wlg==";
};
};
- "entities-1.1.2" = {
- name = "entities";
- packageName = "entities";
- version = "1.1.2";
- src = fetchurl {
- url = "https://registry.npmjs.org/entities/-/entities-1.1.2.tgz";
- sha512 = "f2LZMYl1Fzu7YSBKg+RoROelpOaNrcGmE9AZubeDfrCEia483oW4MI4VyFd5VNHIgQ/7qm1I0wUHK1eJnn2y2w==";
- };
- };
"entities-2.2.0" = {
name = "entities";
packageName = "entities";
@@ -4027,13 +4072,13 @@ let
sha512 = "7dFHNmqeFSEt2ZBsCriorKnn3Z2pj+fd9kmI6QoWw4//DL+icEBfc0U7qJCisqrTsKTjw4fNFy2pW9OqStD84g==";
};
};
- "es-abstract-1.18.0" = {
+ "es-abstract-1.18.6" = {
name = "es-abstract";
packageName = "es-abstract";
- version = "1.18.0";
+ version = "1.18.6";
src = fetchurl {
- url = "https://registry.npmjs.org/es-abstract/-/es-abstract-1.18.0.tgz";
- sha512 = "LJzK7MrQa8TS0ja2w3YNLzUgJCGPdPOV1yVvezjNnS89D+VR08+Szt2mz3YB2Dck/+w5tfIq/RoUAFqJJGM2yw==";
+ url = "https://registry.npmjs.org/es-abstract/-/es-abstract-1.18.6.tgz";
+ sha512 = "kAeIT4cku5eNLNuUKhlmtuk1/TRZvQoYccn6TO0cSVdf1kzB0T7+dYuVK9MWM7l+/53W2Q8M7N2c6MQvhXFcUQ==";
};
};
"es-to-primitive-1.2.1" = {
@@ -4198,13 +4243,13 @@ let
sha1 = "0acede849ed7dd1ccc32c811bb11b944d4f29232";
};
};
- "eventsource-1.0.7" = {
+ "eventsource-1.1.0" = {
name = "eventsource";
packageName = "eventsource";
- version = "1.0.7";
+ version = "1.1.0";
src = fetchurl {
- url = "https://registry.npmjs.org/eventsource/-/eventsource-1.0.7.tgz";
- sha512 = "4Ln17+vVT0k8aWq+t/bF5arcS3EpT9gYtW66EPacdj/mAFevznsnyoHLPy2BA8gbIQeIHoPsvwmfBftfcG//BQ==";
+ url = "https://registry.npmjs.org/eventsource/-/eventsource-1.1.0.tgz";
+ sha512 = "VSJjT5oCNrFvCS6igjzPAt5hBzQ2qPBFIbJ03zLI9SE0mxwZpMw6BfJrbFHm1a141AavMEB8JHmBhWAd66PfCg==";
};
};
"evp_bytestokey-1.0.3" = {
@@ -4225,13 +4270,13 @@ let
sha512 = "adbxcyWV46qiHyvSp50TKt05tB4tK3HcmF7/nxfAdhnox83seTDbwnaqKO4sXRy7roHAIFqJP/Rw/AuEbX61LA==";
};
};
- "execa-5.0.0" = {
+ "execa-5.1.1" = {
name = "execa";
packageName = "execa";
- version = "5.0.0";
+ version = "5.1.1";
src = fetchurl {
- url = "https://registry.npmjs.org/execa/-/execa-5.0.0.tgz";
- sha512 = "ov6w/2LCiuyO4RLYGdpFGjkcs0wMTgGE8PrkTHikeUy5iJekXyPIKUjifk5CsE0pt7sMCrMZ3YNqoCj6idQOnQ==";
+ url = "https://registry.npmjs.org/execa/-/execa-5.1.1.tgz";
+ sha512 = "8uSpZZocAZRBAPIEINJj3Lo9HyGitllczc27Eh5YYojjMFMn8yHMDMaUHE2Jqfq05D/wucwI4JGURyXt1vchyg==";
};
};
"expand-brackets-2.1.4" = {
@@ -4378,13 +4423,13 @@ let
sha512 = "g1KuQwHOZAmOZMuBtHdxDtju+T2RT8jgCC9aANsbpdiDDTSnjgfuVsIBNKbUeJI3oKMRExcfNDtJl4OhbffMsw==";
};
};
- "fast-glob-3.2.5" = {
+ "fast-glob-3.2.7" = {
name = "fast-glob";
packageName = "fast-glob";
- version = "3.2.5";
+ version = "3.2.7";
src = fetchurl {
- url = "https://registry.npmjs.org/fast-glob/-/fast-glob-3.2.5.tgz";
- sha512 = "2DtFcgT68wiTTiwZ2hNdJfcHNke9XOfnwmBRWXhmeKM8rF0TGwmC/Qto3S7RoZKp5cilZbxzO5iTNTQsJ+EeDg==";
+ url = "https://registry.npmjs.org/fast-glob/-/fast-glob-3.2.7.tgz";
+ sha512 = "rYGMRwip6lUMvYD3BTScMwT1HtAs2d71SMv66Vrxs0IekGZEjhM0pcMfjQPnknBt2zeCwQMEupiN02ZP4DiT1Q==";
};
};
"fast-json-stable-stringify-2.1.0" = {
@@ -4414,13 +4459,13 @@ let
sha512 = "On2N+BpYJ15xIC974QNVuYGMOlEVt4s0EOI3wwMqOmK1fdDY+FN/zltPV8vosq4ad4c/gJ1KHScUn/6AWIgiow==";
};
};
- "fastq-1.11.0" = {
+ "fastq-1.13.0" = {
name = "fastq";
packageName = "fastq";
- version = "1.11.0";
+ version = "1.13.0";
src = fetchurl {
- url = "https://registry.npmjs.org/fastq/-/fastq-1.11.0.tgz";
- sha512 = "7Eczs8gIPDrVzT+EksYBcupqMyxSHXXrHOLRRxU2/DicV8789MRBRR8+Hc2uWzUupOs4YS4JzBmBxjjCVBxD/g==";
+ url = "https://registry.npmjs.org/fastq/-/fastq-1.13.0.tgz";
+ sha512 = "YpkpUnK8od0o1hmeSc7UUs/eB/vIPWJYjKck2QKIzAf71Vm1AAQ3EbuZB3g2JIy+pg+ERD0vqI79KyZiB2e2Nw==";
};
};
"faye-websocket-0.10.0" = {
@@ -4432,13 +4477,13 @@ let
sha1 = "4e492f8d04dfb6f89003507f6edbf2d501e7c6f4";
};
};
- "faye-websocket-0.11.3" = {
+ "faye-websocket-0.11.4" = {
name = "faye-websocket";
packageName = "faye-websocket";
- version = "0.11.3";
+ version = "0.11.4";
src = fetchurl {
- url = "https://registry.npmjs.org/faye-websocket/-/faye-websocket-0.11.3.tgz";
- sha512 = "D2y4bovYpzziGgbHYtGCMjlJM36vAl/y+xUyn1C+FVx8szd1E+86KwVw6XvYSzOP8iMpm1X0I4xJD+QtUb36OA==";
+ url = "https://registry.npmjs.org/faye-websocket/-/faye-websocket-0.11.4.tgz";
+ sha512 = "CzbClwlXAuiRQAlUyfqPgvPoNKTckTPGfwZV4ZdAhVcP2lh9KUxJg2b5GkE7XbjKQ3YJnQ9z6D9ntLAlB+tP8g==";
};
};
"figgy-pudding-3.5.2" = {
@@ -4558,13 +4603,13 @@ let
sha512 = "Tq6PixE0w/VMFfCgbONnkiQIVol/JJL7nRMi20fqzA4NRs9AfeqMGeRdPi3wIhYkxjeBaWh2rxwapn5Tu3IqOQ==";
};
};
- "find-cache-dir-3.3.1" = {
+ "find-cache-dir-3.3.2" = {
name = "find-cache-dir";
packageName = "find-cache-dir";
- version = "3.3.1";
+ version = "3.3.2";
src = fetchurl {
- url = "https://registry.npmjs.org/find-cache-dir/-/find-cache-dir-3.3.1.tgz";
- sha512 = "t2GDMt3oGC/v+BMwzmllWDuJF/xcDtE5j/fCGbqDD7OLuJkj0cfh1YSA5VKPvwMeLFLNDBkwOKZ2X85jGLVftQ==";
+ url = "https://registry.npmjs.org/find-cache-dir/-/find-cache-dir-3.3.2.tgz";
+ sha512 = "wXZV5emFEjrridIgED11OoUKLxiYjAcqot/NJdAkOhlJ+vGzwhOAfcG5OX1jP+S0PcjEn8bdMJv+g2jwQ3Onig==";
};
};
"find-elm-dependencies-2.0.2" = {
@@ -4585,13 +4630,13 @@ let
sha512 = "x/4w4fVmlD2X4PD9oQ+yh9EyaQef6OtEULdMGBTuWx0Nkppvo2Z/bAiQioW2n+GdRYKypME2b9OmYTw5tw5qDg==";
};
};
- "find-parent-dir-0.3.0" = {
+ "find-parent-dir-0.3.1" = {
name = "find-parent-dir";
packageName = "find-parent-dir";
- version = "0.3.0";
+ version = "0.3.1";
src = fetchurl {
- url = "https://registry.npmjs.org/find-parent-dir/-/find-parent-dir-0.3.0.tgz";
- sha1 = "33c44b429ab2b2f0646299c5f9f718f376ff8d54";
+ url = "https://registry.npmjs.org/find-parent-dir/-/find-parent-dir-0.3.1.tgz";
+ sha512 = "o4UcykWV/XN9wm+jMEtWLPlV8RXCZnMhQI6F6OdHeSez7iiJWePw8ijOlskJZMsaQoGR/b7dH6lO02HhaTN7+A==";
};
};
"find-up-1.1.2" = {
@@ -4675,13 +4720,13 @@ let
sha512 = "SDgHBgV+RCjrYs8aUwCb9rTgbTVuSdzvFmLaChsLre1yf+D64khCW++VYciaByZ8Rm0uKF8R/XEpXuTRSGUM1A==";
};
};
- "follow-redirects-1.13.3" = {
+ "follow-redirects-1.14.4" = {
name = "follow-redirects";
packageName = "follow-redirects";
- version = "1.13.3";
+ version = "1.14.4";
src = fetchurl {
- url = "https://registry.npmjs.org/follow-redirects/-/follow-redirects-1.13.3.tgz";
- sha512 = "DUgl6+HDzB0iEptNQEXLx/KhTmDb8tZUHSeLqpnjpknR70H0nC2t9N73BK6fN4hOvJ84pKlIQVQ4k5FFlBedKA==";
+ url = "https://registry.npmjs.org/follow-redirects/-/follow-redirects-1.14.4.tgz";
+ sha512 = "zwGkiSXC1MUJG/qmeIFH2HBJx9u0V46QGUe3YR1fXG8bXQxq7fLj0RjLZQ5nubr9qNJUZrH+xUcwXEoXNpfS+g==";
};
};
"for-in-1.0.2" = {
@@ -4711,22 +4756,22 @@ let
sha512 = "1lLKB2Mu3aGP1Q/2eCOx0fNbRMe7XdwktwOruhfqqd0rIJWwN4Dh+E3hrPSlDCXnSR7UtZ1N38rVXm+6+MEhJQ==";
};
};
- "forwarded-0.1.2" = {
+ "forwarded-0.2.0" = {
name = "forwarded";
packageName = "forwarded";
- version = "0.1.2";
+ version = "0.2.0";
src = fetchurl {
- url = "https://registry.npmjs.org/forwarded/-/forwarded-0.1.2.tgz";
- sha1 = "98c23dab1175657b8c0573e8ceccd91b0ff18c84";
+ url = "https://registry.npmjs.org/forwarded/-/forwarded-0.2.0.tgz";
+ sha512 = "buRG0fpBtRHSTCOASe6hD258tEubFoRLb4ZNA6NxMVHNw2gOcwHo9wyablzMzOA5z9xA9L1KNjk/Nt6MT9aYow==";
};
};
- "fraction.js-4.0.13" = {
+ "fraction.js-4.1.1" = {
name = "fraction.js";
packageName = "fraction.js";
- version = "4.0.13";
+ version = "4.1.1";
src = fetchurl {
- url = "https://registry.npmjs.org/fraction.js/-/fraction.js-4.0.13.tgz";
- sha512 = "E1fz2Xs9ltlUp+qbiyx9wmt2n9dRzPsS11Jtdb8D2o+cC7wr9xkkKsVKJuBX0ST+LVS+LhLO+SbLJNtfWcJvXA==";
+ url = "https://registry.npmjs.org/fraction.js/-/fraction.js-4.1.1.tgz";
+ sha512 = "MHOhvvxHTfRFpF1geTK9czMIZ6xclsEor2wkIGYYq+PxcQqT7vStJqjhe6S1TenZrMZzo+wlqOufBDVepUEgPg==";
};
};
"fragment-cache-0.2.1" = {
@@ -4756,15 +4801,6 @@ let
sha1 = "8bfb5502bde4a4d36cfdeea007fcca21d7e382af";
};
};
- "fromentries-1.3.2" = {
- name = "fromentries";
- packageName = "fromentries";
- version = "1.3.2";
- src = fetchurl {
- url = "https://registry.npmjs.org/fromentries/-/fromentries-1.3.2.tgz";
- sha512 = "cHEpEQHUg0f8XdtZCc2ZAhrHzKzT0MrFUTcvx+hfxYu7rGMDc5SKoXFh+n4YigxsHXRzc6OrCshdR1bWH6HHyg==";
- };
- };
"fs-extra-2.0.0" = {
name = "fs-extra";
packageName = "fs-extra";
@@ -4981,13 +5017,22 @@ let
sha512 = "nBF+F1rAZVCu/p7rjzgA+Yb4lfYXrpl7a6VmJrU8wF9I1CKvP/QwPNZHnOlwbTkY6dvtFIzFMSyQXbLoTQPRpA==";
};
};
- "get-stream-6.0.0" = {
+ "get-stream-6.0.1" = {
name = "get-stream";
packageName = "get-stream";
- version = "6.0.0";
+ version = "6.0.1";
src = fetchurl {
- url = "https://registry.npmjs.org/get-stream/-/get-stream-6.0.0.tgz";
- sha512 = "A1B3Bh1UmL0bidM/YX2NsCOTnGJePL9rO/M+Mw3m9f2gUpfokS0hi5Eah0WSUEWZdZhIZtMjkIYS7mDfOqNHbg==";
+ url = "https://registry.npmjs.org/get-stream/-/get-stream-6.0.1.tgz";
+ sha512 = "ts6Wi+2j3jQjqi70w5AlN8DFnkSwC+MqmxEzdEALB2qXZYV3X/b1CTfgPLGJNMeAWxdPfU8FO1ms3NUfaHCPYg==";
+ };
+ };
+ "get-symbol-description-1.0.0" = {
+ name = "get-symbol-description";
+ packageName = "get-symbol-description";
+ version = "1.0.0";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/get-symbol-description/-/get-symbol-description-1.0.0.tgz";
+ sha512 = "2EmdH1YvIQiZpltCNgkuiUnyukzxM/R6NDJX31Ke3BG1Nq5b0S2PhX59UKi9vZpPDQVdqn+1IcaAwnzTT5vCjw==";
};
};
"get-value-2.0.6" = {
@@ -5017,13 +5062,13 @@ let
sha512 = "hkLPepehmnKk41pUGm3sYxoFs/umurYfYJCerbXEyFIWcAzvpipAgVkBqqT9RBKMGjnq6kMuyYwha6csxbiM1A==";
};
};
- "glob-7.1.6" = {
+ "glob-7.1.7" = {
name = "glob";
packageName = "glob";
- version = "7.1.6";
+ version = "7.1.7";
src = fetchurl {
- url = "https://registry.npmjs.org/glob/-/glob-7.1.6.tgz";
- sha512 = "LwaxwyZ72Lk7vZINtNNrywX0ZuLyStrdDtabefZKAY5ZGJhVtgdznluResxNmPitE0SAO+O26sWTHeKSI2wMBA==";
+ url = "https://registry.npmjs.org/glob/-/glob-7.1.7.tgz";
+ sha512 = "OvD9ENzPLbegENnYP5UUfJIirTg4+XwMWGaQfQTY0JenxNvvIKP3U3/tAQSPIu/lHxXYSZmpXlUHeqAIdKzBLQ==";
};
};
"glob-parent-3.1.0" = {
@@ -5080,13 +5125,13 @@ let
sha512 = "WOBp/EEGUiIsJSp7wcv/y6MO+lV9UoncWqxuFfm8eBwzWNgyfBd6Gz+IeKQ9jCmyhoH99g15M3T+QaVHFjizVA==";
};
};
- "globby-11.0.2" = {
+ "globby-11.0.4" = {
name = "globby";
packageName = "globby";
- version = "11.0.2";
+ version = "11.0.4";
src = fetchurl {
- url = "https://registry.npmjs.org/globby/-/globby-11.0.2.tgz";
- sha512 = "2ZThXDvvV8fYFRVIxnrMQBipZQDr7MxKAmQK1vujaj9/7eF0efG7BPUKJ7jP7G5SLF37xKDXvO4S/KKLj/Z0og==";
+ url = "https://registry.npmjs.org/globby/-/globby-11.0.4.tgz";
+ sha512 = "9O4MVG9ioZJ08ffbcyVYyLOJLk5JQ688pJ4eMGLpdWLHq/Wr1D9BlriLQyL0E+jbkuePVZXYFj47QM/v093wHg==";
};
};
"globby-6.1.0" = {
@@ -5134,13 +5179,13 @@ let
sha512 = "R7eWptXuGYxwijs0eV+v3o6+XH1IqVK8dJOEecQfTmkncw9AV4dcw/Dhxi8MdlqPthxxpZyizMzyg8RTmEsG+Q==";
};
};
- "graceful-fs-4.2.6" = {
+ "graceful-fs-4.2.8" = {
name = "graceful-fs";
packageName = "graceful-fs";
- version = "4.2.6";
+ version = "4.2.8";
src = fetchurl {
- url = "https://registry.npmjs.org/graceful-fs/-/graceful-fs-4.2.6.tgz";
- sha512 = "nTnJ528pbqxYanhpDYsi4Rd8MAeaBA67+RZ10CM1m3bTAVFEDcd5AuA4a6W5YkGZ1iNXHzZz8T6TBKLeBuNriQ==";
+ url = "https://registry.npmjs.org/graceful-fs/-/graceful-fs-4.2.8.tgz";
+ sha512 = "qkIilPUYcNhJpd33n0GBXTB1MMPp14TxEsEs0pTrsSVucApsYzW5V+Q8Qxhik6KU3evy+qkAAowTByymK0avdg==";
};
};
"gzip-size-5.0.0" = {
@@ -5251,6 +5296,15 @@ let
sha512 = "vdbKfmw+3LoOYVr+mtxHaX5a96+0f3DljYd8JOqvOLsf5mw2Otda2qCDT9qRqLAhrjyQ0h7ual5nOiASpsGNFw==";
};
};
+ "has-tostringtag-1.0.0" = {
+ name = "has-tostringtag";
+ packageName = "has-tostringtag";
+ version = "1.0.0";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/has-tostringtag/-/has-tostringtag-1.0.0.tgz";
+ sha512 = "kFjcSNhnlGV1kyoGk7OXKSawH5JOb/LzUc5w9B02hOTO0dfFRjbHQKvg1d6cf3HbeUmtU9VbbV3qzZ2Teh97WQ==";
+ };
+ };
"has-value-0.3.1" = {
name = "has-value";
packageName = "has-value";
@@ -5341,13 +5395,13 @@ let
sha512 = "eSmmWE5bZTK2Nou4g0AI3zZ9rswp7GRKoKXS1BLUkvPviOqs4YTN1djQIqrXy9k5gEtdLPy86JjRwsNM9tnDcA==";
};
};
- "hosted-git-info-2.8.8" = {
+ "hosted-git-info-2.8.9" = {
name = "hosted-git-info";
packageName = "hosted-git-info";
- version = "2.8.8";
+ version = "2.8.9";
src = fetchurl {
- url = "https://registry.npmjs.org/hosted-git-info/-/hosted-git-info-2.8.8.tgz";
- sha512 = "f/wzC2QaWBs7t9IYqB4T3sR1xviIViXJRJTWBlx2Gf3g0Xi5vI7Yy4koXQ1c9OYDGHN9sBy1DQ2AB8fqZBWhUg==";
+ url = "https://registry.npmjs.org/hosted-git-info/-/hosted-git-info-2.8.9.tgz";
+ sha512 = "mxIDAb9Lsm6DoOJ7xH+5+X4y1LU/4Hi50L9C5sIswK3JzULS4bwk1FvjdBgvYR4bzT4tuUQiC15FE2f5HbLvYw==";
};
};
"hpack.js-2.1.6" = {
@@ -5377,15 +5431,6 @@ let
sha1 = "c1ce7a3168c8c6614033a4b5f7877f3b225f9c38";
};
};
- "html-comment-regex-1.1.2" = {
- name = "html-comment-regex";
- packageName = "html-comment-regex";
- version = "1.1.2";
- src = fetchurl {
- url = "https://registry.npmjs.org/html-comment-regex/-/html-comment-regex-1.1.2.tgz";
- sha512 = "P+M65QY2JQ5Y0G9KKdlDpo0zK+/OHptU5AaBwUfAIDJZk1MYf32Frm84EcOytfJE0t5JvkAnKlmjsXDnWzCJmQ==";
- };
- };
"html-entities-1.4.0" = {
name = "html-entities";
packageName = "html-entities";
@@ -5413,13 +5458,13 @@ let
sha512 = "MouoXEYSjTzCrjIxWwg8gxL5fE2X2WZJLmBYXlaJhQUH5K/b5OrqmV7T4dB7iu0xkmJ6JlUuV6fFVtnqbPopZw==";
};
};
- "htmlparser2-3.10.1" = {
+ "htmlparser2-6.1.0" = {
name = "htmlparser2";
packageName = "htmlparser2";
- version = "3.10.1";
+ version = "6.1.0";
src = fetchurl {
- url = "https://registry.npmjs.org/htmlparser2/-/htmlparser2-3.10.1.tgz";
- sha512 = "IgieNijUMbkDovyoKObU1DUhm1iwNYE/fuifEoEHfd1oZKZDaONBSkal7Y01shxsM49R4XaMdGez3WnF9UfiCQ==";
+ url = "https://registry.npmjs.org/htmlparser2/-/htmlparser2-6.1.0.tgz";
+ sha512 = "gyyPk6rgonLFEDGoeRgQNaEUvdJ4ktTmmUh/h2t7s+M8oPpIPxgNACWa+6ESR57kXstwqPiCut0V8NRpcwgU7A==";
};
};
"http-cache-semantics-4.1.0" = {
@@ -5746,6 +5791,15 @@ let
sha512 = "S1zBo1D6zcsyuC6PMmY5+55YMILQ9av8lotMx447Bq6SAgo/sDK6y6uUKmuYhW7eacnIhFfsPmCNYdDzsnnDCg==";
};
};
+ "internal-slot-1.0.3" = {
+ name = "internal-slot";
+ packageName = "internal-slot";
+ version = "1.0.3";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/internal-slot/-/internal-slot-1.0.3.tgz";
+ sha512 = "O0DB1JC/sPyZl7cIo78n5dR7eUSwwpYPiXRhTzNxZVAMUuB8vlnRFyLxdrVToks6XPLVnFfbzaVd5WLjhgg+vA==";
+ };
+ };
"invert-kv-1.0.0" = {
name = "invert-kv";
packageName = "invert-kv";
@@ -5818,13 +5872,13 @@ let
sha512 = "m5hnHTkcVsPfqx3AKlyttIPb7J+XykHvJP2B9bZDjlhLIoEq4XoK64Vg7boZlVWYK6LUY94dYPEE7Lh0ZkZKcQ==";
};
};
- "is-arguments-1.1.0" = {
+ "is-arguments-1.1.1" = {
name = "is-arguments";
packageName = "is-arguments";
- version = "1.1.0";
+ version = "1.1.1";
src = fetchurl {
- url = "https://registry.npmjs.org/is-arguments/-/is-arguments-1.1.0.tgz";
- sha512 = "1Ij4lOMPl/xB5kBDn7I+b2ttPMKa8szhEIrXDuXQD/oe3HJLTLhqhgGspwgyGd6MOywBUqVvYicF72lkgDnIHg==";
+ url = "https://registry.npmjs.org/is-arguments/-/is-arguments-1.1.1.tgz";
+ sha512 = "8Q7EARjzEnKpt/PCD7e1cgUS0a6X8u5tdSiMqXhojOdoV9TsMsiO+9VLC5vAmO8N7/GmXn7yjR8qnA6bVAEzfA==";
};
};
"is-arrayish-0.2.1" = {
@@ -5845,13 +5899,13 @@ let
sha512 = "eVRqCvVlZbuw3GrM63ovNSNAeA1K16kaR/LRY/92w0zxQ5/1YzwblUX652i4Xs9RwAGjW9d9y6X88t8OaAJfWQ==";
};
};
- "is-bigint-1.0.1" = {
+ "is-bigint-1.0.4" = {
name = "is-bigint";
packageName = "is-bigint";
- version = "1.0.1";
+ version = "1.0.4";
src = fetchurl {
- url = "https://registry.npmjs.org/is-bigint/-/is-bigint-1.0.1.tgz";
- sha512 = "J0ELF4yHFxHy0cmSxZuheDOz2luOdVvqjwmEcj8H/L1JHeuEDSDbeRP+Dk9kFVk5RTFzbucJ2Kb9F7ixY2QaCg==";
+ url = "https://registry.npmjs.org/is-bigint/-/is-bigint-1.0.4.tgz";
+ sha512 = "zB9CruMamjym81i2JZ3UMn54PKGsQzsJeo6xvN3HJJ4CAsQNB6iRutp2To77OfCNuoxspsIhzaPoO1zyCEhFOg==";
};
};
"is-binary-path-1.0.1" = {
@@ -5872,13 +5926,13 @@ let
sha512 = "ZMERYes6pDydyuGidse7OsHxtbI7WVeUEozgR/g7rd0xUimYNlvZRE/K2MgZTjWy725IfelLeVcEM97mmtRGXw==";
};
};
- "is-boolean-object-1.1.0" = {
+ "is-boolean-object-1.1.2" = {
name = "is-boolean-object";
packageName = "is-boolean-object";
- version = "1.1.0";
+ version = "1.1.2";
src = fetchurl {
- url = "https://registry.npmjs.org/is-boolean-object/-/is-boolean-object-1.1.0.tgz";
- sha512 = "a7Uprx8UtD+HWdyYwnD1+ExtTgqQtD2k/1yJgtXP6wnMm8byhkoTZRl+95LLThpzNZJ5aEvi46cdH+ayMFRwmA==";
+ url = "https://registry.npmjs.org/is-boolean-object/-/is-boolean-object-1.1.2.tgz";
+ sha512 = "gDYaKHJmnj4aWxyj6YHyXVpdQawtVLHU5cb+eztPGczf6cjuTdwve5ZIEfgXqH4e57An1D1AKf8CZ3kYrQRqYA==";
};
};
"is-buffer-1.1.6" = {
@@ -5890,13 +5944,13 @@ let
sha512 = "NcdALwpXkTm5Zvvbk7owOUSvVvBKDgKP5/ewfXEznmQFfs4ZRmanOeKBTjRVjka3QFoN6XJ+9F3USqfHqTaU5w==";
};
};
- "is-callable-1.2.3" = {
+ "is-callable-1.2.4" = {
name = "is-callable";
packageName = "is-callable";
- version = "1.2.3";
+ version = "1.2.4";
src = fetchurl {
- url = "https://registry.npmjs.org/is-callable/-/is-callable-1.2.3.tgz";
- sha512 = "J1DcMe8UYTBSrKezuIUTUwjXsho29693unXM2YhJUTR2txK/eG47bvNa/wipPFmZFgr/N6f1GA66dv0mEyTIyQ==";
+ url = "https://registry.npmjs.org/is-callable/-/is-callable-1.2.4.tgz";
+ sha512 = "nsuwtxZfMX67Oryl9LCQ+upnC0Z0BgpwntpS89m1H/TLF0zNfzfLMV/9Wa/6MZsj0acpEjAO0KF1xT6ZdLl95w==";
};
};
"is-color-stop-1.1.0" = {
@@ -5908,13 +5962,13 @@ let
sha1 = "cfff471aee4dd5c9e158598fbe12967b5cdad345";
};
};
- "is-core-module-2.2.0" = {
+ "is-core-module-2.6.0" = {
name = "is-core-module";
packageName = "is-core-module";
- version = "2.2.0";
+ version = "2.6.0";
src = fetchurl {
- url = "https://registry.npmjs.org/is-core-module/-/is-core-module-2.2.0.tgz";
- sha512 = "XRAfAdyyY5F5cOXn7hYQDqh2Xmii+DEfIcQGxK/uNwMHhIkPWO0g8msXcbzLe+MpGoR951MlqM/2iIlU4vKDdQ==";
+ url = "https://registry.npmjs.org/is-core-module/-/is-core-module-2.6.0.tgz";
+ sha512 = "wShG8vs60jKfPWpF2KZRaAtvt3a20OAn7+IJ6hLPECpSABLcKtFKTTI4ZtH5QcBruBHlq+WsdHWyz0BCZW7svQ==";
};
};
"is-data-descriptor-0.1.4" = {
@@ -5935,13 +5989,13 @@ let
sha512 = "jbRXy1FmtAoCjQkVmIVYwuuqDFUbaOeDjmed1tOGPrsMhtJA4rD9tkgA0F1qJ3gRFRXcHYVkdeaP50Q5rE/jLQ==";
};
};
- "is-date-object-1.0.2" = {
+ "is-date-object-1.0.5" = {
name = "is-date-object";
packageName = "is-date-object";
- version = "1.0.2";
+ version = "1.0.5";
src = fetchurl {
- url = "https://registry.npmjs.org/is-date-object/-/is-date-object-1.0.2.tgz";
- sha512 = "USlDT524woQ08aoZFzh3/Z6ch9Y/EWXEHQ/AaRN0SkKq4t2Jw2R2339tSXmwuVoY7LLlBCbOIlx2myP/L5zk0g==";
+ url = "https://registry.npmjs.org/is-date-object/-/is-date-object-1.0.5.tgz";
+ sha512 = "9YQaSxsAiSwcvS33MBk3wTCVnWK+HhF8VZR2jRxehM16QcVOdHqPn4VPHmRK4lSr38n9JriurInLcP90xsYNfQ==";
};
};
"is-descriptor-0.1.6" = {
@@ -5971,13 +6025,13 @@ let
sha1 = "61339b6f2475fc772fd9c9d83f5c8575dc154ae1";
};
};
- "is-docker-2.1.1" = {
+ "is-docker-2.2.1" = {
name = "is-docker";
packageName = "is-docker";
- version = "2.1.1";
+ version = "2.2.1";
src = fetchurl {
- url = "https://registry.npmjs.org/is-docker/-/is-docker-2.1.1.tgz";
- sha512 = "ZOoqiXfEwtGknTiuDEy8pN2CfE3TxMHprvNer1mXiqwkOT77Rw3YVrUQ52EqAOU3QAWDQ+bQdx7HJzrv7LS2Hw==";
+ url = "https://registry.npmjs.org/is-docker/-/is-docker-2.2.1.tgz";
+ sha512 = "F+i2BKsFrH66iaUFc0woD8sLy8getkwTwtOBjvs56Cx4CgJDeKQeqfz8wAYiSb8JOprWhHH5p77PbmYCvvUuXQ==";
};
};
"is-extendable-0.1.1" = {
@@ -6088,13 +6142,13 @@ let
sha512 = "41Cifkg6e8TylSpdtTpeLVMqvSBEVzTttHvERD741+pnZ8ANv0004MRL43QKPDlK9cGvNp6NZWZUBlbGXYxxng==";
};
};
- "is-number-object-1.0.4" = {
+ "is-number-object-1.0.6" = {
name = "is-number-object";
packageName = "is-number-object";
- version = "1.0.4";
+ version = "1.0.6";
src = fetchurl {
- url = "https://registry.npmjs.org/is-number-object/-/is-number-object-1.0.4.tgz";
- sha512 = "zohwelOAur+5uXtk8O3GPQ1eAcu4ZX3UwxQhUlfFFMNpUd83gXgjbhJh6HmB6LUNV/ieOLQuDwJO3dWJosUeMw==";
+ url = "https://registry.npmjs.org/is-number-object/-/is-number-object-1.0.6.tgz";
+ sha512 = "bEVOqiRcvo3zO1+G2lVMy+gkkEm9Yh7cDMRusKKu5ZJKPUYSJwICTKZrNKHA2EbSP0Tu0+6B/emsYNHZyn6K8g==";
};
};
"is-obj-1.0.1" = {
@@ -6169,13 +6223,13 @@ let
sha512 = "h5PpgXkWitc38BBMYawTYMWJHFZJVnBquFE57xFpjB8pJFiF6gZ+bU+WyI/yqXiFR5mdLsgYNaPe8uao6Uv9Og==";
};
};
- "is-regex-1.1.2" = {
+ "is-regex-1.1.4" = {
name = "is-regex";
packageName = "is-regex";
- version = "1.1.2";
+ version = "1.1.4";
src = fetchurl {
- url = "https://registry.npmjs.org/is-regex/-/is-regex-1.1.2.tgz";
- sha512 = "axvdhb5pdhEVThqJzYXwMlVuZwC+FF2DpcOhTS+y/8jVq4trxyPgfcwIxIKiyeuLlSQYKkmUaPQJ8ZE4yNKXDg==";
+ url = "https://registry.npmjs.org/is-regex/-/is-regex-1.1.4.tgz";
+ sha512 = "kvRdxDsxZjhzUX07ZnLydzS1TU/TJlTUHHY4YLL87e37oUA49DfkLqgy+VjFocowy29cKvcSiu+kIv728jTTVg==";
};
};
"is-regexp-1.0.0" = {
@@ -6214,40 +6268,31 @@ let
sha1 = "12d4a3dd4e68e0b79ceb8dbc84173ae80d91ca44";
};
};
- "is-stream-2.0.0" = {
+ "is-stream-2.0.1" = {
name = "is-stream";
packageName = "is-stream";
- version = "2.0.0";
+ version = "2.0.1";
src = fetchurl {
- url = "https://registry.npmjs.org/is-stream/-/is-stream-2.0.0.tgz";
- sha512 = "XCoy+WlUr7d1+Z8GgSuXmpuUFC9fOhRXglJMx+dwLKTkL44Cjd4W1Z5P+BQZpr+cR93aGP4S/s7Ftw6Nd/kiEw==";
+ url = "https://registry.npmjs.org/is-stream/-/is-stream-2.0.1.tgz";
+ sha512 = "hFoiJiTl63nn+kstHGBtewWSKnQLpyb155KHheA1l39uvtO9nWIop1p3udqPcUd/xbF1VLMO4n7OI6p7RbngDg==";
};
};
- "is-string-1.0.5" = {
+ "is-string-1.0.7" = {
name = "is-string";
packageName = "is-string";
- version = "1.0.5";
- src = fetchurl {
- url = "https://registry.npmjs.org/is-string/-/is-string-1.0.5.tgz";
- sha512 = "buY6VNRjhQMiF1qWDouloZlQbRhDPCebwxSjxMjxgemYT46YMd2NR0/H+fBhEfWX4A/w9TBJ+ol+okqJKFE6vQ==";
- };
- };
- "is-svg-3.0.0" = {
- name = "is-svg";
- packageName = "is-svg";
- version = "3.0.0";
+ version = "1.0.7";
src = fetchurl {
- url = "https://registry.npmjs.org/is-svg/-/is-svg-3.0.0.tgz";
- sha512 = "gi4iHK53LR2ujhLVVj+37Ykh9GLqYHX6JOVXbLAucaG/Cqw9xwdFOjDM2qeifLs1sF1npXXFvDu0r5HNgCMrzQ==";
+ url = "https://registry.npmjs.org/is-string/-/is-string-1.0.7.tgz";
+ sha512 = "tE2UXzivje6ofPW7l23cjDOMa09gb7xlAqG6jG5ej6uPV32TlWP3NKPigtaGeHNu9fohccRYvIiZMfOOnOYUtg==";
};
};
- "is-symbol-1.0.3" = {
+ "is-symbol-1.0.4" = {
name = "is-symbol";
packageName = "is-symbol";
- version = "1.0.3";
+ version = "1.0.4";
src = fetchurl {
- url = "https://registry.npmjs.org/is-symbol/-/is-symbol-1.0.3.tgz";
- sha512 = "OwijhaRSgqvhm/0ZdAcXNZt9lYdKFpcRDT5ULUuYXPoT794UNOdU+gpT6Rzo7b4V2HUl/op6GqY894AZwv9faQ==";
+ url = "https://registry.npmjs.org/is-symbol/-/is-symbol-1.0.4.tgz";
+ sha512 = "C/CPBqKWnvdcxqIARxyOh4v1UUEOCHpgDa0WYgpKDFMszcrPcffg5uhwSgPCLD2WWxmq6isisz87tzT01tuGhg==";
};
};
"is-typedarray-1.0.0" = {
@@ -6259,6 +6304,15 @@ let
sha1 = "e479c80858df0c1b11ddda6940f96011fcda4a9a";
};
};
+ "is-unicode-supported-0.1.0" = {
+ name = "is-unicode-supported";
+ packageName = "is-unicode-supported";
+ version = "0.1.0";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/is-unicode-supported/-/is-unicode-supported-0.1.0.tgz";
+ sha512 = "knxG2q4UC3u8stRGyAVJCOdxFmv5DZiRcdlIaAQXAbSfJya+OhopNotLQrstBhququ4ZpuKbDc/8S6mgXgPFPw==";
+ };
+ };
"is-utf8-0.2.1" = {
name = "is-utf8";
packageName = "is-utf8";
@@ -6808,13 +6862,13 @@ let
sha1 = "d0225373aeb652adc1bc82e4945339a842754773";
};
};
- "log-symbols-3.0.0" = {
+ "log-symbols-4.1.0" = {
name = "log-symbols";
packageName = "log-symbols";
- version = "3.0.0";
+ version = "4.1.0";
src = fetchurl {
- url = "https://registry.npmjs.org/log-symbols/-/log-symbols-3.0.0.tgz";
- sha512 = "dSkNGuI7iG3mfvDzUuYZyvk5dD9ocYCYzNU6CYDE6+Xqd+gwme6Z00NS3dUh8mq/73HaEtT7m6W+yUPtU6BZnQ==";
+ url = "https://registry.npmjs.org/log-symbols/-/log-symbols-4.1.0.tgz";
+ sha512 = "8XPvpAA8uyhfteu8pIvQxpJZ7SYYdpUivZpGy6sFsBuKRY/7rQGavedeB8aK+Zkyq6upMFVL/9AW6vOYzfRyLg==";
};
};
"loglevel-1.7.1" = {
@@ -7033,13 +7087,13 @@ let
sha512 = "MWikgl9n9M3w+bpsY3He8L+w9eF9338xRl8IAO5viDizwSzziFEyUzo2xrrloB64ADbTf8uA8vRqqttDTOmccg==";
};
};
- "micromatch-4.0.2" = {
+ "micromatch-4.0.4" = {
name = "micromatch";
packageName = "micromatch";
- version = "4.0.2";
+ version = "4.0.4";
src = fetchurl {
- url = "https://registry.npmjs.org/micromatch/-/micromatch-4.0.2.tgz";
- sha512 = "y7FpHSbMUMoyPbYUSzO6PaZ6FyRnQOpHuKwbo1G+Knck95XVU4QAiKdGEnj5wwoS7PlOgthX/09u5iFJ+aYf5Q==";
+ url = "https://registry.npmjs.org/micromatch/-/micromatch-4.0.4.tgz";
+ sha512 = "pRmzw/XUcwXGpD9aI9q/0XOwLNygjETJ8y0ao0wdqprrzDa4YnxLcz7fQRZr8voh8V10kGhABbNcHVk5wHgWwg==";
};
};
"miller-rabin-4.0.1" = {
@@ -7087,22 +7141,22 @@ let
sha512 = "tqkh47FzKeCPD2PUiPB6pkbMzsCasjxAfC62/Wap5qrUWcb+sFasXUC5I3gYM5iBM8v/Qpn4UK0x+j0iHyFPDg==";
};
};
- "mime-db-1.46.0" = {
+ "mime-db-1.49.0" = {
name = "mime-db";
packageName = "mime-db";
- version = "1.46.0";
+ version = "1.49.0";
src = fetchurl {
- url = "https://registry.npmjs.org/mime-db/-/mime-db-1.46.0.tgz";
- sha512 = "svXaP8UQRZ5K7or+ZmfNhg2xX3yKDMUzqadsSqi4NCH/KomcH75MAMYAGVlvXn4+b/xOPhS3I2uHKRUzvjY7BQ==";
+ url = "https://registry.npmjs.org/mime-db/-/mime-db-1.49.0.tgz";
+ sha512 = "CIc8j9URtOVApSFCQIF+VBkX1RwXp/oMMOrqdyXSBXq5RWNEsRfyj1kiRnQgmNXmHxPoFIxOroKA3zcU9P+nAA==";
};
};
- "mime-types-2.1.29" = {
+ "mime-types-2.1.32" = {
name = "mime-types";
packageName = "mime-types";
- version = "2.1.29";
+ version = "2.1.32";
src = fetchurl {
- url = "https://registry.npmjs.org/mime-types/-/mime-types-2.1.29.tgz";
- sha512 = "Y/jMt/S5sR9OaqteJtslsFZKWOIIqMACsJSiHghlCAyhf7jfVYjKBmLiX8OgpWeW+fjJ2b+Az69aPFPkUOY6xQ==";
+ url = "https://registry.npmjs.org/mime-types/-/mime-types-2.1.32.tgz";
+ sha512 = "hJGaVS4G4c9TSMYh2n6SQAGrC4RnfU+daP8G7cSCmaqNjiOoUY0VHCMS42pxnQmVF1GWwFhbHWn3RIxCqTmZ9A==";
};
};
"mimic-fn-1.2.0" = {
@@ -7204,13 +7258,13 @@ let
sha512 = "wxfUjg9WebH+CUDX/CdbRlh5SmfZiy/hpkxaRI16Y9W56Pa75sWgd/rvFilSgrauD9NyFymP/+JFV3KwzIsJeg==";
};
};
- "minipass-3.1.3" = {
+ "minipass-3.1.5" = {
name = "minipass";
packageName = "minipass";
- version = "3.1.3";
+ version = "3.1.5";
src = fetchurl {
- url = "https://registry.npmjs.org/minipass/-/minipass-3.1.3.tgz";
- sha512 = "Mgd2GdMVzY+x3IJ+oHnVM+KG3lA5c8tnabyJKmHSaG2kAGpudxuOf8ToDkhumF7UzME7DecbQE9uOZhNm7PuJg==";
+ url = "https://registry.npmjs.org/minipass/-/minipass-3.1.5.tgz";
+ sha512 = "+8NzxD82XQoNKNrl1d/FSi+X8wAEWR+sbYAfIvub4Nz0d22plFG72CEVVaufV8PNf4qSslFTD8VMOxNVhHCjTw==";
};
};
"minizlib-1.3.3" = {
@@ -7384,22 +7438,22 @@ let
sha512 = "nnbWWOkoWyUsTjKrhgD0dcz22mdkSnpYqbEjIm2nhwhuxlSkpywJmBo8h0ZqJdkp73mb90SssHkN4rsRaBAfAA==";
};
};
- "nan-2.14.2" = {
+ "nan-2.15.0" = {
name = "nan";
packageName = "nan";
- version = "2.14.2";
+ version = "2.15.0";
src = fetchurl {
- url = "https://registry.npmjs.org/nan/-/nan-2.14.2.tgz";
- sha512 = "M2ufzIiINKCuDfBSAUr1vWQ+vuVcA9kqx8JJUsbQi6yf1uGRyb7HfpdfUr5qLXf3B/t8dPvcjhKMmlfnP47EzQ==";
+ url = "https://registry.npmjs.org/nan/-/nan-2.15.0.tgz";
+ sha512 = "8ZtvEnA2c5aYCZYd1cvgdnU6cqwixRoYg70xPLWUws5ORTa/lnw+u4amixRS/Ac5U5mQVgp9pnlSUnbNWFaWZQ==";
};
};
- "nanoid-3.1.21" = {
+ "nanoid-3.1.25" = {
name = "nanoid";
packageName = "nanoid";
- version = "3.1.21";
+ version = "3.1.25";
src = fetchurl {
- url = "https://registry.npmjs.org/nanoid/-/nanoid-3.1.21.tgz";
- sha512 = "A6oZraK4DJkAOICstsGH98dvycPr/4GGDH7ZWKmMdd3vGcOurZ6JmWFUt0DA5bzrrn2FrUjmv6mFNWvv8jpppA==";
+ url = "https://registry.npmjs.org/nanoid/-/nanoid-3.1.25.tgz";
+ sha512 = "rdwtIXaXCLFAQbnfqDRnI6jaRHp9fTcYBjtFKE8eezcZ7LuLjhUaQGNeMXf1HmRoCH32CLz6XwX0TtxEOS/A3Q==";
};
};
"nanomatch-1.2.13" = {
@@ -7465,13 +7519,13 @@ let
sha512 = "VQsT8QSierYGkHzRed+b4MnccQVF1+qPHunE8jBoU7jD6YpuRqCDPzEoC2zfyEJS80qVnlMZrqobLnyjzX9lJg==";
};
};
- "node-elm-compiler-5.0.5" = {
+ "node-elm-compiler-5.0.6" = {
name = "node-elm-compiler";
packageName = "node-elm-compiler";
- version = "5.0.5";
+ version = "5.0.6";
src = fetchurl {
- url = "https://registry.npmjs.org/node-elm-compiler/-/node-elm-compiler-5.0.5.tgz";
- sha512 = "vapB+VkmKMY1NRy7jjpGjzwWbKmtiRfzbgVoV/eROz5Kx30QvY0Nd5Ua7iST+9utrn1aG8cVToXC6UWdEO5BKQ==";
+ url = "https://registry.npmjs.org/node-elm-compiler/-/node-elm-compiler-5.0.6.tgz";
+ sha512 = "DWTRQR8b54rvschcZRREdsz7K84lnS8A6YJu8du3QLQ8f204SJbyTaA6NzYYbfUG97OTRKRv/0KZl82cTfpLhA==";
};
};
"node-forge-0.10.0" = {
@@ -7492,13 +7546,13 @@ let
sha512 = "h/zcD8H9kaDZ9ALUWwlBUDo6TKF8a7qBSCSEGfjTVIYeqsioSKaAX+BN7NgiMGp6iSIXZ3PxgCu8KS3b71YK5Q==";
};
};
- "node-releases-1.1.71" = {
+ "node-releases-1.1.75" = {
name = "node-releases";
packageName = "node-releases";
- version = "1.1.71";
+ version = "1.1.75";
src = fetchurl {
- url = "https://registry.npmjs.org/node-releases/-/node-releases-1.1.71.tgz";
- sha512 = "zR6HoT6LrLCRBwukmrVbHv0EpEQjksO6GmFcZQQuCAy139BEsoVKPYnf3jongYW83fAa1torLGYwxxky/p28sg==";
+ url = "https://registry.npmjs.org/node-releases/-/node-releases-1.1.75.tgz";
+ sha512 = "Qe5OUajvqrqDSy6wrWFmMwfJ0jVgwiw4T3KqmbTcZ62qW0gQkheXYhcFM1+lOVcGUoRxcEcfyvFMAnDgaF1VWw==";
};
};
"node-watch-0.5.5" = {
@@ -7573,13 +7627,22 @@ let
sha512 = "U+JJi7duF1o+u2pynbp2zXDW2/PADgC30f0GsHZtRh+HOcXHnw137TrNlyxxRvWW5fjKd3bcLHPxofWuCjaeZg==";
};
};
- "normalize-url-4.5.0" = {
+ "normalize-url-4.5.1" = {
name = "normalize-url";
packageName = "normalize-url";
- version = "4.5.0";
+ version = "4.5.1";
src = fetchurl {
- url = "https://registry.npmjs.org/normalize-url/-/normalize-url-4.5.0.tgz";
- sha512 = "2s47yzUxdexf1OhyRi4Em83iQk0aPvwTddtFz4hnSSw9dCEsLEGf6SwIO8ss/19S9iBb5sJaOuTvTGDeZI00BQ==";
+ url = "https://registry.npmjs.org/normalize-url/-/normalize-url-4.5.1.tgz";
+ sha512 = "9UZCFRHQdNrfTpGg8+1INIg93B6zE0aXMVFkw1WFwvO4SlZywU6aLg5Of0Ap/PgcbSw4LNxvMWXMeugwMCX0AA==";
+ };
+ };
+ "normalize-url-6.1.0" = {
+ name = "normalize-url";
+ packageName = "normalize-url";
+ version = "6.1.0";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/normalize-url/-/normalize-url-6.1.0.tgz";
+ sha512 = "DlL+XwOy3NxAQ8xuC0okPgK46iuVNAK01YN7RueYBqqFeGsBjV9XmCAzAdgt+667bCl5kPh9EqKKDwnaPG1I7A==";
};
};
"npm-conf-1.1.3" = {
@@ -7618,6 +7681,15 @@ let
sha512 = "WeBOdju8SnzPN5vTUJYxYUxLeXpCaVP5i5e0LF8fg7WORF2Wd7wFX/pk0tYZk7s8T+J7VLy0Da6J1+wCT0AtHg==";
};
};
+ "nth-check-2.0.1" = {
+ name = "nth-check";
+ packageName = "nth-check";
+ version = "2.0.1";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/nth-check/-/nth-check-2.0.1.tgz";
+ sha512 = "it1vE95zF6dTT9lBsYbxvqh0Soy4SPowchj0UBGj/V6cTPnXXtQOPUbhZ6CmGzAD/rW22LQK6E96pcdJXk4A4w==";
+ };
+ };
"number-is-nan-1.0.1" = {
name = "number-is-nan";
packageName = "number-is-nan";
@@ -7654,13 +7726,13 @@ let
sha1 = "7e7d858b781bd7c991a41ba975ed3812754e998c";
};
};
- "object-inspect-1.9.0" = {
+ "object-inspect-1.11.0" = {
name = "object-inspect";
packageName = "object-inspect";
- version = "1.9.0";
+ version = "1.11.0";
src = fetchurl {
- url = "https://registry.npmjs.org/object-inspect/-/object-inspect-1.9.0.tgz";
- sha512 = "i3Bp9iTqwhaLZBxGkRfo5ZbE07BQRT7MGu8+nNgwW9ItGp1TzCTw2DLEoWwjClxBjOFI/hWljTAmYGCEwmtnOw==";
+ url = "https://registry.npmjs.org/object-inspect/-/object-inspect-1.11.0.tgz";
+ sha512 = "jp7ikS6Sd3GxQfZJPyH3cjcbJF6GZPClgdV+EFygjFLQ5FmW/dRUnTd9PQ9k0JhoNDabWFbpF1yCdSWCC6gexg==";
};
};
"object-is-1.1.5" = {
@@ -7699,13 +7771,13 @@ let
sha512 = "ixT2L5THXsApyiUPYKmW+2EHpXXe5Ii3M+f4e+aJFAHao5amFRW6J0OO6c/LU8Be47utCx2GL89hxGB6XSmKuQ==";
};
};
- "object.entries-1.1.3" = {
+ "object.entries-1.1.4" = {
name = "object.entries";
packageName = "object.entries";
- version = "1.1.3";
+ version = "1.1.4";
src = fetchurl {
- url = "https://registry.npmjs.org/object.entries/-/object.entries-1.1.3.tgz";
- sha512 = "ym7h7OZebNS96hn5IJeyUmaWhaSM4SVtAPPfNLQEI2MYWCO2egsITb9nab2+i/Pwibx+R0mtn+ltKJXRSeTMGg==";
+ url = "https://registry.npmjs.org/object.entries/-/object.entries-1.1.4.tgz";
+ sha512 = "h4LWKWE+wKQGhtMjZEBud7uLGhqyLwj8fpHOarZhD2uY3C9cRtk57VQ89ke3moByLXMedqs3XCHzyb4AmA2DjA==";
};
};
"object.getownpropertydescriptors-2.1.2" = {
@@ -7726,13 +7798,13 @@ let
sha1 = "87a10ac4c1694bd2e1cbf53591a66141fb5dd747";
};
};
- "object.values-1.1.3" = {
+ "object.values-1.1.4" = {
name = "object.values";
packageName = "object.values";
- version = "1.1.3";
+ version = "1.1.4";
src = fetchurl {
- url = "https://registry.npmjs.org/object.values/-/object.values-1.1.3.tgz";
- sha512 = "nkF6PfDB9alkOUxpf1HNm/QlkeW3SReqL5WXeBLpEJJnlPSvRaDQpW3gQTksTN3fgJX4hL42RzKyOin6ff3tyw==";
+ url = "https://registry.npmjs.org/object.values/-/object.values-1.1.4.tgz";
+ sha512 = "TnGo7j4XSnKQoK3MfvkzqKCi0nVe/D9I9IjwTNYdb/fxYHpjrluHVOgw0AF6jrRFGMPHdfuidR09tIDiIvnaSg==";
};
};
"obuf-1.1.2" = {
@@ -7843,13 +7915,13 @@ let
sha1 = "ec22d312806bb53e731773e7cdaefcf1c643128f";
};
};
- "ora-4.1.1" = {
+ "ora-5.4.1" = {
name = "ora";
packageName = "ora";
- version = "4.1.1";
+ version = "5.4.1";
src = fetchurl {
- url = "https://registry.npmjs.org/ora/-/ora-4.1.1.tgz";
- sha512 = "sjYP8QyVWBpBZWD6Vr1M/KwknSw6kJOz41tvGMlwWeClHBtYKTbHMki1PsLZnxKpXMPbTKv9b3pjQu3REib96A==";
+ url = "https://registry.npmjs.org/ora/-/ora-5.4.1.tgz";
+ sha512 = "5b6Y85tPxZZ7QytO+BQzysW31HJku27cRIlkbAXaNx+BdcVi+LlRFmVXzeF6a7JCwJpyw5c4b+YSVImQIrBpuQ==";
};
};
"original-1.0.2" = {
@@ -7906,13 +7978,13 @@ let
sha512 = "s73XxOZ4zpt1edZYZzvhqFa6uvQc1vwUa0K0BdtIZgQMAJj9IbebH+JkgKZc9h+B05PKHLOTl4ajG1BmNrVZlw==";
};
};
- "p-cancelable-2.1.0" = {
+ "p-cancelable-2.1.1" = {
name = "p-cancelable";
packageName = "p-cancelable";
- version = "2.1.0";
+ version = "2.1.1";
src = fetchurl {
- url = "https://registry.npmjs.org/p-cancelable/-/p-cancelable-2.1.0.tgz";
- sha512 = "HAZyB3ZodPo+BDpb4/Iu7Jv4P6cSazBz9ZM0ChhEXp70scx834aWCEjQRwgt41UzzejUAPdbqqONfRWTPYrPAQ==";
+ url = "https://registry.npmjs.org/p-cancelable/-/p-cancelable-2.1.1.tgz";
+ sha512 = "BZOr3nRQHOntUjTrH8+Lh54smKHoHyur8We1V8DSMVrl5A2malOOwuJRnKRDjSnkoeBh4at6BwEnb5I7Jl31wg==";
};
};
"p-event-4.2.0" = {
@@ -8221,13 +8293,13 @@ let
sha512 = "ojmeN0qd+y0jszEtoY48r0Peq5dwMEkIlCOu6Q5f41lfkswXuKtYrhgoTpLnyIcHm24Uhqx+5Tqm2InSwLhE6Q==";
};
};
- "path-parse-1.0.6" = {
+ "path-parse-1.0.7" = {
name = "path-parse";
packageName = "path-parse";
- version = "1.0.6";
+ version = "1.0.7";
src = fetchurl {
- url = "https://registry.npmjs.org/path-parse/-/path-parse-1.0.6.tgz";
- sha512 = "GSmOT2EbHrINBf9SR7CDELwlJ8AENk3Qn7OikK4nFYAu3Ote2+JYNVvkpAEQm3/TLNEJFD/xZJjzyxg3KBWOzw==";
+ url = "https://registry.npmjs.org/path-parse/-/path-parse-1.0.7.tgz";
+ sha512 = "LDJzPVEEEPR+y48z93A0Ed0yXb8pAByGWo/k5YYdYgpY2/2EsOsksJrq7lOHxryrVOn1ejG6oAp8ahvOIQD8sw==";
};
};
"path-to-regexp-0.1.7" = {
@@ -8266,13 +8338,13 @@ let
sha512 = "gDKb8aZMDeD/tZWs9P6+q0J9Mwkdl6xMV8TjnGP3qJVJ06bdMgkbBlLU8IdfOsIsFz2BW1rNVT3XuNEl8zPAvw==";
};
};
- "pbkdf2-3.1.1" = {
+ "pbkdf2-3.1.2" = {
name = "pbkdf2";
packageName = "pbkdf2";
- version = "3.1.1";
+ version = "3.1.2";
src = fetchurl {
- url = "https://registry.npmjs.org/pbkdf2/-/pbkdf2-3.1.1.tgz";
- sha512 = "4Ejy1OPxi9f2tt1rRV7Go7zmfDQ+ZectEQz3VGUQhgq62HtIRPDyG/JtnwIxs6x3uNMwo2V7q1fMvKjb+Tnpqg==";
+ url = "https://registry.npmjs.org/pbkdf2/-/pbkdf2-3.1.2.tgz";
+ sha512 = "iuh7L6jA7JEGu2WxDwtQP1ddOpaJNC4KlDEFfdQajSGgGPNi4OyDc2R7QnbY2bR9QjBVGwgvTdNJZoE7RaxUMA==";
};
};
"pem-1.14.2" = {
@@ -8293,13 +8365,13 @@ let
sha1 = "6309f4e0e5fa913ec1c69307ae364b4b377c9e7b";
};
};
- "picomatch-2.2.2" = {
+ "picomatch-2.3.0" = {
name = "picomatch";
packageName = "picomatch";
- version = "2.2.2";
+ version = "2.3.0";
src = fetchurl {
- url = "https://registry.npmjs.org/picomatch/-/picomatch-2.2.2.tgz";
- sha512 = "q0M/9eZHzmr0AulXyPwNfZjtwZ/RBZlbN3K3CErVrk50T2ASYI7Bye0EvekFY3IP1Nt2DHu0re+V2ZHIpMkuWg==";
+ url = "https://registry.npmjs.org/picomatch/-/picomatch-2.3.0.tgz";
+ sha512 = "lY1Q/PiJGC2zOv/z391WOTD+Z02bCgsFfvxoXXf6h7kv9o+WmsmzYqrAwY63sNgOxE4xEdq0WyUnXfKeBrSvYw==";
};
};
"pify-2.3.0" = {
@@ -8428,22 +8500,22 @@ let
sha1 = "01eac0fe3b5af71a2a6c02feabb8c1fef7e00eab";
};
};
- "postcss-7.0.35" = {
+ "postcss-7.0.36" = {
name = "postcss";
packageName = "postcss";
- version = "7.0.35";
+ version = "7.0.36";
src = fetchurl {
- url = "https://registry.npmjs.org/postcss/-/postcss-7.0.35.tgz";
- sha512 = "3QT8bBJeX/S5zKTTjTCIjRF3If4avAT6kqxcASlTWEtAFCb9NH0OUxNDfgZSWdP5fJnBYCMEWkIFfWeugjzYMg==";
+ url = "https://registry.npmjs.org/postcss/-/postcss-7.0.36.tgz";
+ sha512 = "BebJSIUMwJHRH0HAQoxN4u1CN86glsrwsW0q7T+/m44eXOUAxSNdHRkNZPYz5vVUbg17hFgOQDE7fZk7li3pZw==";
};
};
- "postcss-8.2.8" = {
+ "postcss-8.3.6" = {
name = "postcss";
packageName = "postcss";
- version = "8.2.8";
+ version = "8.3.6";
src = fetchurl {
- url = "https://registry.npmjs.org/postcss/-/postcss-8.2.8.tgz";
- sha512 = "1F0Xb2T21xET7oQV9eKuctbM9S7BC0fetoHCc4H13z0PT6haiRLP4T0ZY4XWh7iLP0usgqykT6p9B2RtOf4FPw==";
+ url = "https://registry.npmjs.org/postcss/-/postcss-8.3.6.tgz";
+ sha512 = "wG1cc/JhRgdqB6WHEuyLTedf3KIRuD0hG6ldkFEZNCjRxiC+3i6kkWUUbiJQayP28iwG35cEmAbe98585BYV0A==";
};
};
"postcss-calc-7.0.5" = {
@@ -8743,22 +8815,22 @@ let
sha512 = "h7fJ/5uWuRVyOtkO45pnt1Ih40CEleeyCHzipqAZO2e5H20g25Y48uYnFUiShvY4rZWNJ/Bib/KVPmanaCtOhA==";
};
};
- "postcss-selector-parser-6.0.4" = {
+ "postcss-selector-parser-6.0.6" = {
name = "postcss-selector-parser";
packageName = "postcss-selector-parser";
- version = "6.0.4";
+ version = "6.0.6";
src = fetchurl {
- url = "https://registry.npmjs.org/postcss-selector-parser/-/postcss-selector-parser-6.0.4.tgz";
- sha512 = "gjMeXBempyInaBqpp8gODmwZ52WaYsVOsfr4L4lDQ7n3ncD6mEyySiDtgzCT+NYC0mmeOLvtsF8iaEf0YT6dBw==";
+ url = "https://registry.npmjs.org/postcss-selector-parser/-/postcss-selector-parser-6.0.6.tgz";
+ sha512 = "9LXrvaaX3+mcv5xkg5kFwqSzSH1JIObIx51PrndZwlmznwXRfxMddDvo9gve3gVR8ZTKgoFDdWkbRFmEhT4PMg==";
};
};
- "postcss-svgo-4.0.2" = {
+ "postcss-svgo-4.0.3" = {
name = "postcss-svgo";
packageName = "postcss-svgo";
- version = "4.0.2";
+ version = "4.0.3";
src = fetchurl {
- url = "https://registry.npmjs.org/postcss-svgo/-/postcss-svgo-4.0.2.tgz";
- sha512 = "C6wyjo3VwFm0QgBy+Fu7gCYOkCmgmClghO+pjcxvrcBKtiKt0uCF+hvbMO1fyv5BMImRK90SMb+dwUnfbGd+jw==";
+ url = "https://registry.npmjs.org/postcss-svgo/-/postcss-svgo-4.0.3.tgz";
+ sha512 = "NoRbrcMWTtUghzuKSoIm6XV+sJdvZ7GZSc3wdBN0W19FTtp2ko8NqLsgoh/m9CzNhU3KLPvQmjIwtaNFkaFTvw==";
};
};
"postcss-unique-selectors-4.0.1" = {
@@ -8878,13 +8950,13 @@ let
sha1 = "8e57123c396ab988897fb327fd3aedc3e735e4fe";
};
};
- "prompts-2.4.0" = {
+ "prompts-2.4.1" = {
name = "prompts";
packageName = "prompts";
- version = "2.4.0";
+ version = "2.4.1";
src = fetchurl {
- url = "https://registry.npmjs.org/prompts/-/prompts-2.4.0.tgz";
- sha512 = "awZAKrk3vN6CroQukBL+R9051a4R3zCZBlJm/HBfrSZ8iTpYix3VX1vU4mveiLpiwmOJT4wokTF9m6HUk4KqWQ==";
+ url = "https://registry.npmjs.org/prompts/-/prompts-2.4.1.tgz";
+ sha512 = "EQyfIuO2hPDsX1L/blblV+H7I0knhgAd82cVneCwcdND9B8AuCDuRcBH6yIcG4dFzlOUqbazQqwGjx5xmsNLuQ==";
};
};
"proto-list-1.2.4" = {
@@ -8896,13 +8968,13 @@ let
sha1 = "212d5bfe1318306a420f6402b8e26ff39647a849";
};
};
- "proxy-addr-2.0.6" = {
+ "proxy-addr-2.0.7" = {
name = "proxy-addr";
packageName = "proxy-addr";
- version = "2.0.6";
+ version = "2.0.7";
src = fetchurl {
- url = "https://registry.npmjs.org/proxy-addr/-/proxy-addr-2.0.6.tgz";
- sha512 = "dh/frvCBVmSsDYzw6n926jv974gddhkFPfiN8hPOi30Wax25QZyZEGveluCgliBnqmuM+UJmBErbAUFIoDbjOw==";
+ url = "https://registry.npmjs.org/proxy-addr/-/proxy-addr-2.0.7.tgz";
+ sha512 = "llQsMLSUDUPT44jdrU/O37qlnifitDP+ZwrmmZcoSKyLKvtZxpyV0n2/bD/N4tBAAZ/gJEdZU7KMraoK1+XYAg==";
};
};
"prr-1.0.1" = {
@@ -9067,13 +9139,13 @@ let
sha512 = "FIqgj2EUvTa7R50u0rGsyTftzjYmv/a3hO345bZNrqabNqjtgiDMgmo4mkUjd+nzU5oF3dClKqFIPUKybUyqoQ==";
};
};
- "queue-microtask-1.2.2" = {
+ "queue-microtask-1.2.3" = {
name = "queue-microtask";
packageName = "queue-microtask";
- version = "1.2.2";
+ version = "1.2.3";
src = fetchurl {
- url = "https://registry.npmjs.org/queue-microtask/-/queue-microtask-1.2.2.tgz";
- sha512 = "dB15eXv3p2jDlbOiNLyMabYg1/sXvppd8DP2J3EOCQ0AkuSXCW2tP7mnVouVLJKgUMY6yP0kcQDVpLCN13h4Xg==";
+ url = "https://registry.npmjs.org/queue-microtask/-/queue-microtask-1.2.3.tgz";
+ sha512 = "NuaNSa6flKT5JaSYQzJok04JzTL1CA6aGhv5rfLW3PgqA+M2ChpZQnAC8h8i4ZFkBS8X5RqkDBHA7r4hej3K9A==";
};
};
"randombytes-2.1.0" = {
@@ -9229,13 +9301,13 @@ let
sha512 = "ZOsfTGkjO2kqeR5Mzr5RYDbTGYneSkdNKX2fOX2P5jF7vMrd/GNnIAUtDldeHHumHUCQ3V05YfWUdxMPAsRu9Q==";
};
};
- "readdirp-3.5.0" = {
+ "readdirp-3.6.0" = {
name = "readdirp";
packageName = "readdirp";
- version = "3.5.0";
+ version = "3.6.0";
src = fetchurl {
- url = "https://registry.npmjs.org/readdirp/-/readdirp-3.5.0.tgz";
- sha512 = "cMhu7c/8rdhkHXWsY+osBhfSy0JikwpHK/5+imo+LpeasTF8ouErHrlYkwT0++njiyuDvc7OFY5T3ukvZ8qmFQ==";
+ url = "https://registry.npmjs.org/readdirp/-/readdirp-3.6.0.tgz";
+ sha512 = "hOS089on8RduqdbhvQ5Z37A0ESjsqz6qnRcffsMU3495FuTdqSm+7bhJ29JvIOsBDEEnan5DPu9t3To9VRlMzA==";
};
};
"recursive-readdir-2.2.2" = {
@@ -9265,13 +9337,13 @@ let
sha512 = "zrceR/XhGYU/d/opr2EKO7aRHUeiBI8qjtfHqADTwZd6Szfy16la6kqD0MIUs5z5hx6AaKa+PixpPrR289+I0A==";
};
};
- "regenerate-unicode-properties-8.2.0" = {
+ "regenerate-unicode-properties-9.0.0" = {
name = "regenerate-unicode-properties";
packageName = "regenerate-unicode-properties";
- version = "8.2.0";
+ version = "9.0.0";
src = fetchurl {
- url = "https://registry.npmjs.org/regenerate-unicode-properties/-/regenerate-unicode-properties-8.2.0.tgz";
- sha512 = "F9DjY1vKLo/tPePDycuH3dn9H1OTPIkVD9Kz4LODu+F2C75mgjAJ7x/gwy6ZcSNRAAkhNlJSOHRe8k3p+K9WhA==";
+ url = "https://registry.npmjs.org/regenerate-unicode-properties/-/regenerate-unicode-properties-9.0.0.tgz";
+ sha512 = "3E12UeNSPfjrgwjkR81m5J7Aw/T55Tu7nUyZVQYCKEOs+2dkxEY+DpPtZzO4YruuiPb7NkYLVcyJC4+zCbk5pA==";
};
};
"regenerator-runtime-0.11.1" = {
@@ -9283,13 +9355,13 @@ let
sha512 = "MguG95oij0fC3QV3URf4V2SDYGJhJnJGqvIIgdECeODCT98wSWDAJ94SSuVpYQUoTcGUIL6L4yNB7j1DFFHSBg==";
};
};
- "regenerator-runtime-0.13.7" = {
+ "regenerator-runtime-0.13.9" = {
name = "regenerator-runtime";
packageName = "regenerator-runtime";
- version = "0.13.7";
+ version = "0.13.9";
src = fetchurl {
- url = "https://registry.npmjs.org/regenerator-runtime/-/regenerator-runtime-0.13.7.tgz";
- sha512 = "a54FxoJDIr27pgf7IgeQGxmqUNYrcV338lf/6gH456HZ/PhX+5BcwHXG9ajESmwe6WRO0tAzRUrRmNONWgkrew==";
+ url = "https://registry.npmjs.org/regenerator-runtime/-/regenerator-runtime-0.13.9.tgz";
+ sha512 = "p3VT+cOEgxFsRRA9X4lkI1E+k2/CtnKtU4gcxyaCUreilL/vqI6CdZ3wxVUx3UOUg+gnUOQQcRI7BmSI656MYA==";
};
};
"regenerator-runtime-0.9.6" = {
@@ -9328,13 +9400,13 @@ let
sha512 = "JiBdRBq91WlY7uRJ0ds7R+dU02i6LKi8r3BuQhNXn+kmeLN+EfHhfjqMRis1zJxnlu88hq/4dx0P2OP3APRTOA==";
};
};
- "regexpu-core-4.7.1" = {
+ "regexpu-core-4.8.0" = {
name = "regexpu-core";
packageName = "regexpu-core";
- version = "4.7.1";
+ version = "4.8.0";
src = fetchurl {
- url = "https://registry.npmjs.org/regexpu-core/-/regexpu-core-4.7.1.tgz";
- sha512 = "ywH2VUraA44DZQuRKzARmw6S66mr48pQVva4LBeRhcOltJ6hExvWly5ZjFLYo67xbIxb6W1q4bAGtgfEl20zfQ==";
+ url = "https://registry.npmjs.org/regexpu-core/-/regexpu-core-4.8.0.tgz";
+ sha512 = "1F6bYsoYiz6is+oz70NWur2Vlh9KWtswuRuzJOfeYUrfPX2o8n74AnUVaOGDbUqVGO9fNHu48/pjJO4sNVwsOg==";
};
};
"registry-auth-token-4.2.1" = {
@@ -9364,13 +9436,13 @@ let
sha512 = "OFFT3MfrH90xIW8OOSyUrk6QHD5E9JOTeGodiJeBS3J6IwlgzJMNE/1bZklWz5oTg+9dCMyEetclvCVXOPoN3A==";
};
};
- "regjsparser-0.6.7" = {
+ "regjsparser-0.7.0" = {
name = "regjsparser";
packageName = "regjsparser";
- version = "0.6.7";
+ version = "0.7.0";
src = fetchurl {
- url = "https://registry.npmjs.org/regjsparser/-/regjsparser-0.6.7.tgz";
- sha512 = "ib77G0uxsA2ovgiYbCVGx4Pv3PSttAx2vIwidqQzbL2U5S4Q+j00HdSAneSBuyVcMvEnTXMjiGgB+DlXozVhpQ==";
+ url = "https://registry.npmjs.org/regjsparser/-/regjsparser-0.7.0.tgz";
+ sha512 = "A4pcaORqmNMDVwUjWoTzuhwMGpP+NykpfqAsEgI1FSH/EzC7lrN5TMd+kN8YCovX+jMpu8eaqXgXPCa0g8FQNQ==";
};
};
"relateurl-0.2.7" = {
@@ -9391,22 +9463,22 @@ let
sha1 = "c24bce2a283adad5bc3f58e0d48249b92379d8ef";
};
};
- "renderkid-2.0.5" = {
+ "renderkid-2.0.7" = {
name = "renderkid";
packageName = "renderkid";
- version = "2.0.5";
+ version = "2.0.7";
src = fetchurl {
- url = "https://registry.npmjs.org/renderkid/-/renderkid-2.0.5.tgz";
- sha512 = "ccqoLg+HLOHq1vdfYNm4TBeaCDIi1FLt3wGojTDSvdewUv65oTmI3cnT2E4hRjl1gzKZIPK+KZrXzlUYKnR+vQ==";
+ url = "https://registry.npmjs.org/renderkid/-/renderkid-2.0.7.tgz";
+ sha512 = "oCcFyxaMrKsKcTY59qnCAtmDVSLfPbrv6A3tVbPdFMMrv5jaK10V6m40cKsoPNhAqN6rmHW9sswW4o3ruSrwUQ==";
};
};
- "repeat-element-1.1.3" = {
+ "repeat-element-1.1.4" = {
name = "repeat-element";
packageName = "repeat-element";
- version = "1.1.3";
+ version = "1.1.4";
src = fetchurl {
- url = "https://registry.npmjs.org/repeat-element/-/repeat-element-1.1.3.tgz";
- sha512 = "ahGq0ZnV5m5XtZLMb+vP76kcAM5nkLqk0lpqAuojSKGgQtn4eRi4ZZGm2olo2zKFH+sMsWaqOCW1dqAnOru72g==";
+ url = "https://registry.npmjs.org/repeat-element/-/repeat-element-1.1.4.tgz";
+ sha512 = "LFiNfRcSu7KK3evMyYOuCzv3L10TW7yC1G2/+StMjK8Y6Vqd2MG7r/Qjw4ghtuCOjFvlnms/iMmLqpvW/ES/WQ==";
};
};
"repeat-string-1.6.1" = {
@@ -9697,13 +9769,13 @@ let
sha1 = "e848396f057d223f24386924618e25694161ec47";
};
};
- "rxjs-6.6.6" = {
+ "rxjs-6.6.7" = {
name = "rxjs";
packageName = "rxjs";
- version = "6.6.6";
+ version = "6.6.7";
src = fetchurl {
- url = "https://registry.npmjs.org/rxjs/-/rxjs-6.6.6.tgz";
- sha512 = "/oTwee4N4iWzAMAL9xdGKjkEHmIwupR3oXbQjCKywF1BeFohswF3vZdogbmEF6pZkOsXTzWkrZszrWpQTByYVg==";
+ url = "https://registry.npmjs.org/rxjs/-/rxjs-6.6.7.tgz";
+ sha512 = "hTdwr+7yYNIT5n4AMYp85KA6yw2Va0FLa3Rguvbpa4W3I5xynaBZo41cM3XM+4Q6fRMj3sBYIR1VAmZMXYJvRQ==";
};
};
"safe-buffer-5.1.1" = {
@@ -9796,13 +9868,13 @@ let
sha512 = "SHiNtMOUGWBQJwzISiVYKu82GiV4QYGePp3odlY1tuKO7gPtphAT5R/py0fA6xtbgLL/RvtJZnU9b8s0F1q0Xg==";
};
};
- "schema-utils-3.0.0" = {
+ "schema-utils-3.1.1" = {
name = "schema-utils";
packageName = "schema-utils";
- version = "3.0.0";
+ version = "3.1.1";
src = fetchurl {
- url = "https://registry.npmjs.org/schema-utils/-/schema-utils-3.0.0.tgz";
- sha512 = "6D82/xSzO094ajanoOSbe4YvXWMfn2A//8Y1+MUqFAJul5Bs+yn36xbK9OtNDcRVSBJ9jjeoXftM6CfztsjOAA==";
+ url = "https://registry.npmjs.org/schema-utils/-/schema-utils-3.1.1.tgz";
+ sha512 = "Y5PQxS4ITlC+EahLuXaY86TXfR7Dc5lw294alXOq86JAHCihAIZfqv8nNCWvaEJvaC51uN9hbLGeV0cFBdH+Fw==";
};
};
"select-hose-2.0.0" = {
@@ -9814,13 +9886,13 @@ let
sha1 = "625d8658f865af43ec962bfc376a37359a4994ca";
};
};
- "selfsigned-1.10.8" = {
+ "selfsigned-1.10.11" = {
name = "selfsigned";
packageName = "selfsigned";
- version = "1.10.8";
+ version = "1.10.11";
src = fetchurl {
- url = "https://registry.npmjs.org/selfsigned/-/selfsigned-1.10.8.tgz";
- sha512 = "2P4PtieJeEwVgTU9QEcwIRDQ/mXJLX8/+I3ur+Pg16nS8oNbrGxEso9NyYWy8NAmXiNl4dlAp5MwoNeCWzON4w==";
+ url = "https://registry.npmjs.org/selfsigned/-/selfsigned-1.10.11.tgz";
+ sha512 = "aVmbPOfViZqOZPgRBT0+3u4yZFHpmnIghLMlAcb5/xhp5ZtB/RVnKhz5vl2M32CLXAqR4kha9zfhNg0Lf/sxKA==";
};
};
"semver-5.7.1" = {
@@ -9850,13 +9922,13 @@ let
sha512 = "+GB6zVA9LWh6zovYQLALHwv5rb2PHGlJi3lfiqIHxR0uuwCgefcOJc59v9fv1w8GbStwxuuqqAjI9NMAOOgq1A==";
};
};
- "semver-7.3.4" = {
+ "semver-7.3.5" = {
name = "semver";
packageName = "semver";
- version = "7.3.4";
+ version = "7.3.5";
src = fetchurl {
- url = "https://registry.npmjs.org/semver/-/semver-7.3.4.tgz";
- sha512 = "tCfb2WLjqFAtXn4KEdxIhalnRtoKFN7nAwj0B3ZXCbQloV2tq5eDbcTmT68JJD3nRJq24/XgxtQKFIpQdtvmVw==";
+ url = "https://registry.npmjs.org/semver/-/semver-7.3.5.tgz";
+ sha512 = "PoeGJYh8HK4BTO/a9Tf6ZG3veo/A7ZVsYrSA6J8ny9nb3B1VrpkuN+z9OE5wfE5p6H4LchYZsegiQgbJD94ZFQ==";
};
};
"semver-regex-1.0.0" = {
@@ -10048,13 +10120,22 @@ let
sha1 = "f4781949cce402697127430ea3b3c5476f481767";
};
};
- "signal-exit-3.0.3" = {
+ "side-channel-1.0.4" = {
+ name = "side-channel";
+ packageName = "side-channel";
+ version = "1.0.4";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/side-channel/-/side-channel-1.0.4.tgz";
+ sha512 = "q5XPytqFEIKHkGdiMIrY10mvLRvnQh42/+GoBlFW3b2LXLE2xxJpZFdm94we0BaoV3RwJyGqg5wS7epxTv0Zvw==";
+ };
+ };
+ "signal-exit-3.0.4" = {
name = "signal-exit";
packageName = "signal-exit";
- version = "3.0.3";
+ version = "3.0.4";
src = fetchurl {
- url = "https://registry.npmjs.org/signal-exit/-/signal-exit-3.0.3.tgz";
- sha512 = "VUJ49FC8U1OxwZLxIbTTrDvLnf/6TDgxZcK8wxR8zs13xpx7xbG60ndBlhNrFi2EMuFRoeDoJO7wthSLq42EjA==";
+ url = "https://registry.npmjs.org/signal-exit/-/signal-exit-3.0.4.tgz";
+ sha512 = "rqYhcAnZ6d/vTPGghdrw7iumdcbXpsk1b8IG/rz+VWV51DM0p7XCtMoJ3qhPLIbp3tvyt3pKRbaaEMZYpHto8Q==";
};
};
"simple-swizzle-0.2.2" = {
@@ -10210,6 +10291,15 @@ let
sha512 = "CkCj6giN3S+n9qrYiBTX5gystlENnRW5jZeNLHpe6aue+SrHcG5VYwujhW9s4dY31mEGsxBDrHR6oI69fTXsaQ==";
};
};
+ "source-map-js-0.6.2" = {
+ name = "source-map-js";
+ packageName = "source-map-js";
+ version = "0.6.2";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/source-map-js/-/source-map-js-0.6.2.tgz";
+ sha512 = "/3GptzWzu0+0MBQFrDKzw/DvvMTUORvgY6k6jd/VS6iCR4RDTKWH6v6WPwQoUO8667uQEf9Oe38DxAYWY5F/Ug==";
+ };
+ };
"source-map-resolve-0.5.3" = {
name = "source-map-resolve";
packageName = "source-map-resolve";
@@ -10219,13 +10309,13 @@ let
sha512 = "Htz+RnsXWk5+P2slx5Jh3Q66vhQj1Cllm0zvnaY98+NFx+Dv2CF/f5O/t8x+KaNdrdIAsruNzoh/KpialbqAnw==";
};
};
- "source-map-support-0.5.19" = {
+ "source-map-support-0.5.20" = {
name = "source-map-support";
packageName = "source-map-support";
- version = "0.5.19";
+ version = "0.5.20";
src = fetchurl {
- url = "https://registry.npmjs.org/source-map-support/-/source-map-support-0.5.19.tgz";
- sha512 = "Wonm7zOCIJzBGQdB+thsPar0kYuCIzYvxZwlBa87yi/Mdjv7Tip2cyVbLj5o0cFPN4EVkuTwb3GDDyUx2DGnGw==";
+ url = "https://registry.npmjs.org/source-map-support/-/source-map-support-0.5.20.tgz";
+ sha512 = "n1lZZ8Ve4ksRqizaBQgxXDgKwttHDhyfQjA6YZZn8+AroHbsIz+JjwxQDxbp+7y5OYCI8t1Yk7etjD9CRd2hIw==";
};
};
"source-map-url-0.4.1" = {
@@ -10264,13 +10354,13 @@ let
sha512 = "cbqHunsQWnJNE6KhVSMsMeH5H/L9EpymbzqTQ3uLwNCLZ1Q481oWaofqH7nO6V07xlXwY6PhQdQ2IedWx/ZK4Q==";
};
};
- "spdx-license-ids-3.0.7" = {
+ "spdx-license-ids-3.0.10" = {
name = "spdx-license-ids";
packageName = "spdx-license-ids";
- version = "3.0.7";
+ version = "3.0.10";
src = fetchurl {
- url = "https://registry.npmjs.org/spdx-license-ids/-/spdx-license-ids-3.0.7.tgz";
- sha512 = "U+MTEOO0AiDzxwFvoa4JVnMV6mZlJKk2sBLt90s7G0Gd0Mlknc7kxEn3nuDPNZRta7O2uy8oLcZLVT+4sqNZHQ==";
+ url = "https://registry.npmjs.org/spdx-license-ids/-/spdx-license-ids-3.0.10.tgz";
+ sha512 = "oie3/+gKf7QtpitB0LYLETe+k8SifzsX4KixvpOsbI6S0kRiRQ5MKOio8eMSAKQ17N06+wdEOXRiId+zOxo0hA==";
};
};
"spdy-4.0.2" = {
@@ -10336,13 +10426,13 @@ let
sha512 = "XRSIPqLij52MtgoQavH/x/dU1qVKtWUAAZeOHsR9c2Ddi4XerFy3mc1alf+dLJKl9EUIm/Ht+EowFkTUOA6GAQ==";
};
};
- "ssri-6.0.1" = {
+ "ssri-6.0.2" = {
name = "ssri";
packageName = "ssri";
- version = "6.0.1";
+ version = "6.0.2";
src = fetchurl {
- url = "https://registry.npmjs.org/ssri/-/ssri-6.0.1.tgz";
- sha512 = "3Wge10hNcT1Kur4PDFwEieXSCMCJs/7WvSACcrMYrNp+b8kDL1/0wJch5Ni2WrtwEa2IO8OsVfeKIciKCDx/QA==";
+ url = "https://registry.npmjs.org/ssri/-/ssri-6.0.2.tgz";
+ sha512 = "cepbSq/neFK7xB6A50KHN0xHDotYzq58wWCa5LeWqnPrHG8GzfEjO/4O8kpmcGW+oaxkvhEJCWgbgNk4/ZV93Q==";
};
};
"stable-0.1.8" = {
@@ -10525,6 +10615,15 @@ let
sha512 = "n/ShnvDi6FHbbVfviro+WojiFzv+s8MPMHBczVePfUpDJLwoLT0ht1l4YwBCbi8pJAveEEdnkHyPyTP/mzRfwg==";
};
};
+ "string_decoder-1.3.0" = {
+ name = "string_decoder";
+ packageName = "string_decoder";
+ version = "1.3.0";
+ src = fetchurl {
+ url = "https://registry.npmjs.org/string_decoder/-/string_decoder-1.3.0.tgz";
+ sha512 = "hkRX8U1WjJFd8LsDJ2yQ/wWWxaopEsABU1XfkM8A+j0+85JAGppt16cr1Whg6KIbb4okU6Mql6BOj+uup/wKeA==";
+ };
+ };
"stringify-object-3.3.0" = {
name = "stringify-object";
packageName = "stringify-object";
@@ -10687,13 +10786,13 @@ let
sha512 = "qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==";
};
};
- "supports-hyperlinks-2.1.0" = {
+ "supports-hyperlinks-2.2.0" = {
name = "supports-hyperlinks";
packageName = "supports-hyperlinks";
- version = "2.1.0";
+ version = "2.2.0";
src = fetchurl {
- url = "https://registry.npmjs.org/supports-hyperlinks/-/supports-hyperlinks-2.1.0.tgz";
- sha512 = "zoE5/e+dnEijk6ASB6/qrK+oYdm2do1hjoLWrqUC/8WEIW1gbxFcKuBof7sW8ArN6e+AYvsE8HBGiVRWL/F5CA==";
+ url = "https://registry.npmjs.org/supports-hyperlinks/-/supports-hyperlinks-2.2.0.tgz";
+ sha512 = "6sXEzV5+I5j8Bmq9/vUphGRM/RJNT9SCURJLjwfOg51heRtguGWDzcaBlgAzKhQa0EVNpPEKzQuBwZ8S8WaCeQ==";
};
};
"svgo-1.3.2" = {
@@ -10723,22 +10822,22 @@ let
sha512 = "4WK/bYZmj8xLr+HUCODHGF1ZFzsYffasLUgEiMBY4fgtltdO6B4WJtlSbPaDTLpYTcGVwM2qLnFTICEcNxs3kA==";
};
};
- "tar-4.4.13" = {
+ "tar-4.4.19" = {
name = "tar";
packageName = "tar";
- version = "4.4.13";
+ version = "4.4.19";
src = fetchurl {
- url = "https://registry.npmjs.org/tar/-/tar-4.4.13.tgz";
- sha512 = "w2VwSrBoHa5BsSyH+KxEqeQBAllHhccyMFVHtGtdMpF4W7IRWfZjFiQceJPChOeTsSDVUpER2T8FA93pr0L+QA==";
+ url = "https://registry.npmjs.org/tar/-/tar-4.4.19.tgz";
+ sha512 = "a20gEsvHnWe0ygBY8JbxoM4w3SJdhc7ZAuxkLqh+nvNQN2IOt0B5lLgM490X5Hl8FF0dl0tOf2ewFYAlIFgzVA==";
};
};
- "tar-6.1.0" = {
+ "tar-6.1.11" = {
name = "tar";
packageName = "tar";
- version = "6.1.0";
+ version = "6.1.11";
src = fetchurl {
- url = "https://registry.npmjs.org/tar/-/tar-6.1.0.tgz";
- sha512 = "DUCttfhsnLCjwoDoFcI+B2iJgYa93vBnDUATYEeRx6sntCTdN01VnqsIuTlALXla/LWooNg0yEGeB+Y8WdFxGA==";
+ url = "https://registry.npmjs.org/tar/-/tar-6.1.11.tgz";
+ sha512 = "an/KZQzQUkZCkuoAA64hM92X0Urb6VpRhAFllDzz44U2mcD5scmT3zBc4VgVpkugF580+DQn8eAFSyoQt0tznA==";
};
};
"temp-0.9.0" = {
@@ -11002,13 +11101,13 @@ let
sha1 = "61dbc2d53b69ff6091a12a168fd7d433107e40f1";
};
};
- "ts-debounce-2.3.0" = {
+ "ts-debounce-3.0.0" = {
name = "ts-debounce";
packageName = "ts-debounce";
- version = "2.3.0";
+ version = "3.0.0";
src = fetchurl {
- url = "https://registry.npmjs.org/ts-debounce/-/ts-debounce-2.3.0.tgz";
- sha512 = "j63IP7/unAzovrhVHE7U+fNkvDKwIaLH11dCO9TcRbYOZw1chPL054poqq3ZloyRJ5KwJMeB8csN/vGPPpQJjw==";
+ url = "https://registry.npmjs.org/ts-debounce/-/ts-debounce-3.0.0.tgz";
+ sha512 = "7jiRWgN4/8IdvCxbIwnwg2W0bbYFBH6BxFqBjMKk442t7+liF2Z1H6AUCcl8e/pD93GjPru+axeiJwFmRww1WQ==";
};
};
"ts-union-2.3.0" = {
@@ -11029,22 +11128,22 @@ let
sha512 = "Xni35NKzjgMrwevysHTCArtLDpPvye8zV/0E4EyYn43P7/7qvQwPh9BGkHewbMulVntbigmcT7rdX3BNo9wRJg==";
};
};
- "tslib-2.1.0" = {
+ "tslib-2.3.1" = {
name = "tslib";
packageName = "tslib";
- version = "2.1.0";
+ version = "2.3.1";
src = fetchurl {
- url = "https://registry.npmjs.org/tslib/-/tslib-2.1.0.tgz";
- sha512 = "hcVC3wYEziELGGmEEXue7D75zbwIIVUMWAVbHItGPx0ziyXxrOMQx4rQEVEV45Ut/1IotuEvwqPopzIOkDMf0A==";
+ url = "https://registry.npmjs.org/tslib/-/tslib-2.3.1.tgz";
+ sha512 = "77EbyPPpMz+FRFRuAFlWMtmgUWGe9UOG2Z25NqCwiIjRhOf5iKGuzSe5P2w1laq+FkRy4p+PCuVkJSGkzTEKVw==";
};
};
- "tsyringe-4.4.0" = {
+ "tsyringe-4.6.0" = {
name = "tsyringe";
packageName = "tsyringe";
- version = "4.4.0";
+ version = "4.6.0";
src = fetchurl {
- url = "https://registry.npmjs.org/tsyringe/-/tsyringe-4.4.0.tgz";
- sha512 = "SlMApe1lhIq546CDp7bF+IdF4RB6d+9C5T7B0AS0P/Bm+Qpizj/gEmZzvw9J/KlXPEt4qHTbi1TRvX3rCPSdTg==";
+ url = "https://registry.npmjs.org/tsyringe/-/tsyringe-4.6.0.tgz";
+ sha512 = "BMQAZamSfEmIQzH8WJeRu1yZGQbPSDuI9g+yEiKZFIcO46GPZuMOC2d0b52cVBdw1d++06JnDSIIZvEnogMdAw==";
};
};
"tty-browserify-0.0.0" = {
@@ -11083,13 +11182,13 @@ let
sha512 = "EUV9jo4sffrwlg8s0zDhP0T2WD3pru5Xi0+HTE3zTUmBaZNhfkite9PdSJwdXLwPVW0jnAHT56pZHIOYckPEiw==";
};
};
- "type-fest-0.11.0" = {
+ "type-fest-0.21.3" = {
name = "type-fest";
packageName = "type-fest";
- version = "0.11.0";
+ version = "0.21.3";
src = fetchurl {
- url = "https://registry.npmjs.org/type-fest/-/type-fest-0.11.0.tgz";
- sha512 = "OdjXJxnCN1AvyLSzeKIgXTXxV+99ZuXl3Hpo9XpJAv9MBcHrrJOQ5kV7ypXOuQie+AmWG25hLbiKdwYTifzcfQ==";
+ url = "https://registry.npmjs.org/type-fest/-/type-fest-0.21.3.tgz";
+ sha512 = "t0rzBq87m3fVcduHDUFhKmyyX+9eo6WQjZvf51Ea/M0Q7+T374Jp1aUiyUl0GKxp8M/OETVHSDvmkyPgvX+X2w==";
};
};
"type-is-1.6.18" = {
@@ -11110,13 +11209,13 @@ let
sha1 = "867ac74e3864187b1d3d47d996a78ec5c8830777";
};
};
- "typescript-3.9.9" = {
+ "typescript-3.9.10" = {
name = "typescript";
packageName = "typescript";
- version = "3.9.9";
+ version = "3.9.10";
src = fetchurl {
- url = "https://registry.npmjs.org/typescript/-/typescript-3.9.9.tgz";
- sha512 = "kdMjTiekY+z/ubJCATUPlRDl39vXYiMV9iyeMuEuXZh2we6zz80uovNN2WlAxmmdE/Z/YQe+EbOEXB5RHEED3w==";
+ url = "https://registry.npmjs.org/typescript/-/typescript-3.9.10.tgz";
+ sha512 = "w6fIxVE/H1PkLKcCPsFqKE7Kv7QUwhU8qQY2MueZXWx5cPZdwFupLgKK3vntcK98BtNHZtAF4LA/yl2a7k8R6Q==";
};
};
"uglify-es-3.3.10" = {
@@ -11155,49 +11254,49 @@ let
sha512 = "UIEXBNeYmKptWH6z8ZnqTeS8fV74zG0/eRU9VGkpzz+LIJNs8W/zM/L+7ctCkRrgbNnnR0xxw4bKOr0cW0N0Og==";
};
};
- "unbox-primitive-1.0.0" = {
+ "unbox-primitive-1.0.1" = {
name = "unbox-primitive";
packageName = "unbox-primitive";
- version = "1.0.0";
+ version = "1.0.1";
src = fetchurl {
- url = "https://registry.npmjs.org/unbox-primitive/-/unbox-primitive-1.0.0.tgz";
- sha512 = "P/51NX+JXyxK/aigg1/ZgyccdAxm5K1+n8+tvqSntjOivPt19gvm1VC49RWYetsiub8WViUchdxl/KWHHB0kzA==";
+ url = "https://registry.npmjs.org/unbox-primitive/-/unbox-primitive-1.0.1.tgz";
+ sha512 = "tZU/3NqK3dA5gpE1KtyiJUrEB0lxnGkMFHptJ7q6ewdZ8s12QrODwNbhIJStmJkd1QDXa1NRA8aF2A1zk/Ypyw==";
};
};
- "unicode-canonical-property-names-ecmascript-1.0.4" = {
+ "unicode-canonical-property-names-ecmascript-2.0.0" = {
name = "unicode-canonical-property-names-ecmascript";
packageName = "unicode-canonical-property-names-ecmascript";
- version = "1.0.4";
+ version = "2.0.0";
src = fetchurl {
- url = "https://registry.npmjs.org/unicode-canonical-property-names-ecmascript/-/unicode-canonical-property-names-ecmascript-1.0.4.tgz";
- sha512 = "jDrNnXWHd4oHiTZnx/ZG7gtUTVp+gCcTTKr8L0HjlwphROEW3+Him+IpvC+xcJEFegapiMZyZe02CyuOnRmbnQ==";
+ url = "https://registry.npmjs.org/unicode-canonical-property-names-ecmascript/-/unicode-canonical-property-names-ecmascript-2.0.0.tgz";
+ sha512 = "yY5PpDlfVIU5+y/BSCxAJRBIS1Zc2dDG3Ujq+sR0U+JjUevW2JhocOF+soROYDSaAezOzOKuyyixhD6mBknSmQ==";
};
};
- "unicode-match-property-ecmascript-1.0.4" = {
+ "unicode-match-property-ecmascript-2.0.0" = {
name = "unicode-match-property-ecmascript";
packageName = "unicode-match-property-ecmascript";
- version = "1.0.4";
+ version = "2.0.0";
src = fetchurl {
- url = "https://registry.npmjs.org/unicode-match-property-ecmascript/-/unicode-match-property-ecmascript-1.0.4.tgz";
- sha512 = "L4Qoh15vTfntsn4P1zqnHulG0LdXgjSO035fEpdtp6YxXhMT51Q6vgM5lYdG/5X3MjS+k/Y9Xw4SFCY9IkR0rg==";
+ url = "https://registry.npmjs.org/unicode-match-property-ecmascript/-/unicode-match-property-ecmascript-2.0.0.tgz";
+ sha512 = "5kaZCrbp5mmbz5ulBkDkbY0SsPOjKqVS35VpL9ulMPfSl0J0Xsm+9Evphv9CoIZFwre7aJoa94AY6seMKGVN5Q==";
};
};
- "unicode-match-property-value-ecmascript-1.2.0" = {
+ "unicode-match-property-value-ecmascript-2.0.0" = {
name = "unicode-match-property-value-ecmascript";
packageName = "unicode-match-property-value-ecmascript";
- version = "1.2.0";
+ version = "2.0.0";
src = fetchurl {
- url = "https://registry.npmjs.org/unicode-match-property-value-ecmascript/-/unicode-match-property-value-ecmascript-1.2.0.tgz";
- sha512 = "wjuQHGQVofmSJv1uVISKLE5zO2rNGzM/KCYZch/QQvez7C1hUhBIuZ701fYXExuufJFMPhv2SyL8CyoIfMLbIQ==";
+ url = "https://registry.npmjs.org/unicode-match-property-value-ecmascript/-/unicode-match-property-value-ecmascript-2.0.0.tgz";
+ sha512 = "7Yhkc0Ye+t4PNYzOGKedDhXbYIBe1XEQYQxOPyhcXNMJ0WCABqqj6ckydd6pWRZTHV4GuCPKdBAUiMc60tsKVw==";
};
};
- "unicode-property-aliases-ecmascript-1.1.0" = {
+ "unicode-property-aliases-ecmascript-2.0.0" = {
name = "unicode-property-aliases-ecmascript";
packageName = "unicode-property-aliases-ecmascript";
- version = "1.1.0";
+ version = "2.0.0";
src = fetchurl {
- url = "https://registry.npmjs.org/unicode-property-aliases-ecmascript/-/unicode-property-aliases-ecmascript-1.1.0.tgz";
- sha512 = "PqSoPh/pWetQ2phoj5RLiaqIk4kCNwoV3CI+LfGmWLKI3rE3kl1h59XpX2BjgDrmbxD9ARtQobPGU1SguCYuQg==";
+ url = "https://registry.npmjs.org/unicode-property-aliases-ecmascript/-/unicode-property-aliases-ecmascript-2.0.0.tgz";
+ sha512 = "5Zfuy9q/DFr4tfO7ZPeVXb1aPoeQSdeFMLpYuFebehDAhbuevLs5yxSZmIFN1tP5F9Wl4IpJrYojg85/zgyZHQ==";
};
};
"union-value-1.0.1" = {
@@ -11353,13 +11452,13 @@ let
sha512 = "3BTV812+AVHHOJQO8O5MkWgZ5aosP7GnROJwvzLS9hWDj00lZ6Z0wNak423Lp9PBZN05N+Jk/N5Si8jRAlGyWA==";
};
};
- "url-parse-1.5.1" = {
+ "url-parse-1.5.3" = {
name = "url-parse";
packageName = "url-parse";
- version = "1.5.1";
+ version = "1.5.3";
src = fetchurl {
- url = "https://registry.npmjs.org/url-parse/-/url-parse-1.5.1.tgz";
- sha512 = "HOfCOUJt7iSYzEx/UqgtwKRMC6EU91NFhsCHMv9oM03VJcVo2Qrp8T8kI9D7amFf1cu+/3CEhgb3rF9zL7k85Q==";
+ url = "https://registry.npmjs.org/url-parse/-/url-parse-1.5.3.tgz";
+ sha512 = "IIORyIQD9rvj0A4CLWsHkBBJuNqWpFQe224b6j9t/ABmquIS0qDU2pY6kl6AuOrL5OkCXHMCFNe1jBcuAggjvQ==";
};
};
"url-parse-lax-3.0.0" = {
@@ -11596,13 +11695,13 @@ let
sha1 = "f0b0dcf915bc5ff1528afadb2c0e17b532da2fe8";
};
};
- "web-tree-sitter-0.17.1" = {
+ "web-tree-sitter-0.19.4" = {
name = "web-tree-sitter";
packageName = "web-tree-sitter";
- version = "0.17.1";
+ version = "0.19.4";
src = fetchurl {
- url = "https://registry.npmjs.org/web-tree-sitter/-/web-tree-sitter-0.17.1.tgz";
- sha512 = "QgaeV+wmlB1Qaw9rS5a0ZDBt8GRcKkF+hGNSVxQ/HLm1lPCow3BKOhoILaXkYm7YozCcL7TjppRADBwFJugbuA==";
+ url = "https://registry.npmjs.org/web-tree-sitter/-/web-tree-sitter-0.19.4.tgz";
+ sha512 = "8G0xBj05hqZybCqBtW7RPZ/hWEtP3DiLTauQzGJZuZYfVRgw7qj7iaZ+8djNqJ4VPrdOO+pS2dR1JsTbsLxdYg==";
};
};
"webpack-4.44.2" = {
@@ -11965,22 +12064,22 @@ let
sha512 = "8A/uRMnQy8KCQsmep1m7Bk+z/+LIkeF7w+TDMLtX1iZm5Hq9HsUDmgFGaW1ACW5Cj0b2Qo7wCvRhYN2ErUVp/A==";
};
};
- "ws-5.2.2" = {
+ "ws-5.2.3" = {
name = "ws";
packageName = "ws";
- version = "5.2.2";
+ version = "5.2.3";
src = fetchurl {
- url = "https://registry.npmjs.org/ws/-/ws-5.2.2.tgz";
- sha512 = "jaHFD6PFv6UgoIVda6qZllptQsMlDEJkTQcybzzXDYM1XO9Y8em691FGMPmM46WGyLU4z9KMgQN+qrux/nhlHA==";
+ url = "https://registry.npmjs.org/ws/-/ws-5.2.3.tgz";
+ sha512 = "jZArVERrMsKUatIdnLzqvcfydI85dvd/Fp1u/VOpfdDWQ4c9qWXe+VIeAbQ5FrDwciAkr+lzofXLz3Kuf26AOA==";
};
};
- "ws-6.2.1" = {
+ "ws-6.2.2" = {
name = "ws";
packageName = "ws";
- version = "6.2.1";
+ version = "6.2.2";
src = fetchurl {
- url = "https://registry.npmjs.org/ws/-/ws-6.2.1.tgz";
- sha512 = "GIyAXC2cB7LjvpgMt9EKS2ldqr0MTrORaleiOno6TweZ6r3TKtoFQWay/2PceJ3RuBasOHzXNn5Lrw1X0bEjqA==";
+ url = "https://registry.npmjs.org/ws/-/ws-6.2.2.tgz";
+ sha512 = "zmhltoSR8u1cnDsD43TX59mzoMZsLKqUweyYBAIvTngR3shc0W6aOZylZmq/7hqyVxPdi+5Ud2QInblgyE72fw==";
};
};
"ws-7.1.1" = {
@@ -11992,13 +12091,13 @@ let
sha512 = "o41D/WmDeca0BqYhsr3nJzQyg9NF5X8l/UdnFNux9cS3lwB+swm8qGWX5rn+aD6xfBU3rGmtHij7g7x6LxFU3A==";
};
};
- "ws-7.4.4" = {
+ "ws-7.5.5" = {
name = "ws";
packageName = "ws";
- version = "7.4.4";
+ version = "7.5.5";
src = fetchurl {
- url = "https://registry.npmjs.org/ws/-/ws-7.4.4.tgz";
- sha512 = "Qm8k8ojNQIMx7S+Zp8u/uHOx7Qazv3Yv4q68MiWWWOJhiwG5W3x7iqmRtJo8xxrciZUY4vRxUTJCKuRnF28ZZw==";
+ url = "https://registry.npmjs.org/ws/-/ws-7.5.5.tgz";
+ sha512 = "BAkMFcAzl8as1G/hArkxOxq3G7pjUqQ3gzYbLL0/5zNkph70e+lCoxBGnm6AW1+/aiNeV4fnKqZ8m4GZewmH2w==";
};
};
"xmlbuilder-13.0.2" = {
@@ -12037,13 +12136,13 @@ let
sha512 = "uGZHXkHnhF0XeeAPgnKfPv1bgKAYyVvmNL1xlKsPYZPaIHxGti2hHqvOCQv71XMsLxu1QjergkqogUnms5D3YQ==";
};
};
- "y18n-4.0.1" = {
+ "y18n-4.0.3" = {
name = "y18n";
packageName = "y18n";
- version = "4.0.1";
+ version = "4.0.3";
src = fetchurl {
- url = "https://registry.npmjs.org/y18n/-/y18n-4.0.1.tgz";
- sha512 = "wNcy4NvjMYL8gogWWYAO7ZFWFfHcbdbE57tZO8e4cbpj8tfUcwrwqSl3ad8HxpYWCdXcJUCeKKZS62Av1affwQ==";
+ url = "https://registry.npmjs.org/y18n/-/y18n-4.0.3.tgz";
+ sha512 = "JKhqTOwSrqNA1NY5lSztJ1GrBiUodLMmIZuLiDaMRJ+itFd+ABVE8XBjOvIWL+rSqNDC74LCSFmlb/U4UZ4hJQ==";
};
};
"yallist-2.1.2" = {
@@ -12073,13 +12172,13 @@ let
sha512 = "3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A==";
};
};
- "yaml-1.10.1" = {
+ "yaml-1.10.2" = {
name = "yaml";
packageName = "yaml";
- version = "1.10.1";
+ version = "1.10.2";
src = fetchurl {
- url = "https://registry.npmjs.org/yaml/-/yaml-1.10.1.tgz";
- sha512 = "z/asvd+V08l1ywhaemZVirCwjdzLo6O1/0j2JbYCsGjiezupNQqjs5IIPyNtctbHjPEckqzVGd4jvpU5Lr25vQ==";
+ url = "https://registry.npmjs.org/yaml/-/yaml-1.10.2.tgz";
+ sha512 = "r3vXyErRCYJ7wg28yvBY5VSoAF8ZvlcW9/BwUzEtUsjvX/DKs24dIkuwjtuprwJJHsbyUbLApepYTR1BN4uHrg==";
};
};
"yargs-13.3.2" = {
@@ -12224,11 +12323,11 @@ in
sources."find-0.2.7"
sources."forever-agent-0.6.1"
sources."form-data-2.3.3"
- sources."forwarded-0.1.2"
+ sources."forwarded-0.2.0"
sources."fresh-0.5.2"
sources."fs-extra-2.0.0"
sources."getpass-0.1.7"
- sources."graceful-fs-4.2.6"
+ sources."graceful-fs-4.2.8"
sources."har-schema-2.0.0"
sources."har-validator-5.1.5"
sources."http-errors-1.7.2"
@@ -12252,8 +12351,8 @@ in
sources."merge-descriptors-1.0.1"
sources."methods-1.1.2"
sources."mime-1.4.1"
- sources."mime-db-1.46.0"
- sources."mime-types-2.1.29"
+ sources."mime-db-1.49.0"
+ sources."mime-types-2.1.32"
sources."minimist-1.2.0"
sources."ms-2.0.0"
sources."negotiator-0.6.2"
@@ -12268,7 +12367,7 @@ in
sources."path-to-regexp-0.1.7"
sources."performance-now-2.1.0"
sources."process-nextick-args-1.0.7"
- sources."proxy-addr-2.0.6"
+ sources."proxy-addr-2.0.7"
sources."psl-1.8.0"
sources."punycode-2.1.1"
sources."qs-6.7.0"
@@ -12347,14 +12446,14 @@ in
sources."ajv-6.12.6"
sources."ansi-regex-4.1.0"
sources."ansi-styles-3.2.1"
- sources."anymatch-3.1.1"
+ sources."anymatch-3.1.2"
sources."asn1-0.2.4"
sources."assert-plus-1.0.0"
sources."astral-regex-1.0.0"
sources."asynckit-0.4.0"
sources."aws-sign2-0.7.0"
sources."aws4-1.11.0"
- sources."balanced-match-1.0.0"
+ sources."balanced-match-1.0.2"
sources."bcrypt-pbkdf-1.0.2"
sources."binary-0.3.0"
sources."binary-extensions-2.2.0"
@@ -12375,7 +12474,7 @@ in
sources."chownr-2.0.0"
(sources."cliui-6.0.0" // {
dependencies = [
- sources."ansi-regex-5.0.0"
+ sources."ansi-regex-5.0.1"
sources."emoji-regex-8.0.0"
sources."is-fullwidth-code-point-3.0.0"
sources."string-width-4.2.2"
@@ -12413,7 +12512,7 @@ in
sources."minipass-2.9.0"
sources."minizlib-1.3.3"
sources."mkdirp-0.5.5"
- sources."tar-4.4.13"
+ sources."tar-4.4.19"
sources."yallist-3.1.1"
];
})
@@ -12431,7 +12530,7 @@ in
sources."lodash-4.17.15"
];
})
- sources."find-parent-dir-0.3.0"
+ sources."find-parent-dir-0.3.1"
sources."find-up-4.1.0"
sources."firstline-2.0.2"
sources."forever-agent-0.6.1"
@@ -12444,7 +12543,7 @@ in
sources."getpass-0.1.7"
sources."glob-7.1.4"
sources."glob-parent-5.1.2"
- sources."graceful-fs-4.2.6"
+ sources."graceful-fs-4.2.8"
sources."har-schema-2.0.0"
sources."har-validator-5.1.5"
sources."has-flag-3.0.0"
@@ -12473,11 +12572,11 @@ in
sources."yallist-2.1.2"
];
})
- sources."mime-db-1.46.0"
- sources."mime-types-2.1.29"
+ sources."mime-db-1.49.0"
+ sources."mime-types-2.1.32"
sources."minimatch-3.0.4"
sources."minimist-1.2.5"
- sources."minipass-3.1.3"
+ sources."minipass-3.1.5"
sources."minizlib-2.1.2"
sources."mkdirp-1.0.4"
sources."moment-2.29.1"
@@ -12504,7 +12603,7 @@ in
sources."path-is-absolute-1.0.1"
sources."path-key-3.1.1"
sources."performance-now-2.1.0"
- sources."picomatch-2.2.2"
+ sources."picomatch-2.3.0"
sources."pseudomap-1.0.2"
sources."psl-1.8.0"
sources."punycode-2.1.1"
@@ -12534,7 +12633,7 @@ in
];
})
sources."table-5.4.6"
- sources."tar-6.1.0"
+ sources."tar-6.1.11"
sources."temp-0.9.0"
sources."through-2.3.8"
sources."tmp-0.0.33"
@@ -12559,7 +12658,7 @@ in
sources."which-module-2.0.0"
(sources."wrap-ansi-6.2.0" // {
dependencies = [
- sources."ansi-regex-5.0.0"
+ sources."ansi-regex-5.0.1"
sources."ansi-styles-4.3.0"
sources."color-convert-2.0.1"
sources."color-name-1.1.4"
@@ -12571,11 +12670,11 @@ in
})
sources."wrappy-1.0.2"
sources."xmlbuilder-13.0.2"
- sources."y18n-4.0.1"
+ sources."y18n-4.0.3"
sources."yallist-4.0.0"
(sources."yargs-15.4.1" // {
dependencies = [
- sources."ansi-regex-5.0.0"
+ sources."ansi-regex-5.0.1"
sources."emoji-regex-8.0.0"
sources."is-fullwidth-code-point-3.0.0"
sources."string-width-4.2.2"
@@ -12607,10 +12706,10 @@ in
sources."@szmarczak/http-timer-1.1.2"
sources."accepts-1.3.7"
sources."ansi-styles-4.3.0"
- sources."anymatch-3.1.1"
+ sources."anymatch-3.1.2"
sources."array-flatten-1.1.1"
sources."async-limiter-1.0.1"
- sources."balanced-match-1.0.0"
+ sources."balanced-match-1.0.2"
sources."batch-0.6.1"
sources."binary-extensions-2.2.0"
sources."body-parser-1.19.0"
@@ -12624,7 +12723,7 @@ in
];
})
sources."chalk-3.0.0"
- sources."chokidar-3.5.1"
+ sources."chokidar-3.5.2"
sources."clone-response-1.0.2"
sources."color-convert-2.0.1"
sources."color-name-1.1.4"
@@ -12650,17 +12749,17 @@ in
sources."express-4.17.1"
(sources."express-ws-4.0.0" // {
dependencies = [
- sources."ws-5.2.2"
+ sources."ws-5.2.3"
];
})
sources."fill-range-7.0.1"
sources."finalhandler-1.1.2"
- sources."forwarded-0.1.2"
+ sources."forwarded-0.2.0"
sources."fresh-0.5.2"
sources."fs.realpath-1.0.0"
sources."fsevents-2.3.2"
sources."get-stream-4.1.0"
- sources."glob-7.1.6"
+ sources."glob-7.1.7"
sources."glob-parent-5.1.2"
sources."got-9.6.0"
sources."has-flag-4.0.0"
@@ -12672,7 +12771,7 @@ in
sources."ini-1.3.8"
sources."ipaddr.js-1.9.1"
sources."is-binary-path-2.1.0"
- sources."is-docker-2.1.1"
+ sources."is-docker-2.2.1"
sources."is-extglob-2.1.1"
sources."is-glob-4.0.1"
sources."is-number-7.0.0"
@@ -12686,15 +12785,15 @@ in
sources."merge-descriptors-1.0.1"
sources."methods-1.1.2"
sources."mime-1.6.0"
- sources."mime-db-1.46.0"
- sources."mime-types-2.1.29"
+ sources."mime-db-1.49.0"
+ sources."mime-types-2.1.32"
sources."mimic-response-1.0.1"
sources."minimatch-3.0.4"
sources."minimist-1.2.5"
sources."ms-2.0.0"
sources."negotiator-0.6.2"
sources."normalize-path-3.0.0"
- sources."normalize-url-4.5.0"
+ sources."normalize-url-4.5.1"
sources."on-finished-2.3.0"
sources."once-1.4.0"
sources."open-7.4.2"
@@ -12704,15 +12803,15 @@ in
sources."path-is-absolute-1.0.1"
sources."path-key-3.1.1"
sources."path-to-regexp-0.1.7"
- sources."picomatch-2.2.2"
+ sources."picomatch-2.3.0"
sources."prepend-http-2.0.0"
- sources."proxy-addr-2.0.6"
+ sources."proxy-addr-2.0.7"
sources."pump-3.0.0"
sources."qs-6.7.0"
sources."range-parser-1.2.1"
sources."raw-body-2.4.0"
sources."rc-1.2.8"
- sources."readdirp-3.5.0"
+ sources."readdirp-3.6.0"
sources."registry-auth-token-4.2.1"
sources."registry-url-5.1.0"
sources."responselike-1.0.2"
@@ -12749,7 +12848,7 @@ in
sources."vary-1.1.2"
sources."which-2.0.2"
sources."wrappy-1.0.2"
- sources."ws-7.4.4"
+ sources."ws-7.5.5"
];
buildInputs = globalBuildInputs;
meta = {
@@ -12764,73 +12863,72 @@ in
"@elm-tooling/elm-language-server" = nodeEnv.buildNodePackage {
name = "_at_elm-tooling_slash_elm-language-server";
packageName = "@elm-tooling/elm-language-server";
- version = "2.0.3";
+ version = "2.2.1";
src = fetchurl {
- url = "https://registry.npmjs.org/@elm-tooling/elm-language-server/-/elm-language-server-2.0.3.tgz";
- sha512 = "pOcQNSFEoxsvXQlsRap2ahloqscf5jGYQ8ZqtM4TjdwvavSmObDejTyM5lNO81pFyrEUavTG6Q7G68HyP8TxBA==";
+ url = "https://registry.npmjs.org/@elm-tooling/elm-language-server/-/elm-language-server-2.2.1.tgz";
+ sha512 = "cihWZw073jnxhFoF3TvaajlXQXDvjx4sYYHnDL4GNKYaTntkNmS3w/C65KMgF0b64lOQl0mABc1fikJf52Cs/g==";
};
dependencies = [
- sources."@nodelib/fs.scandir-2.1.4"
- sources."@nodelib/fs.stat-2.0.4"
- sources."@nodelib/fs.walk-1.2.6"
- sources."anymatch-3.1.1"
+ sources."@nodelib/fs.scandir-2.1.5"
+ sources."@nodelib/fs.stat-2.0.5"
+ sources."@nodelib/fs.walk-1.2.8"
+ sources."anymatch-3.1.2"
sources."array-union-2.1.0"
sources."binary-extensions-2.2.0"
sources."braces-3.0.2"
- sources."chokidar-3.5.1"
+ sources."chokidar-3.5.2"
sources."cross-spawn-7.0.3"
sources."dir-glob-3.0.1"
sources."escape-string-regexp-4.0.0"
- sources."execa-5.0.0"
+ sources."execa-5.1.1"
sources."fast-diff-1.2.0"
- sources."fast-glob-3.2.5"
- sources."fastq-1.11.0"
+ sources."fast-glob-3.2.7"
+ sources."fastq-1.13.0"
sources."fill-range-7.0.1"
- sources."fromentries-1.3.2"
sources."fsevents-2.3.2"
- sources."get-stream-6.0.0"
+ sources."get-stream-6.0.1"
sources."glob-parent-5.1.2"
- sources."globby-11.0.2"
+ sources."globby-11.0.4"
sources."human-signals-2.1.0"
sources."ignore-5.1.8"
sources."is-binary-path-2.1.0"
sources."is-extglob-2.1.1"
sources."is-glob-4.0.1"
sources."is-number-7.0.0"
- sources."is-stream-2.0.0"
+ sources."is-stream-2.0.1"
sources."isexe-2.0.0"
sources."merge-stream-2.0.0"
sources."merge2-1.4.1"
- sources."micromatch-4.0.2"
+ sources."micromatch-4.0.4"
sources."mimic-fn-2.1.0"
sources."normalize-path-3.0.0"
sources."npm-run-path-4.0.1"
sources."onetime-5.1.2"
sources."path-key-3.1.1"
sources."path-type-4.0.0"
- sources."picomatch-2.2.2"
+ sources."picomatch-2.3.0"
sources."pjson-1.0.9"
- sources."queue-microtask-1.2.2"
- sources."readdirp-3.5.0"
+ sources."queue-microtask-1.2.3"
+ sources."readdirp-3.6.0"
sources."reflect-metadata-0.1.13"
sources."reusify-1.0.4"
sources."run-parallel-1.2.0"
sources."shebang-command-2.0.0"
sources."shebang-regex-3.0.0"
- sources."signal-exit-3.0.3"
+ sources."signal-exit-3.0.4"
sources."slash-3.0.0"
sources."strip-final-newline-2.0.0"
sources."to-regex-range-5.0.1"
- sources."ts-debounce-2.3.0"
+ sources."ts-debounce-3.0.0"
sources."tslib-1.14.1"
- sources."tsyringe-4.4.0"
+ sources."tsyringe-4.6.0"
sources."vscode-jsonrpc-6.0.0"
sources."vscode-languageserver-7.0.0"
sources."vscode-languageserver-protocol-3.16.0"
sources."vscode-languageserver-textdocument-1.0.1"
sources."vscode-languageserver-types-3.16.0"
sources."vscode-uri-3.0.2"
- sources."web-tree-sitter-0.17.1"
+ sources."web-tree-sitter-0.19.4"
sources."which-2.0.2"
];
buildInputs = globalBuildInputs;
@@ -12854,7 +12952,7 @@ in
dependencies = [
sources."ansi-regex-2.1.1"
sources."ansi-styles-2.2.1"
- sources."anymatch-3.1.1"
+ sources."anymatch-3.1.2"
sources."async-limiter-1.0.1"
sources."binary-extensions-2.2.0"
sources."braces-3.0.2"
@@ -12885,7 +12983,7 @@ in
})
sources."fill-range-7.0.1"
sources."finalhandler-1.1.2"
- sources."follow-redirects-1.13.3"
+ sources."follow-redirects-1.14.4"
sources."fresh-0.5.2"
sources."fsevents-2.3.2"
sources."get-stream-4.1.0"
@@ -12920,11 +13018,11 @@ in
sources."parseurl-1.3.3"
sources."path-key-2.0.1"
sources."pem-1.14.2"
- sources."picomatch-2.2.2"
+ sources."picomatch-2.3.0"
sources."pseudomap-1.0.2"
sources."pump-3.0.0"
sources."range-parser-1.2.1"
- sources."readdirp-3.5.0"
+ sources."readdirp-3.6.0"
sources."requires-port-1.0.0"
sources."semver-5.7.1"
(sources."send-0.17.1" // {
@@ -12937,7 +13035,7 @@ in
sources."setprototypeof-1.1.1"
sources."shebang-command-1.2.0"
sources."shebang-regex-1.0.0"
- sources."signal-exit-3.0.3"
+ sources."signal-exit-3.0.4"
sources."statuses-1.5.0"
sources."strip-ansi-3.0.1"
sources."strip-eof-1.0.0"
@@ -12963,32 +13061,32 @@ in
elm-test = nodeEnv.buildNodePackage {
name = "elm-test";
packageName = "elm-test";
- version = "0.19.1-revision6";
+ version = "0.19.1-revision7";
src = fetchurl {
- url = "https://registry.npmjs.org/elm-test/-/elm-test-0.19.1-revision6.tgz";
- sha512 = "4VbIyCRlCUm/py0E0AjMT3/mwd6DR4Y5Z5gEox6z5JII6ZdKIJmcQzjgWRI5qo5ERJiw9M/Nxhk7SGXFUbZsxQ==";
+ url = "https://registry.npmjs.org/elm-test/-/elm-test-0.19.1-revision7.tgz";
+ sha512 = "sd3nCQMeYMaY84Sz41bVJ30ZvQN1/4ZcD8uYMOuUbM39FDh58NY9/AcImVJ7Z+gjCFdcSU6VscZzhUoPW8jp6Q==";
};
dependencies = [
sources."ansi-styles-4.3.0"
- sources."anymatch-3.1.1"
- sources."balanced-match-1.0.0"
+ sources."anymatch-3.1.2"
+ sources."balanced-match-1.0.2"
sources."binary-extensions-2.2.0"
sources."brace-expansion-1.1.11"
sources."braces-3.0.2"
- sources."chalk-4.1.0"
- sources."chokidar-3.5.1"
+ sources."chalk-4.1.2"
+ sources."chokidar-3.5.2"
sources."color-convert-2.0.1"
sources."color-name-1.1.4"
- sources."commander-7.1.0"
+ sources."commander-7.2.0"
sources."concat-map-0.0.1"
sources."cross-spawn-7.0.3"
- sources."elm-tooling-1.3.0"
+ sources."elm-tooling-1.6.0"
sources."fill-range-7.0.1"
sources."fs.realpath-1.0.0"
sources."fsevents-2.3.2"
- sources."glob-7.1.6"
+ sources."glob-7.1.7"
sources."glob-parent-5.1.2"
- sources."graceful-fs-4.2.6"
+ sources."graceful-fs-4.2.8"
sources."has-flag-4.0.0"
sources."inflight-1.0.6"
sources."inherits-2.0.4"
@@ -13002,8 +13100,8 @@ in
sources."once-1.4.0"
sources."path-is-absolute-1.0.1"
sources."path-key-3.1.1"
- sources."picomatch-2.2.2"
- sources."readdirp-3.5.0"
+ sources."picomatch-2.3.0"
+ sources."readdirp-3.6.0"
sources."rimraf-3.0.2"
sources."shebang-command-2.0.0"
sources."shebang-regex-3.0.0"
@@ -13035,21 +13133,21 @@ in
};
dependencies = [
sources."@sindresorhus/is-2.1.1"
- sources."@szmarczak/http-timer-4.0.5"
- sources."@types/cacheable-request-6.0.1"
- sources."@types/http-cache-semantics-4.0.0"
- sources."@types/keyv-3.1.1"
- sources."@types/node-14.14.34"
+ sources."@szmarczak/http-timer-4.0.6"
+ sources."@types/cacheable-request-6.0.2"
+ sources."@types/http-cache-semantics-4.0.1"
+ sources."@types/keyv-3.1.3"
+ sources."@types/node-16.9.3"
sources."@types/responselike-1.0.0"
sources."cacheable-lookup-2.0.1"
- sources."cacheable-request-7.0.1"
+ sources."cacheable-request-7.0.2"
sources."caw-2.0.1"
(sources."clone-response-1.0.2" // {
dependencies = [
sources."mimic-response-1.0.1"
];
})
- sources."config-chain-1.1.12"
+ sources."config-chain-1.1.13"
sources."decompress-response-5.0.0"
sources."defer-to-connect-2.0.1"
sources."duplexer3-0.1.4"
@@ -13058,7 +13156,7 @@ in
sources."get-proxy-2.1.0"
sources."get-stream-5.2.0"
sources."got-10.7.0"
- sources."graceful-fs-4.2.6"
+ sources."graceful-fs-4.2.8"
sources."has-symbol-support-x-1.4.2"
sources."has-to-string-tag-x-1.4.1"
sources."http-cache-semantics-4.1.0"
@@ -13072,10 +13170,10 @@ in
sources."lowercase-keys-2.0.0"
sources."lru-cache-6.0.0"
sources."mimic-response-2.1.0"
- sources."normalize-url-4.5.0"
+ sources."normalize-url-6.1.0"
sources."npm-conf-1.1.3"
sources."once-1.4.0"
- sources."p-cancelable-2.1.0"
+ sources."p-cancelable-2.1.1"
sources."p-event-4.2.0"
sources."p-finally-1.0.0"
sources."p-timeout-3.2.0"
@@ -13085,7 +13183,7 @@ in
sources."responselike-2.0.0"
sources."safe-buffer-5.2.1"
sources."safename-1.0.2"
- sources."semver-7.3.4"
+ sources."semver-7.3.5"
sources."to-readable-stream-2.1.0"
sources."tunnel-agent-0.6.0"
sources."type-fest-0.10.0"
@@ -13118,13 +13216,13 @@ in
sources."ajv-6.12.6"
sources."ansi-regex-4.1.0"
sources."ansi-styles-3.2.1"
- sources."anymatch-3.1.1"
+ sources."anymatch-3.1.2"
sources."asn1-0.2.4"
sources."assert-plus-1.0.0"
sources."asynckit-0.4.0"
sources."aws-sign2-0.7.0"
sources."aws4-1.11.0"
- sources."balanced-match-1.0.0"
+ sources."balanced-match-1.0.2"
sources."bcrypt-pbkdf-1.0.2"
sources."binary-0.3.0"
sources."binary-extensions-2.2.0"
@@ -13174,7 +13272,7 @@ in
sources."firstline-1.2.0"
];
})
- sources."find-parent-dir-0.3.0"
+ sources."find-parent-dir-0.3.1"
sources."find-up-3.0.0"
sources."firstline-2.0.2"
sources."forever-agent-0.6.1"
@@ -13187,7 +13285,7 @@ in
sources."getpass-0.1.7"
sources."glob-7.1.4"
sources."glob-parent-5.1.2"
- sources."graceful-fs-4.2.6"
+ sources."graceful-fs-4.2.8"
sources."har-schema-2.0.0"
sources."har-validator-5.1.5"
sources."has-flag-3.0.0"
@@ -13210,8 +13308,8 @@ in
sources."jsprim-1.4.1"
sources."locate-path-3.0.0"
sources."lodash-4.17.15"
- sources."mime-db-1.46.0"
- sources."mime-types-2.1.29"
+ sources."mime-db-1.49.0"
+ sources."mime-types-2.1.32"
sources."minimatch-3.0.4"
sources."minimist-1.2.5"
sources."minipass-2.9.0"
@@ -13237,7 +13335,7 @@ in
sources."path-is-absolute-1.0.1"
sources."path-key-3.1.1"
sources."performance-now-2.1.0"
- sources."picomatch-2.2.2"
+ sources."picomatch-2.3.0"
sources."psl-1.8.0"
sources."punycode-2.1.1"
sources."qs-6.5.2"
@@ -13264,7 +13362,7 @@ in
sources."string-width-3.1.0"
sources."strip-ansi-5.2.0"
sources."supports-color-5.5.0"
- sources."tar-4.4.13"
+ sources."tar-4.4.19"
(sources."temp-0.9.0" // {
dependencies = [
sources."rimraf-2.6.3"
@@ -13286,7 +13384,7 @@ in
sources."wrap-ansi-5.1.0"
sources."wrappy-1.0.2"
sources."xmlbuilder-13.0.2"
- sources."y18n-4.0.1"
+ sources."y18n-4.0.3"
sources."yallist-3.1.1"
sources."yargs-13.3.2"
sources."yargs-parser-13.1.2"
@@ -13311,9 +13409,9 @@ in
dependencies = [
sources."bluebird-3.7.2"
sources."compare-versions-3.6.0"
- sources."core-util-is-1.0.2"
+ sources."core-util-is-1.0.3"
sources."fs-extra-6.0.1"
- sources."graceful-fs-4.2.6"
+ sources."graceful-fs-4.2.8"
sources."inherits-2.0.4"
sources."isarray-1.0.0"
sources."jsonfile-4.0.0"
@@ -13355,52 +13453,52 @@ in
};
dependencies = [
sources."@babel/cli-7.12.10"
- sources."@babel/code-frame-7.12.13"
- sources."@babel/compat-data-7.13.8"
+ sources."@babel/code-frame-7.14.5"
+ sources."@babel/compat-data-7.15.0"
sources."@babel/core-7.12.10"
- sources."@babel/generator-7.13.9"
- sources."@babel/helper-annotate-as-pure-7.12.13"
- sources."@babel/helper-builder-binary-assignment-operator-visitor-7.12.13"
- (sources."@babel/helper-compilation-targets-7.13.10" // {
+ sources."@babel/generator-7.15.4"
+ sources."@babel/helper-annotate-as-pure-7.15.4"
+ sources."@babel/helper-builder-binary-assignment-operator-visitor-7.15.4"
+ (sources."@babel/helper-compilation-targets-7.15.4" // {
dependencies = [
sources."semver-6.3.0"
];
})
- sources."@babel/helper-create-class-features-plugin-7.13.10"
- sources."@babel/helper-create-regexp-features-plugin-7.12.17"
- sources."@babel/helper-explode-assignable-expression-7.13.0"
- sources."@babel/helper-function-name-7.12.13"
- sources."@babel/helper-get-function-arity-7.12.13"
- sources."@babel/helper-hoist-variables-7.13.0"
- sources."@babel/helper-member-expression-to-functions-7.13.0"
- sources."@babel/helper-module-imports-7.12.13"
- sources."@babel/helper-module-transforms-7.13.0"
- sources."@babel/helper-optimise-call-expression-7.12.13"
- sources."@babel/helper-plugin-utils-7.13.0"
- sources."@babel/helper-remap-async-to-generator-7.13.0"
- sources."@babel/helper-replace-supers-7.13.0"
- sources."@babel/helper-simple-access-7.12.13"
- sources."@babel/helper-skip-transparent-expression-wrappers-7.12.1"
- sources."@babel/helper-split-export-declaration-7.12.13"
- sources."@babel/helper-validator-identifier-7.12.11"
- sources."@babel/helper-validator-option-7.12.17"
- sources."@babel/helper-wrap-function-7.13.0"
- sources."@babel/helpers-7.13.10"
- sources."@babel/highlight-7.13.10"
- sources."@babel/parser-7.13.10"
- sources."@babel/plugin-proposal-async-generator-functions-7.13.8"
- sources."@babel/plugin-proposal-class-properties-7.13.0"
- sources."@babel/plugin-proposal-dynamic-import-7.13.8"
- sources."@babel/plugin-proposal-export-namespace-from-7.12.13"
- sources."@babel/plugin-proposal-json-strings-7.13.8"
- sources."@babel/plugin-proposal-logical-assignment-operators-7.13.8"
- sources."@babel/plugin-proposal-nullish-coalescing-operator-7.13.8"
- sources."@babel/plugin-proposal-numeric-separator-7.12.13"
- sources."@babel/plugin-proposal-object-rest-spread-7.13.8"
- sources."@babel/plugin-proposal-optional-catch-binding-7.13.8"
- sources."@babel/plugin-proposal-optional-chaining-7.13.8"
- sources."@babel/plugin-proposal-private-methods-7.13.0"
- sources."@babel/plugin-proposal-unicode-property-regex-7.12.13"
+ sources."@babel/helper-create-class-features-plugin-7.15.4"
+ sources."@babel/helper-create-regexp-features-plugin-7.14.5"
+ sources."@babel/helper-explode-assignable-expression-7.15.4"
+ sources."@babel/helper-function-name-7.15.4"
+ sources."@babel/helper-get-function-arity-7.15.4"
+ sources."@babel/helper-hoist-variables-7.15.4"
+ sources."@babel/helper-member-expression-to-functions-7.15.4"
+ sources."@babel/helper-module-imports-7.15.4"
+ sources."@babel/helper-module-transforms-7.15.7"
+ sources."@babel/helper-optimise-call-expression-7.15.4"
+ sources."@babel/helper-plugin-utils-7.14.5"
+ sources."@babel/helper-remap-async-to-generator-7.15.4"
+ sources."@babel/helper-replace-supers-7.15.4"
+ sources."@babel/helper-simple-access-7.15.4"
+ sources."@babel/helper-skip-transparent-expression-wrappers-7.15.4"
+ sources."@babel/helper-split-export-declaration-7.15.4"
+ sources."@babel/helper-validator-identifier-7.15.7"
+ sources."@babel/helper-validator-option-7.14.5"
+ sources."@babel/helper-wrap-function-7.15.4"
+ sources."@babel/helpers-7.15.4"
+ sources."@babel/highlight-7.14.5"
+ sources."@babel/parser-7.15.7"
+ sources."@babel/plugin-proposal-async-generator-functions-7.15.4"
+ sources."@babel/plugin-proposal-class-properties-7.14.5"
+ sources."@babel/plugin-proposal-dynamic-import-7.14.5"
+ sources."@babel/plugin-proposal-export-namespace-from-7.14.5"
+ sources."@babel/plugin-proposal-json-strings-7.14.5"
+ sources."@babel/plugin-proposal-logical-assignment-operators-7.14.5"
+ sources."@babel/plugin-proposal-nullish-coalescing-operator-7.14.5"
+ sources."@babel/plugin-proposal-numeric-separator-7.14.5"
+ sources."@babel/plugin-proposal-object-rest-spread-7.15.6"
+ sources."@babel/plugin-proposal-optional-catch-binding-7.14.5"
+ sources."@babel/plugin-proposal-optional-chaining-7.14.5"
+ sources."@babel/plugin-proposal-private-methods-7.14.5"
+ sources."@babel/plugin-proposal-unicode-property-regex-7.14.5"
sources."@babel/plugin-syntax-async-generators-7.8.4"
sources."@babel/plugin-syntax-class-properties-7.12.13"
sources."@babel/plugin-syntax-dynamic-import-7.8.3"
@@ -13412,46 +13510,46 @@ in
sources."@babel/plugin-syntax-object-rest-spread-7.8.3"
sources."@babel/plugin-syntax-optional-catch-binding-7.8.3"
sources."@babel/plugin-syntax-optional-chaining-7.8.3"
- sources."@babel/plugin-syntax-top-level-await-7.12.13"
- sources."@babel/plugin-transform-arrow-functions-7.13.0"
- sources."@babel/plugin-transform-async-to-generator-7.13.0"
- sources."@babel/plugin-transform-block-scoped-functions-7.12.13"
- sources."@babel/plugin-transform-block-scoping-7.12.13"
- sources."@babel/plugin-transform-classes-7.13.0"
- sources."@babel/plugin-transform-computed-properties-7.13.0"
- sources."@babel/plugin-transform-destructuring-7.13.0"
- sources."@babel/plugin-transform-dotall-regex-7.12.13"
- sources."@babel/plugin-transform-duplicate-keys-7.12.13"
- sources."@babel/plugin-transform-exponentiation-operator-7.12.13"
- sources."@babel/plugin-transform-for-of-7.13.0"
- sources."@babel/plugin-transform-function-name-7.12.13"
- sources."@babel/plugin-transform-literals-7.12.13"
- sources."@babel/plugin-transform-member-expression-literals-7.12.13"
- sources."@babel/plugin-transform-modules-amd-7.13.0"
- sources."@babel/plugin-transform-modules-commonjs-7.13.8"
- sources."@babel/plugin-transform-modules-systemjs-7.13.8"
- sources."@babel/plugin-transform-modules-umd-7.13.0"
- sources."@babel/plugin-transform-named-capturing-groups-regex-7.12.13"
- sources."@babel/plugin-transform-new-target-7.12.13"
- sources."@babel/plugin-transform-object-super-7.12.13"
- sources."@babel/plugin-transform-parameters-7.13.0"
- sources."@babel/plugin-transform-property-literals-7.12.13"
- sources."@babel/plugin-transform-regenerator-7.12.13"
- sources."@babel/plugin-transform-reserved-words-7.12.13"
+ sources."@babel/plugin-syntax-top-level-await-7.14.5"
+ sources."@babel/plugin-transform-arrow-functions-7.14.5"
+ sources."@babel/plugin-transform-async-to-generator-7.14.5"
+ sources."@babel/plugin-transform-block-scoped-functions-7.14.5"
+ sources."@babel/plugin-transform-block-scoping-7.15.3"
+ sources."@babel/plugin-transform-classes-7.15.4"
+ sources."@babel/plugin-transform-computed-properties-7.14.5"
+ sources."@babel/plugin-transform-destructuring-7.14.7"
+ sources."@babel/plugin-transform-dotall-regex-7.14.5"
+ sources."@babel/plugin-transform-duplicate-keys-7.14.5"
+ sources."@babel/plugin-transform-exponentiation-operator-7.14.5"
+ sources."@babel/plugin-transform-for-of-7.15.4"
+ sources."@babel/plugin-transform-function-name-7.14.5"
+ sources."@babel/plugin-transform-literals-7.14.5"
+ sources."@babel/plugin-transform-member-expression-literals-7.14.5"
+ sources."@babel/plugin-transform-modules-amd-7.14.5"
+ sources."@babel/plugin-transform-modules-commonjs-7.15.4"
+ sources."@babel/plugin-transform-modules-systemjs-7.15.4"
+ sources."@babel/plugin-transform-modules-umd-7.14.5"
+ sources."@babel/plugin-transform-named-capturing-groups-regex-7.14.9"
+ sources."@babel/plugin-transform-new-target-7.14.5"
+ sources."@babel/plugin-transform-object-super-7.14.5"
+ sources."@babel/plugin-transform-parameters-7.15.4"
+ sources."@babel/plugin-transform-property-literals-7.14.5"
+ sources."@babel/plugin-transform-regenerator-7.14.5"
+ sources."@babel/plugin-transform-reserved-words-7.14.5"
sources."@babel/plugin-transform-runtime-7.12.10"
- sources."@babel/plugin-transform-shorthand-properties-7.12.13"
- sources."@babel/plugin-transform-spread-7.13.0"
- sources."@babel/plugin-transform-sticky-regex-7.12.13"
- sources."@babel/plugin-transform-template-literals-7.13.0"
- sources."@babel/plugin-transform-typeof-symbol-7.12.13"
- sources."@babel/plugin-transform-unicode-escapes-7.12.13"
- sources."@babel/plugin-transform-unicode-regex-7.12.13"
+ sources."@babel/plugin-transform-shorthand-properties-7.14.5"
+ sources."@babel/plugin-transform-spread-7.14.6"
+ sources."@babel/plugin-transform-sticky-regex-7.14.5"
+ sources."@babel/plugin-transform-template-literals-7.14.5"
+ sources."@babel/plugin-transform-typeof-symbol-7.14.5"
+ sources."@babel/plugin-transform-unicode-escapes-7.14.5"
+ sources."@babel/plugin-transform-unicode-regex-7.14.5"
sources."@babel/preset-env-7.12.10"
sources."@babel/preset-modules-0.1.4"
sources."@babel/runtime-7.12.5"
- sources."@babel/template-7.12.13"
- sources."@babel/traverse-7.13.0"
- sources."@babel/types-7.13.0"
+ sources."@babel/template-7.15.4"
+ sources."@babel/traverse-7.15.4"
+ sources."@babel/types-7.15.6"
sources."@hapi/address-2.1.4"
sources."@hapi/bourne-1.3.2"
sources."@hapi/hoek-8.5.1"
@@ -13459,28 +13557,27 @@ in
sources."@hapi/topo-3.1.6"
sources."@mrmlnc/readdir-enhanced-2.2.1"
sources."@nodelib/fs.stat-1.1.3"
- sources."@types/anymatch-1.3.1"
- sources."@types/glob-7.1.3"
- sources."@types/html-minifier-terser-5.1.1"
- sources."@types/http-proxy-1.17.5"
- sources."@types/json-schema-7.0.7"
- sources."@types/minimatch-3.0.3"
- sources."@types/node-14.14.34"
+ sources."@types/glob-7.1.4"
+ sources."@types/html-minifier-terser-5.1.2"
+ sources."@types/http-proxy-1.17.7"
+ sources."@types/json-schema-7.0.9"
+ sources."@types/minimatch-3.0.5"
+ sources."@types/node-16.9.3"
sources."@types/parse-json-4.0.0"
- sources."@types/q-1.5.4"
+ sources."@types/q-1.5.5"
sources."@types/source-list-map-0.1.2"
- sources."@types/tapable-1.0.6"
- (sources."@types/uglify-js-3.13.0" // {
+ sources."@types/tapable-1.0.8"
+ (sources."@types/uglify-js-3.13.1" // {
dependencies = [
sources."source-map-0.6.1"
];
})
- (sources."@types/webpack-4.41.26" // {
+ (sources."@types/webpack-4.41.31" // {
dependencies = [
sources."source-map-0.6.1"
];
})
- (sources."@types/webpack-sources-2.1.0" // {
+ (sources."@types/webpack-sources-3.2.0" // {
dependencies = [
sources."source-map-0.7.3"
];
@@ -13517,7 +13614,7 @@ in
sources."ansi-html-0.0.7"
sources."ansi-regex-2.1.1"
sources."ansi-styles-3.2.1"
- sources."anymatch-3.1.1"
+ sources."anymatch-3.1.2"
sources."aproba-1.2.0"
sources."argparse-1.0.10"
sources."arr-diff-4.0.0"
@@ -13574,7 +13671,7 @@ in
];
})
sources."babylon-6.18.0"
- sources."balanced-match-1.0.0"
+ sources."balanced-match-1.0.2"
(sources."base-0.11.2" // {
dependencies = [
sources."define-property-1.0.0"
@@ -13616,9 +13713,9 @@ in
];
})
sources."browserify-zlib-0.2.0"
- sources."browserslist-4.16.3"
+ sources."browserslist-4.17.0"
sources."buffer-4.9.2"
- sources."buffer-from-1.1.1"
+ sources."buffer-from-1.1.2"
sources."buffer-indexof-1.1.1"
sources."buffer-xor-1.0.3"
sources."builtin-status-codes-3.0.0"
@@ -13633,7 +13730,7 @@ in
sources."camel-case-4.1.2"
sources."camelcase-5.3.1"
sources."caniuse-api-3.0.0"
- sources."caniuse-lite-1.0.30001199"
+ sources."caniuse-lite-1.0.30001258"
sources."case-sensitive-paths-webpack-plugin-2.3.0"
sources."caseless-0.12.0"
(sources."chalk-2.4.2" // {
@@ -13642,17 +13739,13 @@ in
];
})
sources."chardet-0.7.0"
- (sources."chokidar-3.5.1" // {
+ (sources."chokidar-3.5.2" // {
dependencies = [
sources."glob-parent-5.1.2"
];
})
sources."chownr-1.1.4"
- (sources."chrome-trace-event-1.0.2" // {
- dependencies = [
- sources."tslib-1.14.1"
- ];
- })
+ sources."chrome-trace-event-1.0.3"
sources."cipher-base-1.0.4"
(sources."class-utils-0.3.6" // {
dependencies = [
@@ -13689,11 +13782,11 @@ in
sources."coa-2.0.2"
sources."code-point-at-1.1.0"
sources."collection-visit-1.0.0"
- sources."color-3.1.3"
+ sources."color-3.2.1"
sources."color-convert-1.9.3"
sources."color-name-1.1.3"
- sources."color-string-1.5.5"
- sources."colorette-1.2.2"
+ sources."color-string-1.6.0"
+ sources."colorette-1.4.0"
sources."colors-1.4.0"
sources."combined-stream-1.0.8"
sources."commander-4.1.1"
@@ -13714,7 +13807,7 @@ in
sources."constants-browserify-1.0.0"
sources."content-disposition-0.5.3"
sources."content-type-1.0.4"
- sources."convert-source-map-1.7.0"
+ sources."convert-source-map-1.8.0"
sources."cookie-0.4.0"
sources."cookie-signature-1.0.6"
sources."copy-concurrently-1.0.5"
@@ -13731,12 +13824,12 @@ in
];
})
sources."core-js-2.6.12"
- (sources."core-js-compat-3.9.1" // {
+ (sources."core-js-compat-3.17.3" // {
dependencies = [
sources."semver-7.0.0"
];
})
- sources."core-util-is-1.0.2"
+ sources."core-util-is-1.0.3"
sources."cosmiconfig-5.2.1"
(sources."create-ecdh-4.0.4" // {
dependencies = [
@@ -13754,28 +13847,28 @@ in
sources."camelcase-6.2.0"
sources."loader-utils-2.0.0"
sources."lru-cache-6.0.0"
- sources."semver-7.3.4"
+ sources."semver-7.3.5"
sources."yallist-4.0.0"
];
})
- sources."css-select-2.1.0"
+ sources."css-select-4.1.3"
sources."css-select-base-adapter-0.1.1"
(sources."css-tree-1.0.0-alpha.37" // {
dependencies = [
sources."source-map-0.6.1"
];
})
- sources."css-what-3.4.2"
+ sources."css-what-5.0.1"
sources."cssesc-3.0.0"
- sources."cssnano-4.1.10"
- sources."cssnano-preset-default-4.0.7"
+ sources."cssnano-4.1.11"
+ sources."cssnano-preset-default-4.0.8"
sources."cssnano-util-get-arguments-4.0.0"
sources."cssnano-util-get-match-4.0.0"
sources."cssnano-util-raw-cache-4.0.1"
sources."cssnano-util-same-parent-4.0.1"
(sources."csso-4.2.0" // {
dependencies = [
- sources."css-tree-1.1.2"
+ sources."css-tree-1.1.3"
sources."mdn-data-2.0.14"
sources."source-map-0.6.1"
];
@@ -13803,7 +13896,7 @@ in
sources."depd-1.1.2"
sources."des.js-1.0.1"
sources."destroy-1.0.4"
- sources."detect-node-2.0.4"
+ sources."detect-node-2.1.0"
(sources."detect-port-alt-1.1.6" // {
dependencies = [
sources."debug-2.6.9"
@@ -13817,18 +13910,14 @@ in
})
sources."dir-glob-2.2.2"
sources."dns-equal-1.0.0"
- sources."dns-packet-1.3.1"
+ sources."dns-packet-1.3.4"
sources."dns-txt-2.0.2"
sources."dom-converter-0.2.0"
- (sources."dom-serializer-0.2.2" // {
- dependencies = [
- sources."domelementtype-2.1.0"
- ];
- })
+ sources."dom-serializer-1.3.2"
sources."domain-browser-1.2.0"
- sources."domelementtype-1.3.1"
- sources."domhandler-2.4.2"
- sources."domutils-1.7.0"
+ sources."domelementtype-2.2.0"
+ sources."domhandler-4.2.2"
+ sources."domutils-2.8.0"
sources."dot-case-3.0.4"
sources."dot-prop-5.3.0"
sources."dotenv-8.2.0"
@@ -13836,7 +13925,7 @@ in
sources."duplexify-3.7.1"
sources."ecc-jsbn-0.1.2"
sources."ee-first-1.1.1"
- sources."electron-to-chromium-1.3.687"
+ sources."electron-to-chromium-1.3.843"
(sources."elliptic-6.5.4" // {
dependencies = [
sources."bn.js-4.12.0"
@@ -13846,19 +13935,19 @@ in
sources."elm-asset-webpack-loader-1.1.2"
sources."elm-hot-1.1.6"
sources."elm-hot-webpack-loader-1.1.7"
- (sources."elm-test-0.19.1-revision6" // {
+ (sources."elm-test-0.19.1-revision7" // {
dependencies = [
sources."ansi-styles-4.3.0"
- sources."chalk-4.1.0"
+ sources."chalk-4.1.2"
sources."color-convert-2.0.1"
sources."color-name-1.1.4"
- sources."commander-7.1.0"
+ sources."commander-7.2.0"
sources."has-flag-4.0.0"
sources."rimraf-3.0.2"
sources."supports-color-7.2.0"
];
})
- sources."elm-tooling-1.3.0"
+ sources."elm-tooling-1.6.0"
sources."elm-webpack-loader-6.0.1"
sources."emoji-regex-8.0.0"
sources."emojis-list-3.0.0"
@@ -13872,7 +13961,7 @@ in
sources."entities-2.2.0"
sources."errno-0.1.8"
sources."error-ex-1.3.2"
- sources."es-abstract-1.18.0"
+ sources."es-abstract-1.18.6"
sources."es-to-primitive-1.2.1"
sources."escalade-3.1.1"
sources."escape-html-1.0.3"
@@ -13967,7 +14056,7 @@ in
];
})
sources."fast-json-stable-stringify-2.1.0"
- sources."faye-websocket-0.11.3"
+ sources."faye-websocket-0.11.4"
sources."figgy-pudding-3.5.2"
(sources."figures-2.0.0" // {
dependencies = [
@@ -13977,7 +14066,7 @@ in
(sources."file-loader-6.2.0" // {
dependencies = [
sources."loader-utils-2.0.0"
- sources."schema-utils-3.0.0"
+ sources."schema-utils-3.1.1"
];
})
sources."file-uri-to-path-1.0.0"
@@ -13989,7 +14078,7 @@ in
sources."ms-2.0.0"
];
})
- (sources."find-cache-dir-3.3.1" // {
+ (sources."find-cache-dir-3.3.2" // {
dependencies = [
sources."make-dir-3.1.0"
sources."semver-6.3.0"
@@ -13999,12 +14088,12 @@ in
sources."find-up-4.1.0"
sources."firstline-1.3.1"
sources."flush-write-stream-1.1.1"
- sources."follow-redirects-1.13.3"
+ sources."follow-redirects-1.14.4"
sources."for-in-1.0.2"
sources."forever-agent-0.6.1"
sources."form-data-2.3.3"
- sources."forwarded-0.1.2"
- sources."fraction.js-4.0.13"
+ sources."forwarded-0.2.0"
+ sources."fraction.js-4.1.1"
sources."fragment-cache-0.2.1"
sources."fresh-0.5.2"
sources."from2-2.3.0"
@@ -14019,9 +14108,10 @@ in
sources."get-intrinsic-1.1.1"
sources."get-own-enumerable-property-symbols-3.0.2"
sources."get-stream-4.1.0"
+ sources."get-symbol-description-1.0.0"
sources."get-value-2.0.6"
sources."getpass-0.1.7"
- sources."glob-7.1.6"
+ sources."glob-7.1.7"
(sources."glob-parent-3.1.0" // {
dependencies = [
sources."is-glob-3.1.0"
@@ -14041,7 +14131,7 @@ in
sources."slash-1.0.0"
];
})
- sources."graceful-fs-4.2.6"
+ sources."graceful-fs-4.2.8"
(sources."gzip-size-5.0.0" // {
dependencies = [
sources."pify-3.0.0"
@@ -14054,6 +14144,7 @@ in
sources."has-bigints-1.0.1"
sources."has-flag-3.0.0"
sources."has-symbols-1.0.2"
+ sources."has-tostringtag-1.0.0"
sources."has-value-1.0.0"
(sources."has-values-1.0.0" // {
dependencies = [
@@ -14076,20 +14167,14 @@ in
sources."hex-color-regex-1.1.0"
sources."hmac-drbg-1.0.1"
sources."homedir-polyfill-1.0.3"
- sources."hosted-git-info-2.8.8"
+ sources."hosted-git-info-2.8.9"
sources."hpack.js-2.1.6"
sources."hsl-regex-1.0.0"
sources."hsla-regex-1.0.0"
- sources."html-comment-regex-1.1.2"
sources."html-entities-1.4.0"
sources."html-minifier-terser-5.1.1"
sources."html-webpack-plugin-4.5.0"
- (sources."htmlparser2-3.10.1" // {
- dependencies = [
- sources."entities-1.1.2"
- sources."readable-stream-3.6.0"
- ];
- })
+ sources."htmlparser2-6.1.0"
sources."http-deceiver-1.2.7"
(sources."http-errors-1.7.2" // {
dependencies = [
@@ -14134,23 +14219,24 @@ in
];
})
sources."internal-ip-4.3.0"
+ sources."internal-slot-1.0.3"
sources."invert-kv-1.0.0"
sources."ip-1.1.5"
sources."ip-regex-2.1.0"
sources."ipaddr.js-1.9.1"
sources."is-absolute-url-2.1.0"
sources."is-accessor-descriptor-1.0.0"
- sources."is-arguments-1.1.0"
+ sources."is-arguments-1.1.1"
sources."is-arrayish-0.2.1"
- sources."is-bigint-1.0.1"
+ sources."is-bigint-1.0.4"
sources."is-binary-path-2.1.0"
- sources."is-boolean-object-1.1.0"
+ sources."is-boolean-object-1.1.2"
sources."is-buffer-1.1.6"
- sources."is-callable-1.2.3"
+ sources."is-callable-1.2.4"
sources."is-color-stop-1.1.0"
- sources."is-core-module-2.2.0"
+ sources."is-core-module-2.6.0"
sources."is-data-descriptor-1.0.0"
- sources."is-date-object-1.0.2"
+ sources."is-date-object-1.0.5"
sources."is-descriptor-1.0.2"
sources."is-directory-0.3.1"
sources."is-extendable-0.1.1"
@@ -14159,21 +14245,20 @@ in
sources."is-glob-4.0.1"
sources."is-negative-zero-2.0.1"
sources."is-number-7.0.0"
- sources."is-number-object-1.0.4"
+ sources."is-number-object-1.0.6"
sources."is-obj-2.0.0"
sources."is-path-cwd-2.2.0"
sources."is-path-in-cwd-2.1.0"
sources."is-path-inside-2.1.0"
sources."is-plain-obj-1.1.0"
sources."is-plain-object-2.0.4"
- sources."is-regex-1.1.2"
+ sources."is-regex-1.1.4"
sources."is-regexp-1.0.0"
sources."is-resolvable-1.1.0"
sources."is-root-2.0.0"
sources."is-stream-1.1.0"
- sources."is-string-1.0.5"
- sources."is-svg-3.0.0"
- sources."is-symbol-1.0.3"
+ sources."is-string-1.0.7"
+ sources."is-symbol-1.0.4"
sources."is-typedarray-1.0.0"
sources."is-utf8-0.2.1"
sources."is-windows-1.0.2"
@@ -14235,15 +14320,15 @@ in
sources."merge-descriptors-1.0.1"
sources."merge2-1.4.1"
sources."methods-1.1.2"
- sources."micromatch-4.0.2"
+ sources."micromatch-4.0.4"
(sources."miller-rabin-4.0.1" // {
dependencies = [
sources."bn.js-4.12.0"
];
})
sources."mime-1.6.0"
- sources."mime-db-1.46.0"
- sources."mime-types-2.1.29"
+ sources."mime-db-1.49.0"
+ sources."mime-types-2.1.32"
sources."mimic-fn-1.2.0"
(sources."mini-css-extract-plugin-0.12.0" // {
dependencies = [
@@ -14266,15 +14351,15 @@ in
sources."multicast-dns-6.2.3"
sources."multicast-dns-service-types-1.1.0"
sources."mute-stream-0.0.8"
- sources."nan-2.14.2"
- sources."nanoid-3.1.21"
+ sources."nan-2.15.0"
+ sources."nanoid-3.1.25"
sources."nanomatch-1.2.13"
sources."ncp-1.0.1"
sources."negotiator-0.6.2"
sources."neo-async-2.6.2"
sources."nice-try-1.0.5"
sources."no-case-3.0.4"
- (sources."node-elm-compiler-5.0.5" // {
+ (sources."node-elm-compiler-5.0.6" // {
dependencies = [
sources."cross-spawn-6.0.5"
sources."path-key-2.0.1"
@@ -14289,7 +14374,7 @@ in
sources."punycode-1.4.1"
];
})
- sources."node-releases-1.1.71"
+ sources."node-releases-1.1.75"
sources."normalize-package-data-2.5.0"
sources."normalize-path-3.0.0"
sources."normalize-range-0.1.2"
@@ -14299,7 +14384,7 @@ in
sources."path-key-2.0.1"
];
})
- sources."nth-check-1.0.2"
+ sources."nth-check-2.0.1"
sources."number-is-nan-1.0.1"
sources."oauth-sign-0.9.0"
sources."object-assign-4.1.1"
@@ -14316,15 +14401,15 @@ in
sources."kind-of-3.2.2"
];
})
- sources."object-inspect-1.9.0"
+ sources."object-inspect-1.11.0"
sources."object-is-1.1.5"
sources."object-keys-1.1.1"
sources."object-visit-1.0.1"
sources."object.assign-4.1.2"
- sources."object.entries-1.1.3"
+ sources."object.entries-1.1.4"
sources."object.getownpropertydescriptors-2.1.2"
sources."object.pick-1.3.0"
- sources."object.values-1.1.3"
+ sources."object.values-1.1.4"
sources."obuf-1.1.2"
sources."on-finished-2.3.0"
sources."on-headers-1.0.2"
@@ -14362,16 +14447,16 @@ in
sources."path-is-absolute-1.0.1"
sources."path-is-inside-1.0.2"
sources."path-key-3.1.1"
- sources."path-parse-1.0.6"
+ sources."path-parse-1.0.7"
sources."path-to-regexp-0.1.7"
(sources."path-type-3.0.0" // {
dependencies = [
sources."pify-3.0.0"
];
})
- sources."pbkdf2-3.1.1"
+ sources."pbkdf2-3.1.2"
sources."performance-now-2.1.0"
- sources."picomatch-2.2.2"
+ sources."picomatch-2.3.0"
sources."pify-4.0.1"
sources."pinkie-2.0.4"
sources."pinkie-promise-2.0.1"
@@ -14395,7 +14480,7 @@ in
];
})
sources."posix-character-classes-0.1.1"
- (sources."postcss-7.0.35" // {
+ (sources."postcss-7.0.36" // {
dependencies = [
sources."source-map-0.6.1"
sources."supports-color-6.1.0"
@@ -14419,15 +14504,15 @@ in
sources."postcss-flexbugs-fixes-4.2.1"
(sources."postcss-loader-4.1.0" // {
dependencies = [
- sources."cosmiconfig-7.0.0"
+ sources."cosmiconfig-7.0.1"
sources."import-fresh-3.3.0"
sources."loader-utils-2.0.0"
sources."lru-cache-6.0.0"
sources."parse-json-5.2.0"
sources."path-type-4.0.0"
sources."resolve-from-4.0.0"
- sources."schema-utils-3.0.0"
- sources."semver-7.3.4"
+ sources."schema-utils-3.1.1"
+ sources."semver-7.3.5"
sources."yallist-4.0.0"
];
})
@@ -14520,12 +14605,11 @@ in
})
(sources."postcss-safe-parser-5.0.2" // {
dependencies = [
- sources."postcss-8.2.8"
- sources."source-map-0.6.1"
+ sources."postcss-8.3.6"
];
})
- sources."postcss-selector-parser-6.0.4"
- (sources."postcss-svgo-4.0.2" // {
+ sources."postcss-selector-parser-6.0.6"
+ (sources."postcss-svgo-4.0.3" // {
dependencies = [
sources."postcss-value-parser-3.3.1"
];
@@ -14540,7 +14624,7 @@ in
sources."promise-8.1.0"
sources."promise-inflight-1.0.1"
sources."prompt-1.0.0"
- sources."proxy-addr-2.0.6"
+ sources."proxy-addr-2.0.7"
sources."prr-1.0.1"
sources."pseudomap-1.0.2"
sources."psl-1.8.0"
@@ -14615,29 +14699,29 @@ in
];
})
sources."readable-stream-2.3.7"
- sources."readdirp-3.5.0"
+ sources."readdirp-3.6.0"
sources."recursive-readdir-2.2.2"
sources."regenerate-1.4.2"
- sources."regenerate-unicode-properties-8.2.0"
- sources."regenerator-runtime-0.13.7"
+ sources."regenerate-unicode-properties-9.0.0"
+ sources."regenerator-runtime-0.13.9"
sources."regenerator-transform-0.14.5"
sources."regex-not-1.0.2"
sources."regexp.prototype.flags-1.3.1"
- sources."regexpu-core-4.7.1"
+ sources."regexpu-core-4.8.0"
sources."regjsgen-0.5.2"
- (sources."regjsparser-0.6.7" // {
+ (sources."regjsparser-0.7.0" // {
dependencies = [
sources."jsesc-0.5.0"
];
})
sources."relateurl-0.2.7"
sources."remove-trailing-separator-1.1.0"
- (sources."renderkid-2.0.5" // {
+ (sources."renderkid-2.0.7" // {
dependencies = [
sources."strip-ansi-3.0.1"
];
})
- sources."repeat-element-1.1.3"
+ sources."repeat-element-1.1.4"
sources."repeat-string-1.6.1"
sources."request-2.88.2"
sources."require-directory-2.1.1"
@@ -14658,7 +14742,7 @@ in
sources."ripemd160-2.0.2"
sources."run-async-2.4.1"
sources."run-queue-1.0.3"
- (sources."rxjs-6.6.6" // {
+ (sources."rxjs-6.6.7" // {
dependencies = [
sources."tslib-1.14.1"
];
@@ -14669,7 +14753,7 @@ in
sources."sax-1.2.4"
sources."schema-utils-2.7.1"
sources."select-hose-2.0.0"
- sources."selfsigned-1.10.8"
+ sources."selfsigned-1.10.11"
sources."semver-5.7.1"
(sources."send-0.17.1" // {
dependencies = [
@@ -14704,7 +14788,8 @@ in
sources."shebang-command-2.0.0"
sources."shebang-regex-3.0.0"
sources."shell-quote-1.6.1"
- sources."signal-exit-3.0.3"
+ sources."side-channel-1.0.4"
+ sources."signal-exit-3.0.4"
(sources."simple-swizzle-0.2.2" // {
dependencies = [
sources."is-arrayish-0.3.2"
@@ -14750,14 +14835,15 @@ in
(sources."sockjs-client-1.4.0" // {
dependencies = [
sources."debug-3.2.7"
- sources."eventsource-1.0.7"
+ sources."eventsource-1.1.0"
];
})
sources."sort-keys-1.1.2"
sources."source-list-map-2.0.1"
sources."source-map-0.5.7"
+ sources."source-map-js-0.6.2"
sources."source-map-resolve-0.5.3"
- (sources."source-map-support-0.5.19" // {
+ (sources."source-map-support-0.5.20" // {
dependencies = [
sources."source-map-0.6.1"
];
@@ -14766,7 +14852,7 @@ in
sources."spdx-correct-3.1.1"
sources."spdx-exceptions-2.3.0"
sources."spdx-expression-parse-3.0.1"
- sources."spdx-license-ids-3.0.7"
+ sources."spdx-license-ids-3.0.10"
sources."spdy-4.0.2"
(sources."spdy-transport-3.0.0" // {
dependencies = [
@@ -14777,7 +14863,7 @@ in
sources."split-string-3.1.0"
sources."sprintf-js-1.0.3"
sources."sshpk-1.16.1"
- sources."ssri-6.0.1"
+ sources."ssri-6.0.2"
sources."stable-0.1.8"
sources."stack-trace-0.0.10"
(sources."static-extend-0.1.2" // {
@@ -14815,7 +14901,7 @@ in
})
(sources."strip-ansi-6.0.0" // {
dependencies = [
- sources."ansi-regex-5.0.0"
+ sources."ansi-regex-5.0.1"
];
})
sources."strip-bom-2.0.0"
@@ -14832,7 +14918,20 @@ in
];
})
sources."supports-color-5.5.0"
- sources."svgo-1.3.2"
+ (sources."svgo-1.3.2" // {
+ dependencies = [
+ sources."css-select-2.1.0"
+ sources."css-what-3.4.2"
+ (sources."dom-serializer-0.2.2" // {
+ dependencies = [
+ sources."domelementtype-2.2.0"
+ ];
+ })
+ sources."domelementtype-1.3.1"
+ sources."domutils-1.7.0"
+ sources."nth-check-1.0.2"
+ ];
+ })
sources."tapable-1.1.3"
(sources."temp-0.9.4" // {
dependencies = [
@@ -14875,7 +14974,7 @@ in
sources."to-regex-range-5.0.1"
sources."toidentifier-1.0.0"
sources."tough-cookie-2.5.0"
- sources."tslib-2.1.0"
+ sources."tslib-2.3.1"
sources."tty-browserify-0.0.0"
sources."tunnel-agent-0.6.0"
sources."tweetnacl-0.14.5"
@@ -14910,11 +15009,11 @@ in
sources."yallist-2.1.2"
];
})
- sources."unbox-primitive-1.0.0"
- sources."unicode-canonical-property-names-ecmascript-1.0.4"
- sources."unicode-match-property-ecmascript-1.0.4"
- sources."unicode-match-property-value-ecmascript-1.2.0"
- sources."unicode-property-aliases-ecmascript-1.1.0"
+ sources."unbox-primitive-1.0.1"
+ sources."unicode-canonical-property-names-ecmascript-2.0.0"
+ sources."unicode-match-property-ecmascript-2.0.0"
+ sources."unicode-match-property-value-ecmascript-2.0.0"
+ sources."unicode-property-aliases-ecmascript-2.0.0"
sources."union-value-1.0.1"
sources."uniq-1.0.1"
sources."uniqs-2.0.0"
@@ -14944,10 +15043,10 @@ in
(sources."url-loader-4.1.1" // {
dependencies = [
sources."loader-utils-2.0.0"
- sources."schema-utils-3.0.0"
+ sources."schema-utils-3.1.1"
];
})
- sources."url-parse-1.5.1"
+ sources."url-parse-1.5.3"
sources."use-3.1.1"
(sources."util-0.11.1" // {
dependencies = [
@@ -14963,7 +15062,11 @@ in
sources."validate-npm-package-license-3.0.4"
sources."vary-1.1.2"
sources."vendors-1.0.4"
- sources."verror-1.10.0"
+ (sources."verror-1.10.0" // {
+ dependencies = [
+ sources."core-util-is-1.0.2"
+ ];
+ })
sources."vm-browserify-1.1.2"
sources."watchpack-1.7.5"
(sources."watchpack-chokidar2-2.0.1" // {
@@ -15107,12 +15210,12 @@ in
];
})
sources."wrappy-1.0.2"
- sources."ws-6.2.1"
+ sources."ws-6.2.2"
sources."xmlbuilder-15.1.1"
sources."xtend-4.0.2"
- sources."y18n-4.0.1"
+ sources."y18n-4.0.3"
sources."yallist-3.1.1"
- sources."yaml-1.10.1"
+ sources."yaml-1.10.2"
(sources."yargs-6.6.0" // {
dependencies = [
sources."camelcase-3.0.0"
@@ -15148,9 +15251,9 @@ in
};
dependencies = [
sources."ansi-styles-4.3.0"
- sources."balanced-match-1.0.0"
+ sources."balanced-match-1.0.2"
sources."brace-expansion-1.1.11"
- sources."chalk-4.1.0"
+ sources."chalk-4.1.2"
sources."color-convert-2.0.1"
sources."color-name-1.1.4"
sources."commander-6.2.1"
@@ -15159,7 +15262,7 @@ in
sources."find-elm-dependencies-2.0.4"
sources."firstline-1.3.1"
sources."fs.realpath-1.0.0"
- sources."glob-7.1.6"
+ sources."glob-7.1.7"
sources."has-flag-4.0.0"
sources."inflight-1.0.6"
sources."inherits-2.0.4"
@@ -15169,7 +15272,7 @@ in
sources."minimist-1.2.5"
sources."mkdirp-0.5.5"
sources."nice-try-1.0.5"
- sources."node-elm-compiler-5.0.5"
+ sources."node-elm-compiler-5.0.6"
sources."once-1.4.0"
sources."path-is-absolute-1.0.1"
sources."path-key-2.0.1"
@@ -15180,7 +15283,7 @@ in
sources."supports-color-7.2.0"
sources."temp-0.9.4"
sources."ts-union-2.3.0"
- sources."typescript-3.9.9"
+ sources."typescript-3.9.10"
sources."which-1.3.1"
sources."wrappy-1.0.2"
];
@@ -15197,38 +15300,41 @@ in
elm-review = nodeEnv.buildNodePackage {
name = "elm-review";
packageName = "elm-review";
- version = "2.4.6";
+ version = "2.5.5";
src = fetchurl {
- url = "https://registry.npmjs.org/elm-review/-/elm-review-2.4.6.tgz";
- sha512 = "O94tBcesK/JytSGrLXh/NEU9jTQ2jGWpDrN6y/vgGu/gmL/i2Z6tdYw1+Jw0DKzigH3I3JtpoQAp2K/Y27y8Gw==";
+ url = "https://registry.npmjs.org/elm-review/-/elm-review-2.5.5.tgz";
+ sha512 = "VOPeOgeE16RjLich8Gt1qJlXyASG2qS5Or4Q3vO1OlAYLPxEAlzUlEiloa7RFtm6dnEYk38axnpx8WexKy7VjA==";
};
dependencies = [
sources."@sindresorhus/is-2.1.1"
- sources."@szmarczak/http-timer-4.0.5"
- sources."@types/cacheable-request-6.0.1"
- sources."@types/http-cache-semantics-4.0.0"
- sources."@types/keyv-3.1.1"
- sources."@types/node-14.14.34"
+ sources."@szmarczak/http-timer-4.0.6"
+ sources."@types/cacheable-request-6.0.2"
+ sources."@types/http-cache-semantics-4.0.1"
+ sources."@types/keyv-3.1.3"
+ sources."@types/node-16.9.3"
sources."@types/responselike-1.0.0"
- (sources."ansi-escapes-4.3.1" // {
+ (sources."ansi-escapes-4.3.2" // {
dependencies = [
- sources."type-fest-0.11.0"
+ sources."type-fest-0.21.3"
];
})
- sources."ansi-regex-5.0.0"
+ sources."ansi-regex-5.0.1"
sources."ansi-styles-4.3.0"
- sources."anymatch-3.1.1"
+ sources."anymatch-3.1.2"
sources."at-least-node-1.0.0"
- sources."balanced-match-1.0.0"
+ sources."balanced-match-1.0.2"
+ sources."base64-js-1.5.1"
sources."binary-extensions-2.2.0"
+ sources."bl-4.1.0"
sources."brace-expansion-1.1.11"
sources."braces-3.0.2"
+ sources."buffer-5.7.1"
sources."cacheable-lookup-2.0.1"
- sources."cacheable-request-7.0.1"
- sources."chalk-4.1.0"
- sources."chokidar-3.5.1"
+ sources."cacheable-request-7.0.2"
+ sources."chalk-4.1.2"
+ sources."chokidar-3.5.2"
sources."cli-cursor-3.1.0"
- sources."cli-spinners-2.5.0"
+ sources."cli-spinners-2.6.0"
sources."clone-1.0.4"
(sources."clone-response-1.0.2" // {
dependencies = [
@@ -15244,10 +15350,9 @@ in
sources."defaults-1.0.3"
sources."defer-to-connect-2.0.1"
sources."duplexer3-0.1.4"
- sources."elm-tooling-1.3.0"
+ sources."elm-tooling-1.6.0"
sources."emoji-regex-8.0.0"
sources."end-of-stream-1.4.4"
- sources."escape-string-regexp-1.0.5"
sources."fast-levenshtein-3.0.0"
sources."fastest-levenshtein-1.0.12"
sources."fill-range-7.0.1"
@@ -15257,12 +15362,13 @@ in
sources."fs.realpath-1.0.0"
sources."fsevents-2.3.2"
sources."get-stream-5.2.0"
- sources."glob-7.1.6"
+ sources."glob-7.1.7"
sources."glob-parent-5.1.2"
sources."got-10.7.0"
- sources."graceful-fs-4.2.6"
+ sources."graceful-fs-4.2.8"
sources."has-flag-4.0.0"
sources."http-cache-semantics-4.1.0"
+ sources."ieee754-1.2.1"
sources."inflight-1.0.6"
sources."inherits-2.0.4"
sources."is-binary-path-2.1.0"
@@ -15271,22 +15377,14 @@ in
sources."is-glob-4.0.1"
sources."is-interactive-1.0.0"
sources."is-number-7.0.0"
+ sources."is-unicode-supported-0.1.0"
sources."isexe-2.0.0"
sources."json-buffer-3.0.1"
sources."jsonfile-6.1.0"
sources."keyv-4.0.3"
sources."kleur-3.0.3"
sources."locate-path-5.0.0"
- (sources."log-symbols-3.0.0" // {
- dependencies = [
- sources."ansi-styles-3.2.1"
- sources."chalk-2.4.2"
- sources."color-convert-1.9.3"
- sources."color-name-1.1.3"
- sources."has-flag-3.0.0"
- sources."supports-color-5.5.0"
- ];
- })
+ sources."log-symbols-4.1.0"
sources."lowercase-keys-2.0.0"
sources."mimic-fn-2.1.0"
sources."mimic-response-2.1.0"
@@ -15294,17 +15392,12 @@ in
sources."minimist-1.2.5"
sources."mkdirp-0.5.5"
sources."ms-2.1.2"
- sources."mute-stream-0.0.8"
sources."normalize-path-3.0.0"
- sources."normalize-url-4.5.0"
+ sources."normalize-url-6.1.0"
sources."once-1.4.0"
sources."onetime-5.1.2"
- (sources."ora-4.1.1" // {
- dependencies = [
- sources."chalk-3.0.0"
- ];
- })
- sources."p-cancelable-2.1.0"
+ sources."ora-5.4.1"
+ sources."p-cancelable-2.1.1"
sources."p-event-4.2.0"
sources."p-finally-1.0.0"
sources."p-limit-2.3.0"
@@ -15314,27 +15407,31 @@ in
sources."path-exists-4.0.0"
sources."path-is-absolute-1.0.1"
sources."path-key-3.1.1"
- sources."picomatch-2.2.2"
- sources."prompts-2.4.0"
+ sources."picomatch-2.3.0"
+ sources."prompts-2.4.1"
sources."pump-3.0.0"
- sources."readdirp-3.5.0"
+ sources."readable-stream-3.6.0"
+ sources."readdirp-3.6.0"
sources."responselike-2.0.0"
sources."restore-cursor-3.1.0"
sources."rimraf-2.6.3"
+ sources."safe-buffer-5.2.1"
sources."shebang-command-2.0.0"
sources."shebang-regex-3.0.0"
- sources."signal-exit-3.0.3"
+ sources."signal-exit-3.0.4"
sources."sisteransi-1.0.5"
sources."string-width-4.2.2"
+ sources."string_decoder-1.3.0"
sources."strip-ansi-6.0.0"
sources."supports-color-7.2.0"
- sources."supports-hyperlinks-2.1.0"
+ sources."supports-hyperlinks-2.2.0"
sources."temp-0.9.4"
sources."terminal-link-2.1.1"
sources."to-readable-stream-2.1.0"
sources."to-regex-range-5.0.1"
sources."type-fest-0.10.0"
sources."universalify-2.0.0"
+ sources."util-deprecate-1.0.2"
sources."wcwidth-1.0.1"
sources."which-2.0.2"
sources."wrap-ansi-6.2.0"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/flutter/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/flutter/default.nix
index f7dc6f8e87c..940b379173f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/flutter/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/flutter/default.nix
@@ -4,18 +4,32 @@ let
getPatches = dir:
let files = builtins.attrNames (builtins.readDir dir);
in map (f: dir + ("/" + f)) files;
- version = "2.2.1";
+ version = "2.5.1";
channel = "stable";
filename = "flutter_linux_${version}-${channel}.tar.xz";
-in
-{
+
+ # Decouples flutter derivation from dart derivation,
+ # use specific dart version to not need to bump dart derivation when bumping flutter.
+ dartVersion = "2.14.2";
+ dartSourceBase = "https://storage.googleapis.com/dart-archive/channels";
+ dartForFlutter = dart.override {
+ version = dartVersion;
+ sources = {
+ "${dartVersion}-x86_64-linux" = fetchurl {
+ url = "${dartSourceBase}/stable/release/${dartVersion}/sdk/dartsdk-linux-x64-release.zip";
+ sha256 = "1gr2dr683kz0a0k6rcn4jcbxf9fr2xlzi5fcgn1lzrrxvys2lddx";
+ };
+ };
+ };
+in {
mkFlutter = mkFlutter;
stable = mkFlutter rec {
- inherit dart version;
+ inherit version;
+ dart = dartForFlutter;
pname = "flutter";
src = fetchurl {
- url = "https://storage.googleapis.com/flutter_infra/releases/${channel}/linux/${filename}";
- sha256 = "009pwk2casz10gibgjpz08102wxmkq9iq3994b3c2q342g6526g0";
+ url = "https://storage.googleapis.com/flutter_infra_release/releases/${channel}/linux/${filename}";
+ sha256 = "12ycz7iasrc9p9c6zr95l6llyji3za43gsx8cmr2kjfiv23bcrv2";
};
patches = getPatches ./patches;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/flutter/flutter.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/flutter/flutter.nix
index 5cab3b929f7..e0ede49b091 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/flutter/flutter.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/flutter/flutter.nix
@@ -8,12 +8,10 @@
{ bash
, buildFHSUserEnv
, cacert
-, coreutils
, git
, runCommand
, stdenv
, lib
-, fetchurl
, alsa-lib
, dbus
, expat
@@ -33,6 +31,7 @@
, nspr
, nss
, systemd
+, which
}:
let
drvName = "flutter-${version}";
@@ -74,10 +73,27 @@ let
'';
installPhase = ''
+ runHook preInstall
+
mkdir -p $out
cp -r . $out
mkdir -p $out/bin/cache/
ln -sf ${dart} $out/bin/cache/dart-sdk
+
+ runHook postInstall
+ '';
+
+ doInstallCheck = true;
+ installCheckInputs = [ which ];
+ installCheckPhase = ''
+ runHook preInstallCheck
+
+ export HOME="$(mktemp -d)"
+ $out/bin/flutter config --android-studio-dir $HOME
+ $out/bin/flutter config --android-sdk $HOME
+ $out/bin/flutter --version | fgrep -q '${version}'
+
+ runHook postInstallCheck
'';
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/fstar/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/fstar/default.nix
index fec71dc46fd..a8a7e2076c1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/fstar/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/fstar/default.nix
@@ -17,19 +17,20 @@ in
stdenv.mkDerivation rec {
pname = "fstar";
- version = "2021.07.31";
+ version = "2021.09.30";
src = fetchFromGitHub {
owner = "FStarLang";
repo = "FStar";
rev = "v${version}";
- sha256 = "KZTmphpt8nYpOd8EReAZ6iIkS4uY3ZziKQ3A70BL/90=";
+ sha256 = "gqy9iaLZlTyv9ufHrUG87ta2xyc1OaZ/KRGhAzB+wsQ=";
};
nativeBuildInputs = [ makeWrapper installShellFiles ];
buildInputs = [
z3
+ sedlex-2_3
] ++ (with ocamlPackages; [
ocaml
findlib
@@ -42,7 +43,6 @@ stdenv.mkDerivation rec {
menhir
menhirLib
pprint
- sedlex-2_3
ppxlib
ppx_deriving
ppx_deriving_yojson
@@ -53,6 +53,8 @@ stdenv.mkDerivation rec {
buildFlags = [ "libs" ];
+ enableParallelBuilding = true;
+
postPatch = ''
patchShebangs ulib/gen_mllib.sh
substituteInPlace src/ocaml-output/Makefile --replace '$(COMMIT)' 'v${version}'
@@ -72,7 +74,8 @@ stdenv.mkDerivation rec {
description = "ML-like functional programming language aimed at program verification";
homepage = "https://www.fstar-lang.org";
license = licenses.asl20;
+ changelog = "https://github.com/FStarLang/FStar/raw/v${version}/CHANGES.md";
platforms = with platforms; darwin ++ linux;
- maintainers = with maintainers; [ gebner ];
+ maintainers = with maintainers; [ gebner pnmadelaine ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/10/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/10/default.nix
index 58a4dfbe36e..f9dd0465b92 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/10/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/10/default.nix
@@ -73,7 +73,9 @@ let majorVersion = "10";
++ optional (targetPlatform.libc == "musl" && targetPlatform.isPower) ../ppc-musl.patch
# Obtain latest patch with ../update-mcfgthread-patches.sh
- ++ optional (!crossStageStatic && targetPlatform.isMinGW) ./Added-mcf-thread-model-support-from-mcfgthread.patch;
+ ++ optional (!crossStageStatic && targetPlatform.isMinGW) ./Added-mcf-thread-model-support-from-mcfgthread.patch
+
+ ++ [ ../libsanitizer-no-cyclades.patch ];
/* Cross-gcc settings (build == host != target) */
crossMingw = targetPlatform != hostPlatform && targetPlatform.libc == "msvcrt";
@@ -147,6 +149,7 @@ stdenv.mkDerivation ({
else "")
+ lib.optionalString targetPlatform.isAvr ''
makeFlagsArray+=(
+ '-s' # workaround for hitting hydra log limit
'LIMITS_H_TEST=false'
)
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/11/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/11/default.nix
index 15d93561871..850b267441f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/11/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/11/default.nix
@@ -78,7 +78,9 @@ let majorVersion = "11";
})
# Obtain latest patch with ../update-mcfgthread-patches.sh
- ++ optional (!crossStageStatic && targetPlatform.isMinGW) ./Added-mcf-thread-model-support-from-mcfgthread.patch;
+ ++ optional (!crossStageStatic && targetPlatform.isMinGW) ./Added-mcf-thread-model-support-from-mcfgthread.patch
+
+ ++ [ ../libsanitizer-no-cyclades.patch ];
/* Cross-gcc settings (build == host != target) */
crossMingw = targetPlatform != hostPlatform && targetPlatform.libc == "msvcrt";
@@ -152,6 +154,7 @@ stdenv.mkDerivation ({
else "")
+ lib.optionalString targetPlatform.isAvr ''
makeFlagsArray+=(
+ '-s' # workaround for hitting hydra log limit
'LIMITS_H_TEST=false'
)
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/4.8/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/4.8/default.nix
index 98332290a07..c192c603c88 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/4.8/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/4.8/default.nix
@@ -86,6 +86,13 @@ let majorVersion = "4";
../struct-ucontext-4.8.patch
../sigsegv-not-declared.patch
../res_state-not-declared.patch
+ # gcc-11 compatibility
+ (fetchpatch {
+ name = "gcc4-char-reload.patch";
+ url = "https://gcc.gnu.org/git/?p=gcc.git;a=commitdiff_plain;h=d57c99458933a21fdf94f508191f145ad8d5ec58";
+ includes = [ "gcc/reload.h" ];
+ sha256 = "sha256-66AMP7/ajunGKAN5WJz/yPn42URZ2KN51yPrFdsxEuM=";
+ })
];
javaEcj = fetchurl {
@@ -307,7 +314,7 @@ stdenv.mkDerivation ({
compiler used in the GNU system including the GNU/Linux variant.
'';
- maintainers = with lib.maintainers; [ peti veprbl ];
+ maintainers = with lib.maintainers; [ veprbl ];
platforms = lib.platforms.unix;
badPlatforms = [ "x86_64-darwin" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/4.9/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/4.9/default.nix
index a15cb770fdf..70278c237d4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/4.9/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/4.9/default.nix
@@ -98,7 +98,18 @@ let majorVersion = "4";
{ commit = "98c7bf9ddc80db965d69d61521b1c7a1cec32d9a"; sha256 = "1d7pfdv1q23nf0wadw7jbp6d6r7pnzjpbyxgbdfv7j1vr9l1bp60"; }
{ commit = "3dc76b53ad896494ca62550a7a752fecbca3f7a2"; sha256 = "0jvdzfpvfdmklfcjwqblwq1i22iqis7ljpvm7adra5d7zf2xk7xz"; }
{ commit = "1e961ed49b18e176c7457f53df2433421387c23b"; sha256 = "04dnqqs4qsvz4g8cq6db5id41kzys7hzhcaycwmc9rpqygs2ajwz"; }
- { commit = "e137c72d099f9b3b47f4cc718aa11eab14df1a9c"; sha256 = "1ms0dmz74yf6kwgjfs4d2fhj8y6mcp2n184r3jk44wx2xc24vgb2"; }];
+ { commit = "e137c72d099f9b3b47f4cc718aa11eab14df1a9c"; sha256 = "1ms0dmz74yf6kwgjfs4d2fhj8y6mcp2n184r3jk44wx2xc24vgb2"; }]
+
+ ++ [
+ ../libsanitizer-no-cyclades-9.patch
+ # gcc-11 compatibility
+ (fetchpatch {
+ name = "gcc4-char-reload.patch";
+ url = "https://gcc.gnu.org/git/?p=gcc.git;a=commitdiff_plain;h=d57c99458933a21fdf94f508191f145ad8d5ec58";
+ includes = [ "gcc/reload.h" ];
+ sha256 = "sha256-66AMP7/ajunGKAN5WJz/yPn42URZ2KN51yPrFdsxEuM=";
+ })
+ ];
javaEcj = fetchurl {
# The `$(top_srcdir)/ecj.jar' file is automatically picked up at
@@ -319,7 +330,7 @@ stdenv.mkDerivation ({
compiler used in the GNU system including the GNU/Linux variant.
'';
- maintainers = with lib.maintainers; [ peti veprbl ];
+ maintainers = with lib.maintainers; [ veprbl ];
platforms = lib.platforms.unix;
badPlatforms = [ "x86_64-darwin" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/6/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/6/default.nix
index df4a632db05..1809d7ae42e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/6/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/6/default.nix
@@ -87,7 +87,9 @@ let majorVersion = "6";
++ optional (targetPlatform.libc == "musl" && targetPlatform.isx86_32) (fetchpatch {
url = "https://git.alpinelinux.org/aports/plain/main/gcc/gcc-6.1-musl-libssp.patch?id=5e4b96e23871ee28ef593b439f8c07ca7c7eb5bb";
sha256 = "1jf1ciz4gr49lwyh8knfhw6l5gvfkwzjy90m7qiwkcbsf4a3fqn2";
- });
+ })
+
+ ++ [ ../libsanitizer-no-cyclades-9.patch ];
javaEcj = fetchurl {
# The `$(top_srcdir)/ecj.jar' file is automatically picked up at
@@ -342,8 +344,6 @@ stdenv.mkDerivation ({
compiler used in the GNU system including the GNU/Linux variant.
'';
- maintainers = with lib.maintainers; [ peti ];
-
platforms = lib.platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/7/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/7/default.nix
index 44e8b38fdf8..dcb7d0b91f6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/7/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/7/default.nix
@@ -84,7 +84,9 @@ let majorVersion = "7";
++ optional (targetPlatform.libc == "musl") ../libgomp-dont-force-initial-exec.patch
# Obtain latest patch with ../update-mcfgthread-patches.sh
- ++ optional (!crossStageStatic && targetPlatform.isMinGW) ./Added-mcf-thread-model-support-from-mcfgthread.patch;
+ ++ optional (!crossStageStatic && targetPlatform.isMinGW) ./Added-mcf-thread-model-support-from-mcfgthread.patch
+
+ ++ [ ../libsanitizer-no-cyclades-9.patch ];
/* Cross-gcc settings (build == host != target) */
crossMingw = targetPlatform != hostPlatform && targetPlatform.libc == "msvcrt";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/8/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/8/default.nix
index b264d37418e..a6fd34c60cc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/8/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/8/default.nix
@@ -71,7 +71,9 @@ let majorVersion = "8";
++ optional (targetPlatform.libc == "musl") ../libgomp-dont-force-initial-exec.patch
# Obtain latest patch with ../update-mcfgthread-patches.sh
- ++ optional (!crossStageStatic && targetPlatform.isMinGW) ./Added-mcf-thread-model-support-from-mcfgthread.patch;
+ ++ optional (!crossStageStatic && targetPlatform.isMinGW) ./Added-mcf-thread-model-support-from-mcfgthread.patch
+
+ ++ [ ../libsanitizer-no-cyclades-9.patch ];
/* Cross-gcc settings (build == host != target) */
crossMingw = targetPlatform != hostPlatform && targetPlatform.libc == "msvcrt";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/9/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/9/default.nix
index 43b2e0b5ef5..604d90fa782 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/9/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/9/default.nix
@@ -87,7 +87,9 @@ let majorVersion = "9";
++ optional (targetPlatform.libc == "musl" && targetPlatform.isPower) ../ppc-musl.patch
# Obtain latest patch with ../update-mcfgthread-patches.sh
- ++ optional (!crossStageStatic && targetPlatform.isMinGW) ./Added-mcf-thread-model-support-from-mcfgthread.patch;
+ ++ optional (!crossStageStatic && targetPlatform.isMinGW) ./Added-mcf-thread-model-support-from-mcfgthread.patch
+
+ ++ [ ../libsanitizer-no-cyclades-9.patch ];
/* Cross-gcc settings (build == host != target) */
crossMingw = targetPlatform != hostPlatform && targetPlatform.libc == "msvcrt";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/common/configure-flags.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/common/configure-flags.nix
index 6744ad8b0bf..bebf91114d7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/common/configure-flags.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/common/configure-flags.nix
@@ -93,6 +93,7 @@ let
# libsanitizer requires netrom/netrom.h which is not
# available in uclibc.
"--disable-libsanitizer"
+ ] ++ lib.optionals (targetPlatform.libc == "uclibc") [
# In uclibc cases, libgomp needs an additional '-ldl'
# and as I don't know how to pass it, I disable libgomp.
"--disable-libgomp"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/libsanitizer-no-cyclades-9.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/libsanitizer-no-cyclades-9.patch
new file mode 100644
index 00000000000..072403d1495
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/libsanitizer-no-cyclades-9.patch
@@ -0,0 +1,82 @@
+https://gcc.gnu.org/git/?p=gcc.git;a=patch;h=2b40941d23b1570cdd90083b58fa0f66aa58c86e
+https://gcc.gnu.org/PR100379
+--- a/libsanitizer/sanitizer_common/sanitizer_common_interceptors_ioctl.inc
++++ b/libsanitizer/sanitizer_common/sanitizer_common_interceptors_ioctl.inc
+@@ -365,15 +365,6 @@ static void ioctl_table_fill() {
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+ // _(SIOCDEVPLIP, WRITE, struct_ifreq_sz); // the same as EQL_ENSLAVE
+- _(CYGETDEFTHRESH, WRITE, sizeof(int));
+- _(CYGETDEFTIMEOUT, WRITE, sizeof(int));
+- _(CYGETMON, WRITE, struct_cyclades_monitor_sz);
+- _(CYGETTHRESH, WRITE, sizeof(int));
+- _(CYGETTIMEOUT, WRITE, sizeof(int));
+- _(CYSETDEFTHRESH, NONE, 0);
+- _(CYSETDEFTIMEOUT, NONE, 0);
+- _(CYSETTHRESH, NONE, 0);
+- _(CYSETTIMEOUT, NONE, 0);
+ _(EQL_EMANCIPATE, WRITE, struct_ifreq_sz);
+ _(EQL_ENSLAVE, WRITE, struct_ifreq_sz);
+ _(EQL_GETMASTRCFG, WRITE, struct_ifreq_sz);
+--- a/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.cc
++++ b/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.cc
+@@ -157,7 +157,6 @@ typedef struct user_fpregs elf_fpregset_t;
+ # include
+ #endif
+ #include
+-#include
+ #include
+ #include
+ #include
+@@ -466,7 +465,6 @@ unsigned struct_ElfW_Phdr_sz = sizeof(Elf_Phdr);
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+ unsigned struct_ax25_parms_struct_sz = sizeof(struct ax25_parms_struct);
+- unsigned struct_cyclades_monitor_sz = sizeof(struct cyclades_monitor);
+ #if EV_VERSION > (0x010000)
+ unsigned struct_input_keymap_entry_sz = sizeof(struct input_keymap_entry);
+ #else
+@@ -833,15 +831,6 @@ unsigned struct_ElfW_Phdr_sz = sizeof(Elf_Phdr);
+ #endif // SANITIZER_LINUX || SANITIZER_FREEBSD
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+- unsigned IOCTL_CYGETDEFTHRESH = CYGETDEFTHRESH;
+- unsigned IOCTL_CYGETDEFTIMEOUT = CYGETDEFTIMEOUT;
+- unsigned IOCTL_CYGETMON = CYGETMON;
+- unsigned IOCTL_CYGETTHRESH = CYGETTHRESH;
+- unsigned IOCTL_CYGETTIMEOUT = CYGETTIMEOUT;
+- unsigned IOCTL_CYSETDEFTHRESH = CYSETDEFTHRESH;
+- unsigned IOCTL_CYSETDEFTIMEOUT = CYSETDEFTIMEOUT;
+- unsigned IOCTL_CYSETTHRESH = CYSETTHRESH;
+- unsigned IOCTL_CYSETTIMEOUT = CYSETTIMEOUT;
+ unsigned IOCTL_EQL_EMANCIPATE = EQL_EMANCIPATE;
+ unsigned IOCTL_EQL_ENSLAVE = EQL_ENSLAVE;
+ unsigned IOCTL_EQL_GETMASTRCFG = EQL_GETMASTRCFG;
+--- a/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.h
++++ b/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.h
+@@ -1040,7 +1040,6 @@ struct __sanitizer_cookie_io_functions_t {
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+ extern unsigned struct_ax25_parms_struct_sz;
+- extern unsigned struct_cyclades_monitor_sz;
+ extern unsigned struct_input_keymap_entry_sz;
+ extern unsigned struct_ipx_config_data_sz;
+ extern unsigned struct_kbdiacrs_sz;
+@@ -1385,15 +1384,6 @@ struct __sanitizer_cookie_io_functions_t {
+ #endif // SANITIZER_LINUX || SANITIZER_FREEBSD
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+- extern unsigned IOCTL_CYGETDEFTHRESH;
+- extern unsigned IOCTL_CYGETDEFTIMEOUT;
+- extern unsigned IOCTL_CYGETMON;
+- extern unsigned IOCTL_CYGETTHRESH;
+- extern unsigned IOCTL_CYGETTIMEOUT;
+- extern unsigned IOCTL_CYSETDEFTHRESH;
+- extern unsigned IOCTL_CYSETDEFTIMEOUT;
+- extern unsigned IOCTL_CYSETTHRESH;
+- extern unsigned IOCTL_CYSETTIMEOUT;
+ extern unsigned IOCTL_EQL_EMANCIPATE;
+ extern unsigned IOCTL_EQL_ENSLAVE;
+ extern unsigned IOCTL_EQL_GETMASTRCFG;
+--
+2.27.0
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/libsanitizer-no-cyclades.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/libsanitizer-no-cyclades.patch
new file mode 100644
index 00000000000..e2155cd0c98
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gcc/libsanitizer-no-cyclades.patch
@@ -0,0 +1,83 @@
+https://gcc.gnu.org/git/?p=gcc.git;a=patch;h=2bf34b9f4e446bf9be7f04458058dd5319fb396e
+https://gcc.gnu.org/PR100379
+--- a/libsanitizer/sanitizer_common/sanitizer_common_interceptors_ioctl.inc
++++ b/libsanitizer/sanitizer_common/sanitizer_common_interceptors_ioctl.inc
+@@ -366,15 +366,6 @@ static void ioctl_table_fill() {
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+ // _(SIOCDEVPLIP, WRITE, struct_ifreq_sz); // the same as EQL_ENSLAVE
+- _(CYGETDEFTHRESH, WRITE, sizeof(int));
+- _(CYGETDEFTIMEOUT, WRITE, sizeof(int));
+- _(CYGETMON, WRITE, struct_cyclades_monitor_sz);
+- _(CYGETTHRESH, WRITE, sizeof(int));
+- _(CYGETTIMEOUT, WRITE, sizeof(int));
+- _(CYSETDEFTHRESH, NONE, 0);
+- _(CYSETDEFTIMEOUT, NONE, 0);
+- _(CYSETTHRESH, NONE, 0);
+- _(CYSETTIMEOUT, NONE, 0);
+ _(EQL_EMANCIPATE, WRITE, struct_ifreq_sz);
+ _(EQL_ENSLAVE, WRITE, struct_ifreq_sz);
+ _(EQL_GETMASTRCFG, WRITE, struct_ifreq_sz);
+--- a/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.cpp
++++ b/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.cpp
+@@ -130,7 +130,6 @@ typedef struct user_fpregs elf_fpregset_t;
+ # include
+ #endif
+ #include
+-#include
+ #include
+ #include
+ #include
+@@ -443,7 +442,6 @@ unsigned struct_ElfW_Phdr_sz = sizeof(Elf_Phdr);
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+ unsigned struct_ax25_parms_struct_sz = sizeof(struct ax25_parms_struct);
+- unsigned struct_cyclades_monitor_sz = sizeof(struct cyclades_monitor);
+ #if EV_VERSION > (0x010000)
+ unsigned struct_input_keymap_entry_sz = sizeof(struct input_keymap_entry);
+ #else
+@@ -809,15 +807,6 @@ unsigned struct_ElfW_Phdr_sz = sizeof(Elf_Phdr);
+ #endif // SANITIZER_LINUX
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+- unsigned IOCTL_CYGETDEFTHRESH = CYGETDEFTHRESH;
+- unsigned IOCTL_CYGETDEFTIMEOUT = CYGETDEFTIMEOUT;
+- unsigned IOCTL_CYGETMON = CYGETMON;
+- unsigned IOCTL_CYGETTHRESH = CYGETTHRESH;
+- unsigned IOCTL_CYGETTIMEOUT = CYGETTIMEOUT;
+- unsigned IOCTL_CYSETDEFTHRESH = CYSETDEFTHRESH;
+- unsigned IOCTL_CYSETDEFTIMEOUT = CYSETDEFTIMEOUT;
+- unsigned IOCTL_CYSETTHRESH = CYSETTHRESH;
+- unsigned IOCTL_CYSETTIMEOUT = CYSETTIMEOUT;
+ unsigned IOCTL_EQL_EMANCIPATE = EQL_EMANCIPATE;
+ unsigned IOCTL_EQL_ENSLAVE = EQL_ENSLAVE;
+ unsigned IOCTL_EQL_GETMASTRCFG = EQL_GETMASTRCFG;
+--- a/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.h
++++ b/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.h
+@@ -974,7 +974,6 @@ extern unsigned struct_vt_mode_sz;
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+ extern unsigned struct_ax25_parms_struct_sz;
+-extern unsigned struct_cyclades_monitor_sz;
+ extern unsigned struct_input_keymap_entry_sz;
+ extern unsigned struct_ipx_config_data_sz;
+ extern unsigned struct_kbdiacrs_sz;
+@@ -1319,15 +1318,6 @@ extern unsigned IOCTL_VT_WAITACTIVE;
+ #endif // SANITIZER_LINUX
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+-extern unsigned IOCTL_CYGETDEFTHRESH;
+-extern unsigned IOCTL_CYGETDEFTIMEOUT;
+-extern unsigned IOCTL_CYGETMON;
+-extern unsigned IOCTL_CYGETTHRESH;
+-extern unsigned IOCTL_CYGETTIMEOUT;
+-extern unsigned IOCTL_CYSETDEFTHRESH;
+-extern unsigned IOCTL_CYSETDEFTIMEOUT;
+-extern unsigned IOCTL_CYSETTHRESH;
+-extern unsigned IOCTL_CYSETTIMEOUT;
+ extern unsigned IOCTL_EQL_EMANCIPATE;
+ extern unsigned IOCTL_EQL_ENSLAVE;
+ extern unsigned IOCTL_EQL_GETMASTRCFG;
+--
+2.33.0
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.2-binary.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.2-binary.nix
index 3ef4ebb9b98..bf909016ac2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.2-binary.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.2-binary.nix
@@ -40,12 +40,14 @@ let
# nixpkgs uses for the respective system.
defaultLibc = {
i686-linux = {
+ variantSuffix = "";
src = {
url = "${downloadsUrl}/${version}/ghc-${version}-i386-deb9-linux.tar.xz";
sha256 = "0bvwisl4w0z5z8z0da10m9sv0mhm9na2qm43qxr8zl23mn32mblx";
};
exePathForLibraryCheck = "ghc/stage2/build/tmp/ghc-stage2";
archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
# The i686-linux bindist provided by GHC HQ is currently built on Debian 9,
# which link it against `libtinfo.so.5` (ncurses 5).
# Other bindists are linked `libtinfo.so.6` (ncurses 6).
@@ -53,43 +55,51 @@ let
];
};
x86_64-linux = {
+ variantSuffix = "";
src = {
url = "${downloadsUrl}/${version}/ghc-${version}-x86_64-deb10-linux.tar.xz";
sha256 = "0chnzy9j23b2wa8clx5arwz8wnjfxyjmz9qkj548z14cqf13slcl";
};
exePathForLibraryCheck = "ghc/stage2/build/tmp/ghc-stage2";
archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
{ nixPackage = ncurses6; fileToCheckFor = "libtinfo.so.6"; }
];
};
armv7l-linux = {
+ variantSuffix = "";
src = {
url = "${downloadsUrl}/${version}/ghc-${version}-armv7-deb10-linux.tar.xz";
sha256 = "1j41cq5d3rmlgz7hzw8f908fs79gc5mn3q5wz277lk8zdf19g75v";
};
exePathForLibraryCheck = "ghc/stage2/build/tmp/ghc-stage2";
archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
{ nixPackage = ncurses6; fileToCheckFor = "libtinfo.so.6"; }
];
};
aarch64-linux = {
+ variantSuffix = "";
src = {
url = "${downloadsUrl}/${version}/ghc-${version}-aarch64-deb10-linux.tar.xz";
sha256 = "14smwl3741ixnbgi0l51a7kh7xjkiannfqx15b72svky0y4l3wjw";
};
exePathForLibraryCheck = "ghc/stage2/build/tmp/ghc-stage2";
archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
{ nixPackage = ncurses6; fileToCheckFor = "libtinfo.so.6"; }
{ nixPackage = numactl; fileToCheckFor = null; }
];
};
x86_64-darwin = {
+ variantSuffix = "";
src = {
url = "${downloadsUrl}/${version}/ghc-${version}-x86_64-apple-darwin.tar.xz";
sha256 = "1hngyq14l4f950hzhh2d204ca2gfc98pc9xdasxihzqd1jq75dzd";
};
exePathForLibraryCheck = null; # we don't have a library check for darwin yet
archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
{ nixPackage = ncurses6; fileToCheckFor = null; }
{ nixPackage = libiconv; fileToCheckFor = null; }
];
@@ -98,12 +108,14 @@ let
# Binary distributions for the musl libc for the respective system.
musl = {
x86_64-linux = {
+ variantSuffix = "-musl";
src = {
url = "${downloadsUrl}/${version}/ghc-${version}-x86_64-alpine3.10-linux-integer-simple.tar.xz";
sha256 = "0xpcbyaxqyhbl6f0i3s4rp2jm67nqpkfh2qlbj3i2fiaix89ml0l";
};
exePathForLibraryCheck = "bin/ghc";
archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
# In contrast to glibc builds, the musl-bindist uses `libncursesw.so.*`
# instead of `libtinfo.so.*.`
{ nixPackage = ncurses6; fileToCheckFor = "libncursesw.so.6"; }
@@ -121,11 +133,8 @@ let
libPath =
lib.makeLibraryPath (
- [
- gmp
- ]
# Add arch-specific libraries.
- ++ map ({ nixPackage, ... }: nixPackage) binDistUsed.archSpecificLibraries
+ map ({ nixPackage, ... }: nixPackage) binDistUsed.archSpecificLibraries
);
libEnvVar = lib.optionalString stdenv.hostPlatform.isDarwin "DY"
@@ -135,11 +144,16 @@ in
stdenv.mkDerivation rec {
inherit version;
-
- name = "ghc-${version}-binary";
+ pname = "ghc-binary${binDistUsed.variantSuffix}";
src = fetchurl binDistUsed.src;
+ # Note that for GHC 8.10 versions <= 8.10.5, the GHC HQ musl bindist
+ # has a `gmp` dependency:
+ # https://gitlab.haskell.org/ghc/ghc/-/commit/8306501020cd66f683ad9c215fa8e16c2d62357d
+ # Related nixpkgs issues:
+ # * https://github.com/NixOS/nixpkgs/pull/130441#issuecomment-922452843
+
nativeBuildInputs = [ perl ];
propagatedBuildInputs =
lib.optionals useLLVM [ llvmPackages.llvm ]
@@ -147,6 +161,9 @@ stdenv.mkDerivation rec {
# libgmp is (see not [musl bindists have no .buildinfo]), we need
# to propagate `gmp`, otherwise programs built by this ghc will
# fail linking with `cannot find -lgmp` errors.
+ # Concrete cases are listed in:
+ # https://github.com/NixOS/nixpkgs/pull/130441#issuecomment-922459988
+ #
# Also, as of writing, the release pages of musl bindists claim
# that they use `integer-simple` and do not require `gmp`; however
# that is incorrect, so `gmp` is required until a release has been
@@ -154,6 +171,12 @@ stdenv.mkDerivation rec {
# (Note that for packaging the `-binary` compiler, nixpkgs does not care
# about whether or not `gmp` is used; this comment is just here to explain
# why the `gmp` dependency exists despite what the release page says.)
+ #
+ # For GHC >= 8.10.6, `gmp` was switched out for `integer-simple`
+ # (https://gitlab.haskell.org/ghc/ghc/-/commit/8306501020cd66f683ad9c215fa8e16c2d62357d),
+ # fixing the above-mentioned release issue,
+ # and for GHC >= 9.* it is not clear as of writing whether that switch
+ # will be made there too.
++ lib.optionals stdenv.hostPlatform.isMusl [ gmp ]; # musl bindist needs this
# Set LD_LIBRARY_PATH or equivalent so that the programs running as part
@@ -374,6 +397,8 @@ stdenv.mkDerivation rec {
# `pkgsMusl`.
platforms = builtins.attrNames ghcBinDists.${distSetName};
hydraPlatforms = builtins.filter (p: minimal || p != "aarch64-linux") platforms;
- maintainers = with lib.maintainers; [ lostnet ];
+ maintainers = with lib.maintainers; [
+ guibou
+ ] ++ lib.teams.haskell.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.5-binary.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.7-binary.nix
similarity index 87%
rename from infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.5-binary.nix
rename to infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.7-binary.nix
index ce65929763d..58be16dc569 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.5-binary.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.7-binary.nix
@@ -17,7 +17,8 @@ assert stdenv.targetPlatform == stdenv.hostPlatform;
let
downloadsUrl = "https://downloads.haskell.org/ghc";
- version = "8.10.5";
+ # Copy sha256 from https://downloads.haskell.org/~ghc/8.10.7/SHA256SUMS
+ version = "8.10.7";
# Information about available bindists that we use in the build.
#
@@ -40,12 +41,14 @@ let
# nixpkgs uses for the respective system.
defaultLibc = {
i686-linux = {
+ variantSuffix = "";
src = {
url = "${downloadsUrl}/${version}/ghc-${version}-i386-deb9-linux.tar.xz";
- sha256 = "17cwmhhyz952psmp4j3pkdj0yrfxah3l2dawg5s4hdr228n5pjqc";
+ sha256 = "fbfc1ef194f4e7a4c0da8c11cc69b17458a4b928b609b3622c97acc4acd5c5ab";
};
exePathForLibraryCheck = "ghc/stage2/build/tmp/ghc-stage2";
archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
# The i686-linux bindist provided by GHC HQ is currently built on Debian 9,
# which link it against `libtinfo.so.5` (ncurses 5).
# Other bindists are linked `libtinfo.so.6` (ncurses 6).
@@ -53,54 +56,64 @@ let
];
};
x86_64-linux = {
+ variantSuffix = "";
src = {
url = "${downloadsUrl}/${version}/ghc-${version}-x86_64-deb10-linux.tar.xz";
- sha256 = "11v76wgljyszc6mgz6r16i6wkifgl15a26q7ablihp2cr8h3qqmw";
+ sha256 = "a13719bca87a0d3ac0c7d4157a4e60887009a7f1a8dbe95c4759ec413e086d30";
};
exePathForLibraryCheck = "ghc/stage2/build/tmp/ghc-stage2";
archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
{ nixPackage = ncurses6; fileToCheckFor = "libtinfo.so.6"; }
];
};
armv7l-linux = {
+ variantSuffix = "";
src = {
url = "${downloadsUrl}/${version}/ghc-${version}-armv7-deb10-linux.tar.xz";
- sha256 = "0v5bvhb2z9j26yr0n9gc4xdq2lm37mr98g62p5z8pqahhhd0s5sn";
+ sha256 = "3949c31bdf7d3b4afb765ea8246bca4ca9707c5d988d9961a244f0da100956a2";
};
exePathForLibraryCheck = "ghc/stage2/build/tmp/ghc-stage2";
archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
{ nixPackage = ncurses6; fileToCheckFor = "libtinfo.so.6"; }
];
};
aarch64-linux = {
+ variantSuffix = "";
src = {
url = "${downloadsUrl}/${version}/ghc-${version}-aarch64-deb10-linux.tar.xz";
- sha256 = "1p0dgyn1m2nd8ax1g25lchaz9z2nk9jvyzf63biarq7qlzc5q24s";
+ sha256 = "fad2417f9b295233bf8ade79c0e6140896359e87be46cb61cd1d35863d9d0e55";
};
exePathForLibraryCheck = "ghc/stage2/build/tmp/ghc-stage2";
archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
{ nixPackage = ncurses6; fileToCheckFor = "libtinfo.so.6"; }
{ nixPackage = numactl; fileToCheckFor = null; }
];
};
x86_64-darwin = {
+ variantSuffix = "";
src = {
url = "${downloadsUrl}/${version}/ghc-${version}-x86_64-apple-darwin.tar.xz";
- sha256 = "08javwfqd21kglbr1bnhnbjw2cggz1n668vi8kx5hbcnz3plf3zg";
+ sha256 = "287db0f9c338c9f53123bfa8731b0996803ee50f6ee847fe388092e5e5132047";
};
exePathForLibraryCheck = null; # we don't have a library check for darwin yet
archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
{ nixPackage = ncurses6; fileToCheckFor = null; }
{ nixPackage = libiconv; fileToCheckFor = null; }
];
};
aarch64-darwin = {
+ variantSuffix = "";
src = {
url = "${downloadsUrl}/${version}/ghc-${version}-aarch64-apple-darwin.tar.xz";
- sha256 = "02fbcrzcc6m25z3ji867isml38jkg4blry70ljwl3l03zxq4ws03";
+ sha256 = "dc469fc3c35fd2a33a5a575ffce87f13de7b98c2d349a41002e200a56d9bba1c";
};
exePathForLibraryCheck = null; # we don't have a library check for darwin yet
archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
{ nixPackage = ncurses6; fileToCheckFor = null; }
{ nixPackage = libiconv; fileToCheckFor = null; }
];
@@ -109,12 +122,15 @@ let
# Binary distributions for the musl libc for the respective system.
musl = {
x86_64-linux = {
+ variantSuffix = "-musl-integer-simple";
src = {
url = "${downloadsUrl}/${version}/ghc-${version}-x86_64-alpine3.10-linux-integer-simple.tar.xz";
- sha256 = "1ql2qxywbbv0b9syvrm9kyh5d8midnl676lw4698ajras6gcvmzl";
+ sha256 = "16903df850ef73d5246f2ff169cbf57ecab76c2ac5acfa9928934282cfad575c";
};
exePathForLibraryCheck = "bin/ghc";
archSpecificLibraries = [
+ # No `gmp` here, since this is an `integer-simple` bindist.
+
# In contrast to glibc builds, the musl-bindist uses `libncursesw.so.*`
# instead of `libtinfo.so.*.`
{ nixPackage = ncurses6; fileToCheckFor = "libncursesw.so.6"; }
@@ -132,11 +148,8 @@ let
libPath =
lib.makeLibraryPath (
- [
- gmp
- ]
# Add arch-specific libraries.
- ++ map ({ nixPackage, ... }: nixPackage) binDistUsed.archSpecificLibraries
+ map ({ nixPackage, ... }: nixPackage) binDistUsed.archSpecificLibraries
);
libEnvVar = lib.optionalString stdenv.hostPlatform.isDarwin "DY"
@@ -146,26 +159,25 @@ in
stdenv.mkDerivation rec {
inherit version;
-
- name = "ghc-${version}-binary";
+ pname = "ghc-binary${binDistUsed.variantSuffix}";
src = fetchurl binDistUsed.src;
+ # Note that for GHC 8.10 versions >= 8.10.6, the GHC HQ musl bindist
+ # uses `integer-simple` and has no `gmp` dependency:
+ # https://gitlab.haskell.org/ghc/ghc/-/commit/8306501020cd66f683ad9c215fa8e16c2d62357d
+ # Related nixpkgs issues:
+ # * https://github.com/NixOS/nixpkgs/pull/130441#issuecomment-922452843
+ # TODO: When this file is copied to `ghc-9.*-binary.nix`, determine whether
+ # the GHC 9 branch also switched from `gmp` to `integer-simple` via the
+ # currently-open issue:
+ # https://gitlab.haskell.org/ghc/ghc/-/issues/20059
+ # and update this comment accordingly.
+
nativeBuildInputs = [ perl ];
propagatedBuildInputs =
lib.optionals useLLVM [ llvmPackages.llvm ]
- # Because musl bindists currently provide no way to tell where
- # libgmp is (see not [musl bindists have no .buildinfo]), we need
- # to propagate `gmp`, otherwise programs built by this ghc will
- # fail linking with `cannot find -lgmp` errors.
- # Also, as of writing, the release pages of musl bindists claim
- # that they use `integer-simple` and do not require `gmp`; however
- # that is incorrect, so `gmp` is required until a release has been
- # made that includes https://gitlab.haskell.org/ghc/ghc/-/issues/20059.
- # (Note that for packaging the `-binary` compiler, nixpkgs does not care
- # about whether or not `gmp` is used; this comment is just here to explain
- # why the `gmp` dependency exists despite what the release page says.)
- ++ lib.optionals stdenv.hostPlatform.isMusl [ gmp ]; # musl bindist needs this
+ ;
# Set LD_LIBRARY_PATH or equivalent so that the programs running as part
# of the bindist installer can find the libraries they expect.
@@ -226,9 +238,9 @@ stdenv.mkDerivation rec {
patchShebangs ghc-${version}/configure
'' +
# We have to patch the GMP paths for the integer-gmp package.
- # Note [musl bindists have no .buildinfo]
- # Note that musl bindists do not contain them; unclear if that's intended;
+ # Note that musl bindists do not contain them,
# see: https://gitlab.haskell.org/ghc/ghc/-/issues/20073#note_363231
+ # However, musl bindists >= 8.10.6 use `integer-simple`, not `gmp`.
''
find . -name integer-gmp.buildinfo \
-exec sed -i "s@extra-lib-dirs: @extra-lib-dirs: ${gmp.out}/lib@" {} \;
@@ -385,6 +397,9 @@ stdenv.mkDerivation rec {
# `pkgsMusl`.
platforms = builtins.attrNames ghcBinDists.${distSetName};
hydraPlatforms = builtins.filter (p: minimal || p != "aarch64-linux") platforms;
- maintainers = with lib.maintainers; [ lostnet ];
+ maintainers = with lib.maintainers; [
+ prusnak
+ domenkozar
+ ] ++ lib.teams.haskell.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.7.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.7.nix
new file mode 100644
index 00000000000..7e59bd974a2
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.7.nix
@@ -0,0 +1,356 @@
+{ lib, stdenv, pkgsBuildTarget, targetPackages
+
+# build-tools
+, bootPkgs
+, autoconf, automake, coreutils, fetchpatch, fetchurl, perl, python3, m4, sphinx
+, xattr, autoSignDarwinBinariesHook
+, bash
+
+, libiconv ? null, ncurses
+
+, # GHC can be built with system libffi or a bundled one.
+ libffi ? null
+
+, useLLVM ? !stdenv.targetPlatform.isx86
+, # LLVM is conceptually a run-time-only depedendency, but for
+ # non-x86, we need LLVM to bootstrap later stages, so it becomes a
+ # build-time dependency too.
+ buildLlvmPackages, llvmPackages
+
+, # If enabled, GHC will be built with the GPL-free but slower integer-simple
+ # library instead of the faster but GPLed integer-gmp library.
+ enableIntegerSimple ? !(lib.meta.availableOn stdenv.hostPlatform gmp), gmp
+
+, # If enabled, use -fPIC when compiling static libs.
+ enableRelocatedStaticLibs ? stdenv.targetPlatform != stdenv.hostPlatform
+
+ # aarch64 outputs otherwise exceed 2GB limit
+, enableProfiledLibs ? !stdenv.targetPlatform.isAarch64
+
+, # Whether to build dynamic libs for the standard library (on the target
+ # platform). Static libs are always built.
+ enableShared ? !stdenv.targetPlatform.isWindows && !stdenv.targetPlatform.useiOSPrebuilt
+
+, # Whether to build terminfo.
+ enableTerminfo ? !stdenv.targetPlatform.isWindows
+
+, # What flavour to build. An empty string indicates no
+ # specific flavour and falls back to ghc default values.
+ ghcFlavour ? lib.optionalString (stdenv.targetPlatform != stdenv.hostPlatform)
+ (if useLLVM then "perf-cross" else "perf-cross-ncg")
+
+, # Whether to build sphinx documentation.
+ enableDocs ? (
+ # Docs disabled for musl and cross because it's a large task to keep
+ # all `sphinx` dependencies building in those environments.
+ # `sphinx` pulls in among others:
+ # Ruby, Python, Perl, Rust, OpenGL, Xorg, gtk, LLVM.
+ (stdenv.targetPlatform == stdenv.hostPlatform)
+ && !stdenv.hostPlatform.isMusl
+ )
+
+, enableHaddockProgram ?
+ # Disabled for cross; see note [HADDOCK_DOCS].
+ (stdenv.targetPlatform == stdenv.hostPlatform)
+
+, # Whether to disable the large address space allocator
+ # necessary fix for iOS: https://www.reddit.com/r/haskell/comments/4ttdz1/building_an_osxi386_to_iosarm64_cross_compiler/d5qvd67/
+ disableLargeAddressSpace ? stdenv.targetPlatform.isDarwin && stdenv.targetPlatform.isAarch64
+}:
+
+assert !enableIntegerSimple -> gmp != null;
+
+# Cross cannot currently build the `haddock` program for silly reasons,
+# see note [HADDOCK_DOCS].
+assert (stdenv.targetPlatform != stdenv.hostPlatform) -> !enableHaddockProgram;
+
+let
+ inherit (stdenv) buildPlatform hostPlatform targetPlatform;
+
+ inherit (bootPkgs) ghc;
+
+ # TODO(@Ericson2314) Make unconditional
+ targetPrefix = lib.optionalString
+ (targetPlatform != hostPlatform)
+ "${targetPlatform.config}-";
+
+ buildMK = ''
+ BuildFlavour = ${ghcFlavour}
+ ifneq \"\$(BuildFlavour)\" \"\"
+ include mk/flavours/\$(BuildFlavour).mk
+ endif
+ BUILD_SPHINX_HTML = ${if enableDocs then "YES" else "NO"}
+ BUILD_SPHINX_PDF = NO
+ '' +
+ # Note [HADDOCK_DOCS]:
+ # Unfortunately currently `HADDOCK_DOCS` controls both whether the `haddock`
+ # program is built (which we generally always want to have a complete GHC install)
+ # and whether it is run on the GHC sources to generate hyperlinked source code
+ # (which is impossible for cross-compilation); see:
+ # https://gitlab.haskell.org/ghc/ghc/-/issues/20077
+ # This implies that currently a cross-compiled GHC will never have a `haddock`
+ # program, so it can never generate haddocks for any packages.
+ # If this is solved in the future, we'd like to unconditionally
+ # build the haddock program (removing the `enableHaddockProgram` option).
+ ''
+ HADDOCK_DOCS = ${if enableHaddockProgram then "YES" else "NO"}
+ DYNAMIC_GHC_PROGRAMS = ${if enableShared then "YES" else "NO"}
+ INTEGER_LIBRARY = ${if enableIntegerSimple then "integer-simple" else "integer-gmp"}
+ '' + lib.optionalString (targetPlatform != hostPlatform) ''
+ Stage1Only = ${if targetPlatform.system == hostPlatform.system then "NO" else "YES"}
+ CrossCompilePrefix = ${targetPrefix}
+ '' + lib.optionalString (!enableProfiledLibs) ''
+ GhcLibWays = "v dyn"
+ '' + lib.optionalString enableRelocatedStaticLibs ''
+ GhcLibHcOpts += -fPIC
+ GhcRtsHcOpts += -fPIC
+ '' + lib.optionalString targetPlatform.useAndroidPrebuilt ''
+ EXTRA_CC_OPTS += -std=gnu99
+ ''
+ # While split sections are now enabled by default in ghc 8.8 for windows,
+ # they seem to lead to `too many sections` errors when building base for
+ # profiling.
+ + lib.optionalString targetPlatform.isWindows ''
+ SplitSections = NO
+ '';
+
+ # Splicer will pull out correct variations
+ libDeps = platform: lib.optional enableTerminfo ncurses
+ ++ [libffi]
+ ++ lib.optional (!enableIntegerSimple) gmp
+ ++ lib.optional (platform.libc != "glibc" && !targetPlatform.isWindows) libiconv;
+
+ toolsForTarget = [
+ pkgsBuildTarget.targetPackages.stdenv.cc
+ ] ++ lib.optional useLLVM buildLlvmPackages.llvm;
+
+ targetCC = builtins.head toolsForTarget;
+
+ # Use gold either following the default, or to avoid the BFD linker due to some bugs / perf issues.
+ # But we cannot avoid BFD when using musl libc due to https://sourceware.org/bugzilla/show_bug.cgi?id=23856
+ # see #84670 and #49071 for more background.
+ useLdGold = targetPlatform.linker == "gold" ||
+ (targetPlatform.linker == "bfd" && (targetPackages.stdenv.cc.bintools.bintools.hasGold or false) && !targetPlatform.isMusl);
+
+ runtimeDeps = [
+ targetPackages.stdenv.cc.bintools
+ coreutils
+ ]
+ # On darwin, we need unwrapped bintools as well (for otool)
+ ++ lib.optionals (stdenv.targetPlatform.linker == "cctools") [
+ targetPackages.stdenv.cc.bintools.bintools
+ ];
+
+ # Makes debugging easier to see which variant is at play in `nix-store -q --tree`.
+ variantSuffix = lib.concatStrings [
+ (lib.optionalString stdenv.hostPlatform.isMusl "-musl")
+ (lib.optionalString enableIntegerSimple "-integer-simple")
+ ];
+
+in
+stdenv.mkDerivation (rec {
+ version = "8.10.7";
+ pname = "${targetPrefix}ghc${variantSuffix}";
+
+ src = fetchurl {
+ url = "https://downloads.haskell.org/ghc/${version}/ghc-${version}-src.tar.xz";
+ sha256 = "e3eef6229ce9908dfe1ea41436befb0455fefb1932559e860ad4c606b0d03c9d";
+ };
+
+ enableParallelBuilding = true;
+
+ outputs = [ "out" "doc" ];
+
+ patches = [
+ # See upstream patch at
+ # https://gitlab.haskell.org/ghc/ghc/-/merge_requests/4885. Since we build
+ # from source distributions, the auto-generated configure script needs to be
+ # patched as well, therefore we use an in-tree patch instead of pulling the
+ # upstream patch. Don't forget to check backport status of the upstream patch
+ # when adding new GHC releases in nixpkgs.
+ ./respect-ar-path.patch
+
+ # cabal passes incorrect --host= when cross-compiling
+ # https://github.com/haskell/cabal/issues/5887
+ (fetchpatch {
+ url = "https://raw.githubusercontent.com/input-output-hk/haskell.nix/122bd81150386867da07fdc9ad5096db6719545a/overlays/patches/ghc/cabal-host.patch";
+ sha256 = "sha256:0yd0sajgi24sc1w5m55lkg2lp6kfkgpp3lgija2c8y3cmkwfpdc1";
+ })
+
+ # In order to build ghcjs packages, the Cabal of the ghc used for the ghcjs
+ # needs to be patched. Ref https://github.com/haskell/cabal/pull/7575
+ (fetchpatch {
+ url = "https://github.com/haskell/cabal/commit/369c4a0a54ad08a9e6b0d3bd303fedd7b5e5a336.patch";
+ sha256 = "120f11hwyaqa0pq9g5l1300crqij49jg0rh83hnp9sa49zfdwx1n";
+ stripLen = 3;
+ extraPrefix = "libraries/Cabal/Cabal/";
+ })
+ ] ++ lib.optionals stdenv.isDarwin [
+ # Make Block.h compile with c++ compilers. Remove with the next release
+ (fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/ghc/-/commit/97d0b0a367e4c6a52a17c3299439ac7de129da24.patch";
+ sha256 = "0r4zjj0bv1x1m2dgxp3adsf2xkr94fjnyj1igsivd9ilbs5ja0b5";
+ })
+ ];
+
+ postPatch = "patchShebangs .";
+
+ # GHC is a bit confused on its cross terminology.
+ preConfigure = ''
+ for env in $(env | grep '^TARGET_' | sed -E 's|\+?=.*||'); do
+ export "''${env#TARGET_}=''${!env}"
+ done
+ # GHC is a bit confused on its cross terminology, as these would normally be
+ # the *host* tools.
+ export CC="${targetCC}/bin/${targetCC.targetPrefix}cc"
+ export CXX="${targetCC}/bin/${targetCC.targetPrefix}cxx"
+ # Use gold to work around https://sourceware.org/bugzilla/show_bug.cgi?id=16177
+ export LD="${targetCC.bintools}/bin/${targetCC.bintools.targetPrefix}ld${lib.optionalString useLdGold ".gold"}"
+ export AS="${targetCC.bintools.bintools}/bin/${targetCC.bintools.targetPrefix}as"
+ export AR="${targetCC.bintools.bintools}/bin/${targetCC.bintools.targetPrefix}ar"
+ export NM="${targetCC.bintools.bintools}/bin/${targetCC.bintools.targetPrefix}nm"
+ export RANLIB="${targetCC.bintools.bintools}/bin/${targetCC.bintools.targetPrefix}ranlib"
+ export READELF="${targetCC.bintools.bintools}/bin/${targetCC.bintools.targetPrefix}readelf"
+ export STRIP="${targetCC.bintools.bintools}/bin/${targetCC.bintools.targetPrefix}strip"
+
+ echo -n "${buildMK}" > mk/build.mk
+ sed -i -e 's|-isysroot /Developer/SDKs/MacOSX10.5.sdk||' configure
+ '' + lib.optionalString (!stdenv.isDarwin) ''
+ export NIX_LDFLAGS+=" -rpath $out/lib/ghc-${version}"
+ '' + lib.optionalString stdenv.isDarwin ''
+ export NIX_LDFLAGS+=" -no_dtrace_dof"
+
+ # GHC tries the host xattr /usr/bin/xattr by default which fails since it expects python to be 2.7
+ export XATTR=${lib.getBin xattr}/bin/xattr
+ '' + lib.optionalString targetPlatform.useAndroidPrebuilt ''
+ sed -i -e '5i ,("armv7a-unknown-linux-androideabi", ("e-m:e-p:32:32-i64:64-v128:64:128-a:0:32-n32-S64", "cortex-a8", ""))' llvm-targets
+ '' + lib.optionalString targetPlatform.isMusl ''
+ echo "patching llvm-targets for musl targets..."
+ echo "Cloning these existing '*-linux-gnu*' targets:"
+ grep linux-gnu llvm-targets | sed 's/^/ /'
+ echo "(go go gadget sed)"
+ sed -i 's,\(^.*linux-\)gnu\(.*\)$,\0\n\1musl\2,' llvm-targets
+ echo "llvm-targets now contains these '*-linux-musl*' targets:"
+ grep linux-musl llvm-targets | sed 's/^/ /'
+
+ echo "And now patching to preserve '-musleabi' as done with '-gnueabi'"
+ # (aclocal.m4 is actual source, but patch configure as well since we don't re-gen)
+ for x in configure aclocal.m4; do
+ substituteInPlace $x \
+ --replace '*-android*|*-gnueabi*)' \
+ '*-android*|*-gnueabi*|*-musleabi*)'
+ done
+ '';
+
+ # TODO(@Ericson2314): Always pass "--target" and always prefix.
+ configurePlatforms = [ "build" "host" ]
+ ++ lib.optional (targetPlatform != hostPlatform) "target";
+
+ # `--with` flags for libraries needed for RTS linker
+ configureFlags = [
+ "--datadir=$doc/share/doc/ghc"
+ "--with-curses-includes=${ncurses.dev}/include" "--with-curses-libraries=${ncurses.out}/lib"
+ ] ++ lib.optionals (libffi != null) [
+ "--with-system-libffi"
+ "--with-ffi-includes=${targetPackages.libffi.dev}/include"
+ "--with-ffi-libraries=${targetPackages.libffi.out}/lib"
+ ] ++ lib.optionals (targetPlatform == hostPlatform && !enableIntegerSimple) [
+ "--with-gmp-includes=${targetPackages.gmp.dev}/include"
+ "--with-gmp-libraries=${targetPackages.gmp.out}/lib"
+ ] ++ lib.optionals (targetPlatform == hostPlatform && hostPlatform.libc != "glibc" && !targetPlatform.isWindows) [
+ "--with-iconv-includes=${libiconv}/include"
+ "--with-iconv-libraries=${libiconv}/lib"
+ ] ++ lib.optionals (targetPlatform != hostPlatform) [
+ "--enable-bootstrap-with-devel-snapshot"
+ ] ++ lib.optionals useLdGold [
+ "CFLAGS=-fuse-ld=gold"
+ "CONF_GCC_LINKER_OPTS_STAGE1=-fuse-ld=gold"
+ "CONF_GCC_LINKER_OPTS_STAGE2=-fuse-ld=gold"
+ ] ++ lib.optionals (disableLargeAddressSpace) [
+ "--disable-large-address-space"
+ ];
+
+ # Make sure we never relax`$PATH` and hooks support for compatibility.
+ strictDeps = true;
+
+ # Don’t add -liconv to LDFLAGS automatically so that GHC will add it itself.
+ dontAddExtraLibs = true;
+
+ nativeBuildInputs = [
+ perl autoconf automake m4 python3
+ ghc bootPkgs.alex bootPkgs.happy bootPkgs.hscolour
+ ] ++ lib.optionals (stdenv.isDarwin && stdenv.isAarch64) [
+ autoSignDarwinBinariesHook
+ ] ++ lib.optionals enableDocs [
+ sphinx
+ ];
+
+ # For building runtime libs
+ depsBuildTarget = toolsForTarget;
+
+ buildInputs = [ perl bash ] ++ (libDeps hostPlatform);
+
+ propagatedBuildInputs = [ targetPackages.stdenv.cc ]
+ ++ lib.optional useLLVM llvmPackages.llvm;
+
+ depsTargetTarget = map lib.getDev (libDeps targetPlatform);
+ depsTargetTargetPropagated = map (lib.getOutput "out") (libDeps targetPlatform);
+
+ # required, because otherwise all symbols from HSffi.o are stripped, and
+ # that in turn causes GHCi to abort
+ stripDebugFlags = [ "-S" ] ++ lib.optional (!targetPlatform.isDarwin) "--keep-file-symbols";
+
+ checkTarget = "test";
+
+ hardeningDisable =
+ [ "format" ]
+ # In nixpkgs, musl based builds currently enable `pie` hardening by default
+ # (see `defaultHardeningFlags` in `make-derivation.nix`).
+ # But GHC cannot currently produce outputs that are ready for `-pie` linking.
+ # Thus, disable `pie` hardening, otherwise `recompile with -fPIE` errors appear.
+ # See:
+ # * https://github.com/NixOS/nixpkgs/issues/129247
+ # * https://gitlab.haskell.org/ghc/ghc/-/issues/19580
+ ++ lib.optional stdenv.targetPlatform.isMusl "pie";
+
+ # big-parallel allows us to build with more than 2 cores on
+ # Hydra which already warrants a significant speedup
+ requiredSystemFeatures = [ "big-parallel" ];
+
+ postInstall = ''
+ # Install the bash completion file.
+ install -D -m 444 utils/completion/ghc.bash $out/share/bash-completion/completions/${targetPrefix}ghc
+
+ # Patch scripts to include "readelf" and "cat" in $PATH.
+ for i in "$out/bin/"*; do
+ test ! -h $i || continue
+ egrep --quiet '^#!' <(head -n 1 $i) || continue
+ sed -i -e '2i export PATH="$PATH:${lib.makeBinPath runtimeDeps}"' $i
+ done
+ '';
+
+ passthru = {
+ inherit bootPkgs targetPrefix;
+
+ inherit llvmPackages;
+ inherit enableShared;
+
+ # Our Cabal compiler name
+ haskellCompilerName = "ghc-${version}";
+ };
+
+ meta = {
+ homepage = "http://haskell.org/ghc";
+ description = "The Glasgow Haskell Compiler";
+ maintainers = with lib.maintainers; [
+ guibou
+ ] ++ lib.teams.haskell.members;
+ timeout = 24 * 3600;
+ inherit (ghc.meta) license platforms;
+ };
+
+} // lib.optionalAttrs targetPlatform.useAndroidPrebuilt {
+ dontStrip = true;
+ dontPatchELF = true;
+ noAuditTmpdir = true;
+})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.6.5-binary.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.6.5-binary.nix
index ea4542a38b2..b1126fda7d2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.6.5-binary.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.6.5-binary.nix
@@ -34,8 +34,7 @@ in
stdenv.mkDerivation rec {
version = "8.6.5";
-
- name = "ghc-${version}-binary";
+ pname = "ghc-binary";
# https://downloads.haskell.org/~ghc/8.6.5/
src = fetchurl ({
@@ -192,5 +191,8 @@ stdenv.mkDerivation rec {
hydraPlatforms = builtins.filter (p: p != "aarch64-linux") platforms;
# build segfaults, use ghc8102Binary which has proper musl support instead
broken = stdenv.hostPlatform.isMusl;
+ maintainers = with lib.maintainers; [
+ guibou
+ ] ++ lib.teams.haskell.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.8.4.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.8.4.nix
index bb2267c930d..1d31ffba411 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.8.4.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.8.4.nix
@@ -2,7 +2,7 @@
# build-tools
, bootPkgs
-, autoconf, automake, coreutils, fetchurl, perl, python3, m4, sphinx
+, autoconf, automake, coreutils, fetchpatch, fetchurl, perl, python3, m4, sphinx
, bash
, libiconv ? null, ncurses
@@ -114,6 +114,12 @@ let
GhcRtsHcOpts += -fPIC
'' + lib.optionalString targetPlatform.useAndroidPrebuilt ''
EXTRA_CC_OPTS += -std=gnu99
+ ''
+ # While split sections are now enabled by default in ghc 8.8 for windows,
+ # they seem to lead to `too many sections` errors when building base for
+ # profiling.
+ + lib.optionalString targetPlatform.isWindows ''
+ SplitSections = NO
'';
# Splicer will pull out correct variations
@@ -131,7 +137,8 @@ let
# Use gold either following the default, or to avoid the BFD linker due to some bugs / perf issues.
# But we cannot avoid BFD when using musl libc due to https://sourceware.org/bugzilla/show_bug.cgi?id=23856
# see #84670 and #49071 for more background.
- useLdGold = targetPlatform.linker == "gold" || (targetPlatform.linker == "bfd" && !targetPlatform.isMusl);
+ useLdGold = targetPlatform.linker == "gold" ||
+ (targetPlatform.linker == "bfd" && (targetPackages.stdenv.cc.bintools.bintools.hasGold or false) && !targetPlatform.isMusl);
runtimeDeps = [
targetPackages.stdenv.cc.bintools
@@ -142,10 +149,16 @@ let
targetPackages.stdenv.cc.bintools.bintools
];
+ # Makes debugging easier to see which variant is at play in `nix-store -q --tree`.
+ variantSuffix = lib.concatStrings [
+ (lib.optionalString stdenv.hostPlatform.isMusl "-musl")
+ (lib.optionalString enableIntegerSimple "-integer-simple")
+ ];
+
in
stdenv.mkDerivation (rec {
version = "8.8.4";
- name = "${targetPrefix}ghc-${version}";
+ pname = "${targetPrefix}ghc${variantSuffix}";
src = fetchurl {
url = "https://downloads.haskell.org/ghc/${version}/ghc-${version}-src.tar.xz";
@@ -167,6 +180,18 @@ stdenv.mkDerivation (rec {
# Fix documentation configuration which causes a syntax error with sphinx 4.*
# See also https://gitlab.haskell.org/ghc/ghc/-/issues/19962
./sphinx-4-configuration.patch
+ # cabal passes incorrect --host= when cross-compiling
+ # https://github.com/haskell/cabal/issues/5887
+ (fetchpatch {
+ url = "https://raw.githubusercontent.com/input-output-hk/haskell.nix/122bd81150386867da07fdc9ad5096db6719545a/overlays/patches/ghc/cabal-host.patch";
+ sha256 = "sha256:0yd0sajgi24sc1w5m55lkg2lp6kfkgpp3lgija2c8y3cmkwfpdc1";
+ })
+
+ # error: 'VirtualAllocExNuma' redeclared as different kind of symbol
+ # name conflict between rts/win32/OSMem.c and winbase.h from the mingw-w64 runtime package
+ # Renamed to match ghc8.8:
+ # https://gitlab.haskell.org/ghc/ghc/-/commit/4b431f334018eaef2cf36de3316025c68c922915#20d64c0bdc272817149d1d5cf20a73a8b5fd637f
+ ./rename-numa-api-call.patch
];
postPatch = "patchShebangs .";
@@ -316,13 +341,16 @@ stdenv.mkDerivation (rec {
meta = {
homepage = "http://haskell.org/ghc";
description = "The Glasgow Haskell Compiler";
- maintainers = with lib.maintainers; [ marcweber andres peti ];
+ maintainers = with lib.maintainers; [
+ guibou
+ ] ++ lib.teams.haskell.members;
timeout = 24 * 3600;
inherit (ghc.meta) license platforms;
-
- # integer-simple builds are broken when GHC links against musl.
- # See https://github.com/NixOS/nixpkgs/pull/129606#issuecomment-881323743.
- broken = enableIntegerSimple && hostPlatform.isMusl;
+ # integer-simple builds are broken with musl when bootstrapping using
+ # GHC 8.10.2 and below, however it is not possible to reverse bootstrap
+ # GHC 8.8.4 with GHC 8.10.7.
+ # See https://github.com/NixOS/nixpkgs/pull/138523#issuecomment-927339953
+ broken = hostPlatform.isMusl && enableIntegerSimple;
};
dontStrip = (targetPlatform.useAndroidPrebuilt || targetPlatform.isWasm);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/9.0.1.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/9.0.1.nix
index 30a7f7a4f40..a673276239a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/9.0.1.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/9.0.1.nix
@@ -123,7 +123,8 @@ let
# Use gold either following the default, or to avoid the BFD linker due to some bugs / perf issues.
# But we cannot avoid BFD when using musl libc due to https://sourceware.org/bugzilla/show_bug.cgi?id=23856
# see #84670 and #49071 for more background.
- useLdGold = targetPlatform.linker == "gold" || (targetPlatform.linker == "bfd" && !targetPlatform.isMusl);
+ useLdGold = targetPlatform.linker == "gold" ||
+ (targetPlatform.linker == "bfd" && (targetPackages.stdenv.cc.bintools.bintools.hasGold or false) && !targetPlatform.isMusl);
runtimeDeps = [
targetPackages.stdenv.cc.bintools
@@ -134,10 +135,16 @@ let
targetPackages.stdenv.cc.bintools.bintools
];
+ # Makes debugging easier to see which variant is at play in `nix-store -q --tree`.
+ variantSuffix = lib.concatStrings [
+ (lib.optionalString stdenv.hostPlatform.isMusl "-musl")
+ (lib.optionalString enableIntegerSimple "-integer-simple")
+ ];
+
in
stdenv.mkDerivation (rec {
version = "9.0.1";
- name = "${targetPrefix}ghc-${version}";
+ pname = "${targetPrefix}ghc${variantSuffix}";
src = fetchurl {
url = "https://downloads.haskell.org/ghc/${version}/ghc-${version}-src.tar.xz";
@@ -268,6 +275,10 @@ stdenv.mkDerivation (rec {
# * https://gitlab.haskell.org/ghc/ghc/-/issues/19580
++ lib.optional stdenv.targetPlatform.isMusl "pie";
+ # big-parallel allows us to build with more than 2 cores on
+ # Hydra which already warrants a significant speedup
+ requiredSystemFeatures = [ "big-parallel" ];
+
postInstall = ''
# Install the bash completion file.
install -D -m 444 utils/completion/ghc.bash $out/share/bash-completion/completions/${targetPrefix}ghc
@@ -293,13 +304,11 @@ stdenv.mkDerivation (rec {
meta = {
homepage = "http://haskell.org/ghc";
description = "The Glasgow Haskell Compiler";
- maintainers = with lib.maintainers; [ marcweber andres peti ];
+ maintainers = with lib.maintainers; [
+ guibou
+ ] ++ lib.teams.haskell.members;
timeout = 24 * 3600;
inherit (ghc.meta) license platforms;
-
- # integer-simple builds are broken when GHC links against musl.
- # See https://github.com/NixOS/nixpkgs/pull/129606#issuecomment-881323743.
- broken = enableIntegerSimple && hostPlatform.isMusl;
};
} // lib.optionalAttrs targetPlatform.useAndroidPrebuilt {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.6.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/9.2.1.nix
similarity index 87%
rename from infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.6.nix
rename to infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/9.2.1.nix
index a11be3b47dc..703ba0a705c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/8.10.6.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/9.2.1.nix
@@ -2,11 +2,11 @@
# build-tools
, bootPkgs
-, autoconf, automake, coreutils, fetchpatch, fetchurl, perl, python3, m4, sphinx
-, xattr, autoSignDarwinBinariesHook
+, autoconf, automake, coreutils, fetchurl, fetchpatch, perl, python3, m4, sphinx, xattr
, bash
, libiconv ? null, ncurses
+, glibcLocales ? null
, # GHC can be built with system libffi or a bundled one.
libffi ? null
@@ -43,7 +43,7 @@
enableDocs ? (
# Docs disabled for musl and cross because it's a large task to keep
# all `sphinx` dependencies building in those environments.
- # `sphinx` pulls in among others:
+ # `sphinx` pullls in among others:
# Ruby, Python, Perl, Rust, OpenGL, Xorg, gtk, LLVM.
(stdenv.targetPlatform == stdenv.hostPlatform)
&& !stdenv.hostPlatform.isMusl
@@ -134,38 +134,41 @@ let
targetPackages.stdenv.cc.bintools.bintools
];
+ # Makes debugging easier to see which variant is at play in `nix-store -q --tree`.
+ variantSuffix = lib.concatStrings [
+ (lib.optionalString stdenv.hostPlatform.isMusl "-musl")
+ (lib.optionalString enableIntegerSimple "-integer-simple")
+ ];
+
in
stdenv.mkDerivation (rec {
- version = "8.10.6";
- name = "${targetPrefix}ghc-${version}";
+ version = "9.2.0.20210821";
+ pname = "${targetPrefix}ghc${variantSuffix}";
src = fetchurl {
- url = "https://downloads.haskell.org/ghc/${version}/ghc-${version}-src.tar.xz";
- sha256 = "43afba72a533408b42c1492bd047b5e37e5f7204e41a5cedd3182cc841610ce9";
+ url = "https://downloads.haskell.org/ghc/9.2.1-rc1/ghc-${version}-src.tar.xz";
+ sha256 = "1q2pppxv2avhykyxvyq72r5p97rkkiqp19b77yhp85ralbcp4ivw";
};
- enableParallelBuilding = true;
-
- outputs = [ "out" "doc" ];
-
patches = [
- # See upstream patch at
- # https://gitlab.haskell.org/ghc/ghc/-/merge_requests/4885. Since we build
- # from source distributions, the auto-generated configure script needs to be
- # patched as well, therefore we use an in-tree patch instead of pulling the
- # upstream patch. Don't forget to check backport status of the upstream patch
- # when adding new GHC releases in nixpkgs.
- ./respect-ar-path.patch
- ] ++ lib.optionals stdenv.isDarwin [
- # Make Block.h compile with c++ compilers. Remove with the next release
+ # picked from release branch, remove with the next release candidate,
+ # see https://gitlab.haskell.org/ghc/ghc/-/issues/19950#note_373726
(fetchpatch {
- url = "https://gitlab.haskell.org/ghc/ghc/-/commit/97d0b0a367e4c6a52a17c3299439ac7de129da24.patch";
- sha256 = "0r4zjj0bv1x1m2dgxp3adsf2xkr94fjnyj1igsivd9ilbs5ja0b5";
+ name = "fix-darwin-link-failure.patch";
+ url = "https://gitlab.haskell.org/ghc/ghc/-/commit/77456387025ca74299ecc70621cbdb62b1b6ffc9.patch";
+ sha256 = "1g8smrn7hj8cbp9fhrylvmrb15s0xd8lhdgxqnx0asnd4az82gj8";
})
];
+ enableParallelBuilding = true;
+
+ outputs = [ "out" "doc" ];
+
postPatch = "patchShebangs .";
+ # GHC needs the locale configured during the Haddock phase.
+ LANG = "en_US.UTF-8";
+
# GHC is a bit confused on its cross terminology.
preConfigure = ''
for env in $(env | grep '^TARGET_' | sed -E 's|\+?=.*||'); do
@@ -186,13 +189,12 @@ stdenv.mkDerivation (rec {
echo -n "${buildMK}" > mk/build.mk
sed -i -e 's|-isysroot /Developer/SDKs/MacOSX10.5.sdk||' configure
+ '' + lib.optionalString (stdenv.isLinux && hostPlatform.libc == "glibc") ''
+ export LOCALE_ARCHIVE="${glibcLocales}/lib/locale/locale-archive"
'' + lib.optionalString (!stdenv.isDarwin) ''
export NIX_LDFLAGS+=" -rpath $out/lib/ghc-${version}"
'' + lib.optionalString stdenv.isDarwin ''
export NIX_LDFLAGS+=" -no_dtrace_dof"
-
- # GHC tries the host xattr /usr/bin/xattr by default which fails since it expects python to be 2.7
- export XATTR=${lib.getBin xattr}/bin/xattr
'' + lib.optionalString targetPlatform.useAndroidPrebuilt ''
sed -i -e '5i ,("armv7a-unknown-linux-androideabi", ("e-m:e-p:32:32-i64:64-v128:64:128-a:0:32-n32-S64", "cortex-a8", ""))' llvm-targets
'' + lib.optionalString targetPlatform.isMusl ''
@@ -250,10 +252,12 @@ stdenv.mkDerivation (rec {
nativeBuildInputs = [
perl autoconf automake m4 python3
ghc bootPkgs.alex bootPkgs.happy bootPkgs.hscolour
- ] ++ lib.optionals (stdenv.isDarwin && stdenv.isAarch64) [
- autoSignDarwinBinariesHook
] ++ lib.optionals enableDocs [
sphinx
+ ] ++ lib.optionals stdenv.isDarwin [
+ # TODO(@sternenseemann): use XATTR env var after backport of
+ # https://gitlab.haskell.org/ghc/ghc/-/merge_requests/6447
+ xattr
];
# For building runtime libs
@@ -284,6 +288,10 @@ stdenv.mkDerivation (rec {
# * https://gitlab.haskell.org/ghc/ghc/-/issues/19580
++ lib.optional stdenv.targetPlatform.isMusl "pie";
+ # big-parallel allows us to build with more than 2 cores on
+ # Hydra which already warrants a significant speedup
+ requiredSystemFeatures = [ "big-parallel" ];
+
postInstall = ''
# Install the bash completion file.
install -D -m 444 utils/completion/ghc.bash $out/share/bash-completion/completions/${targetPrefix}ghc
@@ -309,13 +317,11 @@ stdenv.mkDerivation (rec {
meta = {
homepage = "http://haskell.org/ghc";
description = "The Glasgow Haskell Compiler";
- maintainers = with lib.maintainers; [ marcweber andres peti ];
+ maintainers = with lib.maintainers; [
+ guibou
+ ] ++ lib.teams.haskell.members;
timeout = 24 * 3600;
inherit (ghc.meta) license platforms;
-
- # integer-simple builds are broken when GHC links against musl.
- # See https://github.com/NixOS/nixpkgs/pull/129606#issuecomment-881323743.
- broken = enableIntegerSimple && hostPlatform.isMusl;
};
} // lib.optionalAttrs targetPlatform.useAndroidPrebuilt {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/head.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/head.nix
index 35c0bd76214..9cca803ab27 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/head.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/head.nix
@@ -2,7 +2,7 @@
# build-tools
, bootPkgs
-, autoconf, autoreconfHook, automake, coreutils, fetchgit, perl, python3, m4, sphinx
+, autoconf, autoreconfHook, automake, coreutils, fetchgit, perl, python3, m4, sphinx, xattr
, bash
, libiconv ? null, ncurses
@@ -40,7 +40,7 @@
, # Whether to build terminfo.
enableTerminfo ? !stdenv.targetPlatform.isWindows
-, version ? "9.3.20210806"
+, version ? "9.3.20210913"
, # What flavour to build. An empty string indicates no
# specific flavour and falls back to ghc default values.
ghcFlavour ? lib.optionalString (stdenv.targetPlatform != stdenv.hostPlatform)
@@ -133,7 +133,8 @@ let
# Use gold either following the default, or to avoid the BFD linker due to some bugs / perf issues.
# But we cannot avoid BFD when using musl libc due to https://sourceware.org/bugzilla/show_bug.cgi?id=23856
# see #84670 and #49071 for more background.
- useLdGold = targetPlatform.linker == "gold" || (targetPlatform.linker == "bfd" && !targetPlatform.isMusl);
+ useLdGold = targetPlatform.linker == "gold" ||
+ (targetPlatform.linker == "bfd" && (targetPackages.stdenv.cc.bintools.bintools.hasGold or false) && !targetPlatform.isMusl);
runtimeDeps = [
targetPackages.stdenv.cc.bintools
@@ -144,16 +145,22 @@ let
targetPackages.stdenv.cc.bintools.bintools
];
+ # Makes debugging easier to see which variant is at play in `nix-store -q --tree`.
+ variantSuffix = lib.concatStrings [
+ (lib.optionalString stdenv.hostPlatform.isMusl "-musl")
+ (lib.optionalString enableNativeBignum "-native-bignum")
+ ];
+
in
stdenv.mkDerivation (rec {
inherit version;
inherit (src) rev;
- name = "${targetPrefix}ghc-${version}";
+ pname = "${targetPrefix}ghc${variantSuffix}";
src = fetchgit {
url = "https://gitlab.haskell.org/ghc/ghc.git/";
- rev = "5d651c78fed7e55b3b3cd21a04499d1a2f75204d";
- sha256 = "1z9xg8jsqr9id985wxfhkjyb3kpyrmr7vjdqzfv42cpxynd483r8";
+ rev = "64923cf295ea914db458547432237a5ed1eff571";
+ sha256 = "1s9sm4gf4r71lk0s7h9v217rxfwjf435q1jji90hlxz23wvmhr6d";
};
enableParallelBuilding = true;
@@ -193,6 +200,9 @@ stdenv.mkDerivation (rec {
export NIX_LDFLAGS+=" -rpath $out/lib/ghc-${version}"
'' + lib.optionalString stdenv.isDarwin ''
export NIX_LDFLAGS+=" -no_dtrace_dof"
+
+ # GHC tries the host xattr /usr/bin/xattr by default which fails since it expects python to be 2.7
+ export XATTR=${lib.getBin xattr}/bin/xattr
'' + lib.optionalString targetPlatform.useAndroidPrebuilt ''
sed -i -e '5i ,("armv7a-unknown-linux-androideabi", ("e-m:e-p:32:32-i64:64-v128:64:128-a:0:32-n32-S64", "cortex-a8", ""))' llvm-targets
'' + lib.optionalString targetPlatform.isMusl ''
@@ -285,6 +295,10 @@ stdenv.mkDerivation (rec {
# * https://gitlab.haskell.org/ghc/ghc/-/issues/19580
++ lib.optional stdenv.targetPlatform.isMusl "pie";
+ # big-parallel allows us to build with more than 2 cores on
+ # Hydra which already warrants a significant speedup
+ requiredSystemFeatures = [ "big-parallel" ];
+
postInstall = ''
# Install the bash completion file.
install -D -m 444 utils/completion/ghc.bash $out/share/bash-completion/completions/${targetPrefix}ghc
@@ -310,7 +324,9 @@ stdenv.mkDerivation (rec {
meta = {
homepage = "http://haskell.org/ghc";
description = "The Glasgow Haskell Compiler";
- maintainers = with lib.maintainers; [ marcweber andres peti ];
+ maintainers = with lib.maintainers; [
+ guibou
+ ] ++ lib.teams.haskell.members;
timeout = 24 * 3600;
inherit (ghc.meta) license platforms;
# ghcHEAD times out on aarch64-linux on Hydra.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/rename-numa-api-call.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/rename-numa-api-call.patch
new file mode 100644
index 00000000000..747d7a81a11
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghc/rename-numa-api-call.patch
@@ -0,0 +1,32 @@
+diff --git a/rts/win32/OSMem.c b/rts/win32/OSMem.c
+--- a/rts/win32/OSMem.c
++++ b/rts/win32/OSMem.c
+@@ -41,7 +41,7 @@ static block_rec* free_blocks = NULL;
+ typedef LPVOID(WINAPI *VirtualAllocExNumaProc)(HANDLE, LPVOID, SIZE_T, DWORD, DWORD, DWORD);
+
+ /* Cache NUMA API call. */
+-VirtualAllocExNumaProc VirtualAllocExNuma;
++VirtualAllocExNumaProc _VirtualAllocExNuma;
+
+ void
+ osMemInit(void)
+@@ -52,8 +52,8 @@ osMemInit(void)
+ /* Resolve and cache VirtualAllocExNuma. */
+ if (osNumaAvailable() && RtsFlags.GcFlags.numa)
+ {
+- VirtualAllocExNuma = (VirtualAllocExNumaProc)GetProcAddress(GetModuleHandleW(L"kernel32"), "VirtualAllocExNuma");
+- if (!VirtualAllocExNuma)
++ _VirtualAllocExNuma = (VirtualAllocExNumaProc)(void*)GetProcAddress(GetModuleHandleW(L"kernel32"), "VirtualAllocExNuma");
++ if (!_VirtualAllocExNuma)
+ {
+ sysErrorBelch(
+ "osBindMBlocksToNode: VirtualAllocExNuma does not exist. How did you get this far?");
+@@ -569,7 +569,7 @@ void osBindMBlocksToNode(
+ On windows also -xb is broken, it does nothing so that can't
+ be used to tweak it (see #12577). So for now, just let the OS decide.
+ */
+- temp = VirtualAllocExNuma(
++ temp = _VirtualAllocExNuma(
+ GetCurrentProcess(),
+ NULL, // addr? See base memory
+ size,
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/8.6/dep-overrides.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/8.6/dep-overrides.nix
deleted file mode 100644
index bbf8a579919..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/8.6/dep-overrides.nix
+++ /dev/null
@@ -1,14 +0,0 @@
-{ haskellLib }:
-
-let inherit (haskellLib) doJailbreak dontHaddock dontCheck;
-in self: super: {
- ghcjs = super.ghcjs.override {
- shelly = super.shelly_1_8_1;
- };
- ghc-api-ghcjs = super.ghc-api-ghcjs.override
- {
- happy = self.happy_1_19_5;
- };
- haddock-library-ghcjs = doJailbreak (dontCheck super.haddock-library-ghcjs);
- haddock-api-ghcjs = doJailbreak (dontHaddock super.haddock-api-ghcjs);
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/8.6/git.json b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/8.6/git.json
deleted file mode 100644
index 1114e1a1290..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/8.6/git.json
+++ /dev/null
@@ -1,6 +0,0 @@
-{
- "url": "https://github.com/ghcjs/ghcjs",
- "rev": "e87195eaa2bc7e320e18cf10386802bc90b7c874",
- "sha256": "02mwkf7aagxqi142gcmq048244apslrr72p568akcab9s0fn2gvy",
- "fetchSubmodules": true
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/8.6/stage0.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/8.6/stage0.nix
deleted file mode 100644
index d6a05091514..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/8.6/stage0.nix
+++ /dev/null
@@ -1,177 +0,0 @@
-{ callPackage, configuredSrc }:
-
-{
-
- ghcjs = callPackage
- ({ mkDerivation, aeson, array, attoparsec, base, base16-bytestring
- , base64-bytestring, binary, bytestring, Cabal, containers
- , cryptohash, data-default, deepseq, directory, executable-path
- , filepath, ghc-api-ghcjs, ghc-boot, ghc-paths, ghci-ghcjs
- , ghcjs-th, haddock-api-ghcjs, hashable, haskell-src-exts
- , haskell-src-meta, http-types, HUnit, lens, lifted-base, mtl
- , network, optparse-applicative, parallel, parsec, process, random
- , regex-posix, safe, shelly, split, lib, stringsearch, syb
- , system-fileio, system-filepath, tar, template-haskell
- , template-haskell-ghcjs, terminfo, test-framework
- , test-framework-hunit, text, time, transformers
- , transformers-compat, unix, unix-compat, unordered-containers
- , vector, wai, wai-app-static, wai-extra, wai-websockets, warp
- , webdriver, websockets, wl-pprint-text, yaml
- }:
- mkDerivation {
- pname = "ghcjs";
- version = "8.6.0.1";
- src = configuredSrc + /.;
- isLibrary = true;
- isExecutable = true;
- enableSeparateDataOutput = true;
- setupHaskellDepends = [
- base Cabal containers directory filepath process template-haskell
- transformers
- ];
- libraryHaskellDepends = [
- aeson array attoparsec base base16-bytestring base64-bytestring
- binary bytestring Cabal containers cryptohash data-default deepseq
- directory filepath ghc-api-ghcjs ghc-boot ghc-paths ghci-ghcjs
- ghcjs-th hashable haskell-src-exts haskell-src-meta lens mtl
- optparse-applicative parallel parsec process regex-posix safe split
- stringsearch syb template-haskell template-haskell-ghcjs text time
- transformers unordered-containers vector wl-pprint-text yaml
- ];
- executableHaskellDepends = [
- aeson base binary bytestring Cabal containers directory
- executable-path filepath ghc-api-ghcjs ghc-boot haddock-api-ghcjs
- lens mtl optparse-applicative process shelly system-fileio
- system-filepath tar terminfo text time transformers
- transformers-compat unix unix-compat unordered-containers vector
- yaml
- ];
- testHaskellDepends = [
- aeson base bytestring data-default deepseq directory http-types
- HUnit lens lifted-base network optparse-applicative process random
- shelly system-fileio system-filepath test-framework
- test-framework-hunit text time transformers unordered-containers
- wai wai-app-static wai-extra wai-websockets warp webdriver
- websockets yaml
- ];
- description = "Haskell to JavaScript compiler";
- license = lib.licenses.mit;
- }) {};
-
- ghc-api-ghcjs = callPackage
- ({ mkDerivation, alex, array, base, binary, bytestring, containers
- , deepseq, directory, filepath, ghc-boot, ghc-boot-th, ghc-heap
- , ghci-ghcjs, happy, hpc, process, lib, template-haskell-ghcjs
- , terminfo, time, transformers, unix
- }:
- mkDerivation {
- pname = "ghc-api-ghcjs";
- version = "8.6.5";
- src = configuredSrc + /lib/ghc-api-ghcjs;
- libraryHaskellDepends = [
- array base binary bytestring containers deepseq directory filepath
- ghc-boot ghc-boot-th ghc-heap ghci-ghcjs hpc process
- template-haskell-ghcjs terminfo time transformers unix
- ];
- libraryToolDepends = [ alex happy ];
- homepage = "http://www.haskell.org/ghc/";
- description = "The GHC API (customized for GHCJS)";
- license = lib.licenses.bsd3;
- }) {};
-
- ghci-ghcjs = callPackage
- ({ mkDerivation, array, base, binary, bytestring, containers
- , deepseq, filepath, ghc-boot, ghc-boot-th, ghc-heap, lib
- , template-haskell-ghcjs, transformers, unix
- }:
- mkDerivation {
- pname = "ghci-ghcjs";
- version = "8.6.1";
- src = configuredSrc + /lib/ghci-ghcjs;
- libraryHaskellDepends = [
- array base binary bytestring containers deepseq filepath ghc-boot
- ghc-boot-th ghc-heap template-haskell-ghcjs transformers unix
- ];
- description = "The library supporting GHC's interactive interpreter (customized for GHCJS)";
- license = lib.licenses.bsd3;
- }) {};
-
- ghcjs-th = callPackage
- ({ mkDerivation, base, binary, bytestring, containers, ghc-prim
- , ghci-ghcjs, lib, template-haskell-ghcjs
- }:
- mkDerivation {
- pname = "ghcjs-th";
- version = "0.1.0.0";
- src = configuredSrc + /lib/ghcjs-th;
- libraryHaskellDepends = [
- base binary bytestring containers ghc-prim ghci-ghcjs
- template-haskell-ghcjs
- ];
- homepage = "https://github.com/ghcjs";
- license = lib.licenses.mit;
- }) {};
-
- haddock-api-ghcjs = callPackage
- ({ mkDerivation, array, base, bytestring, Cabal, containers, deepseq
- , directory, filepath, ghc-api-ghcjs, ghc-boot, ghc-paths
- , haddock-library-ghcjs, hspec, hspec-discover, QuickCheck, lib
- , transformers, xhtml
- }:
- mkDerivation {
- pname = "haddock-api-ghcjs";
- version = "2.20.0";
- src = configuredSrc + /lib/haddock-api-ghcjs;
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- array base bytestring Cabal containers deepseq directory filepath
- ghc-api-ghcjs ghc-boot ghc-paths haddock-library-ghcjs transformers
- xhtml
- ];
- testHaskellDepends = [
- array base bytestring Cabal containers deepseq directory filepath
- ghc-api-ghcjs ghc-boot ghc-paths haddock-library-ghcjs hspec
- QuickCheck transformers xhtml
- ];
- testToolDepends = [ hspec-discover ];
- homepage = "http://www.haskell.org/haddock/";
- description = "A documentation-generation tool for Haskell libraries";
- license = lib.licenses.bsd3;
- }) {};
-
- haddock-library-ghcjs = callPackage
- ({ mkDerivation, base, base-compat, bytestring, containers, deepseq
- , directory, filepath, haddock-library, hspec, hspec-discover
- , optparse-applicative, parsec, QuickCheck, lib, text
- , transformers, tree-diff
- }:
- mkDerivation {
- pname = "haddock-library-ghcjs";
- version = "1.6.0";
- src = configuredSrc + /lib/haddock-library-ghcjs;
- libraryHaskellDepends = [
- base bytestring containers parsec text transformers
- ];
- testHaskellDepends = [
- base base-compat bytestring containers deepseq directory filepath
- haddock-library hspec optparse-applicative parsec QuickCheck text
- transformers tree-diff
- ];
- testToolDepends = [ hspec-discover ];
- homepage = "http://www.haskell.org/haddock/";
- description = "Library exposing some functionality of Haddock";
- license = lib.licenses.bsd3;
- }) {};
-
- template-haskell-ghcjs = callPackage
- ({ mkDerivation, base, ghc-boot-th, pretty, lib }:
- mkDerivation {
- pname = "template-haskell-ghcjs";
- version = "2.14.0.0";
- src = configuredSrc + /lib/template-haskell-ghcjs;
- libraryHaskellDepends = [ base ghc-boot-th pretty ];
- description = "Support library for Template Haskell (customized for GHCJS)";
- license = lib.licenses.bsd3;
- }) {};
-
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/README.md b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/README.md
deleted file mode 100644
index 99ad980c965..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/README.md
+++ /dev/null
@@ -1,21 +0,0 @@
-New build system for GHCJS 8.2
----
-
-`ghcjs-8.2` reworked the build system, and now comes with its own
-small package set of dependencies. This involves autogenerating
-several sources and cabal files, based on a GHC
-checkout. `callCabal2nix` is off limits, since we don't like "import
-from derivation" in nixpkgs. So there is a derivation that builds the
-nix expression that should be checked in whenever GHCJS is updated.
-
-Updating
----
-
-```
-$ nix-prefetch-git https://github.com/ghcjs/ghcjs --rev refs/heads/ghc-8.4 \
- | jq '{ url, rev, fetchSubmodules, sha256 }' \
- > 8.4/git.json
-$ cat $(nix-build ../../../.. -A haskell.compiler.ghcjs82.genStage0 --no-out-link) > 8.4/stage0.nix
-$ cabal2nix --compiler ghcjs git://github.com/ghcjs/ghcjs-base > ghcjs-base.nix
-```
-
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/common-overrides.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/common-overrides.nix
deleted file mode 100644
index 00a071651ed..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/common-overrides.nix
+++ /dev/null
@@ -1,8 +0,0 @@
-{ haskellLib }:
-
-let inherit (haskellLib) addBuildTools appendConfigureFlag dontHaddock doJailbreak;
-in self: super: {
- ghcjs = dontHaddock (appendConfigureFlag (doJailbreak super.ghcjs) "-fno-wrapper-install");
- haddock-library-ghcjs = dontHaddock super.haddock-library-ghcjs;
- system-fileio = doJailbreak super.system-fileio;
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/configured-ghcjs-src.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/configured-ghcjs-src.nix
deleted file mode 100644
index 943866d5f24..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/configured-ghcjs-src.nix
+++ /dev/null
@@ -1,51 +0,0 @@
-{ perl
-, autoconf
-, automake
-, python3
-, gcc
-, cabal-install
-, runCommand
-, lib
-, stdenv
-
-, ghc
-, happy
-, alex
-
-, ghcjsSrc
-}:
-
-runCommand "configured-ghcjs-src" {
- nativeBuildInputs = [
- perl
- autoconf
- automake
- python3
- ghc
- happy
- alex
- cabal-install
- ] ++ lib.optionals stdenv.isDarwin [
- gcc # https://github.com/ghcjs/ghcjs/issues/663
- ];
- inherit ghcjsSrc;
-} ''
- export HOME=$(pwd)
- mkdir $HOME/.cabal
- touch $HOME/.cabal/config
- cp -r "$ghcjsSrc" "$out"
- chmod -R +w "$out"
- cd "$out"
-
- # TODO: Find a better way to avoid impure version numbers
- sed -i 's/RELEASE=NO/RELEASE=YES/' ghc/configure.ac
-
- # TODO: How to actually fix this?
- # Seems to work fine and produce the right files.
- touch ghc/includes/ghcautoconf.h
- mkdir -p ghc/compiler/vectorise
- mkdir -p ghc/utils/haddock/haddock-library/vendor
-
- patchShebangs .
- ./utils/makePackages.sh copy
-''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/mk-stage0.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/mk-stage0.nix
deleted file mode 100644
index f45ebb4511d..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/mk-stage0.nix
+++ /dev/null
@@ -1,25 +0,0 @@
-{ configuredSrc
-, runCommand
-, cabal2nix
-, yq
-}:
-
-runCommand "stage0.nix" {
- buildInputs = [cabal2nix yq];
-} ''
- (
- printf '{ callPackage, configuredSrc }:\n\n{\n\n'
- yq '.packages | .[]' ${configuredSrc}/stack.yaml -r | sed 's|^\.$|./.|' | sed 's|^\.||' | while read f; do
- printf ' %s = callPackage\n' \
- "$(find ${configuredSrc}/$f -name "*.cabal" -maxdepth 1 \
- | xargs basename \
- | sed 's/.cabal$//')"
- printf '(%s) {};' \
- "$(cabal2nix ${configuredSrc}/$f \
- | sed 's|${configuredSrc}/|configuredSrc + |g')" \
- | sed 's/^/ /'
- printf '\n\n'
- done
- printf '}\n'
- ) > $out
-''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/common-overrides.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/common-overrides.nix
new file mode 100644
index 00000000000..a83795635b9
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/common-overrides.nix
@@ -0,0 +1,8 @@
+{ haskellLib }:
+
+let inherit (haskellLib) addBuildTools appendConfigureFlag dontHaddock doJailbreak;
+in self: super: {
+ ghcjs = doJailbreak (super.ghcjs.overrideScope (self: super: {
+ optparse-applicative = self.optparse-applicative_0_15_1_0;
+ }));
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/configured-ghcjs-src.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/configured-ghcjs-src.nix
new file mode 100644
index 00000000000..9fa6fae1a59
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/configured-ghcjs-src.nix
@@ -0,0 +1,60 @@
+{ perl
+, autoconf
+, automake
+, python3
+, gcc
+, cabal-install
+, runCommand
+, lib
+, stdenv
+
+, ghc
+, happy
+, alex
+
+, ghcjsSrc
+, version
+}:
+
+runCommand "configured-ghcjs-src" {
+ nativeBuildInputs = [
+ perl
+ autoconf
+ automake
+ python3
+ ghc
+ happy
+ alex
+ cabal-install
+ ] ++ lib.optionals stdenv.isDarwin [
+ gcc # https://github.com/ghcjs/ghcjs/issues/663
+ ];
+ inherit ghcjsSrc;
+} ''
+ export HOME=$(pwd)
+ mkdir $HOME/.cabal
+ touch $HOME/.cabal/config
+ cp -r "$ghcjsSrc" "$out"
+ chmod -R +w "$out"
+ cd "$out"
+
+ # TODO: Find a better way to avoid impure version numbers
+ sed -i 's/RELEASE=NO/RELEASE=YES/' ghc/configure.ac
+
+ # These files are needed by ghc-boot package, and these are generated by the
+ # make/hadrian build system when compiling ghc. Since we dont have access to
+ # the generated code of the ghc while it got built, here is a little hack to
+ # generate these again.
+ runhaskell ${./generate_host_version.hs}
+ mkdir -p utils/pkg-cache/ghc/libraries/ghc-boot/dist-install/build/GHC/Platform
+ mv Host.hs utils/pkg-cache/ghc/libraries/ghc-boot/dist-install/build/GHC/Platform/Host.hs
+ mv Version.hs utils/pkg-cache/ghc/libraries/ghc-boot/dist-install/build/GHC/Version.hs
+
+ # The ghcjs has the following hardcoded paths of lib dir in its code. Patching
+ # these to match the path expected by the nixpkgs's generic-builder, etc.
+ sed -i 's/libSubDir = "lib"/libSubDir = "lib\/ghcjs-${version}"/' src-bin/Boot.hs
+ sed -i 's@let libDir = takeDirectory haddockPath > ".." > "lib"@let libDir = takeDirectory haddockPath > ".." > "lib/ghcjs-${version}"@' src-bin/HaddockDriver.hs
+
+ patchShebangs .
+ ./utils/makePackages.sh copy
+''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/default.nix
similarity index 56%
rename from infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/default.nix
rename to infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/default.nix
index 8a04bc0c079..f8e7c31353f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/default.nix
@@ -17,15 +17,18 @@
, lib
, ghcjsDepOverrides ? (_:_:{})
, haskell
+, linkFarm
+, buildPackages
}:
let
passthru = {
configuredSrc = callPackage ./configured-ghcjs-src.nix {
inherit ghcjsSrc;
- inherit (bootPkgs) ghc alex happy;
+ inherit (bootPkgs) ghc alex;
+ inherit (bootGhcjs) version;
+ happy = bootPkgs.happy_1_19_12;
};
- genStage0 = callPackage ./mk-stage0.nix { inherit (passthru) configuredSrc; };
bootPkgs = bootPkgs.extend (lib.foldr lib.composeExtensions (_:_:{}) [
(self: _: import stage0 {
inherit (passthru) configuredSrc;
@@ -41,26 +44,22 @@ let
targetPrefix = "";
inherit bootGhcjs;
inherit (bootGhcjs) version;
- ghcVersion = bootPkgs.ghc.version;
isGhcjs = true;
enableShared = true;
socket-io = pkgsHostHost.nodePackages."socket.io";
- # Relics of the old GHCJS build system
- stage1Packages = [];
- mkStage2 = { callPackage }: {
- # https://github.com/ghcjs/ghcjs-base/issues/110
- # https://github.com/ghcjs/ghcjs-base/pull/111
- ghcjs-base = haskell.lib.dontCheck (haskell.lib.doJailbreak (callPackage ./ghcjs-base.nix {}));
- };
-
haskellCompilerName = "ghcjs-${bootGhcjs.version}";
};
bootGhcjs = haskellLib.justStaticExecutables passthru.bootPkgs.ghcjs;
- libexec = "${bootGhcjs}/libexec/${builtins.replaceStrings ["darwin" "i686"] ["osx" "i386"] stdenv.buildPlatform.system}-${passthru.bootPkgs.ghc.name}/${bootGhcjs.name}";
+
+ # This provides the stuff we need from the emsdk
+ emsdk = linkFarm "emsdk" [
+ { name = "upstream/bin"; path = buildPackages.clang + "/bin";}
+ { name = "upstream/emscripten"; path = buildPackages.emscripten + "/bin"; }
+ ];
in stdenv.mkDerivation {
name = bootGhcjs.name;
@@ -87,23 +86,36 @@ in stdenv.mkDerivation {
mkdir -p $out/bin
mkdir -p $out/lib/${bootGhcjs.name}
- lndir ${libexec} $out/bin
+ lndir ${bootGhcjs}/bin $out/bin
+ chmod -R +w $out/bin
+ rm $out/bin/ghcjs-boot
+ cp ${bootGhcjs}/bin/ghcjs-boot $out/bin
+ rm $out/bin/haddock
+ cp ${bootGhcjs}/bin/haddock $out/bin
+ cp ${bootGhcjs}/bin/private-ghcjs-hsc2hs $out/bin/ghcjs-hsc2hs
+
+ wrapProgram $out/bin/ghcjs-boot --set ghcjs_libexecdir $out/bin
wrapProgram $out/bin/ghcjs --add-flags "-B$out/lib/${bootGhcjs.name}"
- wrapProgram $out/bin/haddock-ghcjs --add-flags "-B$out/lib/${bootGhcjs.name}"
+ wrapProgram $out/bin/haddock --add-flags "-B$out/lib/${bootGhcjs.name}"
wrapProgram $out/bin/ghcjs-pkg --add-flags "--global-package-db=$out/lib/${bootGhcjs.name}/package.conf.d"
+ wrapProgram $out/bin/ghcjs-hsc2hs --add-flags "-I$out/lib/${bootGhcjs.name}/include --template=$out/lib/${bootGhcjs.name}/include/template-hsc.h"
- env PATH=$out/bin:$PATH $out/bin/ghcjs-boot -j1 --with-ghcjs-bin $out/bin
+ env PATH=$out/bin:$PATH $out/bin/ghcjs-boot --with-emsdk=${emsdk} --no-haddock
'';
- # We hard code -j1 as a temporary workaround for
- # https://github.com/ghcjs/ghcjs/issues/654
- # enableParallelBuilding = true;
+ enableParallelBuilding = true;
inherit passthru;
- meta.platforms = passthru.bootPkgs.ghc.meta.platforms;
- meta.maintainers = [lib.maintainers.elvishjerricco];
- meta.hydraPlatforms = [];
- meta.broken = true; # https://hydra.nixos.org/build/129701778
+ meta = {
+ platforms = with lib.platforms; linux ++ darwin;
+
+ # Hydra limits jobs to only outputting 1 gigabyte worth of files.
+ # GHCJS outputs over 3 gigabytes.
+ # https://github.com/NixOS/nixpkgs/pull/137066#issuecomment-922335563
+ hydraPlatforms = lib.platforms.none;
+
+ maintainers = with lib.maintainers; [ obsidian-systems-maintenance ];
+ };
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/generate_host_version.hs b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/generate_host_version.hs
new file mode 100644
index 00000000000..8bf2583d238
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/generate_host_version.hs
@@ -0,0 +1,54 @@
+-- Generate the Host.hs and Version.hs as done by hadrian/src/Rules/Generate.hs
+
+import GHC.Platform.Host
+import GHC.Version
+
+main = do
+ writeFile "Version.hs" versionHs
+ writeFile "Host.hs" platformHostHs
+
+-- | Generate @Version.hs@ files.
+versionHs :: String
+versionHs = unlines
+ [ "module GHC.Version where"
+ , ""
+ , "import Prelude -- See Note [Why do we import Prelude here?]"
+ , ""
+ , "cProjectGitCommitId :: String"
+ , "cProjectGitCommitId = " ++ show cProjectGitCommitId
+ , ""
+ , "cProjectVersion :: String"
+ , "cProjectVersion = " ++ show cProjectVersion
+ , ""
+ , "cProjectVersionInt :: String"
+ , "cProjectVersionInt = " ++ show cProjectVersionInt
+ , ""
+ , "cProjectPatchLevel :: String"
+ , "cProjectPatchLevel = " ++ show cProjectPatchLevel
+ , ""
+ , "cProjectPatchLevel1 :: String"
+ , "cProjectPatchLevel1 = " ++ show cProjectPatchLevel1
+ , ""
+ , "cProjectPatchLevel2 :: String"
+ , "cProjectPatchLevel2 = " ++ show cProjectPatchLevel2
+ ]
+
+-- | Generate @Platform/Host.hs@ files.
+platformHostHs :: String
+platformHostHs = unlines
+ [ "module GHC.Platform.Host where"
+ , ""
+ , "import GHC.Platform"
+ , ""
+ , "cHostPlatformArch :: Arch"
+ , "cHostPlatformArch = " ++ show cHostPlatformArch
+ , ""
+ , "cHostPlatformOS :: OS"
+ , "cHostPlatformOS = " ++ show cHostPlatformOS
+ , ""
+ , "cHostPlatformMini :: PlatformMini"
+ , "cHostPlatformMini = PlatformMini"
+ , " { platformMini_arch = cHostPlatformArch"
+ , " , platformMini_os = cHostPlatformOS"
+ , " }"
+ ]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/git.json b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/git.json
new file mode 100644
index 00000000000..18804d84acb
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/git.json
@@ -0,0 +1,6 @@
+{
+ "url": "https://github.com/obsidiansystems/ghcjs",
+ "rev": "9fc935f2c3ba6c33ec62eb83afc9f52a893eb68c",
+ "sha256": "sha256:063dmir39c4i1z8ypnmq86g1x2vhqndmdpzc4hyzsy5jjqcbx6i3",
+ "fetchSubmodules": true
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/stage0.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/stage0.nix
new file mode 100644
index 00000000000..1cb476ab0cb
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/8.10/stage0.nix
@@ -0,0 +1,77 @@
+{ callPackage, configuredSrc }:
+
+{
+
+ ghcjs = callPackage
+ ({ mkDerivation, aeson, alex, array, attoparsec, base, base16-bytestring
+ , base64-bytestring, binary, bytestring, Cabal, containers
+ , cryptohash, data-default, deepseq, directory, executable-path
+ , filepath, ghc-boot, ghc-boot-th, ghc-compact, ghc-heap, ghc-paths
+ , ghci, happy, hashable, hpc, http-types, HUnit, lens, lib
+ , lifted-base, mtl, network, optparse-applicative, parallel, parsec
+ , process, random, safe, shelly, split, stringsearch, syb, tar
+ , template-haskell, terminfo, test-framework, test-framework-hunit
+ , text, time, transformers, unix, unix-compat, unordered-containers
+ , vector, wai, wai-app-static, wai-extra, wai-websockets, warp
+ , webdriver, websockets, wl-pprint-text, xhtml, yaml
+ }:
+ mkDerivation {
+ pname = "ghcjs";
+ version = "8.10.7";
+ src = configuredSrc + /.;
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson array attoparsec base base16-bytestring base64-bytestring
+ binary bytestring Cabal containers cryptohash data-default deepseq
+ directory filepath ghc-boot ghc-boot-th ghc-compact ghc-heap
+ ghc-paths ghci hashable hpc lens mtl optparse-applicative parallel
+ parsec process safe split stringsearch syb template-haskell
+ terminfo text time transformers unix unordered-containers vector
+ wl-pprint-text yaml
+ ];
+ libraryToolDepends = [ alex happy ];
+ executableHaskellDepends = [
+ aeson array base binary bytestring Cabal containers deepseq
+ directory executable-path filepath ghc-boot lens mtl
+ optparse-applicative parsec process tar terminfo text time
+ transformers unix unix-compat unordered-containers vector xhtml
+ yaml
+ ];
+ testHaskellDepends = [
+ aeson base bytestring data-default deepseq directory filepath
+ http-types HUnit lens lifted-base network optparse-applicative
+ process random shelly test-framework test-framework-hunit text time
+ transformers unordered-containers wai wai-app-static wai-extra
+ wai-websockets warp webdriver websockets yaml
+ ];
+ description = "Haskell to JavaScript compiler";
+ license = lib.licenses.mit;
+ }) {};
+
+ ghcjs-th = callPackage
+ ({ mkDerivation, base, binary, bytestring, containers, ghc-prim
+ , ghci, lib, template-haskell
+ }:
+ mkDerivation {
+ pname = "ghcjs-th";
+ version = "0.1.0.0";
+ src = configuredSrc + /lib/ghcjs-th;
+ libraryHaskellDepends = [
+ base binary bytestring containers ghc-prim ghci template-haskell
+ ];
+ homepage = "http://github.com/ghcjs";
+ license = lib.licenses.mit;
+ }) {};
+
+ ghcjs-prim = callPackage
+ ({ mkDerivation, base, ghc-prim, lib }:
+ mkDerivation {
+ pname = "ghcjs-prim";
+ version = "0.1.1.0";
+ src = ./.;
+ libraryHaskellDepends = [ base ghc-prim ];
+ homepage = "http://github.com/ghcjs";
+ license = lib.licenses.mit;
+ }) {};
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/ghcjs-base.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/ghcjs-base.nix
similarity index 87%
rename from infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/ghcjs-base.nix
rename to infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/ghcjs-base.nix
index 4fff32759d3..ca0086a918a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs-ng/ghcjs-base.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/ghcjs-base.nix
@@ -8,11 +8,11 @@
}:
mkDerivation {
pname = "ghcjs-base";
- version = "0.2.0.0";
+ version = "0.2.0.3";
src = fetchgit {
url = "git://github.com/ghcjs/ghcjs-base";
- sha256 = "0qr05m0djll3x38dhl85pl798arsndmwfhil8yklhb70lxrbvfrs";
- rev = "01014ade3f8f5ae677df192d7c2a208bd795b96c";
+ sha256 = "15fdkjv0l7hpbbsn5238xxgzfdg61g666nzbv2sgxkwryn5rycv0";
+ rev = "85e31beab9beffc3ea91b954b61a5d04e708b8f2";
};
libraryHaskellDepends = [
aeson attoparsec base binary bytestring containers deepseq dlist
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/patches/vector-ghcjs-storable-set.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/patches/vector-ghcjs-storable-set.patch
new file mode 100644
index 00000000000..20e19d8bf86
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ghcjs/patches/vector-ghcjs-storable-set.patch
@@ -0,0 +1,14 @@
+diff --git a/Data/Vector/Storable/Mutable.hs b/Data/Vector/Storable/Mutable.hs
+index 8b538bc..2b74fce 100644
+--- a/Data/Vector/Storable/Mutable.hs
++++ b/Data/Vector/Storable/Mutable.hs
+@@ -197,7 +197,9 @@ storableSet (MVector n fp) x
+ 1 -> storableSetAsPrim n fp x (undefined :: Word8)
+ 2 -> storableSetAsPrim n fp x (undefined :: Word16)
+ 4 -> storableSetAsPrim n fp x (undefined :: Word32)
++#if !defined(ghcjs_HOST_OS)
+ 8 -> storableSetAsPrim n fp x (undefined :: Word64)
++#endif
+ _ -> unsafeWithForeignPtr fp $ \p -> do
+ poke p x
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gleam/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gleam/default.nix
index af8758997ea..d97ab82b394 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gleam/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gleam/default.nix
@@ -2,13 +2,13 @@
rustPlatform.buildRustPackage rec {
pname = "gleam";
- version = "0.16.1";
+ version = "0.17.0";
src = fetchFromGitHub {
owner = "gleam-lang";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-JivBYBhXTti285pO4HNhalj0WeR/Hly3IjxpA+qauWY=";
+ sha256 = "sha256-HFcJUOfWMgMm+Sc3nAXW6FwXkiY34826QxMZ8rWPmnk=";
};
nativeBuildInputs = [ pkg-config ];
@@ -16,7 +16,7 @@ rustPlatform.buildRustPackage rec {
buildInputs = [ openssl ] ++
lib.optionals stdenv.isDarwin [ Security libiconv ];
- cargoSha256 = "sha256-SemHpvZ0lMqyMcgHPnmqI4C1krAJMM0hKCNNVMrulfI=";
+ cargoSha256 = "sha256-zjb+ERikMwC+ulfx6EW+FXLweZACwKNw4HEIc9dH3+4=";
meta = with lib; {
description = "A statically typed language for the Erlang VM";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/go/1.16.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/go/1.16.nix
index a97aa4fe80d..acd04c24a3b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/go/1.16.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/go/1.16.nix
@@ -51,11 +51,11 @@ in
stdenv.mkDerivation rec {
pname = "go";
- version = "1.16.7";
+ version = "1.16.9";
src = fetchurl {
url = "https://dl.google.com/go/go${version}.src.tar.gz";
- sha256 = "sha256-Gp8olNPYeHKfcEUHLzC+zr4kNSTPL85OCnskix4GVKw=";
+ sha256 = "sha256-ChzH/XvSBEj3Hr7WTYRhOIUNUJmxjPXMEKT8RRYNjD0=";
};
# perl is used for testing go vet
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/go/1.17.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/go/1.17.nix
new file mode 100644
index 00000000000..2f62c450c2e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/go/1.17.nix
@@ -0,0 +1,280 @@
+{ lib
+, stdenv
+, fetchurl
+, tzdata
+, iana-etc
+, runCommand
+, perl
+, which
+, pkg-config
+, patch
+, procps
+, pcre
+, cacert
+, Security
+, Foundation
+, xcbuild
+, mailcap
+, runtimeShell
+, buildPackages
+, pkgsBuildTarget
+, callPackage
+}:
+
+let
+ go_bootstrap = buildPackages.callPackage ./bootstrap.nix { };
+
+ goBootstrap = runCommand "go-bootstrap" { } ''
+ mkdir $out
+ cp -rf ${go_bootstrap}/* $out/
+ chmod -R u+w $out
+ find $out -name "*.c" -delete
+ cp -rf $out/bin/* $out/share/go/bin/
+ '';
+
+ goarch = platform: {
+ "i686" = "386";
+ "x86_64" = "amd64";
+ "aarch64" = "arm64";
+ "arm" = "arm";
+ "armv5tel" = "arm";
+ "armv6l" = "arm";
+ "armv7l" = "arm";
+ "powerpc64le" = "ppc64le";
+ }.${platform.parsed.cpu.name} or (throw "Unsupported system");
+
+ # We need a target compiler which is still runnable at build time,
+ # to handle the cross-building case where build != host == target
+ targetCC = pkgsBuildTarget.targetPackages.stdenv.cc;
+in
+
+stdenv.mkDerivation rec {
+ pname = "go";
+ version = "1.17.2";
+
+ src = fetchurl {
+ url = "https://dl.google.com/go/go${version}.src.tar.gz";
+ sha256 = "sha256-IlXrPk6CTdfV/Nwuf4RTQ3HBhjEuVG+xCGo0wXdS9DE=";
+ };
+
+ # perl is used for testing go vet
+ nativeBuildInputs = [ perl which pkg-config patch procps ];
+ buildInputs = [ cacert pcre ]
+ ++ lib.optionals stdenv.isLinux [ stdenv.cc.libc.out ]
+ ++ lib.optionals (stdenv.hostPlatform.libc == "glibc") [ stdenv.cc.libc.static ];
+
+ propagatedBuildInputs = lib.optionals stdenv.isDarwin [ xcbuild ];
+
+ depsTargetTargetPropagated = lib.optionals stdenv.isDarwin [ Security Foundation ];
+
+ hardeningDisable = [ "all" ];
+
+ prePatch = ''
+ patchShebangs ./ # replace /bin/bash
+
+ # This source produces shell script at run time,
+ # and thus it is not corrected by patchShebangs.
+ substituteInPlace misc/cgo/testcarchive/carchive_test.go \
+ --replace '#!/usr/bin/env bash' '#!${runtimeShell}'
+
+ # Patch the mimetype database location which is missing on NixOS.
+ # but also allow static binaries built with NixOS to run outside nix
+ sed -i 's,\"/etc/mime.types,"${mailcap}/etc/mime.types\"\,\n\t&,' src/mime/type_unix.go
+
+ # Disabling the 'os/http/net' tests (they want files not available in
+ # chroot builds)
+ rm src/net/{listen,parse}_test.go
+ rm src/syscall/exec_linux_test.go
+
+ # !!! substituteInPlace does not seems to be effective.
+ # The os test wants to read files in an existing path. Just don't let it be /usr/bin.
+ sed -i 's,/usr/bin,'"`pwd`", src/os/os_test.go
+ sed -i 's,/bin/pwd,'"`type -P pwd`", src/os/os_test.go
+ # Fails on aarch64
+ sed -i '/TestFallocate/aif true \{ return\; \}' src/cmd/link/internal/ld/fallocate_test.go
+ # Skip this test since ssl patches mess it up.
+ sed -i '/TestLoadSystemCertsLoadColonSeparatedDirs/aif true \{ return\; \}' src/crypto/x509/root_unix_test.go
+ # Disable another PIE test which breaks.
+ sed -i '/TestTrivialPIE/aif true \{ return\; \}' misc/cgo/testshared/shared_test.go
+ # Disable the BuildModePie test
+ sed -i '/TestBuildmodePIE/aif true \{ return\; \}' src/cmd/go/go_test.go
+ # Disable the unix socket test
+ sed -i '/TestShutdownUnix/aif true \{ return\; \}' src/net/net_test.go
+ # Disable the hostname test
+ sed -i '/TestHostname/aif true \{ return\; \}' src/os/os_test.go
+ # ParseInLocation fails the test
+ sed -i '/TestParseInSydney/aif true \{ return\; \}' src/time/format_test.go
+ # Remove the api check as it never worked
+ sed -i '/src\/cmd\/api\/run.go/ireturn nil' src/cmd/dist/test.go
+ # Remove the coverage test as we have removed this utility
+ sed -i '/TestCoverageWithCgo/aif true \{ return\; \}' src/cmd/go/go_test.go
+ # Remove the timezone naming test
+ sed -i '/TestLoadFixed/aif true \{ return\; \}' src/time/time_test.go
+ # Remove disable setgid test
+ sed -i '/TestRespectSetgidDir/aif true \{ return\; \}' src/cmd/go/internal/work/build_test.go
+ # Remove cert tests that conflict with NixOS's cert resolution
+ sed -i '/TestEnvVars/aif true \{ return\; \}' src/crypto/x509/root_unix_test.go
+ # TestWritevError hangs sometimes
+ sed -i '/TestWritevError/aif true \{ return\; \}' src/net/writev_test.go
+ # TestVariousDeadlines fails sometimes
+ sed -i '/TestVariousDeadlines/aif true \{ return\; \}' src/net/timeout_test.go
+
+ sed -i 's,/etc/protocols,${iana-etc}/etc/protocols,' src/net/lookup_unix.go
+ sed -i 's,/etc/services,${iana-etc}/etc/services,' src/net/port_unix.go
+
+ # Disable cgo lookup tests not works, they depend on resolver
+ rm src/net/cgo_unix_test.go
+
+ '' + lib.optionalString stdenv.isLinux ''
+ # prepend the nix path to the zoneinfo files but also leave the original value for static binaries
+ # that run outside a nix server
+ sed -i 's,\"/usr/share/zoneinfo/,"${tzdata}/share/zoneinfo/\"\,\n\t&,' src/time/zoneinfo_unix.go
+
+ '' + lib.optionalString stdenv.isAarch32 ''
+ echo '#!${runtimeShell}' > misc/cgo/testplugin/test.bash
+ '' + lib.optionalString stdenv.isDarwin ''
+ substituteInPlace src/race.bash --replace \
+ "sysctl machdep.cpu.extfeatures | grep -qv EM64T" true
+ sed -i 's,strings.Contains(.*sysctl.*,true {,' src/cmd/dist/util.go
+ sed -i 's,"/etc","'"$TMPDIR"'",' src/os/os_test.go
+ sed -i 's,/_go_os_test,'"$TMPDIR"'/_go_os_test,' src/os/path_test.go
+
+ sed -i '/TestChdirAndGetwd/aif true \{ return\; \}' src/os/os_test.go
+ sed -i '/TestCredentialNoSetGroups/aif true \{ return\; \}' src/os/exec/exec_posix_test.go
+ sed -i '/TestRead0/aif true \{ return\; \}' src/os/os_test.go
+ sed -i '/TestSystemRoots/aif true \{ return\; \}' src/crypto/x509/root_darwin_test.go
+
+ sed -i '/TestGoInstallRebuildsStalePackagesInOtherGOPATH/aif true \{ return\; \}' src/cmd/go/go_test.go
+ sed -i '/TestBuildDashIInstallsDependencies/aif true \{ return\; \}' src/cmd/go/go_test.go
+
+ sed -i '/TestDisasmExtld/aif true \{ return\; \}' src/cmd/objdump/objdump_test.go
+
+ sed -i 's/unrecognized/unknown/' src/cmd/link/internal/ld/lib.go
+
+ # TestCurrent fails because Current is not implemented on Darwin
+ sed -i 's/TestCurrent/testCurrent/g' src/os/user/user_test.go
+ sed -i 's/TestLookup/testLookup/g' src/os/user/user_test.go
+
+ touch $TMPDIR/group $TMPDIR/hosts $TMPDIR/passwd
+ '';
+
+ patches = [
+ ./remove-tools-1.11.patch
+ ./ssl-cert-file-1.16.patch
+ ./remove-test-pie-1.15.patch
+ ./creds-test.patch
+ ./go-1.9-skip-flaky-19608.patch
+ ./go-1.9-skip-flaky-20072.patch
+ ./skip-external-network-tests-1.16.patch
+ ./skip-nohup-tests.patch
+ ./skip-cgo-tests-1.15.patch
+ ./go_no_vendor_checks-1.16.patch
+ ] ++ [
+ # breaks under load: https://github.com/golang/go/issues/25628
+ (if stdenv.isAarch32
+ then ./skip-test-extra-files-on-aarch32-1.14.patch
+ else ./skip-test-extra-files-on-386-1.14.patch)
+ ];
+
+ postPatch = ''
+ find . -name '*.orig' -exec rm {} ';'
+ '';
+
+ GOOS = stdenv.targetPlatform.parsed.kernel.name;
+ GOARCH = goarch stdenv.targetPlatform;
+ # GOHOSTOS/GOHOSTARCH must match the building system, not the host system.
+ # Go will nevertheless build a for host system that we will copy over in
+ # the install phase.
+ GOHOSTOS = stdenv.buildPlatform.parsed.kernel.name;
+ GOHOSTARCH = goarch stdenv.buildPlatform;
+
+ # {CC,CXX}_FOR_TARGET must be only set for cross compilation case as go expect those
+ # to be different from CC/CXX
+ CC_FOR_TARGET =
+ if (stdenv.buildPlatform != stdenv.targetPlatform) then
+ "${targetCC}/bin/${targetCC.targetPrefix}cc"
+ else
+ null;
+ CXX_FOR_TARGET =
+ if (stdenv.buildPlatform != stdenv.targetPlatform) then
+ "${targetCC}/bin/${targetCC.targetPrefix}c++"
+ else
+ null;
+
+ GOARM = toString (lib.intersectLists [ (stdenv.hostPlatform.parsed.cpu.version or "") ] [ "5" "6" "7" ]);
+ GO386 = "softfloat"; # from Arch: don't assume sse2 on i686
+ CGO_ENABLED = 1;
+ # Hopefully avoids test timeouts on Hydra
+ GO_TEST_TIMEOUT_SCALE = 3;
+
+ # Indicate that we are running on build infrastructure
+ # Some tests assume things like home directories and users exists
+ GO_BUILDER_NAME = "nix";
+
+ GOROOT_BOOTSTRAP = "${goBootstrap}/share/go";
+
+ postConfigure = ''
+ export GOCACHE=$TMPDIR/go-cache
+ # this is compiled into the binary
+ export GOROOT_FINAL=$out/share/go
+
+ export PATH=$(pwd)/bin:$PATH
+
+ ${lib.optionalString (stdenv.buildPlatform != stdenv.targetPlatform) ''
+ # Independent from host/target, CC should produce code for the building system.
+ # We only set it when cross-compiling.
+ export CC=${buildPackages.stdenv.cc}/bin/cc
+ ''}
+ ulimit -a
+ '';
+
+ postBuild = ''
+ (cd src && ./make.bash)
+ '';
+
+ doCheck = stdenv.hostPlatform == stdenv.targetPlatform && !stdenv.isDarwin;
+
+ checkPhase = ''
+ runHook preCheck
+ (cd src && HOME=$TMPDIR GOCACHE=$TMPDIR/go-cache ./run.bash --no-rebuild)
+ runHook postCheck
+ '';
+
+ preInstall = ''
+ rm -r pkg/obj
+ # Contains the wrong perl shebang when cross compiling,
+ # since it is not used for anything we can deleted as well.
+ rm src/regexp/syntax/make_perl_groups.pl
+ '' + (if (stdenv.buildPlatform != stdenv.hostPlatform) then ''
+ mv bin/*_*/* bin
+ rmdir bin/*_*
+ ${lib.optionalString (!(GOHOSTARCH == GOARCH && GOOS == GOHOSTOS)) ''
+ rm -rf pkg/${GOHOSTOS}_${GOHOSTARCH} pkg/tool/${GOHOSTOS}_${GOHOSTARCH}
+ ''}
+ '' else if (stdenv.hostPlatform != stdenv.targetPlatform) then ''
+ rm -rf bin/*_*
+ ${lib.optionalString (!(GOHOSTARCH == GOARCH && GOOS == GOHOSTOS)) ''
+ rm -rf pkg/${GOOS}_${GOARCH} pkg/tool/${GOOS}_${GOARCH}
+ ''}
+ '' else "");
+
+ installPhase = ''
+ runHook preInstall
+ mkdir -p $GOROOT_FINAL
+ cp -a bin pkg src lib misc api doc $GOROOT_FINAL
+ ln -s $GOROOT_FINAL/bin $out/bin
+ runHook postInstall
+ '';
+
+ disallowedReferences = [ goBootstrap ];
+
+ meta = with lib; {
+ homepage = "http://golang.org/";
+ description = "The Go Programming language";
+ license = licenses.bsd3;
+ maintainers = teams.golang.members;
+ platforms = platforms.linux ++ platforms.darwin;
+ # requires >=10.13 stdenv on x86_64-darwin
+ badPlatforms = [ "x86_64-darwin" ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gprolog/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gprolog/default.nix
index 16a7552dd4e..6868ca9f8d8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gprolog/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/gprolog/default.nix
@@ -63,7 +63,6 @@ stdenv.mkDerivation rec {
declarativity of logic programming.
'';
- maintainers = [ lib.maintainers.peti ];
platforms = lib.platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/001_mx.py.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/001_mx.py.patch
deleted file mode 100644
index 0477c6c556f..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/001_mx.py.patch
+++ /dev/null
@@ -1,291 +0,0 @@
-diff --git a/mx.py b/mx.py
-index a0b9315..b7d67a0 100755
---- a/mx.py
-+++ b/mx.py
-@@ -238,21 +238,7 @@ def _check_file_with_sha1(path, sha1, sha1path, mustExist=True, newFile=False, l
- f.write(value or sha1OfFile(path))
-
- if exists(path):
-- if sha1Check and sha1:
-- if not _sha1CachedValid() or (newFile and sha1 != _sha1Cached()):
-- logv('Create/update SHA1 cache file ' + sha1path)
-- _writeSha1Cached()
--
-- if sha1 != _sha1Cached():
-- computedSha1 = sha1OfFile(path)
-- if sha1 == computedSha1:
-- warn('Fixing corrupt SHA1 cache file ' + sha1path)
-- _writeSha1Cached(computedSha1)
-- return True
-- if logErrors:
-- size = os.path.getsize(path)
-- log_error('SHA1 of {} [size: {}] ({}) does not match expected value ({})'.format(TimeStampFile(path), size, computedSha1, sha1))
-- return False
-+ return True
- elif mustExist:
- if logErrors:
- log_error("'{}' does not exist".format(path))
-@@ -1057,46 +1043,8 @@ class SuiteImport:
- version = import_dict.get("version")
- suite_dir = None
- version_from = import_dict.get("versionFrom")
-- if version_from and version:
-- abort("In import for '{}': 'version' and 'versionFrom' can not be both set".format(name), context=context)
-- if version is None and version_from is None:
-- if not (in_subdir and (importer.vc_dir != importer.dir or isinstance(importer, BinarySuite))):
-- abort("In import for '{}': No version given and not a 'subdir' suite of the same repository".format(name), context=context)
-- if importer.isSourceSuite():
-- suite_dir = join(importer.vc_dir, name)
-- version = importer.version()
-- if urls is None:
-- if not in_subdir:
-- if import_dict.get("subdir") is None and importer.vc_dir != importer.dir:
-- warn("In import for '{}': No urls given but 'subdir' is not set, assuming 'subdir=True'".format(name), context)
-- in_subdir = True
-- else:
-- abort("In import for '{}': No urls given and not a 'subdir' suite".format(name), context=context)
-- return SuiteImport(name, version, None, None, dynamicImport=dynamicImport, in_subdir=in_subdir, version_from=version_from, suite_dir=suite_dir)
-- # urls a list of alternatives defined as dicts
-- if not isinstance(urls, list):
-- abort('suite import urls must be a list', context=context)
-- urlinfos = []
-- mainKind = None
-- for urlinfo in urls:
-- if isinstance(urlinfo, dict) and urlinfo.get('url') and urlinfo.get('kind'):
-- kind = urlinfo.get('kind')
-- if not VC.is_valid_kind(kind):
-- abort('suite import kind ' + kind + ' illegal', context=context)
-- else:
-- abort('suite import url must be a dict with {"url", kind", attributes', context=context)
-- vc = vc_system(kind)
-- if kind != 'binary':
-- assert not mainKind or mainKind == kind, "Only expecting one non-binary kind"
-- mainKind = kind
-- url = mx_urlrewrites.rewriteurl(urlinfo.get('url'))
-- urlinfos.append(SuiteImportURLInfo(url, kind, vc))
-- vc_kind = None
-- if mainKind:
-- vc_kind = mainKind
-- elif urlinfos:
-- vc_kind = 'binary'
-- return SuiteImport(name, version, urlinfos, vc_kind, dynamicImport=dynamicImport, in_subdir=in_subdir, version_from=version_from, suite_dir=suite_dir)
-+ suite_dir = join(get_env('MX_GIT_CACHE_DIR'), name)
-+ return SuiteImport(name, version, [], None, True, in_subdir=in_subdir, version_from=version_from, suite_dir=suite_dir)
-
- @staticmethod
- def get_source_urls(source, kind=None):
-@@ -1467,8 +1415,6 @@ class Suite(object):
- :type dists: list[Distribution]
- """
- def __init__(self, mxDir, primary, internal, importing_suite, load, vc, vc_dir, dynamicallyImported=False):
-- if primary is True and vc_dir is None:
-- abort("The primary suite must be in a vcs repository")
- self.imported_by = [] if primary else [importing_suite]
- self.mxDir = mxDir
- self.dir = dirname(mxDir)
-@@ -1496,7 +1442,7 @@ class Suite(object):
- self._outputRoot = None
- self._preloaded_suite_dict = None
- self.vc = vc
-- self.vc_dir = vc_dir
-+ self.vc_dir = get_env('MX_GIT_CACHE_DIR')
- self._preload_suite_dict()
- self._init_imports()
- if load:
-@@ -2405,7 +2351,9 @@ class Repository(SuiteConstituent):
- class SourceSuite(Suite):
- """A source suite"""
- def __init__(self, mxDir, primary=False, load=True, internal=False, importing_suite=None, dynamicallyImported=False):
-- vc, vc_dir = VC.get_vc_root(dirname(mxDir), abortOnError=False)
-+ vc, vc_dir_test = VC.get_vc_root(dirname(mxDir), abortOnError=False)
-+ vc_dir = get_env('MX_GIT_CACHE_DIR')
-+ warn("LOOKING FOR: " + mxDir)
- Suite.__init__(self, mxDir, primary, internal, importing_suite, load, vc, vc_dir, dynamicallyImported=dynamicallyImported)
- logvv("SourceSuite.__init__({}), got vc={}, vc_dir={}".format(mxDir, self.vc, self.vc_dir))
- self.projects = []
-@@ -2454,17 +2402,7 @@ class SourceSuite(Suite):
- """
- Gets the release tag from VC or create a time based once if VC is unavailable
- """
-- if snapshotSuffix not in self._releaseVersion:
-- _version = self._get_early_suite_dict_property('version')
-- if _version and self.getMxCompatibility().addVersionSuffixToExplicitVersion():
-- if not self.is_release():
-- _version = _version + '-' + snapshotSuffix
-- if not _version:
-- _version = self.vc.release_version_from_tags(self.vc_dir, self.name, snapshotSuffix=snapshotSuffix)
-- if not _version:
-- _version = 'unknown-{0}-{1}'.format(platform.node(), time.strftime('%Y-%m-%d_%H-%M-%S_%Z'))
-- self._releaseVersion[snapshotSuffix] = _version
-- return self._releaseVersion[snapshotSuffix]
-+ return get_env('version')
-
- def scm_metadata(self, abortOnError=False):
- scm = self.scm
-@@ -2993,12 +2931,35 @@ def _find_suite_import(importing_suite, suite_import, fatalIfMissing=True, load=
- Attempts to locate an existing suite in the local context
- Returns the path to the mx.name dir if found else None
- """
-- if mode == 'binary':
-- # binary suites are always stored relative to the importing suite in mx-private directory
-- return importing_suite._find_binary_suite_dir(suite_import.name)
-+ warn("FAKE CLONE: " + str(suite_import))
-+ if (suite_import.name == "truffle"):
-+ return join(get_env('TMP'), "source", "truffle", "mx.truffle")
-+ if (suite_import.name == "graal-nodejs"):
-+ return join(get_env('MX_GIT_CACHE_DIR'), "graaljs", "graal-nodejs", "mx.graal-nodejs")
-+ if (suite_import.name == "truffleruby"):
-+ return join(get_env('MX_GIT_CACHE_DIR'), "truffleruby", "mx.truffleruby")
-+ if (suite_import.name == "graalpython"):
-+ return join(get_env('MX_GIT_CACHE_DIR'), "graalpython", "mx.graalpython")
-+ if (suite_import.name == "vm"):
-+ return join(get_env('TMP'), "source", "vm", "mx.vm")
-+ if (suite_import.name == "fastr"):
-+ return join(get_env('MX_GIT_CACHE_DIR'), "fastr", "mx.fastr")
-+ if (suite_import.name == "sdk"):
-+ return join(get_env('TMP'), "source", "sdk", "mx.sdk")
-+ if (suite_import.name == "graal-js"):
-+ return join(get_env('MX_GIT_CACHE_DIR'), "graaljs", "graal-js", "mx.graal-js")
-+ if (suite_import.name == "regex"):
-+ return join(get_env('TMP'), "source", "regex", "mx.regex")
-+ if (suite_import.name == "substratevm"):
-+ return join(get_env('TMP'), "source", "substratevm", "mx.substratevm")
-+ if (suite_import.name == "tools"):
-+ return join(get_env('TMP'), "source", "tools", "mx.tools")
-+ if (suite_import.name == "sulong"):
-+ return join(get_env('TMP'), "source", "sulong", "mx.sulong")
-+ if (suite_import.name == "compiler"):
-+ return join(get_env('TMP'), "source", "compiler", "mx.compiler")
- else:
-- # use the SuiteModel to locate a local source copy of the suite
-- return _suitemodel.find_suite_dir(suite_import)
-+ return join(get_env('MX_GIT_CACHE_DIR'), suite_import.name)
-
- def _get_import_dir(url, mode):
- """Return directory where the suite will be cloned to"""
-@@ -3816,7 +3777,7 @@ def getmtime(name):
- """
- Wrapper for builtin open function that handles long path names on Windows.
- """
-- return os.path.getmtime(_safe_path(name))
-+ return 315532800
-
-
- def stat(name):
-@@ -4062,57 +4023,8 @@ def _attempt_download(url, path, jarEntryName=None):
- return False
-
- def download(path, urls, verbose=False, abortOnError=True, verifyOnly=False):
-- """
-- Attempts to downloads content for each URL in a list, stopping after the first successful download.
-- If the content cannot be retrieved from any URL, the program is aborted, unless abortOnError=False.
-- The downloaded content is written to the file indicated by `path`.
-- """
-- if not verifyOnly:
-- ensure_dirname_exists(path)
-- assert not path.endswith(os.sep)
--
-- # https://docs.oracle.com/javase/7/docs/api/java/net/JarURLConnection.html
-- jarURLPattern = re.compile('jar:(.*)!/(.*)')
-- verify_errors = {}
-- for url in urls:
-- if not verifyOnly or verbose:
-- log('Downloading ' + url + ' to ' + path)
-- m = jarURLPattern.match(url)
-- jarEntryName = None
-- if m:
-- url = m.group(1)
-- jarEntryName = m.group(2)
--
-- if not _opts.trust_http and (url.lower().startswith('http://') or url.lower().startswith('ftp://')):
-- warn('Downloading from non-https URL {}. Use --trust-http mx option to suppress this warning.'.format(url))
--
-- if verifyOnly:
-- try:
-- conn = _urlopen(url, timeout=10)
-- conn.close()
-- except (IOError, socket.timeout) as e:
-- _suggest_tlsv1_error(e)
-- verify_errors[url] = e
-- else:
-- for i in range(4):
-- if i != 0:
-- time.sleep(1)
-- warn('Retry {} to download from {}'.format(i, url))
-- if _attempt_download(url, path, jarEntryName):
-- return True # Download was successful
--
-- if verifyOnly and len(verify_errors) < len(urls): # verify-mode at least one success -> success
-- return True
-- else: # Either verification error or no download was successful
-- msg = 'Could not download to ' + path + ' from any of the following URLs: ' + ', '.join(urls)
-- if verifyOnly: # verify-mode -> print error details
-- for url, e in verify_errors.items():
-- msg += '\n ' + url + ': ' + str(e)
-- if abortOnError:
-- abort(msg)
-- else:
-- warn(msg)
-- return False
-+ print("FAKE download(path={} urls={} verbose={} abortOnError={} verifyOnly={})".format(path, urls, verbose, abortOnError, verifyOnly))
-+ return True
-
- def update_file(path, content, showDiff=False):
- """
-@@ -7887,30 +7799,6 @@ class PackedResourceLibrary(ResourceLibrary):
-
- def get_path(self, resolve):
- extract_path = _make_absolute(self.extract_path, self.suite.dir)
-- download_path = super(PackedResourceLibrary, self).get_path(resolve)
-- if resolve and self._check_extract_needed(extract_path, download_path):
-- extract_path_tmp = tempfile.mkdtemp(suffix=basename(extract_path), dir=dirname(extract_path))
-- try:
-- # extract archive
-- Extractor.create(download_path).extract(extract_path_tmp)
-- # ensure modification time is up to date
-- os.utime(extract_path_tmp, None)
-- logv("Moving temporary directory {} to {}".format(extract_path_tmp, extract_path))
-- try:
-- # attempt atomic overwrite
-- os.rename(extract_path_tmp, extract_path)
-- except OSError:
-- # clean destination & re-try for cases where atomic overwrite doesn't work
-- rmtree(extract_path, ignore_errors=True)
-- os.rename(extract_path_tmp, extract_path)
-- except OSError as ose:
-- # Rename failed. Race with other process?
-- if self._check_extract_needed(extract_path, download_path):
-- # ok something really went wrong
-- abort("Extracting {} failed!".format(download_path), context=ose)
-- finally:
-- rmtree(extract_path_tmp, ignore_errors=True)
--
- return extract_path
-
- def _check_download_needed(self):
-@@ -8430,7 +8318,7 @@ class VC(_with_metaclass(ABCMeta, object)):
- :param str branch: a branch name
- :param bool abortOnError: if True abort on error
- """
-- abort(self.kind + " update_to_branch is not implemented")
-+ self.run(['hg', vcdir] + cmd)
-
- def is_release_from_tags(self, vcdir, prefix):
- """
-@@ -8831,7 +8719,7 @@ class HgConfig(VC):
- return None
-
- def parent_info(self, vcdir, abortOnError=True):
-- out = self.hg_command(vcdir, ["log", "-r", ".", "--template", "{author}|||{date|hgdate}"], abortOnError=abortOnError)
-+ out = _check_output_str(["hg", '-R', vcdir, "log", "-r", ".", "--template", "{author}|||{date|hgdate}"])
- author, date = out.split("|||")
- ts, _ = date.split(" ")
- return self._sanitize_parent_info({
-@@ -14069,6 +13957,7 @@ class Archiver(SafeFileCreation):
-
- def _add_zip(self, filename, archive_name, provenance):
- self._add_provenance(archive_name, provenance)
-+ os.utime(filename, (315532800, 315532800))
- self.zf.write(filename, archive_name)
-
- def _add_str_zip(self, data, archive_name, provenance):
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/002_setjmp.c.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/002_setjmp.c.patch
deleted file mode 100644
index 38a29fd8fbf..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/002_setjmp.c.patch
+++ /dev/null
@@ -1,16 +0,0 @@
-diff --git a/sulong/projects/com.oracle.truffle.llvm.libraries.bitcode/src/setjmp.c b/sulong/projects/com.oracle.truffle.llvm.libraries.bitcode/src/setjmp.c
-index 16b869354d8..3691739d13b 100644
---- a/sulong/projects/com.oracle.truffle.llvm.libraries.bitcode/src/setjmp.c
-+++ b/sulong/projects/com.oracle.truffle.llvm.libraries.bitcode/src/setjmp.c
-@@ -41,11 +41,3 @@ int sigsetjmp(sigjmp_buf env, int savesigs) {
- WARN_UNSUPPORTED(sigsetjmp);
- return 0;
- }
--
--void longjmp(jmp_buf env, int val) {
-- ERR_UNSUPPORTED(longjmp);
--}
--
--void siglongjmp(sigjmp_buf env, int val) {
-- ERR_UNSUPPORTED(siglongjmp);
--}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/003_mx_truffle.py.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/003_mx_truffle.py.patch
deleted file mode 100644
index bb9a0068712..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/003_mx_truffle.py.patch
+++ /dev/null
@@ -1,12 +0,0 @@
-diff --git a/truffle/mx.truffle/mx_truffle.py b/truffle/mx.truffle/mx_truffle.py
-index 8889c5ad810..add211a45c5 100644
---- a/truffle/mx.truffle/mx_truffle.py
-+++ b/truffle/mx.truffle/mx_truffle.py
-@@ -695,6 +695,7 @@ class LibffiBuildTask(mx.AbstractNativeBuildTask):
- os.path.relpath(self.subject.delegate.dir, self.subject.suite.vc_dir)]
- for patch in self.subject.patches:
- mx.run(git_apply + [patch], cwd=self.subject.suite.vc_dir)
-+ mx.run(['cp', os.path.join(os.getenv('TMP'), 'truffle.make'), os.path.join(self.subject.delegate.dir, 'Makefile')])
-
- self.delegate.logBuild()
- self.delegate.build()
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/004_mx_jvmci.py.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/004_mx_jvmci.py.patch
deleted file mode 100644
index 0aa1bc9b88d..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/004_mx_jvmci.py.patch
+++ /dev/null
@@ -1,12 +0,0 @@
-diff --git a/mx.jvmci/mx_jvmci.py b/mx.jvmci/mx_jvmci.py
-index 491fc19ab9..b4ddc03abb 100644
---- a/mx.jvmci/mx_jvmci.py
-+++ b/mx.jvmci/mx_jvmci.py
-@@ -192,6 +192,7 @@ class HotSpotVMJDKDeployedDist(JDKDeployedDist):
- if m.name in _hs_deploy_map:
- targetDir = join(jdkDir, _hs_deploy_map[m.name])
- mx.logv('Deploying {} from {} to {}'.format(m.name, dist.name, targetDir))
-+ subprocess.call(["chmod", "-R", "+rw", targetDir])
- tar.extract(m, targetDir)
-
- """
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/005_tool_jt.rb.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/005_tool_jt.rb.patch
deleted file mode 100644
index 06f693db9f6..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/005_tool_jt.rb.patch
+++ /dev/null
@@ -1,46 +0,0 @@
-diff --git a/tool/jt.rb b/tool/jt.rb
-index 870d88edcb..0a6e4c367b 100755
---- a/tool/jt.rb
-+++ b/tool/jt.rb
-@@ -152,13 +152,16 @@ module Utilities
- end
-
- def find_mx
-- if which('mx')
-- 'mx'
-+ if ENV.key?("MX_GIT_CACHE_DIR")
-+ "mx-internal"
- else
-- mx_repo = find_or_clone_repo("https://github.com/graalvm/mx.git")
-- "#{mx_repo}/mx"
-+ if which('mx')
-+ 'mx'
-+ else
-+ mx_repo = find_or_clone_repo("https://github.com/graalvm/mx.git")
-+ "#{mx_repo}/mx"
-+ end
- end
-- end
-
- def find_launcher(use_native)
- if use_native
-@@ -444,8 +447,8 @@ module Commands
- --no-sforceimports do not run sforceimports before building
- parser build the parser
- options build the options
-- graalvm build a minimal JVM-only GraalVM containing only TruffleRuby,
-- available by default in mxbuild/truffleruby-jvm,
-+ graalvm build a minimal JVM-only GraalVM containing only TruffleRuby,
-+ available by default in mxbuild/truffleruby-jvm,
- the Ruby is symlinked into rbenv or chruby if available
- --graal include the GraalVM Compiler in the build
- --native build native ruby image as well, available in mxbuild/truffleruby-native
-@@ -491,7 +494,7 @@ module Commands
- jt test compiler run compiler tests
- jt test integration [TESTS] run integration tests
- jt test bundle [--jdebug] tests using bundler
-- jt test gems [TESTS] tests using gems
-+ jt test gems [TESTS] tests using gems
- jt test ecosystem [TESTS] tests using the wider ecosystem such as bundler, Rails, etc
- jt test cexts [--no-openssl] [--no-gems] [test_names...]
- run C extension tests (set GEM_HOME)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/006_mx_copylib.py.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/006_mx_copylib.py.patch
deleted file mode 100644
index 43ca3e16832..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/006_mx_copylib.py.patch
+++ /dev/null
@@ -1,14 +0,0 @@
-diff --git a/mx.fastr/mx_copylib.py b/mx.fastr/mx_copylib.py
-index 4f57e1954..db45220d9 100644
---- a/mx.fastr/mx_copylib.py
-+++ b/mx.fastr/mx_copylib.py
-@@ -54,6 +54,9 @@ def _copylib(lib, libpath, plain_libpath_base, target):
- else:
- try:
- if platform.system() == 'Linux':
-+ # https://github.com/oracle/fastr/issues/110
-+ if libpath.endswith("libgcc_s.so"):
-+ libpath = libpath + ".1"
- output = subprocess.check_output(['objdump', '-p', libpath])
- elif platform.system() == 'SunOS':
- output = subprocess.check_output(['elfdump', '-d', libpath])
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/007_unimplemented.c.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/007_unimplemented.c.patch
deleted file mode 100644
index 96cca7ed239..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/007_unimplemented.c.patch
+++ /dev/null
@@ -1,85 +0,0 @@
-diff --git a/com.oracle.truffle.r.native/fficall/src/common/unimplemented.c b/com.oracle.truffle.r.native/fficall/src/common/unimplemented.c
-index dcf081316..c2cb4879b 100644
---- a/com.oracle.truffle.r.native/fficall/src/common/unimplemented.c
-+++ b/com.oracle.truffle.r.native/fficall/src/common/unimplemented.c
-@@ -20,8 +20,10 @@
-
- #include
- #include
-+#include
-
- #include
-+#include
- #include
-
- Rboolean known_to_be_latin1 = FALSE;
-@@ -166,3 +168,69 @@ int Scollate(SEXP a, SEXP b) {
- void z_prec_r(Rcomplex *r, Rcomplex *x, double digits) {
- unimplemented("z_prec_r");
- }
-+
-+int Rf_AdobeSymbol2ucs2(int n) {
-+ unimplemented("Rf_AdobeSymbol2ucs2");
-+ return 0;
-+}
-+
-+size_t Mbrtowc(wchar_t *wc, const char *s, size_t n, mbstate_t *ps) {
-+ unimplemented("Mbrtowc");
-+ return 0;
-+}
-+
-+double R_GE_VStrHeight(const char *s, cetype_t enc, const pGEcontext gc, pGEDevDesc dd) {
-+ unimplemented("R_GE_VStrHeight");
-+ return 0;
-+}
-+
-+void R_GE_VText(double x, double y, const char * const s, cetype_t enc,
-+ double x_justify, double y_justify, double rotation,
-+ const pGEcontext gc, pGEDevDesc dd) {
-+ unimplemented("R_GE_VText");
-+}
-+
-+double R_GE_VStrWidth(const char *s, cetype_t enc, const pGEcontext gc, pGEDevDesc dd) {
-+ unimplemented("R_GE_VStrWidth");
-+}
-+
-+void setulb(int n, int m, double *x, double *l, double *u, int *nbd,
-+ double *f, double *g, double factr, double *pgtol,
-+ double *wa, int * iwa, char *task, int iprint, int *isave) {
-+ unimplemented("setulb");
-+}
-+
-+void genptry(int n, double *p, double *ptry, double scale, void *ex) {
-+ unimplemented("genptry");
-+}
-+
-+double EXP(double x) {
-+ unimplemented("EXP");
-+ return 0;
-+}
-+
-+double LOG(double x) {
-+ unimplemented("LOG");
-+ return 0;
-+}
-+
-+Rwchar_t Rf_utf8toucs32(wchar_t high, const char *s) {
-+ unimplemented("Rf_utf8toucs32");
-+ return 0;
-+}
-+
-+size_t mbtoucs(unsigned int *wc, const char *s, size_t n) {
-+ unimplemented("mbtoucs");
-+ return (size_t) 0;
-+}
-+
-+
-+int DispatchOrEval(SEXP call, SEXP op, const char *generic, SEXP args,
-+ SEXP rho, SEXP *ans, int dropmissing, int argsevald) {
-+ unimplemented("DispatchOrEval");
-+ return 0;
-+}
-+
-+void ENSURE_NAMEDMAX (SEXP x) {
-+ unimplemented("ENSURE_NAMEDMAX");
-+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/008_remove_jfr.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/008_remove_jfr.patch
deleted file mode 100644
index 1aea044c802..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/008_remove_jfr.patch
+++ /dev/null
@@ -1,33 +0,0 @@
-diff --git a/mx.jvmci/suite.py b/mx.jvmci/suite.py
-index 9690c0a38f..fa1d36b7e1 100644
---- a/mx.jvmci/suite.py
-+++ b/mx.jvmci/suite.py
-@@ -241,18 +241,7 @@ suite = {
- "workingSets" : "JVMCI,HotSpot,SPARC",
- },
-
-- "jdk.vm.ci.hotspot.jfr" : {
-- "subDir" : "jvmci",
-- "sourceDirs" : ["src"],
-- "dependencies" : [
-- "jdk.vm.ci.hotspot",
-- "JFR",
-- ],
-- "checkstyle" : "jdk.vm.ci.hotspot",
-- "javaCompliance" : "1.8",
-- "profile" : "",
-- "workingSets" : "JVMCI,HotSpot",
-- },
-+
-
- "hotspot" : {
- "native" : True,
-@@ -354,7 +343,7 @@ suite = {
- "jdk.vm.ci.hotspot.aarch64",
- "jdk.vm.ci.hotspot.amd64",
- "jdk.vm.ci.hotspot.sparc",
-- "jdk.vm.ci.hotspot.jfr",
-+
- ],
- "distDependencies" : [
- "JVMCI_SERVICES",
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/009_remove_signedness_verifier.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/009_remove_signedness_verifier.patch
deleted file mode 100644
index cf8bd405066..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/009_remove_signedness_verifier.patch
+++ /dev/null
@@ -1,21 +0,0 @@
-diff --git a/substratevm/src/com.oracle.svm.hosted/src/com/oracle/svm/hosted/c/query/SizeAndSignednessVerifier.java b/substratevm/src/com.oracle.svm.hosted/src/com/oracle/svm/hosted/c/query/SizeAndSignednessVerifier.java
-index 23a76357fd2..f13694b6ed7 100644
---- a/substratevm/src/com.oracle.svm.hosted/src/com/oracle/svm/hosted/c/query/SizeAndSignednessVerifier.java
-+++ b/substratevm/src/com.oracle.svm.hosted/src/com/oracle/svm/hosted/c/query/SizeAndSignednessVerifier.java
-@@ -249,15 +249,6 @@ public final class SizeAndSignednessVerifier extends NativeInfoTreeVisitor {
- }
-
- private void checkSignedness(boolean isUnsigned, ResolvedJavaType type, ResolvedJavaMethod method) {
-- if (isSigned(type)) {
-- if (isUnsigned) {
-- addError("Type " + type.toJavaName(false) + " is signed, but accessed C value is unsigned", method);
-- }
-- } else if (nativeLibs.isWordBase(type)) {
-- /* every Word type other than Signed is assumed to be unsigned. */
-- if (!isUnsigned) {
-- addError("Type " + type.toJavaName(false) + " is unsigned, but accessed C value is signed", method);
-- }
-- }
-+
- }
- }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/010_mx_substratevm.py b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/010_mx_substratevm.py
deleted file mode 100644
index afb6b568167..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/010_mx_substratevm.py
+++ /dev/null
@@ -1,13 +0,0 @@
-diff --git a/substratevm/mx.substratevm/mx_substratevm.py b/substratevm/mx.substratevm/mx_substratevm.py
-index b89163ef983..0fd0138b336 100644
---- a/substratevm/mx.substratevm/mx_substratevm.py
-+++ b/substratevm/mx.substratevm/mx_substratevm.py
-@@ -189,7 +189,7 @@ if str(svm_java_compliance().value) not in GRAAL_COMPILER_FLAGS_MAP:
- mx.abort("Substrate VM does not support this Java version: " + str(svm_java_compliance()))
- GRAAL_COMPILER_FLAGS = GRAAL_COMPILER_FLAGS_BASE + GRAAL_COMPILER_FLAGS_MAP[str(svm_java_compliance().value)]
-
--IMAGE_ASSERTION_FLAGS = ['-H:+VerifyGraalGraphs', '-H:+VerifyPhases']
-+IMAGE_ASSERTION_FLAGS = ['-H:+VerifyGraalGraphs', '-H:+VerifyPhases', '-H:+ReportExceptionStackTraces']
- suite = mx.suite('substratevm')
- svmSuites = [suite]
- clibraryDists = ['SVM_HOSTED_NATIVE']
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/default.nix
index 54cc917a5be..1921b1c4f58 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/default.nix
@@ -1,21 +1,18 @@
-{ callPackage, Foundation }:
+{ lib, callPackage, Foundation }:
let
- mkGraal = opts: callPackage (import ./repository.nix opts) {
+ mkGraal = opts: callPackage (import ./mkGraal.nix opts) {
inherit Foundation;
};
-in {
+in
+{
inherit mkGraal;
- graalvm8-ce = mkGraal rec {
- version = "21.2.0";
- javaVersion = "8";
- platforms = ["x86_64-linux"];
- };
-
graalvm11-ce = mkGraal rec {
- version = "21.2.0";
+ version = lib.fileContents ./version;
javaVersion = "11";
- platforms = ["x86_64-linux" "x86_64-darwin"];
+ platforms = [ "x86_64-linux" "aarch64-linux" "x86_64-darwin" ];
};
+
+ # TODO: added graalvm17-ce
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/hashes.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/hashes.nix
new file mode 100644
index 00000000000..2f59a40f9c9
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/hashes.nix
@@ -0,0 +1,43 @@
+# Generated by ./update.sh script
+{ javaVersionPlatform, ... }:
+[
+ {
+ sha256 = {
+ "11-linux-aarch64" = "0hsjxp6ly7jsn9k94fddcl7afc5gda66jyppcnfvslishbizqd0i";
+ "11-linux-amd64" = "1ylk5l933z813k0k1xlayiv8fa0f1gmpr66bma51532iy3mch6rs";
+ "11-darwin-amd64" = "0qpqnnmqxvxzj3mwz05acpg4n8ffqsz0sji8lbl03fgswpvgfavc";
+ }.${javaVersionPlatform} or null;
+ url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/vm-21.3.0/graalvm-ce-java${javaVersionPlatform}-21.3.0.tar.gz";
+ }
+ {
+ sha256 = {
+ "11-linux-aarch64" = "0qlmg5fwvqsb5ab3irj2hrcd5jc94mibnlz1gvzpnq85rw1zcb6h";
+ "11-linux-amd64" = "0kvnjr55rizy53vn0ff9w27z1qh9d1vp3s7r1kdl0wyhrbhd8n49";
+ "11-darwin-amd64" = "1mg8c8hh8wmbwsisgarmp35jd0dall1fwdv49mggp74hicbc32h3";
+ }.${javaVersionPlatform} or null;
+ url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/vm-21.3.0/native-image-installable-svm-java${javaVersionPlatform}-21.3.0.jar";
+ }
+ {
+ sha256 = {
+ "11-linux-aarch64" = "02rvwl1nng8d3qn226rjx5yq2blxs4yz009ab928qanhmb4vhv8b";
+ "11-linux-amd64" = "0zz62zr7imjaw9a3j5m66xs7c72cqb1i74ab3rnlh0dgs1mdpljg";
+ "11-darwin-amd64" = "1wiv0299b2xrc229alczmjfj1bsn90p0wdm64rr39xnyyhbqrr80";
+ }.${javaVersionPlatform} or null;
+ url = "https://github.com/oracle/truffleruby/releases/download/vm-21.3.0/ruby-installable-svm-java${javaVersionPlatform}-21.3.0.jar";
+ }
+ {
+ sha256 = {
+ "11-linux-aarch64" = "1ck4c1z98h1zn4i6xhh1hb6w2jab6n17ddykb72xxw4vig9nhlc7";
+ "11-linux-amd64" = "0gy8jj9d9msmj0i44sysiwq3j2k2w2g47fhq6y1aq47n3kmwj9kv";
+ "11-darwin-amd64" = "0agw6k3jn2jh8wyc9h8rvzlgs96qh4nlj0y8nyzsmidvwq2ahl00";
+ }.${javaVersionPlatform} or null;
+ url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/vm-21.3.0/wasm-installable-svm-java${javaVersionPlatform}-21.3.0.jar";
+ }
+ {
+ sha256 = {
+ "11-linux-amd64" = "1l5av2v459q88zfl83877h7b3426z3d86kp6wqjvz2441brvidi0";
+ "11-darwin-amd64" = "06694n74dzsfwlli1sjdsrfbj9ngw7bhrcayvy4sgy2va5qpdjs0";
+ }.${javaVersionPlatform} or null;
+ url = "https://github.com/graalvm/graalpython/releases/download/vm-21.3.0/python-installable-svm-java${javaVersionPlatform}-21.3.0.jar";
+ }
+]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/mkGraal.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/mkGraal.nix
new file mode 100644
index 00000000000..8b0ff22af0a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/mkGraal.nix
@@ -0,0 +1,278 @@
+{ version, javaVersion, platforms }:
+
+{ stdenv, lib, fetchurl, autoPatchelfHook, setJavaClassPath, makeWrapper
+# minimum dependencies
+, Foundation, alsa-lib, fontconfig, freetype, glibc, openssl, perl, unzip, xorg
+, zlib
+# runtime dependencies
+, cups
+# runtime dependencies for GTK+ Look and Feel
+, gtkSupport ? true, cairo, glib, gtk3 }:
+
+let
+ platform = {
+ aarch64-linux = "linux-aarch64";
+ x86_64-linux = "linux-amd64";
+ x86_64-darwin = "darwin-amd64";
+ }.${stdenv.system} or (throw "Unsupported system: ${stdenv.system}");
+
+ runtimeDependencies = [ cups ]
+ ++ lib.optionals gtkSupport [ cairo glib gtk3 ];
+
+ runtimeLibraryPath = lib.makeLibraryPath runtimeDependencies;
+
+ javaVersionPlatform = "${javaVersion}-${platform}";
+
+ graalvmXXX-ce = stdenv.mkDerivation rec {
+ inherit version;
+ name = "graalvm${javaVersion}-ce";
+ srcs =
+ let
+ # Some platforms doesn't have all GraalVM features
+ # e.g.: GraalPython on aarch64-linux
+ # When the platform doesn't have a feature, sha256 is null on hashes.nix
+ # To update hashes.nix file, run `./update.sh `
+ maybeFetchUrl = url: if url.sha256 != null then (fetchurl url) else null;
+ in
+ (lib.remove null
+ (map
+ maybeFetchUrl
+ (import ./hashes.nix { inherit javaVersionPlatform; })));
+
+ buildInputs = lib.optionals stdenv.isLinux [
+ alsa-lib # libasound.so wanted by lib/libjsound.so
+ fontconfig
+ freetype
+ openssl # libssl.so wanted by languages/ruby/lib/mri/openssl.so
+ stdenv.cc.cc.lib # libstdc++.so.6
+ xorg.libX11
+ xorg.libXext
+ xorg.libXi
+ xorg.libXrender
+ xorg.libXtst
+ zlib
+ ];
+
+ # Workaround for libssl.so.10 wanted by TruffleRuby
+ # Resulting TruffleRuby cannot use `openssl` library.
+ autoPatchelfIgnoreMissingDeps = true;
+
+ nativeBuildInputs = [ unzip perl autoPatchelfHook makeWrapper ];
+
+ unpackPhase = ''
+ unpack_jar() {
+ jar=$1
+ unzip -q -o $jar -d $out
+ perl -ne 'use File::Path qw(make_path);
+ use File::Basename qw(dirname);
+ if (/^(.+) = (.+)$/) {
+ make_path dirname("$ENV{out}/$1");
+ system "ln -s $2 $ENV{out}/$1";
+ }' $out/META-INF/symlinks
+ perl -ne 'if (/^(.+) = ([r-])([w-])([x-])([r-])([w-])([x-])([r-])([w-])([x-])$/) {
+ my $mode = ($2 eq 'r' ? 0400 : 0) + ($3 eq 'w' ? 0200 : 0) + ($4 eq 'x' ? 0100 : 0) +
+ ($5 eq 'r' ? 0040 : 0) + ($6 eq 'w' ? 0020 : 0) + ($7 eq 'x' ? 0010 : 0) +
+ ($8 eq 'r' ? 0004 : 0) + ($9 eq 'w' ? 0002 : 0) + ($10 eq 'x' ? 0001 : 0);
+ chmod $mode, "$ENV{out}/$1";
+ }' $out/META-INF/permissions
+ rm -rf $out/META-INF
+ }
+
+ mkdir -p $out
+ arr=($srcs)
+
+ # The tarball on Linux has the following directory structure:
+ #
+ # graalvm-ce-java11-20.3.0/*
+ #
+ # while on Darwin it looks like this:
+ #
+ # graalvm-ce-java11-20.3.0/Contents/Home/*
+ #
+ # We therefor use --strip-components=1 vs 3 depending on the platform.
+ tar xf ''${arr[0]} -C $out --strip-components=${
+ if stdenv.isLinux then "1" else "3"
+ }
+
+ # Sanity check
+ if [ ! -d $out/bin ]; then
+ echo "The `bin` is directory missing after extracting the graalvm"
+ echo "tarball, please compare the directory structure of the"
+ echo "tarball with what happens in the unpackPhase (in particular"
+ echo "with regards to the `--strip-components` flag)."
+ exit 1
+ fi
+
+ for jar in "''${arr[@]:1}"; do
+ unpack_jar "$jar"
+ done
+ '';
+
+ outputs = [ "out" "lib" ];
+
+ installPhase = let
+ nativePRNGWorkaround = path: ''
+ # BUG workaround http://mail.openjdk.java.net/pipermail/graal-dev/2017-December/005141.html
+ substituteInPlace ${path} \
+ --replace file:/dev/random file:/dev/./urandom \
+ --replace NativePRNGBlocking SHA1PRNG
+ '';
+ copyClibrariesToOut = basepath: ''
+ # provide libraries needed for static compilation
+ for f in ${glibc}/lib/* ${glibc.static}/lib/* ${zlib.static}/lib/*; do
+ ln -s $f ${basepath}/${platform}/$(basename $f)
+ done
+ '';
+ copyClibrariesToLib = ''
+ # add those libraries to $lib output too, so we can use them with
+ # `native-image -H:CLibraryPath=''${graalvm11-ce.lib}/lib ...` and reduce
+ # closure size by not depending on GraalVM $out (that is much bigger)
+ mkdir -p $lib/lib
+ for f in ${glibc}/lib/*; do
+ ln -s $f $lib/lib/$(basename $f)
+ done
+ '';
+ in {
+ "11-linux-amd64" = ''
+ ${nativePRNGWorkaround "$out/conf/security/java.security"}
+
+ ${copyClibrariesToOut "$out/lib/svm/clibraries"}
+
+ ${copyClibrariesToLib}
+ '';
+ "11-linux-aarch64" = ''
+ ${nativePRNGWorkaround "$out/conf/security/java.security"}
+
+ ${copyClibrariesToOut "$out/lib/svm/clibraries"}
+
+ ${copyClibrariesToLib}
+ '';
+ "11-darwin-amd64" = ''
+ # create empty $lib/lib to avoid breaking builds
+ mkdir -p $lib/lib
+ ${nativePRNGWorkaround "$out/conf/security/java.security"}
+ '';
+ }.${javaVersionPlatform} + ''
+ # jni.h expects jni_md.h to be in the header search path.
+ ln -s $out/include/linux/*_md.h $out/include/
+ '';
+
+ dontStrip = true;
+
+ preFixup = ''
+ # We cannot use -exec since wrapProgram is a function but not a
+ # command.
+ #
+ # jspawnhelper is executed from JVM, so it doesn't need to wrap it,
+ # and it breaks building OpenJDK (#114495).
+ for bin in $( find "$out" -executable -type f -not -path '*/languages/ruby/lib/gems/*' -not -name jspawnhelper ); do
+ if patchelf --print-interpreter "$bin" &> /dev/null || head -n 1 "$bin" | grep '^#!' -q; then
+ wrapProgram "$bin" \
+ --prefix LD_LIBRARY_PATH : "${runtimeLibraryPath}"
+ fi
+ done
+
+ # copy-paste openjdk's preFixup
+ # Set JAVA_HOME automatically.
+ mkdir -p $out/nix-support
+ cat < $out/nix-support/setup-hook
+ if [ -z "\''${JAVA_HOME-}" ]; then export JAVA_HOME=$out; fi
+ EOF
+
+ find "$out" -name libfontmanager.so -exec \
+ patchelf --add-needed libfontconfig.so {} \;
+ '';
+
+ # $out/bin/native-image needs zlib to build native executables.
+ propagatedBuildInputs = [ setJavaClassPath zlib ] ++
+ # On Darwin native-image calls clang and it
+ # tries to include ,
+ # and Interactive Ruby (irb) requires OpenSSL
+ # headers.
+ lib.optionals stdenv.hostPlatform.isDarwin [ Foundation openssl ];
+
+ doInstallCheck = true;
+ installCheckPhase = ''
+ echo ${
+ lib.escapeShellArg ''
+ public class HelloWorld {
+ public static void main(String[] args) {
+ System.out.println("Hello World");
+ }
+ }
+ ''
+ } > HelloWorld.java
+ $out/bin/javac HelloWorld.java
+
+ # run on JVM with Graal Compiler
+ $out/bin/java -XX:+UnlockExperimentalVMOptions -XX:+EnableJVMCI -XX:+UseJVMCICompiler HelloWorld | fgrep 'Hello World'
+
+ # Ahead-Of-Time compilation
+ $out/bin/native-image -H:-CheckToolchain -H:+ReportExceptionStackTraces --no-server HelloWorld
+ ./helloworld | fgrep 'Hello World'
+
+ ${
+ lib.optionalString stdenv.isLinux ''
+ # Ahead-Of-Time compilation with --static
+ # --static flag doesn't work for darwin
+ $out/bin/native-image --no-server --static HelloWorld
+ ./helloworld | fgrep 'Hello World'
+ ''
+ }
+
+ ${# TODO: Doesn't work on MacOS, we have this error:
+ # "Launching JShell execution engine threw: Operation not permitted (Bind failed)"
+ lib.optionalString (stdenv.isLinux) ''
+ echo "Testing Jshell"
+ echo '1 + 1' | $out/bin/jshell
+ ''
+ }
+
+ ${
+ lib.optionalString (platform != "linux-aarch64") ''
+ echo "Testing GraalPython"
+ $out/bin/graalpython -c 'print(1 + 1)'
+ echo '1 + 1' | $out/bin/graalpython
+ ''
+ }
+
+ echo "Testing TruffleRuby"
+ $out/bin/ruby -e 'puts(1 + 1)'
+
+ ${# TODO: `irb` on MacOS gives an error saying "Could not find OpenSSL
+ # headers, install via Homebrew or MacPorts or set OPENSSL_PREFIX", even
+ # though `openssl` is in `propagatedBuildInputs`. For more details see:
+ # https://github.com/NixOS/nixpkgs/pull/105815
+ # TODO: "truffleruby: an internal exception escaped out of the interpreter"
+ # error on linux-aarch64
+ # TODO: "core/kernel.rb:234:in `gem_original_require':
+ # /nix/store/wlc5xalzj2ip1l83siqw8ac5fjd52ngm-graalvm11-ce/languages/llvm/native/lib:
+ # cannot read file data: Is a directory (RuntimeError)" error on linux-amd64
+ lib.optionalString false ''
+ echo '1 + 1' | $out/bin/irb
+ ''
+ }
+ '';
+
+ passthru = {
+ home = graalvmXXX-ce;
+ updateScript = ./update.sh;
+ };
+
+ meta = with lib; {
+ inherit platforms;
+ homepage = "https://www.graalvm.org/";
+ description = "High-Performance Polyglot VM";
+ license = with licenses; [ upl gpl2Classpath bsd3 ];
+ maintainers = with maintainers; [
+ bandresen
+ volth
+ hlolli
+ glittershark
+ babariviere
+ ericdallo
+ thiagokokada
+ ];
+ };
+ };
+in graalvmXXX-ce
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/repository.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/repository.nix
deleted file mode 100644
index 50a3b53c579..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/repository.nix
+++ /dev/null
@@ -1,271 +0,0 @@
-{ version, javaVersion, platforms }:
-
-{ stdenv, lib, fetchurl, autoPatchelfHook, setJavaClassPath, makeWrapper
-# minimum dependencies
-, Foundation, alsa-lib, fontconfig, freetype, glibc, openssl, perl, unzip, xorg
-, zlib
-# runtime dependencies
-, cups
-# runtime dependencies for GTK+ Look and Feel
-, gtkSupport ? true, cairo, glib, gtk3 }:
-
-let
- platform = if stdenv.isDarwin then "darwin-amd64" else "linux-amd64";
- runtimeDependencies = [ cups ]
- ++ lib.optionals gtkSupport [ cairo glib gtk3 ];
- runtimeLibraryPath = lib.makeLibraryPath runtimeDependencies;
- javaVersionPlatform = "${javaVersion}-${platform}";
- graalvmXXX-ce = stdenv.mkDerivation rec {
- name = "graalvm${javaVersion}-ce";
- srcs = [
- (fetchurl {
- sha256 = {
- "8-linux-amd64" = "01gyxjmfp7wpcyn7x8b184fn0lp3xryfw619bqch120pzvr6z88f";
- "11-linux-amd64" = "0w7lhvxm4nggqdcl4xrhdd3y6dqw9jhyca9adjkp508n4lqf1lxv";
- "11-darwin-amd64" = "0dnahicdl0vhrbiml9z9nbb7k75hbsjj8rs246i1lwril12dqb7n";
- }.${javaVersionPlatform};
- url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/vm-${version}/graalvm-ce-java${javaVersionPlatform}-${version}.tar.gz";
- })
- (fetchurl {
- sha256 = {
- "8-linux-amd64" = "1jlvrxdlbsmlk3ia43h9m29kmmdn83h6zdlnf8qb7bm38c84nhsc";
- "11-linux-amd64" = "1ybd7a6ii6582skr0nkxx7bccsa7gkg0yriql2h1lcz0rfzcdi3g";
- "11-darwin-amd64" = "1jdy845vanmz05zx5b9227gb1msh9wdrz2kf3fx9z54ssd9qgdhm";
- }.${javaVersionPlatform};
- url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/vm-${version}/native-image-installable-svm-java${javaVersionPlatform}-${version}.jar";
- })
- (fetchurl {
- sha256 = {
- "8-linux-amd64" = "18ip0ay06q1pryqs8ja988mvk9vw475c0nfjcznnsd1zp296p6jc";
- "11-linux-amd64" = "1jszz97mkqavxzyhx5jxhi43kqjxk9c36j5l5hy3kn8sdfmbplm4";
- "11-darwin-amd64" = "1767ryhv2cn5anlys63ysax1p8ag79bykac1xfrjfan8yv6d8ybl";
- }.${javaVersionPlatform};
- url = "https://github.com/oracle/truffleruby/releases/download/vm-${version}/ruby-installable-svm-java${javaVersionPlatform}-${version}.jar";
- })
- (fetchurl {
- sha256 = {
- "8-linux-amd64" = "0il15438qnikqsxdsl7fcdg0c8zs3cbm4ry7pys7fxxr1ckd8szq";
- "11-linux-amd64" = "07759sr8nijvqm8aqn69x9vq7lyppns7a6l6xribv43jvfmwpfkl";
- "11-darwin-amd64" = "01l3as8dihc7xqy5sdkrpxmpzrqbcvvg84m2s6j1j8y2db1khf2s";
- }.${javaVersionPlatform};
- url = "https://github.com/graalvm/graalpython/releases/download/vm-${version}/python-installable-svm-java${javaVersionPlatform}-${version}.jar";
- })
- (fetchurl {
- sha256 = {
- "8-linux-amd64" = "08s36rjy5irg25b7lqx0m4v2wpywin3cqyhdrywhvq14f7zshsd5";
- "11-linux-amd64" = "1ybjaknmbsdg8qzb986x39fq0h7fyiymdcigc7y86swk8dd916hv";
- "11-darwin-amd64" = "02dwlb62kqr4rjjmvkhn2xk9l1p47ahg9xyyfkw7im1jwlqmqnzf";
- }.${javaVersionPlatform};
- url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/vm-${version}/wasm-installable-svm-java${javaVersionPlatform}-${version}.jar";
- })
- ];
-
- buildInputs = lib.optionals stdenv.isLinux [
- alsa-lib # libasound.so wanted by lib/libjsound.so
- fontconfig
- freetype
- openssl # libssl.so wanted by languages/ruby/lib/mri/openssl.so
- stdenv.cc.cc.lib # libstdc++.so.6
- xorg.libX11
- xorg.libXext
- xorg.libXi
- xorg.libXrender
- xorg.libXtst
- zlib
- ];
-
- # Workaround for libssl.so.10 wanted by TruffleRuby
- # Resulting TruffleRuby cannot use `openssl` library.
- autoPatchelfIgnoreMissingDeps = true;
-
- nativeBuildInputs = [ unzip perl autoPatchelfHook makeWrapper ];
-
- unpackPhase = ''
- unpack_jar() {
- jar=$1
- unzip -q -o $jar -d $out
- perl -ne 'use File::Path qw(make_path);
- use File::Basename qw(dirname);
- if (/^(.+) = (.+)$/) {
- make_path dirname("$ENV{out}/$1");
- system "ln -s $2 $ENV{out}/$1";
- }' $out/META-INF/symlinks
- perl -ne 'if (/^(.+) = ([r-])([w-])([x-])([r-])([w-])([x-])([r-])([w-])([x-])$/) {
- my $mode = ($2 eq 'r' ? 0400 : 0) + ($3 eq 'w' ? 0200 : 0) + ($4 eq 'x' ? 0100 : 0) +
- ($5 eq 'r' ? 0040 : 0) + ($6 eq 'w' ? 0020 : 0) + ($7 eq 'x' ? 0010 : 0) +
- ($8 eq 'r' ? 0004 : 0) + ($9 eq 'w' ? 0002 : 0) + ($10 eq 'x' ? 0001 : 0);
- chmod $mode, "$ENV{out}/$1";
- }' $out/META-INF/permissions
- rm -rf $out/META-INF
- }
-
- mkdir -p $out
- arr=($srcs)
-
- # The tarball on Linux has the following directory structure:
- #
- # graalvm-ce-java11-20.3.0/*
- #
- # while on Darwin it looks like this:
- #
- # graalvm-ce-java11-20.3.0/Contents/Home/*
- #
- # We therefor use --strip-components=1 vs 3 depending on the platform.
- tar xf ''${arr[0]} -C $out --strip-components=${
- if stdenv.isLinux then "1" else "3"
- }
-
- # Sanity check
- if [ ! -d $out/bin ]; then
- echo "The `bin` is directory missing after extracting the graalvm"
- echo "tarball, please compare the directory structure of the"
- echo "tarball with what happens in the unpackPhase (in particular"
- echo "with regards to the `--strip-components` flag)."
- exit 1
- fi
-
- unpack_jar ''${arr[1]}
- unpack_jar ''${arr[2]}
- unpack_jar ''${arr[3]}
- unpack_jar ''${arr[4]}
- '';
-
- installPhase = {
- "8-linux-amd64" = ''
- # BUG workaround http://mail.openjdk.java.net/pipermail/graal-dev/2017-December/005141.html
- substituteInPlace $out/jre/lib/security/java.security \
- --replace file:/dev/random file:/dev/./urandom \
- --replace NativePRNGBlocking SHA1PRNG
-
- # provide libraries needed for static compilation
- for f in ${glibc}/lib/* ${glibc.static}/lib/* ${zlib.static}/lib/*; do
- ln -s $f $out/jre/lib/svm/clibraries/${platform}/$(basename $f)
- done
-
- # allow using external truffle-api.jar and languages not included in the distrubution
- rm $out/jre/lib/jvmci/parentClassLoader.classpath
- '';
- "11-linux-amd64" = ''
- # BUG workaround http://mail.openjdk.java.net/pipermail/graal-dev/2017-December/005141.html
- substituteInPlace $out/conf/security/java.security \
- --replace file:/dev/random file:/dev/./urandom \
- --replace NativePRNGBlocking SHA1PRNG
-
- # provide libraries needed for static compilation
- for f in ${glibc}/lib/* ${glibc.static}/lib/* ${zlib.static}/lib/*; do
- ln -s $f $out/lib/svm/clibraries/${platform}/$(basename $f)
- done
- '';
- "11-darwin-amd64" = ''
- # BUG workaround http://mail.openjdk.java.net/pipermail/graal-dev/2017-December/005141.html
- substituteInPlace $out/conf/security/java.security \
- --replace file:/dev/random file:/dev/./urandom \
- --replace NativePRNGBlocking SHA1PRNG
- '';
- }.${javaVersionPlatform} + ''
- # jni.h expects jni_md.h to be in the header search path.
- ln -s $out/include/linux/*_md.h $out/include/
- '';
-
- dontStrip = true;
-
- preFixup = ''
- # We cannot use -exec since wrapProgram is a function but not a
- # command.
- #
- # jspawnhelper is executed from JVM, so it doesn't need to wrap it,
- # and it breaks building OpenJDK (#114495).
- for bin in $( find "$out" -executable -type f -not -path '*/languages/ruby/lib/gems/*' -not -name jspawnhelper ); do
- if patchelf --print-interpreter "$bin" &> /dev/null || head -n 1 "$bin" | grep '^#!' -q; then
- wrapProgram "$bin" \
- --prefix LD_LIBRARY_PATH : "${runtimeLibraryPath}"
- fi
- done
-
- # copy-paste openjdk's preFixup
- # Set JAVA_HOME automatically.
- mkdir -p $out/nix-support
- cat < $out/nix-support/setup-hook
- if [ -z "\''${JAVA_HOME-}" ]; then export JAVA_HOME=$out; fi
- EOF
-
- find "$out" -name libfontmanager.so -exec \
- patchelf --add-needed libfontconfig.so {} \;
- '';
-
- # $out/bin/native-image needs zlib to build native executables.
- propagatedBuildInputs = [ setJavaClassPath zlib ] ++
- # On Darwin native-image calls clang and it
- # tries to include ,
- # and Interactive Ruby (irb) requires OpenSSL
- # headers.
- lib.optionals stdenv.hostPlatform.isDarwin [ Foundation openssl ];
-
- doInstallCheck = true;
- installCheckPhase = ''
- echo ${
- lib.escapeShellArg ''
- public class HelloWorld {
- public static void main(String[] args) {
- System.out.println("Hello World");
- }
- }
- ''
- } > HelloWorld.java
- $out/bin/javac HelloWorld.java
-
- # run on JVM with Graal Compiler
- $out/bin/java -XX:+UnlockExperimentalVMOptions -XX:+EnableJVMCI -XX:+UseJVMCICompiler HelloWorld | fgrep 'Hello World'
-
- # Ahead-Of-Time compilation
- $out/bin/native-image -H:-CheckToolchain -H:+ReportExceptionStackTraces --no-server HelloWorld
- ./helloworld | fgrep 'Hello World'
-
- ${
- lib.optionalString stdenv.isLinux ''
- # Ahead-Of-Time compilation with --static
- # --static flag doesn't work for darwin
- $out/bin/native-image --no-server --static HelloWorld
- ./helloworld | fgrep 'Hello World'
- ''
- }
-
- echo "Testing interpreted languages"
- $out/bin/graalpython -c 'print(1 + 1)'
- $out/bin/ruby -e 'puts(1 + 1)'
-
- echo '1 + 1' | $out/bin/graalpython
-
- ${
- lib.optionalString stdenv.isLinux ''
- # TODO: `irb` on MacOS gives an error saying "Could not find OpenSSL
- # headers, install via Homebrew or MacPorts or set OPENSSL_PREFIX", even
- # though `openssl` is in `propagatedBuildInputs`. For more details see:
- # https://github.com/NixOS/nixpkgs/pull/105815
- echo '1 + 1' | $out/bin/irb
- ''
- }
-
- ${lib.optionalString (javaVersion == "11" && stdenv.isLinux) ''
- # Doesn't work on MacOS, we have this error: "Launching JShell execution engine threw: Operation not permitted (Bind failed)"
- echo '1 + 1' | $out/bin/jshell
- ''}'';
-
- passthru.home = graalvmXXX-ce;
-
- meta = with lib; {
- homepage = "https://www.graalvm.org/";
- description = "High-Performance Polyglot VM";
- license = with licenses; [ upl gpl2Classpath bsd3 ];
- maintainers = with maintainers; [
- bandresen
- volth
- hlolli
- glittershark
- babariviere
- ericdallo
- ];
- platforms = platforms;
- };
- };
-in graalvmXXX-ce
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/update.sh b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/update.sh
new file mode 100755
index 00000000000..ba063b2a96a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/update.sh
@@ -0,0 +1,72 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -p curl -i bash coreutils nix common-updater-scripts curl jq
+
+set -eou pipefail
+
+cd "$(dirname "${BASH_SOURCE[0]}")"
+
+info() { echo "[INFO] $*"; }
+
+echo_file() { echo "$@" >> hashes.nix; }
+
+verlte() {
+ [ "$1" = "$(echo -e "$1\n$2" | sort -V | head -n1)" ]
+}
+
+readonly old_version="$(cat version)"
+
+if [[ -z "${1:-}" ]]; then
+ readonly gh_version="$(curl -s https://api.github.com/repos/graalvm/graalvm-ce-builds/releases/latest | jq --raw-output .tag_name)"
+ readonly new_version="${gh_version//vm-/}"
+else
+ readonly new_version="$1"
+fi
+
+if verlte "$new_version" "$old_version"; then
+ info "graalvm-ce $old_version is up-to-date. Exiting..."
+ exit 0
+else
+ info "graalvm-ce $old_version is out-of-date. Updating..."
+fi
+
+readonly urls=(
+ "https://github.com/graalvm/graalvm-ce-builds/releases/download/vm-${new_version}/graalvm-ce-java@platform@-${new_version}.tar.gz"
+ "https://github.com/graalvm/graalvm-ce-builds/releases/download/vm-${new_version}/native-image-installable-svm-java@platform@-${new_version}.jar"
+ "https://github.com/oracle/truffleruby/releases/download/vm-${new_version}/ruby-installable-svm-java@platform@-${new_version}.jar"
+ "https://github.com/graalvm/graalvm-ce-builds/releases/download/vm-${new_version}/wasm-installable-svm-java@platform@-${new_version}.jar"
+ "https://github.com/graalvm/graalpython/releases/download/vm-${new_version}/python-installable-svm-java@platform@-${new_version}.jar"
+)
+
+readonly platforms=(
+ "11-linux-aarch64"
+ "11-linux-amd64"
+ "11-darwin-amd64"
+)
+
+info "Deleting old hashes.nix file..."
+rm -f hashes.nix
+info "Generating hashes.nix file for 'graalvm-ce' $new_version. This will take a while..."
+
+echo_file "# Generated by $0 script"
+echo_file "{ javaVersionPlatform, ... }:"
+echo_file "["
+
+for url in "${urls[@]}"; do
+ echo_file " {"
+ echo_file " sha256 = {"
+ for platform in "${platforms[@]}"; do
+ if hash="$(nix-prefetch-url "${url//@platform@/$platform}")"; then
+ echo_file " \"$platform\" = \"$hash\";"
+ fi
+ done
+ echo_file ' }.${javaVersionPlatform} or null;'
+ echo_file " url = \"${url//@platform@/\$\{javaVersionPlatform\}}\";"
+ echo_file " }"
+done
+
+echo_file "]"
+
+info "Updating 'version' file..."
+echo "$new_version" > version
+
+info "Done!"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/version b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/version
new file mode 100644
index 00000000000..54d3ad73646
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/community-edition/version
@@ -0,0 +1 @@
+21.3.0
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/default.nix
deleted file mode 100644
index ccfb4a18a12..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/default.nix
+++ /dev/null
@@ -1,538 +0,0 @@
-{ stdenv, lib, fetchFromGitHub, fetchurl, fetchzip, fetchgit, mercurial_4, python27, setJavaClassPath,
- which, zlib, makeWrapper, openjdk, unzip, git, clang, llvm, icu, ruby, glibc, bash, gcc, libobjc,
- xcodebuild, gfortran, readline, bzip2, xz, pcre, curl, ed, libresolv, libiconv, writeScriptBin,
- openssl, perl, CoreFoundation, Foundation, JavaNativeFoundation, JavaRuntimeSupport, JavaVM, Cocoa
-}:
-
-let
- version = "19.2.1";
- mercurial = mercurial_4;
- truffleMake = ./truffle.make;
- makeMxGitCache = list: out: ''
- mkdir ${out}
- ${lib.concatMapStrings ({ url, name, rev, sha256 }: ''
- mkdir -p ${out}/${name}
- cp -rf ${fetchgit { inherit url rev sha256; }}/* ${out}/${name}
- ''
- ) list}
-
- # # GRAAL-NODEJS # #
- chmod -R +rw ${out}
- sed -i "s|#include \"../../../../mxbuild/trufflenode/coremodules/node_snapshots.h\"| \
- #include \"$NIX_BUILD_TOP/mxbuild/graal-nodejs/trufflenode/coremodules/node_snapshots.h\"|g" \
- ${out}/graaljs/graal-nodejs/deps/v8/src/graal/callbacks.cc
-
- # patch the shebang in python script runner
- chmod -R +rw ${out}/graaljs/graal-nodejs/mx.graal-nodejs/python2
- patchShebangs ${out}/graaljs/graal-nodejs/mx.graal-nodejs/python2/python
-
- # # TUFFLE-RUBY # #
- (cd ${out}/truffleruby && git apply ${./005_tool_jt.rb.patch})
- patchShebangs ${out}/truffleruby/tool/query-versions-json.rb
-
- substituteInPlace ${out}/truffleruby/src/main/c/Makefile \
- --replace '(MX_HOME)/mx' '(MX_HOME)/mx-internal'
-
- substituteInPlace ${out}/truffleruby/src/processor/java/org/truffleruby/processor/BuildInformationProcessor.java \
- --replace 'trufflerubyHome = findHome();' \
- 'trufflerubyHome = new File(System.getenv("MX_GIT_CACHE_DIR"), "truffleruby");' \
- --replace tool/query-versions-json.rb 'ruby tool/query-versions-json.rb' \
- --replace 'revision = runCommand("git rev-parse --short=8 HEAD");' \
- 'revision = "${version}";' \
- --replace 'compileDate = runCommand("git log -1 --date=short --pretty=format:%cd");' \
- 'compileDate = "1970-01-01";'
-
- substituteInPlace ${out}/truffleruby/mx.truffleruby/mx_truffleruby.py \
- --replace "mx_binary = join(mx._mx_home, 'mx')" "mx_binary = join(mx._mx_home, 'mx-internal')"
-
- # # FASTR # #
- (cd ${out}/fastr && git apply ${ ./006_mx_copylib.py.patch })
- (cd ${out}/fastr && git apply ${ ./007_unimplemented.c.patch })
- substituteInPlace ${out}/fastr/com.oracle.truffle.r.parser.processor/src/com/oracle/truffle/r/parser/processor/GenerateRParserProcessor.java \
- --replace 'File suiteRoot = srcGenDir.getCanonicalFile().getParentFile().getParentFile().getParentFile();' \
- 'File suiteRoot = new File(System.getenv("MX_GIT_CACHE_DIR"), "fastr");'
-
- substituteInPlace ${out}/fastr/com.oracle.truffle.r.native/gnur/Makefile.libs \
- --replace 'mx -p' 'mx-internal -p'
-
- substituteInPlace ${out}/fastr/com.oracle.truffle.r.native/include/Makefile \
- --replace 'mx -p' 'mx-internal -p'
-
- substituteInPlace ${out}/fastr/com.oracle.truffle.r.native/fficall/Makefile \
- --replace 'mx -p' 'mx-internal -p'
-
- substituteInPlace ${out}/fastr/com.oracle.truffle.r.native.recommended/Makefile \
- --replace 'mx -p' 'mx-internal -p'
-
- # Make sure that the logs aren't hidden when compiling gnur
- substituteInPlace ${out}/fastr/com.oracle.truffle.r.native/gnur/Makefile.gnur \
- --replace '> gnur_configure.log 2>&1' "" \
- --replace '> gnur_make.log 2>&1' ""
-
- substituteInPlace ${out}/fastr/com.oracle.truffle.r.native/run/Linux/Renviron \
- --replace /bin/ "" \
- --replace /usr/bin/ ""
-
- sed -i "s|exec \$mx|exec mx-internal|g" ${out}/fastr/com.oracle.truffle.r.native/run/*.sh
- chmod +x ${out}/fastr/com.oracle.truffle.r.native/run/*.sh
- patchShebangs ${out}/fastr/com.oracle.truffle.r.native/run/*.sh
-
- cd ${out}
- hg init
- hg add
- hg commit -m 'dummy commit'
- hg tag ${lib.escapeShellArg "vm${version}"}
- hg checkout ${lib.escapeShellArg "vm${version}"}
- '';
-
- # pre-download some cache entries ('mx' will not be able to download under nixbld)
- makeMxCache = list:
- stdenv.mkDerivation {
- name = "mx-cache";
- nativeBuildInputs = [ unzip ];
- buildCommand = with lib; ''
- mkdir $out
- ${lib.concatMapStrings
- ({url, name, sha1, isNinja ? false}: ''
- install -D ${fetchurl { inherit url sha1; }} $out/${name}
- echo -n ${sha1} > $out/${name}.sha1
- ${if isNinja then ''
- export BASENAME=${removeSuffix ".zip" name}
- mkdir "$out/$BASENAME.extracted" &&
- unzip "$out/${name}" -d "$out/$BASENAME.extracted"
-
- # Ninja is called later in the build process
- ${lib.optionalString stdenv.isLinux ''
- if [ -f $out/$BASENAME.extracted/ninja ]; then
- patchelf --set-interpreter \
- "$(cat $NIX_CC/nix-support/dynamic-linker)" \
- --set-rpath "${stdenv.cc.cc.lib}/lib64" \
- $out/$BASENAME.extracted/ninja
- fi''}
- ''
- else ""}
- '') list}
- '';
- };
-
- jvmci8-mxcache = [
- rec { sha1 = "53addc878614171ff0fcbc8f78aed12175c22cdb"; name = "JACOCOCORE_0.8.4_${sha1}/jacococore-0.8.4.jar"; url = "mirror://maven/org/jacoco/org.jacoco.core/0.8.4/org.jacoco.core-0.8.4.jar"; }
- rec { sha1 = "9bd1fa334d941005bc9ab3ac92478a590f5b7d73"; name = "JACOCOCORE_0.8.4_${sha1}/jacococore-0.8.4.sources.jar"; url = "mirror://maven/org/jacoco/org.jacoco.core/0.8.4/org.jacoco.core-0.8.4-sources.jar"; }
- rec { sha1 = "e5ca9511493b7e3bc2cabdb8ded92e855f3aac32"; name = "JACOCOREPORT_0.8.4_${sha1}/jacocoreport-0.8.4.jar"; url = "mirror://maven/org/jacoco/org.jacoco.report/0.8.4/org.jacoco.report-0.8.4.jar"; }
- rec { sha1 = "eb61e479b35b467954f28a565c094c563b790e19"; name = "JACOCOREPORT_0.8.4_${sha1}/jacocoreport-0.8.4.sources.jar"; url = "mirror://maven/org/jacoco/org.jacoco.report/0.8.4/org.jacoco.report-0.8.4-sources.jar"; }
- rec { sha1 = "869021a6d90cfb008b12e83fccbe42eca29e5355"; name = "JACOCOAGENT_0.8.4_${sha1}/jacocoagent-0.8.4.jar"; url = "mirror://maven/org/jacoco/org.jacoco.agent/0.8.4/org.jacoco.agent-0.8.4-runtime.jar"; }
- rec { sha1 = "306816fb57cf94f108a43c95731b08934dcae15c"; name = "JOPTSIMPLE_4_6_${sha1}/joptsimple-4-6.jar"; url = "mirror://maven/net/sf/jopt-simple/jopt-simple/4.6/jopt-simple-4.6.jar"; }
- rec { sha1 = "9cd14a61d7aa7d554f251ef285a6f2c65caf7b65"; name = "JOPTSIMPLE_4_6_${sha1}/joptsimple-4-6.sources.jar"; url = "mirror://maven/net/sf/jopt-simple/jopt-simple/4.6/jopt-simple-4.6-sources.jar"; }
- rec { sha1 = "fa29aa438674ff19d5e1386d2c3527a0267f291e"; name = "ASM_7.1_${sha1}/asm-7.1.jar"; url = "mirror://maven/org/ow2/asm/asm/7.1/asm-7.1.jar"; }
- rec { sha1 = "9d170062d595240da35301362b079e5579c86f49"; name = "ASM_7.1_${sha1}/asm-7.1.sources.jar"; url = "mirror://maven/org/ow2/asm/asm/7.1/asm-7.1-sources.jar"; }
- rec { sha1 = "a3662cf1c1d592893ffe08727f78db35392fa302"; name = "ASM_TREE_7.1_${sha1}/asm-tree-7.1.jar"; url = "mirror://maven/org/ow2/asm/asm-tree/7.1/asm-tree-7.1.jar"; }
- rec { sha1 = "157238292b551de8680505fa2d19590d136e25b9"; name = "ASM_TREE_7.1_${sha1}/asm-tree-7.1.sources.jar"; url = "mirror://maven/org/ow2/asm/asm-tree/7.1/asm-tree-7.1-sources.jar"; }
- rec { sha1 = "379e0250f7a4a42c66c5e94e14d4c4491b3c2ed3"; name = "ASM_ANALYSIS_7.1_${sha1}/asm-analysis-7.1.jar"; url = "mirror://maven/org/ow2/asm/asm-analysis/7.1/asm-analysis-7.1.jar"; }
- rec { sha1 = "36789198124eb075f1a5efa18a0a7812fb16f47f"; name = "ASM_ANALYSIS_7.1_${sha1}/asm-analysis-7.1.sources.jar"; url = "mirror://maven/org/ow2/asm/asm-analysis/7.1/asm-analysis-7.1-sources.jar"; }
- rec { sha1 = "431dc677cf5c56660c1c9004870de1ed1ea7ce6c"; name = "ASM_COMMONS_7.1_${sha1}/asm-commons-7.1.jar"; url = "mirror://maven/org/ow2/asm/asm-commons/7.1/asm-commons-7.1.jar"; }
- rec { sha1 = "a62ff3ae6e37affda7c6fb7d63b89194c6d006ee"; name = "ASM_COMMONS_7.1_${sha1}/asm-commons-7.1.sources.jar"; url = "mirror://maven/org/ow2/asm/asm-commons/7.1/asm-commons-7.1-sources.jar"; }
- rec { sha1 = "ec2544ab27e110d2d431bdad7d538ed509b21e62"; name = "COMMONS_MATH3_3_2_${sha1}/commons-math3-3-2.jar"; url = "mirror://maven/org/apache/commons/commons-math3/3.2/commons-math3-3.2.jar"; }
- rec { sha1 = "cd098e055bf192a60c81d81893893e6e31a6482f"; name = "COMMONS_MATH3_3_2_${sha1}/commons-math3-3-2.sources.jar"; url = "mirror://maven/org/apache/commons/commons-math3/3.2/commons-math3-3.2-sources.jar"; }
- rec { sha1 = "442447101f63074c61063858033fbfde8a076873"; name = "JMH_1_21_${sha1}/jmh-1-21.jar"; url = "mirror://maven/org/openjdk/jmh/jmh-core/1.21/jmh-core-1.21.jar"; }
- rec { sha1 = "a6fe84788bf8cf762b0e561bf48774c2ea74e370"; name = "JMH_1_21_${sha1}/jmh-1-21.sources.jar"; url = "mirror://maven/org/openjdk/jmh/jmh-core/1.21/jmh-core-1.21-sources.jar"; }
- rec { sha1 = "7aac374614a8a76cad16b91f1a4419d31a7dcda3"; name = "JMH_GENERATOR_ANNPROCESS_1_21_${sha1}/jmh-generator-annprocess-1-21.jar"; url = "mirror://maven/org/openjdk/jmh/jmh-generator-annprocess/1.21/jmh-generator-annprocess-1.21.jar"; }
- rec { sha1 = "fb48e2a97df95f8b9dced54a1a37749d2a64d2ae"; name = "JMH_GENERATOR_ANNPROCESS_1_21_${sha1}/jmh-generator-annprocess-1-21.sources.jar"; url = "mirror://maven/org/openjdk/jmh/jmh-generator-annprocess/1.21/jmh-generator-annprocess-1.21-sources.jar"; }
- rec { sha1 = "2973d150c0dc1fefe998f834810d68f278ea58ec"; name = "JUNIT_${sha1}/junit.jar"; url = "mirror://maven/junit/junit/4.12/junit-4.12.jar"; }
- rec { sha1 = "a6c32b40bf3d76eca54e3c601e5d1470c86fcdfa"; name = "JUNIT_${sha1}/junit.sources.jar"; url = "mirror://maven/junit/junit/4.12/junit-4.12-sources.jar"; }
- rec { sha1 = "42a25dc3219429f0e5d060061f71acb49bf010a0"; name = "HAMCREST_${sha1}/hamcrest.jar"; url = "mirror://maven/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"; }
- rec { sha1 = "1dc37250fbc78e23a65a67fbbaf71d2e9cbc3c0b"; name = "HAMCREST_${sha1}/hamcrest.sources.jar"; url = "mirror://maven/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar"; }
- rec { sha1 = "0d031013db9a80d6c88330c42c983fbfa7053193"; name = "hsdis_${sha1}/hsdis.so"; url = "https://lafo.ssw.uni-linz.ac.at/pub/graal-external-deps/hsdis/intel/hsdis-amd64-linux-${sha1}.so"; }
-] ++ lib.optionals stdenv.isLinux [
- rec { sha1 = "0d031013db9a80d6c88330c42c983fbfa7053193"; name = "hsdis_${sha1}/hsdis.so"; url = "https://lafo.ssw.uni-linz.ac.at/pub/graal-external-deps/hsdis/intel/hsdis-amd64-linux-${sha1}.so"; }
- ]
-++ lib.optionals stdenv.isDarwin [
- rec { sha1 = "67f6d23cbebd8998450a88b5bef362171f66f11a"; name = "hsdis_${sha1}/hsdis.dylib"; url = "https://lafo.ssw.uni-linz.ac.at/pub/graal-external-deps/hsdis/intel/hsdis-amd64-darwin-${sha1}.dylib"; }
- ];
-
- graal-mxcache = jvmci8-mxcache ++ [
- # rec { sha1 = "5001adab652fc4eb35e30cdefbb0765442f8b7db"; name = "LLVM_ORG_LIBCXX_SRC_${sha1}/llvm-org-libcxx-src.tar.gz"; url = "https://lafo.ssw.uni-linz.ac.at/pub/llvm-org/compiler-rt-llvmorg-8.0.0-4-gd563e33a79-bgae3b177eaa-linux-amd64.tar.gz"; }
- rec { sha1 = "5001adab652fc4eb35e30cdefbb0765442f8b7db"; name = "LLVM_ORG_COMPILER_RT_LINUX_${sha1}/llvm-org-compiler-rt-linux.tar.gz"; url = "https://lafo.ssw.uni-linz.ac.at/pub/llvm-org/compiler-rt-llvmorg-8.0.0-4-gd563e33a79-bgae3b177eaa-linux-amd64.tar.gz"; }
- rec { sha1 = "a990b2dba1c706f5c43c56fedfe70bad9a695852"; name = "LLVM_WRAPPER_${sha1}/llvm-wrapper.jar"; url = "mirror://maven/org/bytedeco/javacpp-presets/llvm/6.0.1-1.4.2/llvm-6.0.1-1.4.2.jar"; }
- rec { sha1 = "decbd95d46092fa9afaf2523b5b23d07ad7ad6bc"; name = "LLVM_WRAPPER_${sha1}/llvm-wrapper.sources.jar"; url = "mirror://maven/org/bytedeco/javacpp-presets/llvm/6.0.1-1.4.2/llvm-6.0.1-1.4.2-sources.jar"; }
- rec { sha1 = "cfa6a0259d98bff5aa8d41ba11b4d1dad648fbaa"; name = "JAVACPP_${sha1}/javacpp.jar"; url = "mirror://maven/org/bytedeco/javacpp/1.4.2/javacpp-1.4.2.jar"; }
- rec { sha1 = "fdb2d2c17f6b91cdd5421554396da8905f0dfed2"; name = "JAVACPP_${sha1}/javacpp.sources.jar"; url = "mirror://maven/org/bytedeco/javacpp/1.4.2/javacpp-1.4.2-sources.jar"; }
- rec { sha1 = "702ca2d0ae93841c5ab75e4d119b29780ec0b7d9"; name = "NINJA_SYNTAX_${sha1}/ninja-syntax.tar.gz"; url = "https://pypi.org/packages/source/n/ninja_syntax/ninja_syntax-1.7.2.tar.gz"; }
- rec { sha1 = "f2cfb09cee12469ff64f0d698b13de19903bb4f7"; name = "NanoHTTPD-WebSocket_${sha1}/nanohttpd-websocket.jar"; url = "mirror://maven/org/nanohttpd/nanohttpd-websocket/2.3.1/nanohttpd-websocket-2.3.1.jar"; }
- rec { sha1 = "a8d54d1ca554a77f377eff6bf9e16ca8383c8f6c"; name = "NanoHTTPD_${sha1}/nanohttpd.jar"; url = "mirror://maven/org/nanohttpd/nanohttpd/2.3.1/nanohttpd-2.3.1.jar"; }
- rec { sha1 = "946f8aa9daa917dd81a8b818111bec7e288f821a"; name = "ANTLR4_${sha1}/antlr4.jar"; url = "mirror://maven/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"; }
- rec { sha1 = "c3aeac59c022bdc497c8c48ed86fa50450e4896a"; name = "JLINE_${sha1}/jline.jar"; url = "mirror://maven/jline/jline/2.14.6/jline-2.14.6.jar"; }
- rec { sha1 = "d0bdc21c5e6404726b102998e44c66a738897905"; name = "JAVA_ALLOCATION_INSTRUMENTER_${sha1}/java-allocation-instrumenter.jar"; url = "mirror://maven/com/google/code/java-allocation-instrumenter/java-allocation-instrumenter/3.1.0/java-allocation-instrumenter-3.1.0.jar"; }
- rec { sha1 = "0da08b8cce7bbf903602a25a3a163ae252435795"; name = "ASM5_${sha1}/asm5.jar"; url = "mirror://maven/org/ow2/asm/asm/5.0.4/asm-5.0.4.jar"; }
- rec { sha1 = "396ce0c07ba2b481f25a70195c7c94922f0d1b0b"; name = "ASM_TREE5_${sha1}/asm-tree5.jar"; url = "mirror://maven/org/ow2/asm/asm-tree/5.0.4/asm-tree-5.0.4.jar"; }
- rec { sha1 = "280c265b789e041c02e5c97815793dfc283fb1e6"; name = "LIBFFI_SOURCES_${sha1}/libffi-sources.tar.gz"; url = "https://lafo.ssw.uni-linz.ac.at/pub/graal-external-deps/libffi-3.2.1.tar.gz"; }
- rec { sha1 = "8819cea8bfe22c9c63f55465e296b3855ea41786"; name = "TruffleJSON_${sha1}/trufflejson.jar"; url = "https://lafo.ssw.uni-linz.ac.at/pub/graal-external-deps/trufflejson-20180130.jar"; }
- rec { sha1 = "9712a8124c40298015f04a74f61b3d81a51513af"; name = "CHECKSTYLE_8.8_${sha1}/checkstyle-8.8.jar"; url = "https://lafo.ssw.uni-linz.ac.at/pub/graal-external-deps/checkstyle-8.8-all.jar"; }
- rec { sha1 = "8dc5a90bed5f51d7538d05b8c31c31b7dfddbd66"; name = "VISUALVM_COMMON_${sha1}/visualvm-common.tar.gz"; url = "https://lafo.ssw.uni-linz.ac.at/pub/graal-external-deps/visualvm/visualvm-19_0_0-20.tar.gz"; }
- rec { sha1 = "e6e60889b7211a80b21052a249bd7e0f88f79fee"; name = "Java-WebSocket_${sha1}/java-websocket.jar"; url = "mirror://maven/org/java-websocket/Java-WebSocket/1.3.9/Java-WebSocket-1.3.9.jar"; }
- rec { sha1 = "7a4d00d5ec5febd252a6182e8b6e87a0a9821f81"; name = "ICU4J_${sha1}/icu4j.jar"; url = "mirror://maven/com/ibm/icu/icu4j/62.1/icu4j-62.1.jar"; }
- # This duplication of asm with underscore and minus is totally weird
- rec { sha1 = "c01b6798f81b0fc2c5faa70cbe468c275d4b50c7"; name = "ASM-6.2.1_${sha1}/asm-6.2.1.jar"; url = "mirror://maven/org/ow2/asm/asm/6.2.1/asm-6.2.1.jar"; }
- rec { sha1 = "cee28077ac7a63d3de0b205ec314d83944ff6267"; name = "ASM-6.2.1_${sha1}/asm-6.2.1.sources.jar"; url = "mirror://maven/org/ow2/asm/asm/6.2.1/asm-6.2.1-sources.jar"; }
- rec { sha1 = "332b022092ecec53cdb6272dc436884b2d940615"; name = "ASM_TREE-6.2.1_${sha1}/asm-tree-6.2.1.jar"; url = "mirror://maven/org/ow2/asm/asm-tree/6.2.1/asm-tree-6.2.1.jar"; }
- rec { sha1 = "072bd64989090e4ed58e4657e3d4481d96f643af"; name = "ASM_TREE-6.2.1_${sha1}/asm-tree-6.2.1.sources.jar"; url = "mirror://maven/org/ow2/asm/asm-tree/6.2.1/asm-tree-6.2.1-sources.jar"; }
- rec { sha1 = "e8b876c5ccf226cae2f44ed2c436ad3407d0ec1d"; name = "ASM_ANALYSIS-6.2.1_${sha1}/asm-analysis-6.2.1.jar"; url = "mirror://maven/org/ow2/asm/asm-analysis/6.2.1/asm-analysis-6.2.1.jar"; }
- rec { sha1 = "b0b249bd185677648692e7c57b488b6d7c2a6653"; name = "ASM_ANALYSIS-6.2.1_${sha1}/asm-analysis-6.2.1.sources.jar"; url = "mirror://maven/org/ow2/asm/asm-analysis/6.2.1/asm-analysis-6.2.1-sources.jar"; }
- rec { sha1 = "eaf31376d741a3e2017248a4c759209fe25c77d3"; name = "ASM_COMMONS-6.2.1_${sha1}/asm-commons-6.2.1.jar"; url = "mirror://maven/org/ow2/asm/asm-commons/6.2.1/asm-commons-6.2.1.jar"; }
- rec { sha1 = "667fa0f9d370e7848b0e3d173942855a91fd1daf"; name = "ASM_COMMONS-6.2.1_${sha1}/asm-commons-6.2.1.sources.jar"; url = "mirror://maven/org/ow2/asm/asm-commons/6.2.1/asm-commons-6.2.1-sources.jar"; }
- # From here on the deps are new
- rec { sha1 = "400d664d7c92a659d988c00cb65150d1b30cf339"; name = "ASM_UTIL-6.2.1_${sha1}/asm-util-6.2.1.jar"; url = "mirror://maven/org/ow2/asm/asm-util/6.2.1/asm-util-6.2.1.jar"; }
- rec { sha1 = "c9f7246bf93bb0dc7fe9e7c9eca531a8fb98d252"; name = "ASM_UTIL-6.2.1_${sha1}/asm-util-6.2.1.sources.jar"; url = "mirror://maven/org/ow2/asm/asm-util/6.2.1/asm-util-6.2.1-sources.jar"; }
- rec { sha1 = "4b52bd03014f6d080ef0528865c1ee50621e35c6"; name = "NETBEANS_PROFILER_${sha1}/netbeans-profiler.jar"; url = "https://lafo.ssw.uni-linz.ac.at/pub/graal-external-deps/truffle/js/org-netbeans-lib-profiler-8.2-201609300101.jar"; }
- rec { sha1 = "b5840706cc8ce639fcafeab1bc61da2d8aa37afd"; name = "NASHORN_INTERNAL_TESTS_${sha1}/nashorn-internal-tests.jar"; url = "https://lafo.ssw.uni-linz.ac.at/pub/graal-external-deps/truffle/js/nashorn-internal-tests-700f5e3f5ff2.jar"; }
- rec { sha1 = "9577018f9ce3636a2e1cb0a0c7fe915e5098ded5"; name = "JACKSON_ANNOTATIONS_${sha1}/jackson-annotations.jar"; url = "mirror://maven/com/fasterxml/jackson/core/jackson-annotations/2.8.6/jackson-annotations-2.8.6.jar"; }
- rec { sha1 = "2ef7b1cc34de149600f5e75bc2d5bf40de894e60"; name = "JACKSON_CORE_${sha1}/jackson-core.jar"; url = "mirror://maven/com/fasterxml/jackson/core/jackson-core/2.8.6/jackson-core-2.8.6.jar"; }
- rec { sha1 = "c43de61f74ecc61322ef8f402837ba65b0aa2bf4"; name = "JACKSON_DATABIND_${sha1}/jackson-databind.jar"; url = "mirror://maven/com/fasterxml/jackson/core/jackson-databind/2.8.6/jackson-databind-2.8.6.jar"; }
- rec { sha1 = "2838952e91baa37ac73ed817451268a193ba440a"; name = "JCODINGS_${sha1}/jcodings.jar"; url = "mirror://maven/org/jruby/jcodings/jcodings/1.0.40/jcodings-1.0.40.jar"; }
- rec { sha1 = "0ed89e096c83d540acac00d6ee3ea935b4c905ff"; name = "JCODINGS_${sha1}/jcodings.sources.jar"; url = "mirror://maven/org/jruby/jcodings/jcodings/1.0.40/jcodings-1.0.40-sources.jar"; }
- rec { sha1 = "5dbb09787a9b8780737b71fbf942235ef59051b9"; name = "JONI_${sha1}/joni.jar"; url = "mirror://maven/org/jruby/joni/joni/2.1.25/joni-2.1.25.jar"; }
- rec { sha1 = "505a09064f6e2209616f38724f6d97d8d889aa92"; name = "JONI_${sha1}/joni.sources.jar"; url = "mirror://maven/org/jruby/joni/joni/2.1.25/joni-2.1.25-sources.jar"; }
- rec { sha1 = "c4f7d054303948eb6a4066194253886c8af07128"; name = "XZ-1.8_${sha1}/xz-1.8.jar"; url = "mirror://maven/org/tukaani/xz/1.8/xz-1.8.jar"; }
- rec { sha1 = "9314d3d372b05546a33791fbc8dd579c92ebd16b"; name = "GNUR_${sha1}/gnur.tar.gz"; url = "http://cran.rstudio.com/src/base/R-3/R-3.5.1.tar.gz"; }
- rec { sha1 = "90aa8308da72ae610207d8f6ca27736921be692a"; name = "ANTLR4_COMPLETE_${sha1}/antlr4-complete.jar"; url = "https://lafo.ssw.uni-linz.ac.at/pub/graal-external-deps/antlr-4.7.1-complete.jar"; }] ++
- lib.optionals stdenv.isLinux [
- rec { sha1 = "df4c1f784294d02a82d78664064248283bfcc297"; name = "LLVM_ORG_${sha1}/llvm-org.tar.gz"; url = "https://lafo.ssw.uni-linz.ac.at/pub/llvm-org/llvm-llvmorg-8.0.0-4-gd563e33a79-bgae3b177eaa-linux-amd64.tar.gz"; }
- rec { sha1 = "344483aefa15147c121a8fb6fb35a2406768cc5c"; name = "LLVM_PLATFORM_SPECIFIC_${sha1}/llvm-platform-specific.jar"; url = "mirror://maven/org/bytedeco/javacpp-presets/llvm/6.0.1-1.4.2/llvm-6.0.1-1.4.2-linux-x86_64.jar"; }
- rec { sha1 = "fd1a723d62cbbc591041d303e8b151d89f131643"; name = "VISUALVM_PLATFORM_SPECIFIC_${sha1}/visualvm-platform-specific.tar.gz"; url = "https://lafo.ssw.uni-linz.ac.at/pub/graal-external-deps/visualvm/visualvm-19_0_0-20-linux-amd64.tar.gz"; }
- rec { sha1 = "987234c4ce45505c21302e097c24efef4873325c"; name = "NINJA_${sha1}/ninja.zip"; url = "https://github.com/ninja-build/ninja/releases/download/v1.8.2/ninja-linux.zip";
- isNinja = true; }] ++
- lib.optionals stdenv.isDarwin [
- rec { sha1 = "0fa1af180755fa4cc018ee9be33f2d7d827593c4"; name = "LLVM_ORG_${sha1}/llvm-org.tar.gz"; url = "https://lafo.ssw.uni-linz.ac.at/pub/llvm-org/llvm-llvmorg-8.0.0-4-gd563e33a79-bgae3b177eaa-darwin-amd64.tar.gz"; }
- rec { sha1 = "57bc74574104a9e0a2dc4d7a71ffcc5731909e57"; name = "LLVM_PLATFORM_SPECIFIC_${sha1}/llvm-platform-specific.jar"; url = "mirror://maven/org/bytedeco/javacpp-presets/llvm/6.0.1-1.4.2/llvm-6.0.1-1.4.2-macosx-x86_64.jar"; }
- rec { sha1 = "ae23bb365930f720acc88c62640bae6852a37d67"; name = "VISUALVM_PLATFORM_SPECIFIC_${sha1}/visualvm-platform-specific.tar.gz"; url = "https://lafo.ssw.uni-linz.ac.at/pub/graal-external-deps/visualvm/visualvm-19_0_0-20-macosx-x86_64.tar.gz"; }
- rec { sha1 = "8142c497f7dfbdb052a1e31960fdfe2c6f9a5ca2"; name = "NINJA_${sha1}/ninja.zip"; url = "https://github.com/ninja-build/ninja/releases/download/v1.8.2/ninja-mac.zip";
- isNinja = true; }];
-
- graal-mxcachegit = [
- { sha256 = "01w39ms39gl3cw7c2fgcacr2yjg94im9x2x7p5g94l6xlcgqvcnr"; name = "graaljs"; url = "https://github.com/graalvm/graaljs.git"; rev = "vm-${version}"; }
- { sha256 = "1dps9n5b9c80pbg1fmlwpffy6ina0f0h27di24kafc8isxrdggia"; name = "truffleruby"; url = "https://github.com/oracle/truffleruby.git"; rev = "vm-${version}"; }
- { sha256 = "0jdpdqm3ld1wsasmi8ka26qf19cibjac8lrqm040h5vh0iqzxizy"; name = "fastr"; url = "https://github.com/oracle/fastr.git"; rev = "vm-${version}"; }
- { sha256 = "1gv8vafwrafjzvgv4gwk4kcsb3bnvsx07qa5inc0bdyxy5shl381"; name = "graalpython"; url = "https://github.com/graalvm/graalpython.git"; rev = "vm-${version}"; }];
-
- ninja-syntax = python27.pkgs.buildPythonPackage rec {
- version = "1.7.2";
- pname = "ninja_syntax";
- doCheck = false;
- src = python27.pkgs.fetchPypi {
- inherit pname version;
- sha256 = "07zg30m0khx55fv2gxxn7pqd549z0vk3x592mrdlk9l8krxwjb9l";
- };
- };
-
- findbugs = fetchzip {
- name = "findbugs-3.0.0";
- url = "https://lafo.ssw.uni-linz.ac.at/pub/graal-external-deps/findbugs-3.0.0.zip";
- sha256 = "0sf5f9h1s6fmhfigjy81i109j1ani5kzdr4njlpq0mnkkh9fpr7m";
- };
-
- python27withPackages = python27.withPackages (ps: [ ninja-syntax ]);
-
-in rec {
-
- mx = stdenv.mkDerivation rec {
- version = "5.247.1";
- pname = "mx";
- src = fetchFromGitHub {
- owner = "graalvm";
- repo = "mx";
- rev = version;
- sha256 = "038qr49rqzkhj76nqd27h8fysssnlpdhmy23ks2y81xlxhlzkc59";
- };
- nativeBuildInputs = [ makeWrapper ];
- prePatch = ''
- cp mx.py bak_mx.py
- '';
- patches = [ ./001_mx.py.patch ];
- postPatch = ''
- mv mx.py internal_mx.py
- mv bak_mx.py mx.py
- '';
- buildPhase = ''
- substituteInPlace mx --replace /bin/pwd pwd
-
- # avoid crash with 'ValueError: ZIP does not support timestamps before 1980'
- substituteInPlace internal_mx.py --replace \
- 'zipfile.ZipInfo(arcname, time.localtime(getmtime(join(root, f)))[:6])' \
- 'zipfile.ZipInfo(arcname, time.strptime ("1 Jan 1980", "%d %b %Y" )[:6])'
- '';
- installPhase = ''
- mkdir -p $out/bin
- cp -dpR * $out/bin
- wrapProgram $out/bin/mx \
- --prefix PATH : ${lib.makeBinPath [ python27withPackages mercurial ]} \
- --set FINDBUGS_HOME ${findbugs}
- makeWrapper ${python27}/bin/python $out/bin/mx-internal \
- --add-flags "$out/bin/internal_mx.py" \
- --prefix PATH : ${lib.makeBinPath [ python27withPackages mercurial ]} \
- --set FINDBUGS_HOME ${findbugs}
- '';
- meta = with lib; {
- homepage = "https://github.com/graalvm/mx";
- description = "Command-line tool used for the development of Graal projects";
- license = licenses.gpl2;
- platforms = python27.meta.platforms;
- };
- };
-
- jvmci8 = stdenv.mkDerivation rec {
- version = "19.3-b05";
- pname = "jvmci";
- src = fetchFromGitHub {
- owner = "graalvm";
- repo = "graal-jvmci-8";
- rev = "jvmci-${version}";
- sha256 = "0j7my76vldbrvki9x1gn9ics3x2z96j05jdy4nflbpik8i396114";
- };
- buildInputs = [ mx mercurial openjdk ] ++ lib.optional stdenv.isDarwin [
- libobjc CoreFoundation Foundation JavaNativeFoundation JavaRuntimeSupport JavaVM xcodebuild Cocoa
- ];
- postUnpack = ''
- # a fake mercurial dir to prevent mx crash and supply the version to mx
- ( cd $sourceRoot
- hg init
- hg add
- hg commit -m 'dummy commit'
- hg tag ${lib.escapeShellArg src.rev}
- hg checkout ${lib.escapeShellArg src.rev}
- )
- '';
- patches = [ ./004_mx_jvmci.py.patch ] ++
- lib.optional stdenv.isDarwin [
- ./008_remove_jfr.patch ];
- postPatch =''
- # The hotspot version name regex fix
- substituteInPlace mx.jvmci/mx_jvmci.py \
- --replace "\\d+.\\d+-b\\d+" "\\d+.\\d+-b[g\\d][a\\d]"
- # darwin: https://github.com/oracle/graal/issues/1816
- substituteInPlace src/share/vm/code/compiledIC.cpp \
- --replace 'entry == false' '*entry == false'
- '';
- hardeningDisable = [ "fortify" ];
- NIX_CFLAGS_COMPILE = toString (lib.optional stdenv.isDarwin [
- "-Wno-reserved-user-defined-literal"
- "-Wno-c++11-narrowing"
- ] ++
- lib.optional stdenv.isLinux [
- "-Wno-error=format-overflow" # newly detected by gcc7
- "-Wno-error=nonnull"
- ]);
-
- buildPhase = ''
- export MX_ALT_OUTPUT_ROOT=$NIX_BUILD_TOP/mxbuild
- export MX_CACHE_DIR=${makeMxCache jvmci8-mxcache}
-
- mx-internal --primary-suite . --vm=server -v build -DFULL_DEBUG_SYMBOLS=0
- mx-internal --primary-suite . --vm=server -v vm -version
- mx-internal --primary-suite . --vm=server -v unittest
- '';
- installPhase = ''
- mkdir -p $out
- ${if stdenv.isDarwin
- then "mv openjdk1.8.0_*/darwin-amd64/product/* $out"
- else "mv openjdk1.8.0_*/linux-amd64/product/* $out"}
- install -v -m0555 -D $MX_CACHE_DIR/hsdis*/hsdis.so $out/jre/lib/amd64/hsdis-amd64.so
- '';
- # copy-paste openjdk's preFixup
- preFixup = ''
- # Propagate the setJavaClassPath setup hook from the JRE so that
- # any package that depends on the JRE has $CLASSPATH set up
- # properly.
- mkdir -p $out/nix-support
- printWords ${setJavaClassPath} > $out/nix-support/propagated-build-inputs
-
- # Set JAVA_HOME automatically.
- mkdir -p $out/nix-support
- cat < $out/nix-support/setup-hook
- if [ -z "\''${JAVA_HOME-}" ]; then export JAVA_HOME=$out; fi
- EOF
- '';
- postFixup = openjdk.postFixup or null;
- dontStrip = true; # stripped javac crashes with "segmentaion fault"
- inherit (openjdk) meta;
- };
-
- graalvm8 = stdenv.mkDerivation rec {
- inherit version;
- pname = "graal";
- src = fetchFromGitHub {
- owner = "oracle";
- repo = "graal";
- rev = "vm-${version}";
- sha256 = "0v8zkmzkyhmmmvra5pp876d4i4ijrrw15j98ipayc7is02kwiwmq";
- };
-
- patches = [ ./002_setjmp.c.patch ./003_mx_truffle.py.patch ] ++
- lib.optional stdenv.isDarwin [
- ./009_remove_signedness_verifier.patch ./010_mx_substratevm.py
- ];
-
- buildInputs = [ mx zlib.dev mercurial jvmci8 git llvm clang
- python27withPackages icu ruby bzip2 which
- readline bzip2 xz pcre curl ed gfortran
- ] ++ lib.optional stdenv.isDarwin [
- CoreFoundation gcc.cc.lib libiconv perl openssl
- ];
-
- postUnpack = ''
- ${lib.optionalString stdenv.isLinux ''
- cp ${stdenv.cc.cc}/include/c++/${lib.getVersion stdenv.cc.cc}/stdlib.h \
- $sourceRoot/sulong/projects/com.oracle.truffle.llvm.libraries.bitcode/include
- ''}
- cp ${truffleMake} $TMPDIR/truffle.make
- rm $sourceRoot/truffle/src/libffi/patches/others/0001-Add-mx-bootstrap-Makefile.patch
-
- # a fake mercurial dir to prevent mx crash and supply the version to mx
- ( cd $sourceRoot
- hg init
- hg add
- hg commit -m 'dummy commit'
- hg tag ${lib.escapeShellArg src.rev}
- hg checkout ${lib.escapeShellArg src.rev}
- )
-
- # make a copy of jvmci8
- mkdir $NIX_BUILD_TOP/jvmci8
- cp -dpR ${jvmci8}/* $NIX_BUILD_TOP/jvmci8
- chmod +w -R $NIX_BUILD_TOP/jvmci8
- export MX_CACHE_DIR=${makeMxCache graal-mxcache}
- export MX_GIT_CACHE_DIR=$NIX_BUILD_TOP/mxgitcache
- ${makeMxGitCache graal-mxcachegit "$MX_GIT_CACHE_DIR"}
- cd $TMPDIR
- '';
-
- postPatch = ''
- substituteInPlace substratevm/src/com.oracle.svm.core.posix/src/com/oracle/svm/core/posix/headers/PosixDirectives.java \
- --replace '' '<${zlib.dev}/include/zlib.h>'
- substituteInPlace substratevm/src/com.oracle.svm.hosted/src/com/oracle/svm/hosted/image/CCLinkerInvocation.java \
- --replace 'cmd.add("-v");' 'cmd.add("-v"); cmd.add("-L${zlib}/lib");'
-
- # For debugging native-image build, add this replace statement on CCompilerInvoker.java
- # --replace '(String line : lines) {' '(String line : lines) {System.out.println("DEBUG: " + line);'
- ${if stdenv.isLinux then ''
- substituteInPlace substratevm/src/com.oracle.svm.hosted/src/com/oracle/svm/hosted/c/codegen/CCompilerInvoker.java \
- --replace 'command.add(Platform.includedIn(Platform.WINDOWS.class) ? "CL" : "gcc");' \
- 'command.add(Platform.includedIn(Platform.WINDOWS.class) ? "CL" : "${stdenv.cc}/bin/gcc");' ''
- else ''
- substituteInPlace substratevm/src/com.oracle.svm.hosted/src/com/oracle/svm/hosted/c/codegen/CCompilerInvoker.java \
- --replace 'command.add(Platform.includedIn(Platform.WINDOWS.class) ? "CL" : "gcc");' \
- 'command.add(Platform.includedIn(Platform.WINDOWS.class) ? "CL" : "${gcc.cc}/bin/gcc");
- command.add("-F"); command.add("${CoreFoundation}/Library/Frameworks");
- command.add("-framework"); command.add("CoreFoundation");'
- ''}
-
- # prevent cyclical imports caused by identical names
- substituteInPlace substratevm/src/com.oracle.svm.hosted/src/com/oracle/svm/hosted/image/CCLinkerInvocation.java \
- --replace 'protected String compilerCommand = "cc";' 'protected String compilerCommand = "${stdenv.cc}/bin/cc";'
- # dragonegg can't seem to compile on nix, so let's not require it
- substituteInPlace sulong/mx.sulong/suite.py \
- --replace '"requireDragonegg" : True,' '"requireDragonegg" : False,'
- substituteInPlace truffle/mx.truffle/mx_truffle.py \
- --replace 'os.path.relpath(self.subject.delegate.dir, self.subject.suite.vc_dir)' \
- 'self.subject.delegate.dir'
- substituteInPlace sulong/projects/bootstrap-toolchain-launchers/Makefile \
- --replace /bin/bash ${bash}/bin/bash
- # Patch the native-image template, as it will be run during build
- chmod +x vm/mx.vm/launcher_template.sh && patchShebangs vm/mx.vm
- # Prevent random errors from too low maxRuntimecompilemethods
- substituteInPlace truffle/mx.truffle/macro-truffle.properties \
- --replace '-H:MaxRuntimeCompileMethods=1400' \
- '-H:MaxRuntimeCompileMethods=28000'
- ${lib.optionalString stdenv.isDarwin ''
- substituteInPlace truffle/src/com.oracle.truffle.nfi.test.native/src/object.cc \
- --replace '#include ' ""
- ''}
- ${lib.optionalString stdenv.isLinux ''
- substituteInPlace sulong/projects/com.oracle.truffle.llvm.libraries.bitcode/include/stdlib.h \
- --replace '# include ' '# include "${stdenv.cc.cc}/include/c++/${lib.getVersion stdenv.cc.cc}/cstdlib"'
- ''}
- '';
-
- buildPhase = ''
- export MX_ALT_OUTPUT_ROOT=$NIX_BUILD_TOP/mxbuild
- export MX_GIT_CACHE='refcache'
- export JVMCI_VERSION_CHECK='ignore'
- export JAVA_HOME=$NIX_BUILD_TOP/jvmci8
- export FASTR_RELEASE=true
- export PKG_LDFLAGS_OVERRIDE="-L${pcre.out}/lib -L${zlib}/lib -L${gfortran.cc.lib}/lib64"
- ${lib.optionalString stdenv.isDarwin ''
- export CC="gcc"
- export CPP="gcc -E"
- export NIX_CXXSTDLIB_LINK=""
- export NIX_CXXSTDLIB_LINK_FOR_TARGET=""
- export OPENSSL_PREFIX=$(realpath openssl)
- # this fixes error: impure path 'LibFFIHeaderDirectives' used in link
- export NIX_ENFORCE_PURITY=0
- ''}
- ( cd vm
- mx-internal -v --suite sdk --suite compiler --suite vm --suite tools --suite regex --suite truffle \
- --dynamicimports /substratevm,/sulong,graal-js,graalpython,fastr,truffleruby build
- )
- '';
-
- installPhase =
- (if stdenv.isDarwin then ''
- mkdir -p $out
- rm -rf $MX_ALT_OUTPUT_ROOT/vm/darwin-amd64/GRAALVM_*STAGE1*
- cp -rf $MX_ALT_OUTPUT_ROOT/vm/darwin-amd64/GRAALVM*/graalvm-unknown-${version}/* $out
- ''
- else ''
- mkdir -p $out
- rm -rf $MX_ALT_OUTPUT_ROOT/vm/linux-amd64/GRAALVM_*STAGE1*
- cp -rf $MX_ALT_OUTPUT_ROOT/vm/linux-amd64/GRAALVM*/graalvm-unknown-${version}/* $out
-
- # BUG workaround http://mail.openjdk.java.net/pipermail/graal-dev/2017-December/005141.html
- substituteInPlace $out/jre/lib/security/java.security \
- --replace file:/dev/random file:/dev/./urandom \
- --replace NativePRNGBlocking SHA1PRNG
- # copy static and dynamic libraries needed for static compilation
- cp -rf ${glibc}/lib/* $out/jre/lib/svm/clibraries/linux-amd64/
- cp ${glibc.static}/lib/* $out/jre/lib/svm/clibraries/linux-amd64/
- cp ${zlib.static}/lib/libz.a $out/jre/lib/svm/clibraries/linux-amd64/libz.a
- '');
-
- inherit (jvmci8) preFixup;
- dontStrip = true; # stripped javac crashes with "segmentaion fault"
- doInstallCheck = true;
- installCheckPhase = ''
- echo ${lib.escapeShellArg ''
- public class HelloWorld {
- public static void main(String[] args) {
- System.out.println("Hello World");
- }
- }
- ''} > HelloWorld.java
- $out/bin/javac HelloWorld.java
-
- # run on JVM with Graal Compiler
- $out/bin/java -XX:+UnlockExperimentalVMOptions -XX:+EnableJVMCI -XX:+UseJVMCICompiler HelloWorld
- $out/bin/java -XX:+UnlockExperimentalVMOptions -XX:+EnableJVMCI -XX:+UseJVMCICompiler HelloWorld | fgrep 'Hello World'
-
- # Ahead-Of-Time compilation
- $out/bin/native-image --no-server HelloWorld
- ./helloworld
- ./helloworld | fgrep 'Hello World'
-
- ${lib.optionalString stdenv.isLinux
- ''
- # Ahead-Of-Time compilation with --static (supported on linux only)
- $out/bin/native-image --no-server --static HelloWorld
- ./helloworld
- ./helloworld | fgrep 'Hello World'
- ''}
- '';
- enableParallelBuilding = true;
- passthru.home = graalvm8;
-
- meta = with lib; {
- homepage = "https://github.com/oracle/graal";
- description = "High-Performance Polyglot VM";
- license = licenses.gpl2;
- maintainers = with maintainers; [ volth hlolli ];
- platforms = [ "x86_64-linux" "x86_64-darwin" /*"aarch64-linux"*/ ];
- };
- };
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/truffle.make b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/truffle.make
deleted file mode 100644
index ec357446a5d..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/graalvm/truffle.make
+++ /dev/null
@@ -1,16 +0,0 @@
-# This Makefile is used by mx to bootstrap libffi build.
-
-# `make MX_VERBOSE=y` will report all lines executed. The actual value doesn't
-# matter as long as it's not empty.
-
-QUIETLY$(MX_VERBOSE) = @
-
-.PHONY: default
-
-default:
- sed -i "s|-print-multi-os-directory||g" ../$(SOURCES)/configure
- $(QUIETLY) echo CONFIGURE libffi
- $(QUIETLY) mkdir ../$(OUTPUT)
- $(QUIETLY) cd ../$(OUTPUT) && ../$(SOURCES)/configure $(CONFIGURE_ARGS)
- $(QUIETLY) echo MAKE libffi
- $(QUIETLY) $(MAKE) -C ../$(OUTPUT)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/hip/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/hip/default.nix
new file mode 100644
index 00000000000..6a1e6383958
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/hip/default.nix
@@ -0,0 +1,182 @@
+{ stdenv
+, binutils-unwrapped
+, clang
+, clang-unwrapped
+, cmake
+, compiler-rt
+, fetchFromGitHub
+, fetchpatch
+, file
+, lib
+, lld
+, llvm
+, makeWrapper
+, perl
+, python
+, rocclr
+, rocm-comgr
+, rocm-device-libs
+, rocm-opencl-runtime
+, rocm-runtime
+, rocm-thunk
+, rocminfo
+, writeScript
+, writeText
+}:
+
+stdenv.mkDerivation rec {
+ name = "hip";
+ version = "4.3.1";
+ src = fetchFromGitHub {
+ owner = "ROCm-Developer-Tools";
+ repo = "HIP";
+ rev = "rocm-${version}";
+ sha256 = "sha256-dUdP32H0u6kVItS+VUE549vvxkV1mSN84HvyfeK2hEE=";
+ };
+
+ # FIXME: https://github.com/ROCm-Developer-Tools/HIP/issues/2317
+ postPatch = ''
+ cp ${rocm-opencl-runtime.src}/amdocl/cl_vk_amd.hpp amdocl/
+ '';
+
+ nativeBuildInputs = [ cmake python makeWrapper ];
+ propagatedBuildInputs = [
+ clang
+ compiler-rt
+ lld
+ llvm
+ rocclr
+ rocm-comgr
+ rocm-device-libs
+ rocm-runtime
+ rocm-thunk
+ rocminfo
+ ];
+
+ preConfigure = ''
+ export HIP_CLANG_PATH=${clang}/bin
+ export DEVICE_LIB_PATH=${rocm-device-libs}/lib
+ '';
+
+ # The patch version is the last two digits of year + week number +
+ # day in the week: date -d "2021-07-25" +%y%U%w
+ workweek = "21300";
+
+ cmakeFlags = [
+ "-DHSA_PATH=${rocm-runtime}"
+ "-DHIP_COMPILER=clang"
+ "-DHIP_PLATFORM=amd"
+ "-DHIP_VERSION_GITDATE=${workweek}"
+ "-DCMAKE_C_COMPILER=${clang}/bin/clang"
+ "-DCMAKE_CXX_COMPILER=${clang}/bin/clang++"
+ "-DLLVM_ENABLE_RTTI=ON"
+ "-DLIBROCclr_STATIC_DIR=${rocclr}/lib/cmake"
+ "-DROCclr_DIR=${rocclr}"
+ "-DHIP_CLANG_ROOT=${clang-unwrapped}"
+ ];
+
+ patches = [
+ (fetchpatch {
+ name = "no-git-during-build";
+ url = "https://github.com/acowley/HIP/commit/310b7e972cfb23216250c0240ba6134741679aee.patch";
+ sha256 = "08ky7v1yvajabn9m5x3afzrnz38gnrgc7vgqlbyr7s801c383ha1";
+ })
+ (fetchpatch {
+ name = "use-PATH-when-compiling-pch";
+ url = "https://github.com/acowley/HIP/commit/bfb4dd1eafa9714a2c05a98229cc35ffa3429b37.patch";
+ sha256 = "1wp0m32df7pf4rhx3k5n750fd7kz10zr60z0wllb0mw6h00w6xpz";
+ })
+ ];
+
+ # - fix bash paths
+ # - fix path to rocm_agent_enumerator
+ # - fix hcc path
+ # - fix hcc version parsing
+ # - add linker flags for libhsa-runtime64 and hc_am since libhip_hcc
+ # refers to them.
+ prePatch = ''
+ for f in $(find bin -type f); do
+ sed -e 's,#!/usr/bin/perl,#!${perl}/bin/perl,' \
+ -e 's,#!/bin/bash,#!${stdenv.shell},' \
+ -i "$f"
+ done
+
+ for f in $(find . -regex '.*\.cpp\|.*\.h\(pp\)?'); do
+ if grep -q __hcc_workweek__ "$f" ; then
+ substituteInPlace "$f" --replace '__hcc_workweek__' '${workweek}'
+ fi
+ done
+
+ sed 's,#!/usr/bin/python,#!${python}/bin/python,' -i hip_prof_gen.py
+
+ sed -e 's,$ROCM_AGENT_ENUM = "''${ROCM_PATH}/bin/rocm_agent_enumerator";,$ROCM_AGENT_ENUM = "${rocminfo}/bin/rocm_agent_enumerator";,' \
+ -e "s,^\($HIP_LIB_PATH=\).*$,\1\"$out/lib\";," \
+ -e 's,^\($HIP_CLANG_PATH=\).*$,\1"${clang}/bin";,' \
+ -e 's,^\($DEVICE_LIB_PATH=\).*$,\1"${rocm-device-libs}/amdgcn/bitcode";,' \
+ -e 's,^\($HIP_COMPILER=\).*$,\1"clang";,' \
+ -e 's,^\($HIP_RUNTIME=\).*$,\1"ROCclr";,' \
+ -e 's,^\([[:space:]]*$HSA_PATH=\).*$,\1"${rocm-runtime}";,'g \
+ -e 's,\([[:space:]]*$HOST_OSNAME=\).*,\1"nixos";,' \
+ -e 's,\([[:space:]]*$HOST_OSVER=\).*,\1"${lib.versions.majorMinor lib.version}";,' \
+ -e 's,^\([[:space:]]*\)$HIP_CLANG_INCLUDE_PATH = abs_path("$HIP_CLANG_PATH/../lib/clang/$HIP_CLANG_VERSION/include");,\1$HIP_CLANG_INCLUDE_PATH = "${clang-unwrapped}/lib/clang/$HIP_CLANG_VERSION/include";,' \
+ -e 's,^\([[:space:]]*$HIPCXXFLAGS .= " -isystem $HIP_CLANG_INCLUDE_PATH\)";,\1 -isystem ${rocm-runtime}/include";,' \
+ -e 's,\($HIPCXXFLAGS .= " -isystem \\"$HIP_INCLUDE_PATH\\"\)" ;,\1 --rocm-path=${rocclr}";,' \
+ -e "s,\$HIP_PATH/\(bin\|lib\),$out/\1,g" \
+ -e "s,^\$HIP_LIB_PATH=\$ENV{'HIP_LIB_PATH'};,\$HIP_LIB_PATH=\"$out/lib\";," \
+ -e 's,`file,`${file}/bin/file,g' \
+ -e 's,`readelf,`${binutils-unwrapped}/bin/readelf,' \
+ -e 's, ar , ${binutils-unwrapped}/bin/ar ,g' \
+ -i bin/hipcc
+
+ sed -e 's,^\($HSA_PATH=\).*$,\1"${rocm-runtime}";,' \
+ -e 's,^\($HIP_CLANG_PATH=\).*$,\1"${clang}/bin";,' \
+ -e 's,^\($HIP_PLATFORM=\).*$,\1"amd";,' \
+ -e 's,$HIP_CLANG_PATH/llc,${llvm}/bin/llc,' \
+ -e 's, abs_path, Cwd::abs_path,' \
+ -i bin/hipconfig
+
+ sed -e 's, abs_path, Cwd::abs_path,' -i bin/hipvars.pm
+
+ sed -e 's|_IMPORT_PREFIX}/../include|_IMPORT_PREFIX}/include|g' \
+ -e 's|''${HIP_CLANG_ROOT}/lib/clang/\*/include|${clang-unwrapped}/lib/clang/*/include|' \
+ -i hip-config.cmake.in
+ '';
+
+ preInstall = ''
+ mkdir -p $out/lib/cmake
+ '';
+
+ # The upstream ROCclr setup wants everything built into the same
+ # ROCclr output directory. We copy things into the HIP output
+ # directory, since it is downstream of ROCclr in terms of dependency
+ # direction. Thus we have device-libs and rocclr pieces in the HIP
+ # output directory.
+ postInstall = ''
+ mkdir -p $out/share
+ mv $out/lib/cmake $out/share/
+ mv $out/cmake/* $out/share/cmake/hip
+ mkdir -p $out/lib
+ ln -s ${rocm-device-libs}/lib $out/lib/bitcode
+ mkdir -p $out/include
+ ln -s ${clang-unwrapped}/lib/clang/11.0.0/include $out/include/clang
+ ln -s ${rocclr}/lib/*.* $out/lib
+ ln -s ${rocclr}/include/* $out/include
+ wrapProgram $out/bin/hipcc --set HIP_PATH $out --set HSA_PATH ${rocm-runtime} --set HIP_CLANG_PATH ${clang}/bin --prefix PATH : ${lld}/bin --set NIX_CC_WRAPPER_TARGET_HOST_${stdenv.cc.suffixSalt} 1 --prefix NIX_LDFLAGS ' ' -L${compiler-rt}/lib --prefix NIX_LDFLAGS_FOR_TARGET ' ' -L${compiler-rt}/lib
+ wrapProgram $out/bin/hipconfig --set HIP_PATH $out --set HSA_PATH ${rocm-runtime} --set HIP_CLANG_PATH ${clang}/bin
+ '';
+
+ passthru.updateScript = writeScript "update.sh" ''
+ #!/usr/bin/env nix-shell
+ #!nix-shell -i bash -p curl jq common-updater-scripts
+ version="$(curl -sL "https://api.github.com/repos/ROCm-Developer-Tools/HIP/tags" | jq '.[].name | split("-") | .[1] | select( . != null )' --raw-output | sort -n | tail -1)"
+ update-source-version hip "$version"
+ '';
+
+ meta = with lib; {
+ description = "C++ Heterogeneous-Compute Interface for Portability";
+ homepage = "https://github.com/ROCm-Developer-Tools/HIP";
+ license = licenses.mit;
+ maintainers = with maintainers; [ lovesegfault ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/idris2/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/idris2/default.nix
index c399772a9f3..a8ac6431a4d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/idris2/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/idris2/default.nix
@@ -13,13 +13,13 @@
# Uses scheme to bootstrap the build of idris2
stdenv.mkDerivation rec {
pname = "idris2";
- version = "0.4.0";
+ version = "0.5.1";
src = fetchFromGitHub {
owner = "idris-lang";
repo = "Idris2";
rev = "v${version}";
- sha256 = "105jybjf5s0k6003qzfxchzsfcpsxip180bh3mdmi74d464d0h8g";
+ sha256 = "sha256-6CTn8o5geWSesXO7vTrrV/2EOQ3f+nPQ2M5cem13ZSY=";
};
# We do not add any propagatedNativeBuildInputs because we do not want the
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/jrsonnet/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/jrsonnet/default.nix
index 512e0aa0247..5f99fb10cee 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/jrsonnet/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/jrsonnet/default.nix
@@ -1,4 +1,4 @@
-{ stdenv, lib, fetchFromGitHub, rustPlatform }:
+{ stdenv, lib, fetchFromGitHub, rustPlatform, installShellFiles }:
rustPlatform.buildRustPackage rec {
pname = "jrsonnet";
@@ -11,8 +11,17 @@ rustPlatform.buildRustPackage rec {
sha256 = "sha256-OX+iJJ3vdCsWWr8x31psV9Vne6xWDZnJc83NbJqMK1A=";
};
+ nativeBuildInputs = [ installShellFiles ];
+
postInstall = ''
ln -s $out/bin/jrsonnet $out/bin/jsonnet
+
+ for shell in bash zsh fish; do
+ installShellCompletion --cmd jrsonnet \
+ --$shell <($out/bin/jrsonnet --generate $shell /dev/null)
+ installShellCompletion --cmd jsonnet \
+ --$shell <($out/bin/jrsonnet --generate $shell /dev/null | sed s/jrsonnet/jsonnet/g)
+ done
'';
cargoSha256 = "sha256-eFfAU9Q3nYAJK+kKP1Y6ONjOIfkuYTlelrFrEW9IJ8c=";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/julia/1.6-bin.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/julia/1.6-bin.nix
index d8640228513..ad6083a1ea3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/julia/1.6-bin.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/julia/1.6-bin.nix
@@ -2,12 +2,12 @@
stdenv.mkDerivation rec {
pname = "julia-bin";
- version = "1.6.2";
+ version = "1.6.3";
src = {
x86_64-linux = fetchurl {
url = "https://julialang-s3.julialang.org/bin/linux/x64/${lib.versions.majorMinor version}/julia-${version}-linux-x86_64.tar.gz";
- sha256 = "0h1jh8gbvxb0pl1an0fbbg4lbd0sa24yj2f4yqwavw8dbdvvbd1y";
+ sha256 = "0jrijj9snfx70692z2301rjassvwjcsjbxdsjyif9hyp9hrrqif7";
};
}.${stdenv.hostPlatform.system} or (throw "Unsupported system: ${stdenv.hostPlatform.system}");
@@ -20,7 +20,6 @@ stdenv.mkDerivation rec {
patches = [
# Source release Nix patch(es) relevant for binary releases as well.
./patches/1.6-bin/0002-nix-Skip-tempname-test-broken-in-sandbox.patch
- ./patches/1.6-bin/0003-nix-Skip-chown-tests-broken-in-sandbox.patch
./patches/1.6-bin/0005-nix-Enable-parallel-unit-tests-for-sandbox.patch
];
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/julia/patches/1.6-bin/0003-nix-Skip-chown-tests-broken-in-sandbox.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/julia/patches/1.6-bin/0003-nix-Skip-chown-tests-broken-in-sandbox.patch
deleted file mode 100644
index e63c88c8fe3..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/julia/patches/1.6-bin/0003-nix-Skip-chown-tests-broken-in-sandbox.patch
+++ /dev/null
@@ -1,27 +0,0 @@
-From b20357fb1044d2c100172b1d5cbdf6c6d9bd3590 Mon Sep 17 00:00:00 2001
-From: Pontus Stenetorp
-Date: Thu, 8 Apr 2021 05:10:39 +0000
-Subject: [PATCH 3/6] nix: Skip `chown` tests broken in sandbox
-
----
- test/file.jl | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
-diff --git a/test/file.jl b/test/file.jl
-index bd4dd78f62..06fd4e49da 100644
---- a/test/file.jl
-+++ b/test/file.jl
-@@ -503,8 +503,8 @@ if !Sys.iswindows()
- @test stat(file).gid == 0
- @test stat(file).uid == 0
- else
-- @test_throws Base._UVError("chown($(repr(file)), -2, -1)", Base.UV_EPERM) chown(file, -2, -1) # Non-root user cannot change ownership to another user
-- @test_throws Base._UVError("chown($(repr(file)), -1, -2)", Base.UV_EPERM) chown(file, -1, -2) # Non-root user cannot change group to a group they are not a member of (eg: nogroup)
-+ @test_skip @test_throws Base._UVError("chown($(repr(file)), -2, -1)", Base.UV_EPERM) chown(file, -2, -1) # Non-root user cannot change ownership to another user
-+ @test_skip @test_throws Base._UVError("chown($(repr(file)), -1, -2)", Base.UV_EPERM) chown(file, -1, -2) # Non-root user cannot change group to a group they are not a member of (eg: nogroup)
- end
- else
- # test that chown doesn't cause any errors for Windows
---
-2.29.3
-
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/koka/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/koka/default.nix
index 0b88bc1ab1c..26c855e4ddb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/koka/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/koka/default.nix
@@ -1,15 +1,15 @@
{ stdenv, pkgsHostTarget, cmake, makeWrapper, mkDerivation, fetchFromGitHub
, alex, array, base, bytestring, cond, containers, directory, extra
-, filepath, haskeline, hpack, hspec, hspec-core, json, lib, mtl
+, filepath, hpack, hspec, hspec-core, isocline, json, lib, mtl
, parsec, process, regex-compat, text, time }:
let
- version = "2.1.9";
+ version = "2.3.1";
src = fetchFromGitHub {
owner = "koka-lang";
repo = "koka";
rev = "v${version}";
- sha256 = "0xny4x1a2lzwgmng60bni7rxfjx5ns70qbfp703qwms54clvj5wy";
+ sha256 = "18f4hsqgc6c0cnayabj311n438fjhf217j1kjaysa8w4k4pxl58z";
fetchSubmodules = true;
};
kklib = stdenv.mkDerivation {
@@ -33,14 +33,13 @@ mkDerivation rec {
isExecutable = true;
libraryToolDepends = [ hpack ];
executableHaskellDepends = [
- array base bytestring cond containers directory haskeline mtl
+ array base bytestring cond containers directory isocline mtl
parsec process text time kklib
];
executableToolDepends = [ alex makeWrapper ];
postInstall = ''
mkdir -p $out/share/koka/v${version}
cp -a lib $out/share/koka/v${version}
- cp -a contrib $out/share/koka/v${version}
cp -a kklib $out/share/koka/v${version}
wrapProgram "$out/bin/koka" \
--set CC "${lib.getBin cc}/bin/${cc.targetPrefix}cc" \
@@ -50,6 +49,7 @@ mkDerivation rec {
prePatch = "hpack";
description = "Koka language compiler and interpreter";
homepage = "https://github.com/koka-lang/koka";
+ changelog = "${homepage}/blob/master/doc/spec/news.mdk";
license = lib.licenses.asl20;
maintainers = with lib.maintainers; [ siraben sternenseemann ];
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/kotlin/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/kotlin/default.nix
index a1de91f2d39..9f2efdb409b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/kotlin/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/kotlin/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "kotlin";
- version = "1.5.30";
+ version = "1.5.31";
src = fetchurl {
url = "https://github.com/JetBrains/kotlin/releases/download/v${version}/kotlin-compiler-${version}.zip";
- sha256 = "sha256-Je69ubsuFl5LqO+/j/lDxF1Pw52//CwcqgWejdgTZ18=";
+ sha256 = "sha256-ZhERKG8+WsBqrzqUA9hp2alqF2tisUGBS+YmpHJJ/p4=";
};
propagatedBuildInputs = [ jre ] ;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ldc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ldc/default.nix
index a199747546a..317fbdba8bb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ldc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ldc/default.nix
@@ -1,4 +1,4 @@
import ./generic.nix {
- version = "1.25.1";
- ldcSha256 = "sha256-DjcW/pknvpEmTR/eXEEHECb2xEJic16evaU4CJthLUA=";
+ version = "1.27.1";
+ ldcSha256 = "1775001ba6n8w46ln530kb5r66vs935ingnppgddq8wqnc0gbj4k";
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ligo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ligo/default.nix
new file mode 100644
index 00000000000..f9b8020c924
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ligo/default.nix
@@ -0,0 +1,62 @@
+{ lib
+, fetchFromGitLab
+, coq
+, cacert
+}:
+
+coq.ocamlPackages.buildDunePackage rec {
+ pname = "ligo";
+ version = "0.26.0";
+ src = fetchFromGitLab {
+ owner = "ligolang";
+ repo = "ligo";
+ rev = "d48098c6724bc0a62170c2f9ff73c792c71c8452";
+ sha256 = "sha256-uu5985llYsi/9ExKZetk48FqU0sJQB1EirdT/pUw0DA=";
+ };
+
+ # The build picks this up for ligo --version
+ LIGO_VERSION=version;
+
+ useDune2 = true;
+
+ buildInputs = with coq.ocamlPackages; [
+ coq
+ menhir
+ menhirLib
+ qcheck
+ ocamlgraph
+ ppx_deriving
+ ppx_deriving_yojson
+ ppx_expect
+ tezos-base
+ tezos-shell-services
+ tezos-010-PtGRANAD-test-helpers
+ tezos-protocol-010-PtGRANAD-parameters
+ tezos-protocol-010-PtGRANAD
+ tezos-protocol-environment
+ yojson
+ getopt
+ terminal_size
+ pprint
+ linenoise
+ data-encoding
+ bisect_ppx
+ cmdliner
+ ];
+
+ checkInputs = [
+ cacert
+ coq.ocamlPackages.ca-certs
+ ];
+
+ doCheck = true;
+
+ meta = with lib; {
+ homepage = "https://ligolang.org/";
+ downloadPage = "https://ligolang.org/docs/intro/installation";
+ description = "A friendly Smart Contract Language for Tezos";
+ license = licenses.mit;
+ platforms = [ "x86_64-linux" ];
+ maintainers = with maintainers; [ ulrikstrid ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/10/compiler-rt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/10/compiler-rt/default.nix
index faba5d97b79..657a465a3fe 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/10/compiler-rt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/10/compiler-rt/default.nix
@@ -57,6 +57,7 @@ stdenv.mkDerivation {
./codesign.patch # Revert compiler-rt commit that makes codesign mandatory
./find-darwin-sdk-version.patch # don't test for macOS being >= 10.15
./gnu-install-dirs.patch
+ ../../common/compiler-rt/libsanitizer-no-cyclades-11.patch
]# ++ lib.optional stdenv.hostPlatform.isMusl ./sanitizers-nongnu.patch
++ lib.optional stdenv.hostPlatform.isAarch32 ./armv7l.patch;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/11/compiler-rt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/11/compiler-rt/default.nix
index e5b79692ae2..1111f14f9be 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/11/compiler-rt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/11/compiler-rt/default.nix
@@ -58,6 +58,7 @@ stdenv.mkDerivation {
# ld-wrapper dislikes `-rpath-link //nix/store`, so we normalize away the
# extra `/`.
./normalize-var.patch
+ ../../common/compiler-rt/libsanitizer-no-cyclades-11.patch
]# ++ lib.optional stdenv.hostPlatform.isMusl ./sanitizers-nongnu.patch
++ lib.optional stdenv.hostPlatform.isAarch32 ./armv7l.patch;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/12/compiler-rt/darwin-targetconditionals.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/12/compiler-rt/darwin-targetconditionals.patch
deleted file mode 100644
index 425dc2af01e..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/12/compiler-rt/darwin-targetconditionals.patch
+++ /dev/null
@@ -1,71 +0,0 @@
-diff --git a/lib/sanitizer_common/sanitizer_mac.cpp b/lib/sanitizer_common/sanitizer_mac.cpp
---- a/lib/sanitizer_common/sanitizer_mac.cpp
-+++ b/lib/sanitizer_common/sanitizer_mac.cpp
-@@ -613,9 +613,15 @@ HandleSignalMode GetHandleSignalMode(int signum) {
- // Offset example:
- // XNU 17 -- macOS 10.13 -- iOS 11 -- tvOS 11 -- watchOS 4
- constexpr u16 GetOSMajorKernelOffset() {
-- if (TARGET_OS_OSX) return 4;
-- if (TARGET_OS_IOS || TARGET_OS_TV) return 6;
-- if (TARGET_OS_WATCH) return 13;
-+#if TARGET_OS_OSX
-+ return 4;
-+#endif
-+#if TARGET_OS_IOS || TARGET_OS_TV
-+ return 6;
-+#endif
-+#if TARGET_OS_WATCH
-+ return 13;
-+#endif
- }
-
- using VersStr = char[64];
-@@ -627,13 +633,13 @@ static uptr ApproximateOSVersionViaKernelVersion(VersStr vers) {
- u16 os_major = kernel_major - offset;
-
- const char *format = "%d.0";
-- if (TARGET_OS_OSX) {
-- if (os_major >= 16) { // macOS 11+
-- os_major -= 5;
-- } else { // macOS 10.15 and below
-- format = "10.%d";
-- }
-+#if TARGET_OS_OSX
-+ if (os_major >= 16) { // macOS 11+
-+ os_major -= 5;
-+ } else { // macOS 10.15 and below
-+ format = "10.%d";
- }
-+#endif
- return internal_snprintf(vers, sizeof(VersStr), format, os_major);
- }
-
-@@ -681,15 +687,14 @@ void ParseVersion(const char *vers, u16 *major, u16 *minor) {
- // Aligned versions example:
- // macOS 10.15 -- iOS 13 -- tvOS 13 -- watchOS 6
- static void MapToMacos(u16 *major, u16 *minor) {
-- if (TARGET_OS_OSX)
-- return;
--
-- if (TARGET_OS_IOS || TARGET_OS_TV)
-+#if !TARGET_OS_OSX
-+#if TARGET_OS_IOS || TARGET_OS_TV
- *major += 2;
-- else if (TARGET_OS_WATCH)
-+#elif TARGET_OS_WATCH
- *major += 9;
-- else
-+#else
- UNREACHABLE("unsupported platform");
-+#endif
-
- if (*major >= 16) { // macOS 11+
- *major -= 5;
-@@ -697,6 +702,7 @@ static void MapToMacos(u16 *major, u16 *minor) {
- *minor = *major;
- *major = 10;
- }
-+#endif
- }
-
- static MacosVersion GetMacosAlignedVersionInternal() {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/12/compiler-rt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/12/compiler-rt/default.nix
index 16f58616aab..5f2cf9fd3fb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/12/compiler-rt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/12/compiler-rt/default.nix
@@ -59,8 +59,6 @@ stdenv.mkDerivation {
# extra `/`.
./normalize-var.patch
]# ++ lib.optional stdenv.hostPlatform.isMusl ./sanitizers-nongnu.patch
- # Prevent a compilation error on darwin
- ++ lib.optional stdenv.hostPlatform.isDarwin ./darwin-targetconditionals.patch
++ lib.optional stdenv.hostPlatform.isAarch32 ./armv7l.patch;
# TSAN requires XPC on Darwin, which we have no public/free source files for. We can depend on the Apple frameworks
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/12/llvm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/12/llvm/default.nix
index 7ac5031c36b..30a1a7a16df 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/12/llvm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/12/llvm/default.nix
@@ -54,7 +54,8 @@ in stdenv.mkDerivation (rec {
buildInputs = [ libxml2 libffi ]
++ optional enablePFM libpfm; # exegesis
- propagatedBuildInputs = [ ncurses zlib ];
+ propagatedBuildInputs = optionals (stdenv.buildPlatform == stdenv.hostPlatform) [ ncurses ]
+ ++ [ zlib ];
patches = [
./gnu-install-dirs.patch
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/compiler-rt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/compiler-rt/default.nix
index 9557f9d2d80..403924547bf 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/compiler-rt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/compiler-rt/default.nix
@@ -5,7 +5,7 @@ let
useLLVM = stdenv.hostPlatform.useLLVM or false;
bareMetal = stdenv.hostPlatform.parsed.kernel.name == "none";
haveLibc = stdenv.cc.libc != null;
- inherit (stdenv.hostPlatform) isMusl;
+ inherit (stdenv.hostPlatform) isMusl isAarch64;
in
@@ -27,10 +27,11 @@ stdenv.mkDerivation {
"-DCOMPILER_RT_DEFAULT_TARGET_ONLY=ON"
"-DCMAKE_C_COMPILER_TARGET=${stdenv.hostPlatform.config}"
"-DCMAKE_ASM_COMPILER_TARGET=${stdenv.hostPlatform.config}"
+ ] ++ lib.optionals (useLLVM || bareMetal || isMusl || isAarch64) [
+ "-DCOMPILER_RT_BUILD_LIBFUZZER=OFF"
] ++ lib.optionals (useLLVM || bareMetal || isMusl) [
"-DCOMPILER_RT_BUILD_SANITIZERS=OFF"
"-DCOMPILER_RT_BUILD_XRAY=OFF"
- "-DCOMPILER_RT_BUILD_LIBFUZZER=OFF"
"-DCOMPILER_RT_BUILD_PROFILE=OFF"
] ++ lib.optionals ((useLLVM || bareMetal) && !haveLibc) [
"-DCMAKE_C_COMPILER_WORKS=ON"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/default.nix
index 76a46492cf7..9fd4cd98901 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/default.nix
@@ -19,7 +19,7 @@
let
release_version = "13.0.0";
- candidate = "rc2"; # empty or "rcN"
+ candidate = ""; # empty or "rcN"
dash-candidate = lib.optionalString (candidate != "") "-${candidate}";
rev = ""; # When using a Git commit
rev-version = ""; # When using a Git commit
@@ -30,7 +30,7 @@ let
owner = "llvm";
repo = "llvm-project";
rev = if rev != "" then rev else "llvmorg-${version}";
- sha256 = "06cy6v231w067g310bwpk6a654j6q9rcxa0y0wz5sc5rrh61zjrn";
+ sha256 = "0cjl0vssi4y2g4nfr710fb6cdhxmn5r0vis15sf088zsc5zydfhw";
};
llvm_meta = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/libcxxabi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/libcxxabi/default.nix
index 7fadc6d6dca..6267678c46d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/libcxxabi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/libcxxabi/default.nix
@@ -14,8 +14,6 @@ stdenv.mkDerivation rec {
postUnpack = lib.optionalString stdenv.isDarwin ''
export TRIPLE=x86_64-apple-darwin
- '' + lib.optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -d libcxx -i ${../../libcxx-0001-musl-hacks.patch}
'' + lib.optionalString stdenv.hostPlatform.isWasm ''
patch -p1 -d llvm -i ${./wasm.patch}
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/lld/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/lld/default.nix
index 34ac265f4bf..2b5e9e965d0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/lld/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/13/lld/default.nix
@@ -18,6 +18,14 @@ stdenv.mkDerivation rec {
./gnu-install-dirs.patch
];
+ # On Darwin the llvm-config is perhaps not working fine as the
+ # LLVM_MAIN_SRC_DIR is not getting set correctly, and the build fails as the
+ # include path is not correct.
+ postPatch = lib.optionalString stdenv.isDarwin ''
+ substituteInPlace MachO/CMakeLists.txt --replace \
+ '(''${LLVM_MAIN_SRC_DIR}/' '(../'
+ '';
+
nativeBuildInputs = [ cmake ];
buildInputs = [ libllvm libxml2 ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/5/compiler-rt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/5/compiler-rt/default.nix
index 9f937ed140f..c684437cefd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/5/compiler-rt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/5/compiler-rt/default.nix
@@ -57,7 +57,8 @@ stdenv.mkDerivation {
./gnu-install-dirs.patch
] ++ lib.optional stdenv.hostPlatform.isMusl ./sanitizers-nongnu.patch
++ lib.optional (stdenv.hostPlatform.libc == "glibc") ./sys-ustat.patch
- ++ lib.optional stdenv.hostPlatform.isAarch32 ./armv7l.patch;
+ ++ lib.optional stdenv.hostPlatform.isAarch32 ./armv7l.patch
+ ++ [ ../../common/compiler-rt/libsanitizer-no-cyclades-9.patch ];
# TSAN requires XPC on Darwin, which we have no public/free source files for. We can depend on the Apple frameworks
# to get it, but they're unfree. Since LLVM is rather central to the stdenv, we patch out TSAN support so that Hydra
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/5/llvm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/5/llvm/default.nix
index 54fd783a7c2..6388cd65fbf 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/5/llvm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/5/llvm/default.nix
@@ -82,6 +82,9 @@ stdenv.mkDerivation ({
substituteInPlace unittests/Support/CMakeLists.txt \
--replace "Path.cpp" ""
rm unittests/Support/Path.cpp
+
+ # llvm-5 does not support dwarf-5 style info, fails on gcc-11.
+ rm test/tools/llvm-symbolizer/print_context.c
'' + optionalString stdenv.isAarch64 ''
patch -p0 < ${../../aarch64.patch}
'' + optionalString stdenv.hostPlatform.isMusl ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/6/compiler-rt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/6/compiler-rt/default.nix
index 7ee0943a888..74c17fd3e64 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/6/compiler-rt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/6/compiler-rt/default.nix
@@ -55,6 +55,7 @@ stdenv.mkDerivation {
# https://github.com/llvm/llvm-project/commit/947f9692440836dcb8d88b74b69dd379d85974ce
../../common/compiler-rt/glibc.patch
./gnu-install-dirs.patch
+ ../../common/compiler-rt/libsanitizer-no-cyclades-9.patch
] ++ lib.optional stdenv.hostPlatform.isMusl ./sanitizers-nongnu.patch
++ lib.optional stdenv.hostPlatform.isAarch32 ./armv7l.patch;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/7/compiler-rt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/7/compiler-rt/default.nix
index f6190b79918..6ea1280a403 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/7/compiler-rt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/7/compiler-rt/default.nix
@@ -57,6 +57,7 @@ stdenv.mkDerivation {
../../common/compiler-rt/glibc.patch
./codesign.patch # Revert compiler-rt commit that makes codesign mandatory
./gnu-install-dirs.patch
+ ../../common/compiler-rt/libsanitizer-no-cyclades-9.patch
] ++ lib.optional (useLLVM) ./crtbegin-and-end.patch
++ lib.optional stdenv.hostPlatform.isMusl ./sanitizers-nongnu.patch
++ lib.optional stdenv.hostPlatform.isAarch32 ./armv7l.patch;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/8/compiler-rt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/8/compiler-rt/default.nix
index bbaae803738..62672b336ff 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/8/compiler-rt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/8/compiler-rt/default.nix
@@ -57,6 +57,7 @@ stdenv.mkDerivation {
../../common/compiler-rt/glibc.patch
./codesign.patch # Revert compiler-rt commit that makes codesign mandatory
./gnu-install-dirs.patch
+ ../../common/compiler-rt/libsanitizer-no-cyclades-9.patch
]# ++ lib.optional stdenv.hostPlatform.isMusl ./sanitizers-nongnu.patch
++ lib.optional (useLLVM) ./crtbegin-and-end.patch
++ lib.optional stdenv.hostPlatform.isAarch32 ./armv7l.patch;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/9/compiler-rt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/9/compiler-rt/default.nix
index 4dc75bd1c14..83a75f516a0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/9/compiler-rt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/9/compiler-rt/default.nix
@@ -57,6 +57,7 @@ stdenv.mkDerivation {
../../common/compiler-rt/glibc.patch
./codesign.patch # Revert compiler-rt commit that makes codesign mandatory
./gnu-install-dirs.patch
+ ../../common/compiler-rt/libsanitizer-no-cyclades-9.patch
]# ++ lib.optional stdenv.hostPlatform.isMusl ./sanitizers-nongnu.patch
++ lib.optional stdenv.hostPlatform.isAarch32 ./armv7l.patch;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/common/compiler-rt/libsanitizer-no-cyclades-11.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/common/compiler-rt/libsanitizer-no-cyclades-11.patch
new file mode 100644
index 00000000000..890230cc14e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/common/compiler-rt/libsanitizer-no-cyclades-11.patch
@@ -0,0 +1,80 @@
+https://github.com/llvm/llvm-project/commit/68d5235cb58f988c71b403334cd9482d663841ab.patch
+https://reviews.llvm.org/D102059
+--- a/lib/sanitizer_common/sanitizer_common_interceptors_ioctl.inc
++++ b/lib/sanitizer_common/sanitizer_common_interceptors_ioctl.inc
+@@ -370,15 +370,6 @@ static void ioctl_table_fill() {
+
+ #if SANITIZER_GLIBC
+ // _(SIOCDEVPLIP, WRITE, struct_ifreq_sz); // the same as EQL_ENSLAVE
+- _(CYGETDEFTHRESH, WRITE, sizeof(int));
+- _(CYGETDEFTIMEOUT, WRITE, sizeof(int));
+- _(CYGETMON, WRITE, struct_cyclades_monitor_sz);
+- _(CYGETTHRESH, WRITE, sizeof(int));
+- _(CYGETTIMEOUT, WRITE, sizeof(int));
+- _(CYSETDEFTHRESH, NONE, 0);
+- _(CYSETDEFTIMEOUT, NONE, 0);
+- _(CYSETTHRESH, NONE, 0);
+- _(CYSETTIMEOUT, NONE, 0);
+ _(EQL_EMANCIPATE, WRITE, struct_ifreq_sz);
+ _(EQL_ENSLAVE, WRITE, struct_ifreq_sz);
+ _(EQL_GETMASTRCFG, WRITE, struct_ifreq_sz);
+--- a/lib/sanitizer_common/sanitizer_platform_limits_posix.cpp
++++ b/lib/sanitizer_common/sanitizer_platform_limits_posix.cpp
+@@ -143,7 +143,6 @@ typedef struct user_fpregs elf_fpregset_t;
+ # include
+ #endif
+ #include
+-#include
+ #include
+ #include
+ #include
+@@ -460,7 +459,6 @@ unsigned struct_ElfW_Phdr_sz = sizeof(Elf_Phdr);
+
+ #if SANITIZER_GLIBC
+ unsigned struct_ax25_parms_struct_sz = sizeof(struct ax25_parms_struct);
+- unsigned struct_cyclades_monitor_sz = sizeof(struct cyclades_monitor);
+ #if EV_VERSION > (0x010000)
+ unsigned struct_input_keymap_entry_sz = sizeof(struct input_keymap_entry);
+ #else
+@@ -824,15 +822,6 @@ unsigned struct_ElfW_Phdr_sz = sizeof(Elf_Phdr);
+ #endif // SANITIZER_LINUX
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+- unsigned IOCTL_CYGETDEFTHRESH = CYGETDEFTHRESH;
+- unsigned IOCTL_CYGETDEFTIMEOUT = CYGETDEFTIMEOUT;
+- unsigned IOCTL_CYGETMON = CYGETMON;
+- unsigned IOCTL_CYGETTHRESH = CYGETTHRESH;
+- unsigned IOCTL_CYGETTIMEOUT = CYGETTIMEOUT;
+- unsigned IOCTL_CYSETDEFTHRESH = CYSETDEFTHRESH;
+- unsigned IOCTL_CYSETDEFTIMEOUT = CYSETDEFTIMEOUT;
+- unsigned IOCTL_CYSETTHRESH = CYSETTHRESH;
+- unsigned IOCTL_CYSETTIMEOUT = CYSETTIMEOUT;
+ unsigned IOCTL_EQL_EMANCIPATE = EQL_EMANCIPATE;
+ unsigned IOCTL_EQL_ENSLAVE = EQL_ENSLAVE;
+ unsigned IOCTL_EQL_GETMASTRCFG = EQL_GETMASTRCFG;
+--- a/lib/sanitizer_common/sanitizer_platform_limits_posix.h
++++ b/lib/sanitizer_common/sanitizer_platform_limits_posix.h
+@@ -983,7 +983,6 @@ extern unsigned struct_vt_mode_sz;
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+ extern unsigned struct_ax25_parms_struct_sz;
+-extern unsigned struct_cyclades_monitor_sz;
+ extern unsigned struct_input_keymap_entry_sz;
+ extern unsigned struct_ipx_config_data_sz;
+ extern unsigned struct_kbdiacrs_sz;
+@@ -1328,15 +1327,6 @@ extern unsigned IOCTL_VT_WAITACTIVE;
+ #endif // SANITIZER_LINUX
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+-extern unsigned IOCTL_CYGETDEFTHRESH;
+-extern unsigned IOCTL_CYGETDEFTIMEOUT;
+-extern unsigned IOCTL_CYGETMON;
+-extern unsigned IOCTL_CYGETTHRESH;
+-extern unsigned IOCTL_CYGETTIMEOUT;
+-extern unsigned IOCTL_CYSETDEFTHRESH;
+-extern unsigned IOCTL_CYSETDEFTIMEOUT;
+-extern unsigned IOCTL_CYSETTHRESH;
+-extern unsigned IOCTL_CYSETTIMEOUT;
+ extern unsigned IOCTL_EQL_EMANCIPATE;
+ extern unsigned IOCTL_EQL_ENSLAVE;
+ extern unsigned IOCTL_EQL_GETMASTRCFG;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/common/compiler-rt/libsanitizer-no-cyclades-9.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/common/compiler-rt/libsanitizer-no-cyclades-9.patch
new file mode 100644
index 00000000000..7ef02a16926
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/common/compiler-rt/libsanitizer-no-cyclades-9.patch
@@ -0,0 +1,80 @@
+https://github.com/llvm/llvm-project/commit/68d5235cb58f988c71b403334cd9482d663841ab.patch
+https://reviews.llvm.org/D102059
+--- a/lib/sanitizer_common/sanitizer_common_interceptors_ioctl.inc
++++ b/lib/sanitizer_common/sanitizer_common_interceptors_ioctl.inc
+@@ -370,15 +370,6 @@ static void ioctl_table_fill() {
+
+ #if SANITIZER_GLIBC
+ // _(SIOCDEVPLIP, WRITE, struct_ifreq_sz); // the same as EQL_ENSLAVE
+- _(CYGETDEFTHRESH, WRITE, sizeof(int));
+- _(CYGETDEFTIMEOUT, WRITE, sizeof(int));
+- _(CYGETMON, WRITE, struct_cyclades_monitor_sz);
+- _(CYGETTHRESH, WRITE, sizeof(int));
+- _(CYGETTIMEOUT, WRITE, sizeof(int));
+- _(CYSETDEFTHRESH, NONE, 0);
+- _(CYSETDEFTIMEOUT, NONE, 0);
+- _(CYSETTHRESH, NONE, 0);
+- _(CYSETTIMEOUT, NONE, 0);
+ _(EQL_EMANCIPATE, WRITE, struct_ifreq_sz);
+ _(EQL_ENSLAVE, WRITE, struct_ifreq_sz);
+ _(EQL_GETMASTRCFG, WRITE, struct_ifreq_sz);
+--- a/lib/sanitizer_common/sanitizer_platform_limits_posix.cc
++++ b/lib/sanitizer_common/sanitizer_platform_limits_posix.cc
+@@ -143,7 +143,6 @@ typedef struct user_fpregs elf_fpregset_t;
+ # include
+ #endif
+ #include
+-#include
+ #include
+ #include
+ #include
+@@ -460,7 +459,6 @@ unsigned struct_ElfW_Phdr_sz = sizeof(Elf_Phdr);
+
+ #if SANITIZER_GLIBC
+ unsigned struct_ax25_parms_struct_sz = sizeof(struct ax25_parms_struct);
+- unsigned struct_cyclades_monitor_sz = sizeof(struct cyclades_monitor);
+ #if EV_VERSION > (0x010000)
+ unsigned struct_input_keymap_entry_sz = sizeof(struct input_keymap_entry);
+ #else
+@@ -824,15 +822,6 @@ unsigned struct_ElfW_Phdr_sz = sizeof(Elf_Phdr);
+ #endif // SANITIZER_LINUX
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+- unsigned IOCTL_CYGETDEFTHRESH = CYGETDEFTHRESH;
+- unsigned IOCTL_CYGETDEFTIMEOUT = CYGETDEFTIMEOUT;
+- unsigned IOCTL_CYGETMON = CYGETMON;
+- unsigned IOCTL_CYGETTHRESH = CYGETTHRESH;
+- unsigned IOCTL_CYGETTIMEOUT = CYGETTIMEOUT;
+- unsigned IOCTL_CYSETDEFTHRESH = CYSETDEFTHRESH;
+- unsigned IOCTL_CYSETDEFTIMEOUT = CYSETDEFTIMEOUT;
+- unsigned IOCTL_CYSETTHRESH = CYSETTHRESH;
+- unsigned IOCTL_CYSETTIMEOUT = CYSETTIMEOUT;
+ unsigned IOCTL_EQL_EMANCIPATE = EQL_EMANCIPATE;
+ unsigned IOCTL_EQL_ENSLAVE = EQL_ENSLAVE;
+ unsigned IOCTL_EQL_GETMASTRCFG = EQL_GETMASTRCFG;
+--- a/lib/sanitizer_common/sanitizer_platform_limits_posix.h
++++ b/lib/sanitizer_common/sanitizer_platform_limits_posix.h
+@@ -983,7 +983,6 @@ extern unsigned struct_vt_mode_sz;
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+ extern unsigned struct_ax25_parms_struct_sz;
+- extern unsigned struct_cyclades_monitor_sz;
+ extern unsigned struct_input_keymap_entry_sz;
+ extern unsigned struct_ipx_config_data_sz;
+ extern unsigned struct_kbdiacrs_sz;
+@@ -1328,15 +1327,6 @@ extern unsigned IOCTL_VT_WAITACTIVE;
+ #endif // SANITIZER_LINUX
+
+ #if SANITIZER_LINUX && !SANITIZER_ANDROID
+- extern unsigned IOCTL_CYGETDEFTHRESH;
+- extern unsigned IOCTL_CYGETDEFTIMEOUT;
+- extern unsigned IOCTL_CYGETMON;
+- extern unsigned IOCTL_CYGETTHRESH;
+- extern unsigned IOCTL_CYGETTIMEOUT;
+- extern unsigned IOCTL_CYSETDEFTHRESH;
+- extern unsigned IOCTL_CYSETDEFTIMEOUT;
+- extern unsigned IOCTL_CYSETTHRESH;
+- extern unsigned IOCTL_CYSETTIMEOUT;
+ extern unsigned IOCTL_EQL_EMANCIPATE;
+ extern unsigned IOCTL_EQL_ENSLAVE;
+ extern unsigned IOCTL_EQL_GETMASTRCFG;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/git/libcxxabi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/git/libcxxabi/default.nix
index 7fadc6d6dca..6267678c46d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/git/libcxxabi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/git/libcxxabi/default.nix
@@ -14,8 +14,6 @@ stdenv.mkDerivation rec {
postUnpack = lib.optionalString stdenv.isDarwin ''
export TRIPLE=x86_64-apple-darwin
- '' + lib.optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -d libcxx -i ${../../libcxx-0001-musl-hacks.patch}
'' + lib.optionalString stdenv.hostPlatform.isWasm ''
patch -p1 -d llvm -i ${./wasm.patch}
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/clang.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/clang.nix
index d6bfd07d444..2fac661b58a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/clang.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/clang.nix
@@ -1,4 +1,5 @@
-{ lib, stdenv
+{ stdenv
+, lib
, fetchFromGitHub
, cmake
, python3
@@ -65,7 +66,7 @@ stdenv.mkDerivation rec {
description = "ROCm fork of the clang C/C++/Objective-C/Objective-C++ LLVM compiler frontend";
homepage = "https://llvm.org/";
license = with licenses; [ ncsa ];
- maintainers = with maintainers; [ ];
+ maintainers = with maintainers; [ acowley lovesegfault ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/compiler-rt/compiler-rt-codesign.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/compiler-rt/compiler-rt-codesign.patch
new file mode 100644
index 00000000000..3cc12b94b20
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/compiler-rt/compiler-rt-codesign.patch
@@ -0,0 +1,33 @@
+From 3dec5f3475a26aeb4678627795c4b67c6b7b4785 Mon Sep 17 00:00:00 2001
+From: Will Dietz
+Date: Tue, 19 Sep 2017 13:13:06 -0500
+Subject: [PATCH] remove codesign use on Apple, disable ios sim testing that
+ needs it
+
+---
+ cmake/Modules/AddCompilerRT.cmake | 8 ------
+ test/asan/CMakeLists.txt | 52 ---------------------------------------
+ test/tsan/CMakeLists.txt | 47 -----------------------------------
+ 3 files changed, 107 deletions(-)
+
+diff --git a/cmake/Modules/AddCompilerRT.cmake b/cmake/Modules/AddCompilerRT.cmake
+index bc5fb9ff7..b64eb4246 100644
+--- a/cmake/Modules/AddCompilerRT.cmake
++++ b/cmake/Modules/AddCompilerRT.cmake
+@@ -210,14 +210,6 @@ function(add_compiler_rt_runtime name type)
+ set_target_properties(${libname} PROPERTIES IMPORT_PREFIX "")
+ set_target_properties(${libname} PROPERTIES IMPORT_SUFFIX ".lib")
+ endif()
+- if(APPLE)
+- # Ad-hoc sign the dylibs
+- add_custom_command(TARGET ${libname}
+- POST_BUILD
+- COMMAND codesign --sign - $
+- WORKING_DIRECTORY ${COMPILER_RT_LIBRARY_OUTPUT_DIR}
+- )
+- endif()
+ endif()
+ install(TARGETS ${libname}
+ ARCHIVE DESTINATION ${COMPILER_RT_LIBRARY_INSTALL_DIR}
+2.14.1
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/compiler-rt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/compiler-rt/default.nix
new file mode 100644
index 00000000000..6ea4fb281f8
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/compiler-rt/default.nix
@@ -0,0 +1,71 @@
+{ stdenv, lib, version, src, cmake, python3, llvm, libcxxabi, fetchpatch }:
+stdenv.mkDerivation rec {
+ pname = "compiler-rt";
+ inherit version src;
+
+ nativeBuildInputs = [ cmake python3 llvm ];
+
+ NIX_CFLAGS_COMPILE = [
+ "-DSCUDO_DEFAULT_OPTIONS=DeleteSizeMismatch=0:DeallocationTypeMismatch=0"
+ ];
+
+ cmakeFlags = [
+ "-DCOMPILER_RT_DEFAULT_TARGET_ONLY=ON"
+ "-DCMAKE_C_COMPILER_TARGET=${stdenv.hostPlatform.config}"
+ "-DCMAKE_ASM_COMPILER_TARGET=${stdenv.hostPlatform.config}"
+ "-DCOMPILER_RT_BUILD_SANITIZERS=OFF"
+ "-DCOMPILER_RT_BUILD_XRAY=OFF"
+ "-DCOMPILER_RT_BUILD_LIBFUZZER=OFF"
+ "-DCOMPILER_RT_BUILD_PROFILE=OFF"
+ "-DCMAKE_C_COMPILER_WORKS=ON"
+ "-DCMAKE_CXX_COMPILER_WORKS=ON"
+ "-DCOMPILER_RT_BAREMETAL_BUILD=ON"
+ "-DCMAKE_SIZEOF_VOID_P=${toString (stdenv.hostPlatform.parsed.cpu.bits / 8)}"
+ "-DCOMPILER_RT_BUILD_BUILTINS=ON"
+ "-DCMAKE_C_FLAGS=-nodefaultlibs"
+ #https://stackoverflow.com/questions/53633705/cmake-the-c-compiler-is-not-able-to-compile-a-simple-test-program
+ "-DCMAKE_TRY_COMPILE_TARGET_TYPE=STATIC_LIBRARY"
+ ];
+
+ outputs = [ "out" "dev" ];
+
+ patches = [
+ ./compiler-rt-codesign.patch # Revert compiler-rt commit that makes codesign mandatory
+ (fetchpatch {
+ name = "libsanitizer-no-cyclades-rocm.patch";
+ url = "https://gist.github.com/lovesegfault/b255dcf2fa4e202411a6a04b61e6cc04/raw";
+ sha256 = "sha256-PMMSLr2zHuNDn1OWqumqHwB74ktJSHxhJWkqEKB7Z64=";
+ stripLen = 1;
+ })
+ ];
+
+
+ # TSAN requires XPC on Darwin, which we have no public/free source files for. We can depend on the Apple frameworks
+ # to get it, but they're unfree. Since LLVM is rather central to the stdenv, we patch out TSAN support so that Hydra
+ # can build this. If we didn't do it, basically the entire nixpkgs on Darwin would have an unfree dependency and we'd
+ # get no binary cache for the entire platform. If you really find yourself wanting the TSAN, make this controllable by
+ # a flag and turn the flag off during the stdenv build.
+ postPatch = lib.optionalString (!stdenv.isDarwin) ''
+ substituteInPlace cmake/builtin-config-ix.cmake \
+ --replace 'set(X86 i386)' 'set(X86 i386 i486 i586 i686)'
+ '';
+
+ # Hack around weird upsream RPATH bug
+ postInstall = ''
+ ln -s "$out/lib"/*/* "$out/lib"
+ ln -s $out/lib/*/clang_rt.crtbegin-*.o $out/lib/crtbegin.o
+ ln -s $out/lib/*/clang_rt.crtend-*.o $out/lib/crtend.o
+ ln -s $out/lib/*/clang_rt.crtbegin_shared-*.o $out/lib/crtbeginS.o
+ ln -s $out/lib/*/clang_rt.crtend_shared-*.o $out/lib/crtendS.o
+ '';
+
+ enableParallelBuilding = true;
+
+ meta = with lib; {
+ description = "ROCm fork of the LLVM Compiler runtime libraries";
+ homepage = "https://github.com/RadeonOpenCompute/llvm-project";
+ license = licenses.ncsa;
+ maintainers = with maintainers; [ acowley lovesegfault ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/default.nix
index 581d0574671..3497f910d6d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/default.nix
@@ -1,12 +1,12 @@
-{ lib, buildPackages, fetchFromGitHub, callPackage, wrapCCWith }:
+{ stdenv, lib, buildPackages, fetchFromGitHub, callPackage, wrapCCWith, overrideCC }:
let
- version = "4.1.0";
+ version = "4.3.1";
src = fetchFromGitHub {
owner = "RadeonOpenCompute";
repo = "llvm-project";
rev = "rocm-${version}";
- hash = "sha256-DlId/dF5r0ULl2omYPCyu1Ic3XKlLL7ndiCA0RaF264=";
+ hash = "sha256-7XVtHcrTpw+NYUvuKQFWWFE0FlOTt8EnfZpvepQqE1c=";
};
in rec {
clang = wrapCCWith rec {
@@ -15,8 +15,25 @@ in rec {
clang_version=`${cc}/bin/clang -v 2>&1 | grep "clang version " | grep -E -o "[0-9.-]+"`
rsrc="$out/resource-root"
mkdir "$rsrc"
- ln -s "${lib.getLib cc}/lib/clang/$clang_version/include" "$rsrc"
+ ln -s "${cc}/lib/clang/$clang_version/include" "$rsrc"
+ ln -s "${compiler-rt}/lib" "$rsrc/lib"
echo "-resource-dir=$rsrc" >> $out/nix-support/cc-cflags
+ echo "--gcc-toolchain=${stdenv.cc.cc}" >> $out/nix-support/cc-cflags
+ echo "-Wno-unused-command-line-argument" >> $out/nix-support/cc-cflags
+ rm $out/nix-support/add-hardening.sh
+ touch $out/nix-support/add-hardening.sh
+ '';
+ };
+
+ clangNoCompilerRt = wrapCCWith rec {
+ cc = clang-unwrapped;
+ extraBuildCommands = ''
+ clang_version=`${cc}/bin/clang -v 2>&1 | grep "clang version " | grep -E -o "[0-9.-]+"`
+ rsrc="$out/resource-root"
+ mkdir "$rsrc"
+ ln -s "${cc}/lib/clang/$clang_version/include" "$rsrc"
+ echo "-resource-dir=$rsrc" >> $out/nix-support/cc-cflags
+ echo "--gcc-toolchain=${stdenv.cc.cc}" >> $out/nix-support/cc-cflags
echo "-Wno-unused-command-line-argument" >> $out/nix-support/cc-cflags
rm $out/nix-support/add-hardening.sh
touch $out/nix-support/add-hardening.sh
@@ -28,10 +45,15 @@ in rec {
src = "${src}/clang";
};
- lld = callPackage ./lld {
+ compiler-rt = callPackage ./compiler-rt {
+ inherit version llvm;
+ src = "${src}/compiler-rt";
+ stdenv = overrideCC stdenv clangNoCompilerRt;
+ };
+
+ lld = callPackage ./lld.nix {
inherit llvm version;
src = "${src}/lld";
- buildLlvmTools = buildPackages.llvmPackages_rocm;
};
llvm = callPackage ./llvm {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/lld/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/lld.nix
similarity index 67%
rename from infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/lld/default.nix
rename to infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/lld.nix
index c8ca83f76c1..2e3e1ca13d4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/lld/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/lld.nix
@@ -1,5 +1,5 @@
-{ lib, stdenv
-, buildLlvmTools
+{ stdenv
+, lib
, cmake
, libxml2
, llvm
@@ -14,18 +14,13 @@ stdenv.mkDerivation rec {
pname = "lld";
nativeBuildInputs = [ cmake ];
- buildInputs = [ libxml2 llvm ];
-
- cmakeFlags = [
- "-DLLVM_MAIN_SRC_DIR=${llvm.src}"
- ] ++ lib.optionals (stdenv.hostPlatform != stdenv.buildPlatform) [
- "-DLLVM_TABLEGEN_EXE=${buildLlvmTools.llvm}/bin/llvm-tblgen"
- "-DLLVM_CONFIG_PATH=${llvm.dev}/bin/llvm-config-native"
- ];
+ buildInputs = [ libxml2 llvm ];
outputs = [ "out" "dev" ];
+ cmakeFlags = [ "-DLLVM_MAIN_SRC_DIR=${llvm.src}" ];
+
postInstall = ''
moveToOutput include "$dev"
moveToOutput lib "$dev"
@@ -39,7 +34,7 @@ stdenv.mkDerivation rec {
description = "ROCm fork of the LLVM Linker";
homepage = "https://github.com/RadeonOpenCompute/llvm-project";
license = licenses.ncsa;
- maintainers = with maintainers; [ ];
+ maintainers = with maintainers; [ acowley lovesegfault ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/llvm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/llvm/default.nix
index 6d1ff664972..129cc69bf6d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/llvm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/llvm/rocm/llvm/default.nix
@@ -1,5 +1,7 @@
-{ lib, stdenv
+{ stdenv
+, lib
, fetchFromGitHub
+, writeScript
, cmake
, python3
, libxml2
@@ -87,11 +89,28 @@ in stdenv.mkDerivation rec {
passthru.src = src;
+ updateScript = writeScript "update.sh" ''
+ #!/usr/bin/env nix-shell
+ #!nix-shell -i bash -p curl jq common-updater-scripts nix-prefetch-github
+
+ version="$(curl -sL "https://api.github.com/repos/RadeonOpenCompute/llvm-project/releases?per_page=1" | jq '.[0].tag_name | split("-") | .[1]' --raw-output)"
+ current_version="$(grep "version =" pkgs/development/compilers/llvm/rocm/default.nix | cut -d'"' -f2)"
+ if [[ "$version" != "$current_version" ]]; then
+ tarball_meta="$(nix-prefetch-github RadeonOpenCompute llvm-project --rev "rocm-$version")"
+ tarball_hash="$(nix to-base64 sha256-$(jq -r '.sha256' <<< "$tarball_meta"))"
+ sed -i "pkgs/development/compilers/llvm/rocm/default.nix" \
+ -e 's,version = "\(.*\)",version = "'"$version"'",' \
+ -e 's,hash = "\(.*\)",hash = "sha256-'"$tarball_hash"'",'
+ else
+ echo rocm-llvm already up-to-date
+ fi
+ '';
+
meta = with lib; {
description = "ROCm fork of the LLVM compiler infrastructure";
homepage = "https://github.com/RadeonOpenCompute/llvm-project";
license = with licenses; [ ncsa ];
- maintainers = with maintainers; [ ];
+ maintainers = with maintainers; [ acowley lovesegfault ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/mint/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/mint/default.nix
index adb7490785e..a226c7edba4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/mint/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/mint/default.nix
@@ -1,9 +1,5 @@
-{ lib, fetchFromGitHub, crystal_1_0, openssl }:
+{ lib, fetchFromGitHub, crystal, openssl }:
-let
- crystal = crystal_1_0;
-
-in
crystal.buildCrystalPackage rec {
version = "0.14.0";
pname = "mint";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/miranda/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/miranda/default.nix
index 5de16633ed7..7b29699f151 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/miranda/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/miranda/default.nix
@@ -71,5 +71,6 @@ stdenv.mkDerivation rec {
license = licenses.bsd2;
maintainers = with maintainers; [ siraben ];
platforms = platforms.all;
+ mainProgram = "mira";
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/mit-scheme/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/mit-scheme/default.nix
index e7098abb60a..c67f8d2f72f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/mit-scheme/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/mit-scheme/default.nix
@@ -62,10 +62,10 @@ stdenv.mkDerivation {
runHook postInstall
'';
- postFixup =
- '' wrapProgram $out/bin/mit-scheme${arch} --set MITSCHEME_LIBRARY_PATH \
- $out/lib/mit-scheme${arch}
- '';
+ postFixup = ''
+ wrapProgram $out/bin/mit-scheme${arch}-${version} --set MITSCHEME_LIBRARY_PATH \
+ $out/lib/mit-scheme${arch}-${version}
+ '';
nativeBuildInputs = [ makeWrapper gnum4 texinfo texLive automake ghostscript autoconf libtool ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/mlkit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/mlkit/default.nix
index 77d2fe6cac9..04e9e8a1630 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/mlkit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/mlkit/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "mlkit";
- version = "4.5.7";
+ version = "4.5.9";
src = fetchFromGitHub {
owner = "melsman";
repo = "mlkit";
rev = "v${version}";
- sha256 = "sha256-Wq+Os7nzRA5Pxz6Ba7DudcDQs3KA0eYVLy1nO/A16EE=";
+ sha256 = "sha256-b+iPuGB82a0r0zl49+RbalxR6OpFNXOxZgubzKE+2M4=";
};
nativeBuildInputs = [ autoreconfHook mlton ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nextpnr/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nextpnr/default.nix
index 949c70318ac..07d301187a8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nextpnr/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nextpnr/default.nix
@@ -1,5 +1,5 @@
{ lib, stdenv, fetchFromGitHub, cmake
-, boost, python3, eigen
+, boost, python3, eigen, python3Packages
, icestorm, trellis
, llvmPackages
@@ -14,21 +14,21 @@ let
in
stdenv.mkDerivation rec {
pname = "nextpnr";
- version = "2021.08.16";
+ version = "2021.09.27";
srcs = [
(fetchFromGitHub {
owner = "YosysHQ";
repo = "nextpnr";
- rev = "b37d133c43c45862bd5c550b5d7fffaa8c49b968";
- sha256 = "0qc9d8cay2j5ggn0mgjq484vv7a14na16s9dmp7bqz7r9cn4b98n";
+ rev = "9d8d3bdbc48133ff7758c9c5293e5904bc6e5ba7";
+ sha256 = "sha256-5Axo8qX2+ATqQ170QqfhRwYfCRQLCKBW1kc89x9XljE=";
name = "nextpnr";
})
(fetchFromGitHub {
owner = "YosysHQ";
repo = "nextpnr-tests";
rev = "ccc61e5ec7cc04410462ec3196ad467354787afb";
- sha256 = "09a0bhrphr3rsppryrfak4rhziyj8k3s17kgb0vgm0abjiz0jgam";
+ sha256 = "sha256-VT0JfpRLgfo2WG+eoMdE0scPM5nKZZ/v1XlkeDNcQCU=";
name = "nextpnr-tests";
})
];
@@ -39,17 +39,18 @@ stdenv.mkDerivation rec {
= [ cmake ]
++ (lib.optional enableGui wrapQtAppsHook);
buildInputs
- = [ boostPython python3 eigen ]
+ = [ boostPython python3 eigen python3Packages.apycula ]
++ (lib.optional enableGui qtbase)
++ (lib.optional stdenv.cc.isClang llvmPackages.openmp);
cmakeFlags =
[ "-DCURRENT_GIT_VERSION=${lib.substring 0 7 (lib.elemAt srcs 0).rev}"
- "-DARCH=generic;ice40;ecp5"
+ "-DARCH=generic;ice40;ecp5;gowin"
"-DBUILD_TESTS=ON"
"-DICESTORM_INSTALL_PREFIX=${icestorm}"
"-DTRELLIS_INSTALL_PREFIX=${trellis}"
"-DTRELLIS_LIBDIR=${trellis}/lib/trellis"
+ "-DGOWIN_BBA_EXECUTABLE=${python3Packages.apycula}/bin/gowin_bba"
"-DUSE_OPENMP=ON"
# warning: high RAM usage
"-DSERIALIZE_CHIPDBS=OFF"
@@ -60,7 +61,7 @@ stdenv.mkDerivation rec {
patchPhase = with builtins; ''
# use PyPy for icestorm if enabled
- substituteInPlace ./ice40/family.cmake \
+ substituteInPlace ./ice40/CMakeLists.txt \
--replace ''\'''${PYTHON_EXECUTABLE}' '${icestorm.pythonInterp}'
'';
@@ -74,6 +75,7 @@ stdenv.mkDerivation rec {
wrapQtApp $out/bin/nextpnr-generic
wrapQtApp $out/bin/nextpnr-ice40
wrapQtApp $out/bin/nextpnr-ecp5
+ wrapQtApp $out/bin/nextpnr-gowin
'';
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nim/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nim/default.nix
index 25b1c487357..c4be7c27d85 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nim/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nim/default.nix
@@ -1,8 +1,9 @@
# https://nim-lang.github.io/Nim/packaging.html
# https://nim-lang.org/docs/nimc.html
-{ lib, buildPackages, stdenv, fetchurl, fetchgit, fetchFromGitHub, makeWrapper
-, openssl, pcre, readline, boehmgc, sqlite, nim-unwrapped }:
+{ lib, callPackage, buildPackages, stdenv, fetchurl, fetchgit, fetchFromGitHub
+, makeWrapper, openssl, pcre, readline, boehmgc, sqlite, nim-unwrapped
+, nimble-unwrapped }:
let
parseCpu = platform:
@@ -22,9 +23,9 @@ let
"mips64"
else if isMsp430 then
"msp430"
- else if isPowerPC && is32bit then
+ else if isPower && is32bit then
"powerpc"
- else if isPowerPC && is64bit then
+ else if isPower && is64bit then
"powerpc64"
else if isRiscV && is64bit then
"riscv64"
@@ -71,15 +72,17 @@ let
nimHost = parsePlatform stdenv.hostPlatform;
nimTarget = parsePlatform stdenv.targetPlatform;
- bootstrapCompiler = stdenv.mkDerivation rec {
+ bootstrapCompiler = let
+ revision = "561b417c65791cd8356b5f73620914ceff845d10";
+ in stdenv.mkDerivation {
pname = "nim-bootstrap";
- version = "0.20.0";
+ version = "g${lib.substring 0 7 revision}";
src = fetchgit {
# A Git checkout is much smaller than a GitHub tarball.
- url = "https://github.com/nim-lang/csources.git";
- rev = "v${version}";
- sha256 = "0i6vsfy1sgapx43n226q8m0pvn159sw2mhp50zm3hhb9zfijanis";
+ url = "https://github.com/nim-lang/csources_v1.git";
+ rev = revision;
+ sha256 = "1c2k681knrha1zmf4abhb32i2wwd3nwflzylnqryxk753swla043";
};
enableParallelBuilding = true;
@@ -95,12 +98,12 @@ in {
nim-unwrapped = stdenv.mkDerivation rec {
pname = "nim-unwrapped";
- version = "1.4.8";
+ version = "1.6.0";
strictDeps = true;
src = fetchurl {
url = "https://nim-lang.org/download/nim-${version}.tar.xz";
- hash = "sha256-t5jFd0EdfZW4YxJh27Nnbp0a/Z42dA0ESWagVVtBRBo=";
+ hash = "sha256-UgZdSNcqcnAuwa/l96mDHhFnNTHiec3/nK7AGgfuxj0=";
};
buildInputs = [ boehmgc openssl pcre readline sqlite ];
@@ -111,7 +114,7 @@ in {
./nixbuild.patch
# Load libraries at runtime by absolute path
- ];
+ ] ++ lib.optional (!stdenv.hostPlatform.isWindows) ./toLocation.patch;
configurePhase = ''
runHook preConfigure
@@ -186,138 +189,141 @@ in {
nim' = buildPackages.nim-unwrapped;
nimble' = buildPackages.nimble-unwrapped;
inherit (stdenv) targetPlatform;
- in stdenv.mkDerivation {
- name = "${targetPlatform.config}-nim-wrapper-${nim'.version}";
- inherit (nim') version;
- preferLocalBuild = true;
- strictDeps = true;
-
- nativeBuildInputs = [ makeWrapper ];
-
- patches = [
- ./nim.cfg.patch
- # Remove configurations that clash with ours
- ];
-
- unpackPhase = ''
- runHook preUnpack
- tar xf ${nim'.src} nim-$version/config
- cd nim-$version
- runHook postUnpack
- '';
-
- dontConfigure = true;
-
- buildPhase =
- # Configure the Nim compiler to use $CC and $CXX as backends
- # The compiler is configured by two configuration files, each with
- # a different DSL. The order of evaluation matters and that order
- # is not documented, so duplicate the configuration across both files.
- ''
- runHook preBuild
- cat >> config/config.nims << WTF
-
- switch("os", "${nimTarget.os}")
- switch("cpu", "${nimTarget.cpu}")
- switch("define", "nixbuild")
-
- # Configure the compiler using the $CC set by Nix at build time
- import strutils
- let cc = getEnv"CC"
- if cc.contains("gcc"):
- switch("cc", "gcc")
- elif cc.contains("clang"):
- switch("cc", "clang")
- WTF
-
- mv config/nim.cfg config/nim.cfg.old
- cat > config/nim.cfg << WTF
- os = "${nimTarget.os}"
- cpu = "${nimTarget.cpu}"
- define:"nixbuild"
- WTF
-
- cat >> config/nim.cfg < config/nim.cfg.old
- rm config/nim.cfg.old
-
- cat >> config/nim.cfg << WTF
-
- clang.cpp.exe %= "\$CXX"
- clang.cpp.linkerexe %= "\$CXX"
- clang.exe %= "\$CC"
- clang.linkerexe %= "\$CC"
- gcc.cpp.exe %= "\$CXX"
- gcc.cpp.linkerexe %= "\$CXX"
- gcc.exe %= "\$CC"
- gcc.linkerexe %= "\$CC"
- WTF
-
- runHook postBuild
+ self = stdenv.mkDerivation {
+ name = "${targetPlatform.config}-nim-wrapper-${nim'.version}";
+ inherit (nim') version;
+ preferLocalBuild = true;
+ strictDeps = true;
+
+ nativeBuildInputs = [ makeWrapper ];
+
+ patches = [
+ ./nim.cfg.patch
+ # Remove configurations that clash with ours
+ ];
+
+ unpackPhase = ''
+ runHook preUnpack
+ tar xf ${nim'.src} nim-$version/config
+ cd nim-$version
+ runHook postUnpack
'';
- wrapperArgs = [
- "--prefix PATH : ${lib.makeBinPath [ buildPackages.gdb ]}:${
- placeholder "out"
- }/bin"
- # Used by nim-gdb
-
- "--prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath [ openssl pcre ]}"
- # These libraries may be referred to by the standard library.
- # This is broken for cross-compilation because the package
- # set will be shifted back by nativeBuildInputs.
-
- "--set NIM_CONFIG_PATH ${placeholder "out"}/etc/nim"
- # Use the custom configuration
-
- ''--set NIX_HARDENING_ENABLE "''${NIX_HARDENING_ENABLE/fortify}"''
- # Fortify hardening appends -O2 to gcc flags which is unwanted for unoptimized nim builds.
- ];
+ dontConfigure = true;
+
+ buildPhase =
+ # Configure the Nim compiler to use $CC and $CXX as backends
+ # The compiler is configured by two configuration files, each with
+ # a different DSL. The order of evaluation matters and that order
+ # is not documented, so duplicate the configuration across both files.
+ ''
+ runHook preBuild
+ cat >> config/config.nims << WTF
+
+ switch("os", "${nimTarget.os}")
+ switch("cpu", "${nimTarget.cpu}")
+ switch("define", "nixbuild")
+
+ # Configure the compiler using the $CC set by Nix at build time
+ import strutils
+ let cc = getEnv"CC"
+ if cc.contains("gcc"):
+ switch("cc", "gcc")
+ elif cc.contains("clang"):
+ switch("cc", "clang")
+ WTF
+
+ mv config/nim.cfg config/nim.cfg.old
+ cat > config/nim.cfg << WTF
+ os = "${nimTarget.os}"
+ cpu = "${nimTarget.cpu}"
+ define:"nixbuild"
+ WTF
+
+ cat >> config/nim.cfg < config/nim.cfg.old
+ rm config/nim.cfg.old
+
+ cat >> config/nim.cfg << WTF
+
+ clang.cpp.exe %= "\$CXX"
+ clang.cpp.linkerexe %= "\$CXX"
+ clang.exe %= "\$CC"
+ clang.linkerexe %= "\$CC"
+ gcc.cpp.exe %= "\$CXX"
+ gcc.cpp.linkerexe %= "\$CXX"
+ gcc.exe %= "\$CC"
+ gcc.linkerexe %= "\$CC"
+ WTF
+
+ runHook postBuild
+ '';
+
+ wrapperArgs = [
+ "--prefix PATH : ${lib.makeBinPath [ buildPackages.gdb ]}:${
+ placeholder "out"
+ }/bin"
+ # Used by nim-gdb
+
+ "--prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath [ openssl pcre ]}"
+ # These libraries may be referred to by the standard library.
+ # This is broken for cross-compilation because the package
+ # set will be shifted back by nativeBuildInputs.
+
+ "--set NIM_CONFIG_PATH ${placeholder "out"}/etc/nim"
+ # Use the custom configuration
+
+ ''--set NIX_HARDENING_ENABLE "''${NIX_HARDENING_ENABLE/fortify}"''
+ # Fortify hardening appends -O2 to gcc flags which is unwanted for unoptimized nim builds.
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/bin $out/etc
+
+ cp -r config $out/etc/nim
+
+ for binpath in ${nim'}/bin/nim?*; do
+ local binname=`basename $binpath`
+ makeWrapper \
+ $binpath $out/bin/${targetPlatform.config}-$binname \
+ $wrapperArgs
+ ln -s $out/bin/${targetPlatform.config}-$binname $out/bin/$binname
+ done
- installPhase = ''
- runHook preInstall
-
- mkdir -p $out/bin $out/etc
-
- cp -r config $out/etc/nim
+ makeWrapper \
+ ${nim'}/nim/bin/nim $out/bin/${targetPlatform.config}-nim \
+ --set-default CC $(command -v $CC) \
+ --set-default CXX $(command -v $CXX) \
+ $wrapperArgs
+ ln -s $out/bin/${targetPlatform.config}-nim $out/bin/nim
- for binpath in ${nim'}/bin/nim?*; do
- local binname=`basename $binpath`
makeWrapper \
- $binpath $out/bin/${targetPlatform.config}-$binname \
+ ${nim'}/bin/testament $out/bin/${targetPlatform.config}-testament \
$wrapperArgs
- ln -s $out/bin/${targetPlatform.config}-$binname $out/bin/$binname
- done
-
- makeWrapper \
- ${nim'}/nim/bin/nim $out/bin/${targetPlatform.config}-nim \
- --set-default CC $(command -v $CC) \
- --set-default CXX $(command -v $CXX) \
- $wrapperArgs
- ln -s $out/bin/${targetPlatform.config}-nim $out/bin/nim
-
- makeWrapper \
- ${nim'}/bin/testament $out/bin/${targetPlatform.config}-testament \
- $wrapperArgs
- ln -s $out/bin/${targetPlatform.config}-testament $out/bin/testament
-
- makeWrapper \
- ${nimble'}/bin/nimble $out/bin/${targetPlatform.config}-nimble \
- --suffix PATH : $out/bin
- ln -s $out/bin/${targetPlatform.config}-nimble $out/bin/nimble
+ ln -s $out/bin/${targetPlatform.config}-testament $out/bin/testament
- runHook postInstall
- '';
+ makeWrapper \
+ ${nimble'}/bin/nimble $out/bin/${targetPlatform.config}-nimble \
+ --suffix PATH : $out/bin
+ ln -s $out/bin/${targetPlatform.config}-nimble $out/bin/nimble
- passthru = {
- nim = nim';
- nimble = nimble';
- };
+ runHook postInstall
+ '';
+
+ passthru = {
+ nim = nim';
+ nimble = nimble';
+ };
- meta = nim'.meta // {
- description = nim'.meta.description
- + " (${targetPlatform.config} wrapper)";
- platforms = with lib.platforms; unix ++ genode;
+ meta = nim'.meta // {
+ description = nim'.meta.description
+ + " (${targetPlatform.config} wrapper)";
+ platforms = with lib.platforms; unix ++ genode;
+ };
};
+ in self // {
+ pkgs = callPackage ../../../top-level/nim-packages.nix { nim = self; };
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nim/nim.cfg.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nim/nim.cfg.patch
index a95b672d1c1..7195132e52f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nim/nim.cfg.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nim/nim.cfg.patch
@@ -1,5 +1,5 @@
diff --git a/config/nim.cfg b/config/nim.cfg
-index a33a2f0a9..e069193ff 100644
+index 3b964d124..850ed0ed9 100644
--- a/config/nim.cfg
+++ b/config/nim.cfg
@@ -8,26 +8,12 @@
@@ -29,42 +29,3 @@ index a33a2f0a9..e069193ff 100644
path="$lib/deprecated/core"
path="$lib/deprecated/pure"
path="$lib/pure/collections"
-@@ -111,7 +97,7 @@ path="$lib/pure"
- @end
-
- @if unix:
-- @if not bsd or haiku:
-+ @if not bsd or genode or haiku:
- # -fopenmp
- gcc.options.linker = "-ldl"
- gcc.cpp.options.linker = "-ldl"
-@@ -295,29 +281,6 @@ vcc.cpp.options.size = "/O1"
- # Configuration for the Tiny C Compiler:
- tcc.options.always = "-w"
-
--# Configuration for the Genode toolchain
--@if genode:
-- noCppExceptions # avoid std C++
-- tlsEmulation:on # no TLS segment register magic
-- @if i386 or amd64:
-- gcc.exe = "genode-x86-gcc"
-- gcc.cpp.exe = "genode-x86-g++"
-- gcc.cpp.linkerexe = "genode-x86-ld"
-- @elif arm:
-- gcc.exe = "genode-arm-gcc"
-- gcc.cpp.exe = "genode-arm-g++"
-- gcc.cpp.linkerexe = "genode-arm-ld"
-- @elif arm64:
-- gcc.exe = "genode-aarch64-gcc"
-- gcc.cpp.exe = "genode-aarch64-g++"
-- gcc.cpp.linkerexe = "genode-aarch64-ld"
-- @elif riscv64:
-- gcc.exe = "genode-riscv-gcc"
-- gcc.cpp.exe = "genode-riscv-g++"
-- gcc.cpp.linkerexe = "genode-riscv-ld"
-- @end
--@end
--
- @if arm or arm64:
- --define:nimEmulateOverflowChecks
- @end
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nim/toLocation.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nim/toLocation.patch
new file mode 100644
index 00000000000..a3db4604404
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/nim/toLocation.patch
@@ -0,0 +1,16 @@
+diff --git a/lib/std/private/miscdollars.nim b/lib/std/private/miscdollars.nim
+index 840fedf54..6c3436308 100644
+--- a/lib/std/private/miscdollars.nim
++++ b/lib/std/private/miscdollars.nim
+@@ -6,9 +6,8 @@ template toLocation*(result: var string, file: string | cstring, line: int, col:
+ # it can be done in a single place.
+ result.add file
+ if line > 0:
+- result.add "("
++ result.add ":"
+ addInt(result, line)
+ if col > 0:
+- result.add ", "
++ result.add ":"
+ addInt(result, col)
+- result.add ")"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ocaml/4.13.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ocaml/4.13.nix
index 723b597b88d..4bfa949fa88 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ocaml/4.13.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ocaml/4.13.nix
@@ -1,9 +1,6 @@
import ./generic.nix {
major_version = "4";
minor_version = "13";
- patch_version = "0-beta1";
- src = fetchTarball {
- url = "https://caml.inria.fr/pub/distrib/ocaml-4.13/ocaml-4.13.0~beta1.tar.xz";
- sha256 = "0dbz69p1kqabjvzaasy2malfdfn4b93s504x2xs0dl5l3fa3p6c3";
- };
+ patch_version = "1";
+ sha256 = "sha256:1s7xwqidpjwfhnpfma4nb93gxfr7g9jfn03s1j03iyavmpgph7ck";
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ocaml/generic.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ocaml/generic.nix
index 2dd959600ea..e4cec4cf52e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ocaml/generic.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/ocaml/generic.nix
@@ -3,7 +3,7 @@
let
versionNoPatch = "${toString major_version}.${toString minor_version}";
version = "${versionNoPatch}.${toString patch_version}";
- safeX11 = stdenv: !(stdenv.isAarch32 || stdenv.isMips);
+ safeX11 = stdenv: !(stdenv.isAarch32 || stdenv.isMips || stdenv.hostPlatform.isStatic);
in
{ lib, stdenv, fetchurl, ncurses, buildEnv, libunwind
@@ -13,7 +13,7 @@ in
, spaceTimeSupport ? false
}:
-assert useX11 -> !stdenv.isAarch32 && !stdenv.isMips;
+assert useX11 -> safeX11 stdenv;
assert aflSupport -> lib.versionAtLeast version "4.05";
assert flambdaSupport -> lib.versionAtLeast version "4.03";
assert spaceTimeSupport -> lib.versionAtLeast version "4.04";
@@ -44,6 +44,8 @@ stdenv.mkDerivation (args // {
inherit src;
+ strictDeps = true;
+
prefixKey = "-prefix ";
configureFlags =
let flags = new: old:
@@ -56,7 +58,15 @@ stdenv.mkDerivation (args // {
++ optional aflSupport (flags "--with-afl" "-afl-instrument")
++ optional flambdaSupport (flags "--enable-flambda" "-flambda")
++ optional spaceTimeSupport (flags "--enable-spacetime" "-spacetime")
- ;
+ ++ optional (stdenv.hostPlatform.isStatic && (lib.versionOlder version "4.08")) "-no-shared-libs"
+ ++ optionals (stdenv.hostPlatform != stdenv.buildPlatform && lib.versionOlder version "4.08") [
+ "-host ${stdenv.hostPlatform.config}"
+ "-target ${stdenv.targetPlatform.config}"
+ ];
+ dontAddStaticConfigureFlags = lib.versionOlder version "4.08";
+ configurePlatforms = lib.optionals (lib.versionAtLeast version "4.08") [ "host" "target" ];
+ # x86_64-unknown-linux-musl-ld: -r and -pie may not be used together
+ hardeningDisable = lib.optional (lib.versionAtLeast version "4.09" && stdenv.hostPlatform.isMusl) "pie";
buildFlags = [ "world" ] ++ optionals useNativeCompilers [ "bootstrap" "world.opt" ];
buildInputs = optional (!lib.versionAtLeast version "4.07") ncurses
@@ -70,6 +80,8 @@ stdenv.mkDerivation (args // {
# Do what upstream does by default now: https://github.com/ocaml/ocaml/pull/10176
# This is required for aarch64-darwin, everything else works as is.
AS="${stdenv.cc}/bin/cc -c" ASPP="${stdenv.cc}/bin/cc -c"
+ '' + optionalString (lib.versionOlder version "4.08" && stdenv.hostPlatform.isStatic) ''
+ configureFlagsArray+=("-cc" "$CC" "-as" "$AS" "-partialld" "$LD -r")
'';
postBuild = ''
mkdir -p $out/include
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/openjdk/openjfx/11.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/openjdk/openjfx/11.nix
index 1d741fd8be2..223a1921ef9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/openjdk/openjfx/11.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/openjdk/openjfx/11.nix
@@ -24,6 +24,11 @@ let
dontUseCmakeConfigure = true;
+ postPatch = ''
+ substituteInPlace buildSrc/linux.gradle \
+ --replace ', "-Werror=implicit-function-declaration"' ""
+ '';
+
config = writeText "gradle.properties" (''
CONF = Release
JDK_HOME = ${openjdk11-bootstrap.home}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/orc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/orc/default.nix
index 40c89d0cc59..2d56d461627 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/orc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/orc/default.nix
@@ -12,6 +12,11 @@ in stdenv.mkDerivation rec {
sha256 = "1w0qmyj3v9sb2g7ff39pp38b9850y9hyy0bag26ifrby5f7ksvm6";
};
+ postPatch = lib.optionalString stdenv.isAarch32 ''
+ # https://gitlab.freedesktop.org/gstreamer/orc/-/issues/20
+ sed -i '/exec_opcodes_sys/d' testsuite/meson.build
+ '';
+
outputs = [ "out" "dev" ]
++ optional buildDevDoc "devdoc"
;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/osl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/osl/default.nix
index eeaba75c0de..736a9d3c34c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/osl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/osl/default.nix
@@ -17,7 +17,15 @@ in clangStdenv.mkDerivation rec {
sha256 = "1dwf10f2fpxc55pymwkapql20nc462mq61hv21c527994c2qp1ll";
};
- cmakeFlags = [ "-DUSE_BOOST_WAVE=ON" "-DENABLERTTI=ON" ];
+ cmakeFlags = [
+ "-DUSE_BOOST_WAVE=ON"
+ "-DENABLERTTI=ON"
+
+ # Build system implies llvm-config and llvm-as are in the same directory.
+ # Override defaults.
+ "-DLLVM_DIRECTORY=${llvm}"
+ "-DLLVM_CONFIG=${llvm.dev}/bin/llvm-config"
+ ];
preConfigure = "patchShebangs src/liboslexec/serialize-bc.bash ";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/roslyn/deps.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/roslyn/deps.nix
index deb72e522cd..0ddd7e7cbac 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/roslyn/deps.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/roslyn/deps.nix
@@ -137,10 +137,10 @@
}
{
name = "microsoft.netcore.app.host.linux-x64";
- version = "3.1.14";
+ version = "3.1.19";
src = fetchurl {
- url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.netcore.app.host.linux-x64/3.1.14/microsoft.netcore.app.host.linux-x64.3.1.14.nupkg";
- sha256 = "11rqnascx9asfyxgxzwgxgr9gxxndm552k4dn4p1s57ciz7vkg9h";
+ url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.netcore.app.host.linux-x64/3.1.19/microsoft.netcore.app.host.linux-x64.3.1.19.nupkg";
+ sha256 = "10fs93kg8vhhm1l05815m8yqz796i6gk824pk1bps239mshmkybr";
};
}
{
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/1_45.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/1_45.nix
index f499fc9e9b0..2c695f1844d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/1_45.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/1_45.nix
@@ -10,7 +10,7 @@
{ stdenv, lib
, buildPackages
, newScope, callPackage
-, CoreFoundation, Security
+, CoreFoundation, Security, SystemConfiguration
, pkgsBuildTarget, pkgsBuildBuild, pkgsBuildHost
, makeRustPlatform
, llvmPackages_5, llvm_10
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/1_53.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/1_55.nix
similarity index 55%
rename from infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/1_53.nix
rename to infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/1_55.nix
index dda6d393190..edd1f538e39 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/1_53.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/1_55.nix
@@ -12,7 +12,7 @@
{ stdenv, lib
, buildPackages
, newScope, callPackage
-, CoreFoundation, Security
+, CoreFoundation, Security, SystemConfiguration
, pkgsBuildTarget, pkgsBuildBuild, pkgsBuildHost
, makeRustPlatform
, llvmPackages_11
@@ -20,8 +20,8 @@
} @ args:
import ./default.nix {
- rustcVersion = "1.53.0";
- rustcSha256 = "1f95p259dfp5ca118bg107rj3rqwlswy65dxn3hg8sqgl4wwmxsw";
+ rustcVersion = "1.55.0";
+ rustcSha256 = "07l28f7grdmi65naq71pbmvdd61hwcpi40ry7kp7dy7m233rldxj";
llvmSharedForBuild = pkgsBuildBuild.llvmPackages_12.libllvm.override { enableSharedLibraries = true; };
llvmSharedForHost = pkgsBuildHost.llvmPackages_12.libllvm.override { enableSharedLibraries = true; };
@@ -34,24 +34,24 @@ import ./default.nix {
# Note: the version MUST be one version prior to the version we're
# building
- bootstrapVersion = "1.52.1";
+ bootstrapVersion = "1.54.0";
# fetch hashes by running `print-hashes.sh ${bootstrapVersion}`
bootstrapHashes = {
- i686-unknown-linux-gnu = "c91f0431c8137a4e98e097ab47b49846820531aafb6e9c249b71b770771832e9";
- x86_64-unknown-linux-gnu = "617ae06e212cb65bc4abbf52b158b0328b9f1a6c2f822c27c95b274d6fbc0627";
- x86_64-unknown-linux-musl = "c3eae6e78ee29e03416897f89b54448b2a03d063f07a78cde41757ad2e02c2f0";
- arm-unknown-linux-gnueabihf = "ef412d923a0c5a9fa54422f40cde62f2e85a62339057cb8b986a545b108d3347";
- armv7-unknown-linux-gnueabihf = "ec47b3f5c801f8a4df7180e088dcc1817ee160df34ef64ddac4fa50f714f119f";
- aarch64-unknown-linux-gnu = "17d9aa7bb73b819ef70d81013498727b7218533ee6cf3bd802c4eac29137fbcb";
- aarch64-unknown-linux-musl = "f2bae2b32f05a90eec041352d9329deb3e907f5560b9fda525788df3b8008b6b";
- x86_64-apple-darwin = "cfa73228ea54e2c94f75d1b142ea41444c463f4ee8562a3eca1b11b2fe8af95a";
- aarch64-apple-darwin = "217e9723f828c5359467d69b363a342d702bdcbbcc4107be907e6bc4531f4912";
- powerpc64le-unknown-linux-gnu = "f258c5d7d6d9022108672b7383412d930a5f59d7644d148e413c3ab0ae45604f";
- riscv64gc-unknown-linux-gnu = "c1c98ccc8bb4147a819411a10162c8f8ce1aaa5c65cf2c74802dce4dacd6e64b";
+ i686-unknown-linux-gnu = "1cd06090463711d50d98374ef52c1a84b9f4e3e35febaaef4890fb10536ceb3a";
+ x86_64-unknown-linux-gnu = "350354495b1d4b6dd2ec7cf96aa9bc61d031951cf667a31e8cf401dc508639e6";
+ x86_64-unknown-linux-musl = "3571db0018fcd32f3b579a32b2301826dbd1cce44b373aed8e8a31c2a6f52fe8";
+ arm-unknown-linux-gnueabihf = "77f4e4c2195f75466c6de0b1d8fd7fb8cef3d12666e3aae777dcfd0d71d080ca";
+ armv7-unknown-linux-gnueabihf = "dd01ccb6a53d5e895a6755a78c213ae601a347366688941d5c543b5af5835d6d";
+ aarch64-unknown-linux-gnu = "33a50c5366a57aaab43c1c19e4a49ab7d8ffcd99a72925c315fb1f9389139e6f";
+ aarch64-unknown-linux-musl = "49d94116a357ea13f5a3231de2472f59210028c3cf81f158b8a367c3155ac544";
+ x86_64-apple-darwin = "5eb27a4f5f7a4699bc70cf1848e340ddd74e151488bfcb26853fd584958e3d33";
+ aarch64-apple-darwin = "801b3b15b992b0321261de8b8ea2728e9a74822c6cb99bf978b34e217c7825ba";
+ powerpc64le-unknown-linux-gnu = "67cadf7ac5bd2e3d5fb4baede69846059f17c4e099f771329b266d08b875ed71";
+ riscv64gc-unknown-linux-gnu = "6113a6cce3500033d0dc0d170b54c5f22562ef3025fd58d804c822a2499c74d7";
};
- selectRustPackage = pkgs: pkgs.rust_1_53;
+ selectRustPackage = pkgs: pkgs.rust_1_55;
rustcPatches = [
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/cargo.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/cargo.nix
index 3ada23e7488..ee909e973a3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/cargo.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/cargo.nix
@@ -1,4 +1,5 @@
-{ lib, stdenv, file, curl, pkg-config, python3, openssl, cmake, zlib
+{ lib, stdenv, pkgsHostHost
+, file, curl, pkg-config, python3, openssl, cmake, zlib
, installShellFiles, makeWrapper, cacert, rustPlatform, rustc
, CoreFoundation, Security
}:
@@ -16,7 +17,10 @@ rustPlatform.buildRustPackage {
# changes hash of vendor directory otherwise
dontUpdateAutotoolsGnuConfigScripts = true;
- nativeBuildInputs = [ pkg-config cmake installShellFiles makeWrapper ];
+ nativeBuildInputs = [
+ pkg-config cmake installShellFiles makeWrapper
+ (lib.getDev pkgsHostHost.curl)
+ ];
buildInputs = [ cacert file curl python3 openssl zlib ]
++ lib.optionals stdenv.isDarwin [ CoreFoundation Security ];
@@ -54,6 +58,14 @@ rustPlatform.buildRustPackage {
# Disable check phase as there are failures (4 tests fail)
doCheck = false;
+ doInstallCheck = !stdenv.hostPlatform.isStatic &&
+ stdenv.hostPlatform.parsed.kernel.execFormat == lib.systems.parse.execFormats.elf;
+ installCheckPhase = ''
+ runHook preInstallCheck
+ readelf -a $out/bin/.cargo-wrapped | grep -F 'Shared library: [libcurl.so'
+ runHook postInstallCheck
+ '';
+
meta = with lib; {
homepage = "https://crates.io";
description = "Downloads your Rust project's dependencies and builds your project";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/default.nix
index 3e6f3a044fb..7912055db62 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/default.nix
@@ -14,7 +14,7 @@
{ stdenv, lib
, buildPackages
, newScope, callPackage
-, CoreFoundation, Security
+, CoreFoundation, Security, SystemConfiguration
, pkgsBuildTarget, pkgsBuildBuild
, makeRustPlatform
}: rec {
@@ -104,7 +104,7 @@
inherit CoreFoundation Security;
};
clippy = self.callPackage ./clippy.nix { inherit Security; };
- rls = self.callPackage ./rls { inherit CoreFoundation Security; };
+ rls = self.callPackage ./rls { inherit CoreFoundation Security SystemConfiguration; };
});
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/rls/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/rls/default.nix
index aa55866def5..036031d98fa 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/rls/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/rust/rls/default.nix
@@ -1,6 +1,6 @@
{ lib, stdenv, makeWrapper, fetchFromGitHub, rustPlatform
, openssh, openssl, pkg-config, cmake, zlib, curl, libiconv
-, CoreFoundation, Security }:
+, CoreFoundation, Security, SystemConfiguration }:
rustPlatform.buildRustPackage rec {
pname = "rls";
@@ -30,7 +30,7 @@ rustPlatform.buildRustPackage rec {
nativeBuildInputs = [ pkg-config cmake makeWrapper ];
buildInputs = [ openssh openssl curl zlib libiconv rustPlatform.rust.rustc.llvm ]
- ++ (lib.optionals stdenv.isDarwin [ CoreFoundation Security ]);
+ ++ lib.optionals stdenv.isDarwin [ CoreFoundation Security SystemConfiguration ];
doCheck = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/sbcl/2.0.8.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/sbcl/2.0.8.nix
index 1784bf672b3..bbc171a8d98 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/sbcl/2.0.8.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/sbcl/2.0.8.nix
@@ -1,4 +1,4 @@
import ./common.nix {
- version = "2.1.2";
- sha256 = "sha256-t3EFUJOYVe1JWYxKAUSD7RILaZFliio7avpHcT3OTAs=";
+ version = "2.0.8";
+ sha256 = "1xwrwvps7drrpyw3wg5h3g2qajmkwqs9gz0fdw1ns9adp7vld390";
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/sbcl/2.1.9.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/sbcl/2.1.9.nix
new file mode 100644
index 00000000000..da26a9aeffb
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/sbcl/2.1.9.nix
@@ -0,0 +1,4 @@
+import ./common.nix {
+ version = "2.1.9";
+ sha256 = "189gjqzdz10xh3ybiy4ch1r98bsmkcb4hpnrmggd4y2g5kqnyx4y";
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/scala/2.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/scala/2.x.nix
index 1ffdc5ec026..571aeb0cc39 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/scala/2.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/scala/2.x.nix
@@ -20,8 +20,8 @@ let
};
"2.12" = {
- version = "2.12.14";
- sha256 = "/X4+QDIogBOinAoUR8WX+vew5Jl2LA2YHbIQmel4BCY=";
+ version = "2.12.15";
+ sha256 = "F5RePKlHjQaoQ2BWqsa5r99g3q/cPjgsbAi2A5IberY=";
pname = "scala_2_12";
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/sjasmplus/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/sjasmplus/default.nix
index 42218167984..6ef3d11c712 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/sjasmplus/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/sjasmplus/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "sjasmplus";
- version = "1.18.2";
+ version = "1.18.3";
src = fetchFromGitHub {
owner = "z00m128";
repo = "sjasmplus";
rev = "v${version}";
- sha256 = "04348zcmc0b3crzwhvj1shx6f1n3x05vs8d5qdm7qhgdfki8r74v";
+ sha256 = "sha256-+FvNYfJ5I91RfuJTiOPhj5KW8HoOq8OgnnpFEgefSGc=";
};
buildFlags = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/swi-prolog/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/swi-prolog/default.nix
index cb4cf580a0e..d53d1426a94 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/swi-prolog/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/swi-prolog/default.nix
@@ -34,7 +34,7 @@
}:
let
- version = "8.3.9";
+ version = "8.3.29";
packInstall = swiplPath: pack:
''${swiplPath}/bin/swipl -g "pack_install(${pack}, [package_directory(\"${swiplPath}/lib/swipl/pack\"), silent(true), interactive(false)])." -t "halt."
'';
@@ -47,7 +47,7 @@ stdenv.mkDerivation {
owner = "SWI-Prolog";
repo = "swipl-devel";
rev = "V${version}";
- sha256 = "0ixb8pc5s7q8q0njs8is1clpvik6jhhdcwnys7m9rpwdzgi10sjz";
+ sha256 = "sha256-2QYY3VDG3dhbv5gtSid4eMYMxhhpggCedJL+RhtbbaU=";
fetchSubmodules = true;
};
@@ -81,7 +81,7 @@ stdenv.mkDerivation {
homepage = "https://www.swi-prolog.org";
description = "A Prolog compiler and interpreter";
license = lib.licenses.bsd2;
-
+ mainProgram = "swipl";
platforms = lib.platforms.linux ++ lib.optionals (!withGui) lib.platforms.darwin;
maintainers = [ lib.maintainers.meditans ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/tinycc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/tinycc/default.nix
index 03e8be7053a..b46dee899a3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/tinycc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/tinycc/default.nix
@@ -1,36 +1,38 @@
-{ stdenv, lib, fetchFromRepoOrCz, perl, texinfo }:
-with lib;
+{ stdenv, lib, fetchFromRepoOrCz, perl, texinfo, which }:
stdenv.mkDerivation rec {
pname = "tcc";
- version = "0.9.27";
- upstreamVersion = "release_${concatStringsSep "_" (builtins.splitVersion version)}";
+ version = "unstable-2021-10-09";
src = fetchFromRepoOrCz {
repo = "tinycc";
- rev = upstreamVersion;
- sha256 = "12mm1lqywz0akr2yb2axjfbw8lwv57nh395vzsk534riz03ml977";
+ rev = "ca11849ebb88ef4ff87beda46bf5687e22949bd6";
+ sha256 = "sha256-xnUDyTYZxbxUCblACyX73boBhU073VRqSy1SWlWsvIw=";
};
- nativeBuildInputs = [ perl texinfo ];
+ nativeBuildInputs = [ perl texinfo which ];
hardeningDisable = [ "fortify" ];
- enableParallelBuilding = true;
-
postPatch = ''
- substituteInPlace "texi2pod.pl" \
- --replace "/usr/bin/perl" "${perl}/bin/perl"
+ patchShebangs texi2pod.pl
'';
+ configureFlags = [
+ "--cc=$CC"
+ "--ar=$AR"
+ "--crtprefix=${lib.getLib stdenv.cc.libc}/lib"
+ "--sysincludepaths=${lib.getDev stdenv.cc.libc}/include:{B}/include"
+ "--libpaths=${lib.getLib stdenv.cc.libc}/lib"
+ # build cross compilers
+ "--enable-cross"
+ ] ++ lib.optionals stdenv.hostPlatform.isMusl [
+ "--config-musl"
+ ];
+
preConfigure = ''
echo ${version} > VERSION
-
- configureFlagsArray+=("--cc=cc")
configureFlagsArray+=("--elfinterp=$(< $NIX_CC/nix-support/dynamic-linker)")
- configureFlagsArray+=("--crtprefix=${getLib stdenv.cc.libc}/lib")
- configureFlagsArray+=("--sysincludepaths=${getDev stdenv.cc.libc}/include:{B}/include")
- configureFlagsArray+=("--libpaths=${getLib stdenv.cc.libc}/lib")
'';
postFixup = ''
@@ -47,9 +49,8 @@ stdenv.mkDerivation rec {
doCheck = true;
checkTarget = "test";
- meta = {
+ meta = with lib; {
description = "Small, fast, and embeddable C compiler and interpreter";
-
longDescription = ''
TinyCC (aka TCC) is a small but hyper fast C compiler. Unlike
other C compilers, it is meant to be self-sufficient: you do not
@@ -73,11 +74,9 @@ stdenv.mkDerivation rec {
With libtcc, you can use TCC as a backend for dynamic code
generation.
'';
-
- homepage = "http://www.tinycc.org/";
- license = licenses.mit;
-
- platforms = [ "x86_64-linux" ];
+ homepage = "https://repo.or.cz/tinycc.git";
+ license = licenses.lgpl21Only;
+ platforms = platforms.linux;
maintainers = [ maintainers.joachifm ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/vala/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/vala/default.nix
index 8f93a6746ea..ba64e53876c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/vala/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/vala/default.nix
@@ -42,6 +42,8 @@ let
"0.52" = ./disable-graphviz-0.46.1.patch;
+ "0.54" = ./disable-graphviz-0.46.1.patch;
+
}.${lib.versions.majorMinor version} or (throw "no graphviz patch for this version of vala");
disableGraphviz = lib.versionAtLeast version "0.38" && !withGraphviz;
@@ -89,10 +91,11 @@ let
doCheck = false; # fails, requires dbus daemon
passthru = {
- updateScript = gnome.updateScript {
- attrPath = "${pname}_${lib.versions.major version}_${lib.versions.minor version}";
- packageName = pname;
- };
+ updateScript = gnome.updateScript {
+ attrPath = "${pname}_${lib.versions.major version}_${lib.versions.minor version}";
+ packageName = pname;
+ freeze = true;
+ };
};
meta = with lib; {
@@ -100,7 +103,7 @@ let
homepage = "https://wiki.gnome.org/Projects/Vala";
license = licenses.lgpl21Plus;
platforms = platforms.unix;
- maintainers = with maintainers; [ antono jtojnar peterhoeg maxeaubrey ] ++ teams.pantheon.members;
+ maintainers = with maintainers; [ antono jtojnar maxeaubrey ] ++ teams.pantheon.members;
};
});
@@ -116,19 +119,24 @@ in rec {
};
vala_0_48 = generic {
- version = "0.48.18";
- sha256 = "1pbz4nyrrf9wp8rp953sczx545s4g0h5mars9ynkn788dzs2h3wy";
+ version = "0.48.19";
+ sha256 = "sha256-gLdlijfZhE/NG0Mdr8WATeYWpYGW5PHxGeWyrraLSgE=";
};
vala_0_50 = generic {
- version = "0.50.9";
- sha256 = "0w5ngs90rq7vy21nqfq8drqyb33kxm17j161qvakwpsbjsidv1mn";
+ version = "0.50.10";
+ sha256 = "sha256-vnIf8/AYHqttM+zKzygfZvMI+qHl5VTwj99nFZpFlRU=";
};
vala_0_52 = generic {
- version = "0.52.4";
- sha256 = "0cfz3xshc9azxx4fn25x9gadnph6jvf1r2wzd7p5krk0a4755ppc";
+ version = "0.52.5";
+ sha256 = "sha256-hKG7MSs+Xcrkt7JcRVmNN14stpIzzvtZoV0jUMdr3ZE=";
+ };
+
+ vala_0_54 = generic {
+ version = "0.54.1";
+ sha256 = "0jlhd6hr9mai7hhc2c78w6zmnzf7xncp7fhyiavkqqzhhsn7gpjx";
};
- vala = vala_0_52;
+ vala = vala_0_54;
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/vyper/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/vyper/default.nix
index 431afe5ec20..4308710717a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/vyper/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/vyper/default.nix
@@ -14,11 +14,11 @@ in
buildPythonPackage rec {
pname = "vyper";
- version = "0.2.16";
+ version = "0.3.0";
src = fetchPypi {
inherit pname version;
- sha256 = "6cf347440716964012d46686faefc9c689f01872f19736287a63aa8652ac3ddd";
+ sha256 = "3e50cd802696ea3f5e6ab1bf4c9a90a39c332591d416c99f3d2fa93d7d7ba394";
};
nativeBuildInputs = [ pytest-runner ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/yap/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/yap/default.nix
index da10125c243..4428d4dbba6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/yap/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/yap/default.nix
@@ -20,7 +20,6 @@ stdenv.mkDerivation rec {
description = "A ISO-compatible high-performance Prolog compiler";
license = lib.licenses.artistic2;
- maintainers = [ lib.maintainers.peti ];
platforms = lib.platforms.linux;
broken = !stdenv.is64bit; # the linux 32 bit build fails.
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/yasm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/yasm/default.nix
index 48c251d828a..b770f3b7dfd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/yasm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/yasm/default.nix
@@ -1,10 +1,11 @@
{lib, stdenv, fetchurl}:
stdenv.mkDerivation rec {
- name = "yasm-1.3.0";
+ pname = "yasm";
+ version = "1.3.0";
src = fetchurl {
- url = "https://www.tortall.net/projects/yasm/releases/${name}.tar.gz";
+ url = "https://www.tortall.net/projects/yasm/releases/yasm-${version}.tar.gz";
sha256 = "0gv0slmm0qpq91za3v2v9glff3il594x5xsrbgab7xcmnh0ndkix";
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/yosys/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/yosys/default.nix
index 7a733ce2cb9..f2624d12418 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/yosys/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/yosys/default.nix
@@ -34,13 +34,13 @@
stdenv.mkDerivation rec {
pname = "yosys";
- version = "0.9+4276";
+ version = "0.10+1";
src = fetchFromGitHub {
owner = "YosysHQ";
repo = "yosys";
- rev = "75a4cdfc8afc10fed80e43fb1ba31c7edaf6e361";
- sha256 = "13xb7ny6i0kr6z6xkj9wmmcj551si7w05r3cghq8h8wkikyh6c8p";
+ rev = "7a7df9a3b4996b17bb774377483b15de49aa3d9b";
+ sha256 = "sha256-gi/Q6loIQ75NTbS9b/Q8sdrl9NGBDae2+AAGHVYB0WI=";
};
enableParallelBuilding = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/zig/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/zig/default.nix
index 60ca574f1cc..385b68a7929 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/zig/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/zig/default.nix
@@ -11,13 +11,13 @@ let
in
stdenv.mkDerivation rec {
pname = "zig";
- version = "0.8.0";
+ version = "0.8.1";
src = fetchFromGitHub {
owner = "ziglang";
repo = pname;
rev = version;
- hash = "sha256-bILjcKX8jPl2n1HRYvYRb7jJkobwqmSJ+hHXSn9n2ag=";
+ hash = "sha256-zMSOH8ZWcvzHRwOgGIbLO9Q6jf1P5QL5KCMD+frp+JA=";
};
nativeBuildInputs = [
@@ -51,8 +51,7 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = with maintainers; [ andrewrk AndersonTorres ];
platforms = platforms.unix;
- # See https://github.com/NixOS/nixpkgs/issues/86299
- broken = stdenv.isDarwin;
+ broken = stdenv.isDarwin; # See https://github.com/NixOS/nixpkgs/issues/86299
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/zz/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/zz/default.nix
index a0bf9a9ad8f..156a4c17bc2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/zz/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/compilers/zz/default.nix
@@ -1,20 +1,27 @@
-{ lib, rustPlatform, fetchFromGitHub, makeWrapper, z3 }:
+{ lib, rustPlatform, fetchFromGitHub, makeWrapper, z3, pkgsHostTarget }:
+
+let
+ runtimeDeps = [
+ z3
+ pkgsHostTarget.targetPackages.stdenv.cc
+ ];
+in
rustPlatform.buildRustPackage rec {
pname = "zz";
- version = "unstable-2021-03-07";
+ version = "unstable-2021-05-04";
# when updating, choose commit of the latest build on http://bin.zetz.it/
src = fetchFromGitHub {
owner = "zetzit";
repo = "zz";
- rev = "d3fc968ba2ae6668f930e39077f9a90aecb9fdc4";
- sha256 = "18p17lgwq6rq1n76sj0dwb32bpxflfd7knky1v0sgmaxfpaq04y3";
+ rev = "18020b10b933cfe2fc7f2256b71e646889f9b1d2";
+ sha256 = "01nlyyk1qxk76dq2hw3wpbjwkh27zzp6mpczjnxdpv6rxs7mc825";
};
nativeBuildInputs = [ makeWrapper ];
- cargoSha256 = "03xdmm4993hqdb3cihjjv4n4mdk8lnlccva08fh6m1d56p807rni";
+ cargoSha256 = "080rd8x4jsssnx4il80xcb81iw8pjcm70zckpa1hcijkw9104dgs";
postPatch = ''
# remove search path entry which would reference /build
@@ -26,7 +33,7 @@ rustPlatform.buildRustPackage rec {
cp -r modules "$out/share/zz/"
wrapProgram $out/bin/zz \
- --prefix PATH ":" "${lib.getBin z3}/bin" \
+ --prefix PATH ":" "${lib.makeBinPath runtimeDeps}" \
--suffix ZZ_MODULE_PATHS ":" "$out/share/zz/modules"
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/StructTact/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/StructTact/default.nix
index 9770b9fb9c4..08976c68989 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/StructTact/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/StructTact/default.nix
@@ -4,7 +4,12 @@ with lib; mkCoqDerivation {
pname = "StructTact";
owner = "uwplse";
inherit version;
- defaultVersion = if versions.isGe "8.5" coq.coq-version then "20181102" else null;
+ defaultVersion = with versions; switch coq.coq-version [
+ { case = range "8.6" "8.14"; out = "20210328"; }
+ { case = range "8.5" "8.13"; out = "20181102"; }
+ ] null;
+ release."20210328".rev = "179bd5312e9d8b63fc3f4071c628cddfc496d741";
+ release."20210328".sha256 = "sha256:1y5r1zm3hli10ah6lnj7n8hxad6rb6rgldd0g7m2fjibzvwqzhdg";
release."20181102".rev = "82a85b7ec07e71fa6b30cfc05f6a7bfb09ef2510";
release."20181102".sha256 = "08zry20flgj7qq37xk32kzmg4fg6d4wi9m7pf9aph8fd3j2a0b5v";
preConfigure = "patchShebangs ./configure";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/Verdi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/Verdi/default.nix
index d3769eb2c4d..2701cf6f04c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/Verdi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/Verdi/default.nix
@@ -6,9 +6,12 @@ with lib; mkCoqDerivation {
owner = "uwplse";
inherit version;
defaultVersion = with versions; switch coq.coq-version [
- { case = isGe "8.7"; out = "20200131"; }
- { case = isEq "8.6"; out = "20181102"; }
+ { case = range "8.7" "8.14"; out = "20210524"; }
+ { case = range "8.7" "8.13"; out = "20200131"; }
+ { case = "8.6"; out = "20181102"; }
] null;
+ release."20210524".rev = "54597d8ac7ab7dd4dae683f651237644bf77701e";
+ release."20210524".sha256 = "sha256:05wb0km2jkhvi8807glxk9fi1kll4lwisiyzkxhqvymz4x6v8xqv";
release."20200131".rev = "fdb4ede19d2150c254f0ebcfbed4fb9547a734b0";
release."20200131".sha256 = "1a2k19f9q5k5djbxplqmmpwck49kw3lrm3aax920h4yb40czkd8m";
release."20181102".rev = "25b79cf1be5527ab8dc1b8314fcee93e76a2e564";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/aac-tactics/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/aac-tactics/default.nix
index 445a0422446..c67b47f1877 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/aac-tactics/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/aac-tactics/default.nix
@@ -6,6 +6,7 @@ mkCoqDerivation {
releaseRev = v: "v${v}";
+ release."8.14.0".sha256 = "04x47ngb95m1h4jw2gl0v79s5im7qimcw7pafc34gkkf51pyhakp";
release."8.13.0".sha256 = "sha256-MAnMc4KzC551JInrRcfKED4nz04FO0GyyyuDVRmnYTY=";
release."8.12.0".sha256 = "sha256-dPNA19kZo/2t3rbyX/R5yfGcaEfMhbm9bo71Uo4ZwoM=";
release."8.11.0".sha256 = "sha256-CKKMiJLltIb38u+ZKwfQh/NlxYawkafp+okY34cGCYU=";
@@ -17,6 +18,7 @@ mkCoqDerivation {
inherit version;
defaultVersion = with versions; switch coq.coq-version [
+ { case = "8.14"; out = "8.14.0"; }
{ case = "8.13"; out = "8.13.0"; }
{ case = "8.12"; out = "8.12.0"; }
{ case = "8.11"; out = "8.11.0"; }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/bignums/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/bignums/default.nix
index 84dc92a3cd5..cb83a9fdc33 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/bignums/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/bignums/default.nix
@@ -8,6 +8,7 @@ with lib; mkCoqDerivation {
defaultVersion = if versions.isGe "8.5" coq.coq-version
then "${coq.coq-version}.0" else null;
+ release."8.14.0".sha256 = "0jsgdvj0ddhkls32krprp34r64y1rb5mwxl34fgaxk2k4664yq06";
release."8.13.0".sha256 = "1n66i7hd9222b2ks606mak7m4f0dgy02xgygjskmmav6h7g2sx7y";
release."8.12.0".sha256 = "14ijb3qy2hin3g4djx437jmnswxxq7lkfh3dwh9qvrds9a015yg8";
release."8.11.0".sha256 = "1xcd7c7qlvs0narfba6px34zq0mz8rffnhxw0kzhhg6i4iw115dp";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/ceres/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/ceres/default.nix
new file mode 100644
index 00000000000..1813cd700c7
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/ceres/default.nix
@@ -0,0 +1,19 @@
+{ lib, mkCoqDerivation, coq, version ? null }:
+
+with lib;
+mkCoqDerivation {
+
+ pname = "ceres";
+ repo = "coq-ceres";
+ owner = "Lysxia";
+
+ inherit version;
+ defaultVersion = if versions.isGe "8.8" coq.version then "0.4.0" else null;
+ release."0.4.0".sha256 = "sha256:0zwp3pn6fdj0qdig734zdczrls886al06mxqhhabms0jvvqijmbi";
+
+ meta = {
+ description = "Library for serialization to S-expressions";
+ license = licenses.mit;
+ maintainers = with maintainers; [ Zimmi48 ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/coq-ext-lib/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/coq-ext-lib/default.nix
index 6ba798ac328..410e585dce8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/coq-ext-lib/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/coq-ext-lib/default.nix
@@ -5,11 +5,13 @@ with lib; mkCoqDerivation rec {
owner = "coq-ext-lib";
inherit version;
defaultVersion = with versions; switch coq.coq-version [
+ { case = range "8.8" "8.14"; out = "0.11.4"; }
{ case = range "8.8" "8.13"; out = "0.11.3"; }
{ case = "8.7"; out = "0.9.7"; }
{ case = "8.6"; out = "0.9.5"; }
{ case = "8.5"; out = "0.9.4"; }
] null;
+ release."0.11.4".sha256 = "sha256:0yp8mhrhkc498nblvhq1x4j6i9aiidkjza4wzvrkp9p8rgx5g5y3";
release."0.11.3".sha256 = "1w99nzpk72lffxis97k235axss5lmzhy5z3lga2i0si95mbpil42";
release."0.11.2".sha256 = "0iyka81g26x5n99xic7kqn8vxqjw8rz7vw9rs27iw04lf137vzv6";
release."0.10.3".sha256 = "0795gs2dlr663z826mp63c8h2zfadn541dr8q0fvnvi2z7kfyslb";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/corn/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/corn/default.nix
index 6a3ea81ca0d..ee4a39dbd5e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/corn/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/corn/default.nix
@@ -5,13 +5,12 @@ with lib; mkCoqDerivation rec {
inherit version;
defaultVersion = switch coq.coq-version [
{ case = "8.6"; out = "8.8.1"; }
- { case = (versions.range "8.7" "8.12"); out = "8.12.0"; }
- { case = (versions.range "8.13" "8.13"); out = "c366d3f01ec1812b145117a4da940518b092d3a6"; }
+ { case = (versions.range "8.7" "8.13"); out = "8.13.0"; }
] null;
release = {
"8.8.1".sha256 = "0gh32j0f18vv5lmf6nb87nr5450w6ai06rhrnvlx2wwi79gv10wp";
"8.12.0".sha256 = "0b92vhyzn1j6cs84z2182fn82hxxj0bqq7hk6cs4awwb3vc7dkhi";
- "c366d3f01ec1812b145117a4da940518b092d3a6".sha256 = "1wzr7mdsnf1rq7q0dvmv55vxzysy85b00ahwbs868bl7m8fk8x5b";
+ "8.13.0".sha256 = "1wzr7mdsnf1rq7q0dvmv55vxzysy85b00ahwbs868bl7m8fk8x5b";
};
preConfigure = "patchShebangs ./configure.sh";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/equations/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/equations/default.nix
index d19d3826c74..e6d5e11fcc5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/equations/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/equations/default.nix
@@ -6,6 +6,7 @@ with lib; mkCoqDerivation {
repo = "Coq-Equations";
inherit version;
defaultVersion = switch coq.coq-version [
+ { case = "8.14"; out = "1.3-8.14"; }
{ case = "8.13"; out = "1.2.4+coq8.13"; }
{ case = "8.12"; out = "1.2.4+coq8.12"; }
{ case = "8.11"; out = "1.2.4+coq8.11"; }
@@ -43,6 +44,8 @@ with lib; mkCoqDerivation {
release."1.2.4+coq8.12".sha256 = "1n0w8is464qcq8mk2mv7amaf0khbjz5mpc9phf0rhpjm0lb22cb3";
release."1.2.4+coq8.13".rev = "v1.2.4-8.13";
release."1.2.4+coq8.13".sha256 = "0i014lshsdflzw6h0qxra9d2f0q82vffxv2f29awbb9ad0p4rq4q";
+ release."1.3-8.14".rev = "v1.3-8.14";
+ release."1.3-8.14".sha256 = "19bj9nncd1r9g4273h5qx35gs3i4bw5z9bhjni24b413hyj55hkv";
mlPlugin = true;
preBuild = "coq_makefile -f _CoqProject -o Makefile";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/flocq/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/flocq/default.nix
index 2598d4e233e..1ac76b38c72 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/flocq/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/flocq/default.nix
@@ -7,9 +7,10 @@ with lib; mkCoqDerivation {
domain = "gitlab.inria.fr";
inherit version;
defaultVersion = with versions; switch coq.coq-version [
- { case = isGe "8.7"; out = "3.3.1"; }
+ { case = range "8.7" "8.14"; out = "3.4.2"; }
{ case = range "8.5" "8.8"; out = "2.6.1"; }
] null;
+ release."3.4.2".sha256 = "1s37hvxyffx8ccc8mg5aba7ivfc39p216iibvd7f2cb9lniqk1pw";
release."3.3.1".sha256 = "1mk8adhi5hrllsr0hamzk91vf2405sjr4lh5brg9201mcw11abkz";
release."2.6.1".sha256 = "0q5a038ww5dn72yvwn5298d3ridkcngb1dik8hdyr3xh7gr5qibj";
releaseRev = v: "flocq-${v}";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/fourcolor/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/fourcolor/default.nix
index 4de6e2da8b5..84cd739ed6a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/fourcolor/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/fourcolor/default.nix
@@ -9,8 +9,8 @@ mkCoqDerivation {
release."1.2.3".sha256 = "sha256-gwKfUa74fIP7j+2eQgnLD7AswjCtOFGHGaIWb4qI0n4=";
inherit version;
- defaultVersion = with versions; switch mathcomp.version [
- { case = pred.inter (isGe "1.11.0") (isLt "1.13"); out = "1.2.3"; }
+ defaultVersion = with versions; switch [ coq.version mathcomp.version ] [
+ { cases = [ (isLe "8.13") (pred.inter (isGe "1.11.0") (isLt "1.13")) ]; out = "1.2.3"; }
] null;
propagatedBuildInputs = [ mathcomp.algebra ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/gaia/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/gaia/default.nix
index 57a1beead49..4c571f483e1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/gaia/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/gaia/default.nix
@@ -9,7 +9,7 @@ with lib; mkCoqDerivation {
inherit version;
defaultVersion = with versions; switch [ coq.version mathcomp.version ] [
- { cases = [ (range "8.10" "8.13") "1.12.0" ]; out = "1.12"; }
+ { cases = [ (range "8.10" "8.14") "1.12.0" ]; out = "1.12"; }
{ cases = [ (range "8.10" "8.12") "1.11.0" ]; out = "1.11"; }
] null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/gappalib/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/gappalib/default.nix
index a835d53fded..cd5aaa49025 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/gappalib/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/gappalib/default.nix
@@ -6,7 +6,8 @@ with lib; mkCoqDerivation {
owner = "gappa";
domain = "gitlab.inria.fr";
inherit version;
- defaultVersion = if versions.isGe "8.8" coq.coq-version then "1.4.5" else null;
+ defaultVersion = if versions.isGe "8.8" coq.coq-version then "1.5.0" else null;
+ release."1.5.0".sha256 = "1i1c0gakffxqqqqw064cbvc243yl325hxd50jmczr6mk18igk41n";
release."1.4.5".sha256 = "081hib1d9wfm29kis390qsqch8v6fs3q71g2rgbbzx5y5cf48n9k";
release."1.4.4".sha256 = "114q2hgw64j6kqa9mg3qcp1nlf0ia46z2xadq81fnkxqm856ml7l";
releaseRev = v: "gappalib-coq-${v}";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/goedel/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/goedel/default.nix
index f6ed9491e98..c50f8672e01 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/goedel/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/goedel/default.nix
@@ -5,12 +5,14 @@ mkCoqDerivation {
pname = "goedel";
owner = "coq-community";
- release."8.12.0".rev = "v8.12.0";
+ releaseRev = (v: "v${v}");
+
release."8.12.0".sha256 = "sha256-4lAwWFHGUzPcfHI9u5b+N+7mQ0sLJ8bH8beqQubfFEQ=";
+ release."8.13.0".sha256 = "0sqqkmj6wsk4xmhrnqkhcsbsrqjzn2gnk67nqzgrmjpw5danz8y5";
inherit version;
defaultVersion = with versions; switch coq.coq-version [
- { case = isGe "8.11"; out = "8.12.0"; }
+ { case = range "8.11" "8.14"; out = "8.13.0"; }
] null;
propagatedBuildInputs = [ hydra-battles pocklington ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/graph-theory/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/graph-theory/default.nix
index 5607d342a2e..1ecda185cdd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/graph-theory/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/graph-theory/default.nix
@@ -12,7 +12,7 @@ mkCoqDerivation {
inherit version;
defaultVersion = with versions; switch coq.coq-version [
- { case = isGe "8.13"; out = "0.9"; }
+ { case = isEq "8.13"; out = "0.9"; }
] null;
propagatedBuildInputs = [ mathcomp-algebra mathcomp-finmap hierarchy-builder ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/hierarchy-builder/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/hierarchy-builder/default.nix
index 491ff959ece..aff3bc30b5b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/hierarchy-builder/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/hierarchy-builder/default.nix
@@ -5,8 +5,8 @@ with lib; mkCoqDerivation {
owner = "math-comp";
inherit version;
defaultVersion = with versions; switch coq.coq-version [
- { case = isGe "8.12"; out = "1.1.0"; }
- { case = range "8.11" "8.12"; out = "0.10.0"; }
+ { case = range "8.12" "8.13"; out = "1.1.0"; }
+ { case = isEq "8.11"; out = "0.10.0"; }
] null;
release."1.1.0".sha256 = "sha256-spno5ty4kU4WWiOfzoqbXF8lWlNSlySWcRReR3zE/4Q=";
release."1.0.0".sha256 = "0yykygs0z6fby6vkiaiv3azy1i9yx4rqg8xdlgkwnf2284hffzpp";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/iris/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/iris/default.nix
index d2d9870f320..c0a9e948440 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/iris/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/iris/default.nix
@@ -6,8 +6,8 @@ with lib; mkCoqDerivation rec {
owner = "iris";
inherit version;
defaultVersion = with versions; switch coq.coq-version [
- { case = isGe "8.11"; out = "3.4.0"; }
- { case = range "8.9" "8.11"; out = "3.3.0"; }
+ { case = range "8.11" "8.13"; out = "3.4.0"; }
+ { case = range "8.9" "8.10"; out = "3.3.0"; }
] null;
release."3.4.0".sha256 = "0vdc2mdqn5jjd6yz028c0c6blzrvpl0c7apx6xas7ll60136slrb";
release."3.3.0".sha256 = "0az4gkp5m8sq0p73dlh0r7ckkzhk7zkg5bndw01bdsy5ywj0vilp";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/itauto/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/itauto/default.nix
index 66791b13061..843a99de4be 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/itauto/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/itauto/default.nix
@@ -9,7 +9,7 @@ mkCoqDerivation rec {
release."8.13+no".sha256 = "sha256-gXoxtLcHPoyjJkt7WqvzfCMCQlh6kL2KtCGe3N6RC/A=";
inherit version;
defaultVersion = with versions; switch coq.coq-version [
- { case = isGe "8.13"; out = "8.13+no"; }
+ { case = isEq "8.13"; out = "8.13+no"; }
] null;
mlPlugin = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/mathcomp-analysis/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/mathcomp-analysis/default.nix
index b06f057f82e..76a5a84cc23 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/mathcomp-analysis/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/mathcomp-analysis/default.nix
@@ -8,6 +8,7 @@ let mca = mkCoqDerivation {
pname = "analysis";
owner = "math-comp";
+ release."0.3.10".sha256 = "sha256-FBH2c8QRibq5Ycw/ieB8mZl0fDiPrYdIzZ6W/A3pIhI=";
release."0.3.9".sha256 = "sha256-uUU9diBwUqBrNRLiDc0kz0CGkwTZCUmigPwLbpDOeg4=";
release."0.3.6".sha256 = "0g2j7b2hca4byz62ssgg90bkbc8wwp7xkb2d3225bbvihi92b4c5";
release."0.3.4".sha256 = "18mgycjgg829dbr7ps77z6lcj03h3dchjbj5iir0pybxby7gd45c";
@@ -17,7 +18,7 @@ let mca = mkCoqDerivation {
inherit version;
defaultVersion = with versions; switch [ coq.version mathcomp.version ] [
- { cases = [ (range "8.11" "8.13") "1.12.0" ]; out = "0.3.9"; }
+ { cases = [ (range "8.11" "8.13") "1.12.0" ]; out = "0.3.10"; }
{ cases = [ (range "8.11" "8.13") "1.11.0" ]; out = "0.3.4"; }
{ cases = [ (range "8.10" "8.12") "1.11.0" ]; out = "0.3.3"; }
{ cases = [ (range "8.10" "8.11") "1.11.0" ]; out = "0.3.1"; }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/mathcomp-zify/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/mathcomp-zify/default.nix
index 65af999d08f..6ed8e114d80 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/mathcomp-zify/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/mathcomp-zify/default.nix
@@ -8,10 +8,11 @@ with lib; mkCoqDerivation rec {
defaultVersion = with versions;
switch [ coq.coq-version mathcomp-algebra.version ] [
- { cases = [ (isEq "8.13") (isEq "1.12") ]; out = "1.0.0+1.12+8.13"; }
+ { cases = [ (range "8.13" "8.14") (isEq "1.12") ]; out = "1.1.0+1.12+8.13"; }
] null;
release."1.0.0+1.12+8.13".sha256 = "1j533vx6lacr89bj1bf15l1a0s7rvrx4l00wyjv99aczkfbz6h6k";
+ release."1.1.0+1.12+8.13".sha256 = "1plf4v6q5j7wvmd5gsqlpiy0vwlw6hy5daq2x42gqny23w9mi2pr";
propagatedBuildInputs = [ mathcomp-algebra ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/multinomials/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/multinomials/default.nix
index acbb602a54e..306e68ac0f0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/multinomials/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/multinomials/default.nix
@@ -4,13 +4,12 @@ with lib; mkCoqDerivation {
namePrefix = [ "coq" "mathcomp" ];
pname = "multinomials";
- opam-name = "coq-mathcomp-multinomials";
owner = "math-comp";
inherit version;
defaultVersion = with versions; switch [ coq.version mathcomp.version ] [
- { cases = [ (range "8.10" "8.13") "1.12.0" ]; out = "1.5.4"; }
+ { cases = [ (range "8.10" "8.14") "1.12.0" ]; out = "1.5.4"; }
{ cases = [ (range "8.10" "8.12") "1.12.0" ]; out = "1.5.3"; }
{ cases = [ (range "8.7" "8.12") "1.11.0" ]; out = "1.5.2"; }
{ cases = [ (range "8.7" "8.11") (range "1.8" "1.10") ]; out = "1.5.0"; }
@@ -31,7 +30,11 @@ with lib; mkCoqDerivation {
"1.0".sha256 = "1qmbxp1h81cy3imh627pznmng0kvv37k4hrwi2faa101s6bcx55m";
};
- useDune2ifVersion = versions.isGe "1.5.3";
+ useDune2ifVersion = v: versions.isGe "1.5.3" v || v == "dev";
+
+ preConfigure = ''
+ patchShebangs configure || true
+ '';
propagatedBuildInputs =
[ mathcomp.ssreflect mathcomp.algebra mathcomp-finmap mathcomp-bigenough ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/paco/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/paco/default.nix
index 900e52f1682..0a8bb78eeb7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/paco/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/paco/default.nix
@@ -5,8 +5,8 @@ with lib; mkCoqDerivation {
owner = "snu-sf";
inherit version;
defaultVersion = with versions; switch coq.coq-version [
- { case = isGe "8.6"; out = "4.0.2"; }
- { case = range "8.5" "8.8"; out = "1.2.8"; }
+ { case = range "8.6" "8.13"; out = "4.0.2"; }
+ { case = isEq "8.5"; out = "1.2.8"; }
] null;
release."4.0.2".sha256 = "1q96bsxclqx84xn5vkid501jkwlc1p6fhb8szrlrp82zglj58b0b";
release."1.2.8".sha256 = "05fskx5x1qgaf9qv626m38y5izichzzqc7g2rglzrkygbskrrwsb";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/paramcoq/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/paramcoq/default.nix
index 8f2ef30d37c..e39fdc25eab 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/paramcoq/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/paramcoq/default.nix
@@ -3,9 +3,13 @@
with lib; mkCoqDerivation {
pname = "paramcoq";
inherit version;
- defaultVersion = if versions.range "8.7" "8.13" coq.coq-version
- then "1.1.2+coq${coq.coq-version}" else null;
- displayVersion = { paramcoq = "1.1.2"; };
+ defaultVersion = with versions; switch coq.version [
+ { case = range "8.13" "8.14"; out = "1.1.3+coq${coq.coq-version}"; }
+ { case = range "8.7" "8.13"; out = "1.1.2+coq${coq.coq-version}"; }
+ ] null;
+ displayVersion = { paramcoq = "..."; };
+ release."1.1.3+coq8.14".sha256 = "00zqq9dc2p5v0ib1jgizl25xkwxrs9mrlylvy0zvb96dpridjc71";
+ release."1.1.3+coq8.13".sha256 = "06ndly736k4pmdn4baqa7fblp6lx7a9pxm9gvz1vzd6ic51825wp";
release."1.1.2+coq8.13".sha256 = "02vnf8p04ynf3qk8myvjzsbga15395235mpdpj54pvxis3h5qq22";
release."1.1.2+coq8.12".sha256 = "0qd72r45if4h7c256qdfiimv75zyrs0w0xqij3m866jxaq591v4i";
release."1.1.2+coq8.11".sha256 = "09c6813988nvq4fpa45s33k70plnhxsblhm7cxxkg0i37mhvigsa";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/parsec/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/parsec/default.nix
new file mode 100644
index 00000000000..fa1aa3c8399
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/parsec/default.nix
@@ -0,0 +1,22 @@
+{ lib, mkCoqDerivation, coq, ceres, coq-ext-lib, version ? null }:
+
+with lib;
+mkCoqDerivation {
+
+ pname = "parsec";
+ repo = "coq-parsec";
+ owner = "liyishuai";
+
+ propagatedBuildInputs = [ ceres coq-ext-lib ];
+ releaseRev = (v: "v${v}");
+
+ inherit version;
+ defaultVersion = if versions.range "8.12" "8.13" coq.version then "0.1.0" else null;
+ release."0.1.0".sha256 = "sha256:01avfcqirz2b9wjzi9iywbhz9szybpnnj3672dgkfsimyg9jgnsr";
+
+ meta = {
+ description = "Library for serialization to S-expressions";
+ license = licenses.mit;
+ maintainers = with maintainers; [ Zimmi48 ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/reglang/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/reglang/default.nix
index bc18108264a..1908c755cd3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/reglang/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/reglang/default.nix
@@ -10,7 +10,7 @@ mkCoqDerivation {
inherit version;
defaultVersion = with versions; switch coq.coq-version [
- { case = range "8.10" "8.13"; out = "1.1.2"; }
+ { case = range "8.10" "8.14"; out = "1.1.2"; }
] null;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/relation-algebra/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/relation-algebra/default.nix
index da74215d537..01cb7f63b71 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/relation-algebra/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/relation-algebra/default.nix
@@ -15,11 +15,11 @@ mkCoqDerivation {
inherit version;
defaultVersion = with versions; switch coq.coq-version [
- { case = isGe "8.13"; out = "1.7.5"; }
- { case = isGe "8.12"; out = "1.7.4"; }
- { case = isGe "8.11"; out = "1.7.3"; }
- { case = isGe "8.10"; out = "1.7.2"; }
- { case = isGe "8.9"; out = "1.7.1"; }
+ { case = isEq "8.13"; out = "1.7.5"; }
+ { case = isEq "8.12"; out = "1.7.4"; }
+ { case = isEq "8.11"; out = "1.7.3"; }
+ { case = isEq "8.10"; out = "1.7.2"; }
+ { case = isEq "8.9"; out = "1.7.1"; }
] null;
mlPlugin = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/semantics/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/semantics/default.nix
index 1fb01312e66..796c9dee0a4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/semantics/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/semantics/default.nix
@@ -15,8 +15,7 @@ mkCoqDerivation rec {
inherit version;
defaultVersion = with versions; switch coq.coq-version [
- { case = isGe "8.13"; out = "8.13.0"; }
- { case = "8.11"; out = "8.11.1"; }
+ { case = range "8.10" "8.13"; out = "8.13.0"; }
{ case = "8.9"; out = "8.9.0"; }
{ case = "8.8"; out = "8.8.0"; }
{ case = "8.7"; out = "8.7.0"; }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/serapi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/serapi/default.nix
index 5505713eb47..1f6e995703c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/serapi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/serapi/default.nix
@@ -15,10 +15,11 @@ let
});
release = {
- "8.13.0+0.13.0".sha256 = "sha256:0k69907xn4k61w4mkhwf8kh8drw9pijk9ynijsppihw98j8w38fy";
- "8.12.0+0.12.1".sha256 = "sha256:048x3sgcq4h845hi6hm4j4dsfca8zfj70dm42w68n63qcm6xf9hn";
- "8.11.0+0.11.1".sha256 = "sha256:1phmh99yqv71vlwklqgfxiq2vj99zrzxmryj2j4qvg5vav3y3y6c";
- "8.10.0+0.7.2".sha256 = "sha256:1ljzm63hpd0ksvkyxcbh8rdf7p90vg91gb4h0zz0941v1zh40k8c";
+ "8.14+rc1+0.14.0".sha256 = "1w7d7anvcfx8vz51mnrf1jkw6rlpzjkjlr06avf58wlhymww7pja";
+ "8.13.0+0.13.0".sha256 = "0k69907xn4k61w4mkhwf8kh8drw9pijk9ynijsppihw98j8w38fy";
+ "8.12.0+0.12.1".sha256 = "048x3sgcq4h845hi6hm4j4dsfca8zfj70dm42w68n63qcm6xf9hn";
+ "8.11.0+0.11.1".sha256 = "1phmh99yqv71vlwklqgfxiq2vj99zrzxmryj2j4qvg5vav3y3y6c";
+ "8.10.0+0.7.2".sha256 = "1ljzm63hpd0ksvkyxcbh8rdf7p90vg91gb4h0zz0941v1zh40k8c";
};
in
@@ -27,6 +28,7 @@ in
inherit version release;
defaultVersion = with versions; switch coq.version [
+ { case = isEq "8.14"; out = "8.14+rc1+0.14.0"; }
{ case = isEq "8.13"; out = "8.13.0+0.13.0"; }
{ case = isEq "8.12"; out = "8.12.0+0.12.1"; }
{ case = isEq "8.11"; out = "8.11.0+0.11.1"; }
@@ -63,10 +65,14 @@ in
}).overrideAttrs(o:
let inherit (o) version; in {
src = fetchzip {
- url = "https://github.com/ejgallego/coq-serapi/releases/download/${version}/coq-serapi-${
- if version == "8.11.0+0.11.1" then version
- else builtins.replaceStrings [ "+" ] [ "." ] version
- }.tbz";
+ url =
+ if version == "8.14+rc1+0.14.0"
+ then "https://github.com/ejgallego/coq-serapi/archive/refs/tags/8.14+rc1+0.14.0.tar.gz"
+ else
+ "https://github.com/ejgallego/coq-serapi/releases/download/${version}/coq-serapi-${
+ if version == "8.11.0+0.11.1" then version
+ else builtins.replaceStrings [ "+" ] [ "." ] version
+ }.tbz";
sha256 = release."${version}".sha256;
};
})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/stdpp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/stdpp/default.nix
index 604a3f48f87..d49892e3396 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/stdpp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/coq-modules/stdpp/default.nix
@@ -6,8 +6,8 @@ with lib; mkCoqDerivation rec {
domain = "gitlab.mpi-sws.org";
owner = "iris";
defaultVersion = with versions; switch coq.coq-version [
- { case = isGe "8.11"; out = "1.5.0"; }
- { case = range "8.8" "8.11"; out = "1.4.0"; }
+ { case = range "8.11" "8.13"; out = "1.5.0"; }
+ { case = range "8.8" "8.10"; out = "1.4.0"; }
] null;
release."1.5.0".sha256 = "1ym0fy620imah89p8b6rii8clx2vmnwcrbwxl3630h24k42092nf";
release."1.4.0".sha256 = "1m6c7ibwc99jd4cv14v3r327spnfvdf3x2mnq51f9rz99rffk68r";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/dhall-modules/dhall-packages.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/dhall-modules/dhall-packages.nix
deleted file mode 100644
index 989531db8da..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/dhall-modules/dhall-packages.nix
+++ /dev/null
@@ -1,51 +0,0 @@
-{ buildDhallGitHubPackage, dhall-kubernetes, Prelude }:
-
-let
- Prelude_12_0_0 = Prelude.overridePackage {
- name = "Prelude-12.0.0";
- rev = "9f248138f69ee5e22192dc3d0417d5c77b189e04";
- sha256 = "1gbr0376sfamp0ibhcbxz4vaxr6ipv42y42p5wyksfhz3ls9x5ph";
- };
-
- kubernetes = {
- "6a47bd" = dhall-kubernetes.overridePackage {
- name = "dhall-kubernetes-6a47bd";
- rev = "6a47bd50c4d3984a13570ea62382a3ad4a9919a4";
- sha256 = "1azqs0x2kia3xw93rfk2mdi8izd7gy9aq6qzbip32gin7dncmfhh";
- };
-
- "4ad581" = dhall-kubernetes.overridePackage {
- name = "dhall-kubernetes-4ad581";
- rev = "4ad58156b7fdbbb6da0543d8b314df899feca077";
- sha256 = "12fm70qbhcainxia388svsay2cfg9iksc6mss0nvhgxhpypgp8r0";
- };
-
- "fee24c" = dhall-kubernetes.overridePackage {
- name = "dhall-kubernetes-fee24c";
- rev = "fee24c0993ba0b20190e2fdb94e386b7fb67252d";
- sha256 = "11d93z8y0jzrb8dl43gqha9z96nxxqkl7cbxpz8hw8ky9x6ggayk";
- };
- };
-
-in
- buildDhallGitHubPackage {
- name = "dhall-packages-0.11.1";
- owner = "EarnestResearch";
- repo = "dhall-packages";
- file = "package.dhall";
- rev = "8d228f578fbc7bb16c04a7c9ac8c6c7d2e13d1f7";
- sha256 = "1v4y1x13lxy6cxf8xqc6sb0mc4mrd4frkxih95v9q2wxw4vkw2h7";
-
- dependencies = [
- (kubernetes."6a47bd".overridePackage { file = "1.14/package.dhall"; })
- (kubernetes."6a47bd".overridePackage { file = "1.15/package.dhall"; })
- (kubernetes."6a47bd".overridePackage { file = "1.16/package.dhall"; })
- (kubernetes."4ad581".overridePackage { file = "types.dhall"; })
- (kubernetes."fee24c".overridePackage { file = "types/io.k8s.api.core.v1.ServiceSpec.dhall"; })
- (kubernetes."fee24c".overridePackage { file = "types/io.k8s.api.core.v1.PodTemplateSpec.dhall"; })
- Prelude_12_0_0
- (Prelude_12_0_0.overridePackage { file = "JSON/package.dhall"; })
- (Prelude_12_0_0.overridePackage { file = "JSON/Type"; })
- (Prelude_12_0_0.overridePackage { file = "Map/Type"; })
- ];
- }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/dotnet-modules/python-language-server/deps.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/dotnet-modules/python-language-server/deps.nix
index 899f38d256d..9ebbaceae50 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/dotnet-modules/python-language-server/deps.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/dotnet-modules/python-language-server/deps.nix
@@ -48,8 +48,8 @@ in [
(fetchNuGet {
name = "Microsoft.AspNetCore.App.Runtime.linux-x64";
- version = "3.1.8";
- sha256 = "140zr3nwkmf6xc52gq4iz6ycyh95fxy0jpgn637pkd9z423z8135";
+ version = "3.1.19";
+ sha256 = "19z4zrchaxcz0a33c33n1qd11z9khj4323nfzsbzah0xxkkj8ka8";
})
(fetchNuGet {
@@ -78,8 +78,8 @@ in [
(fetchNuGet {
name = "Microsoft.NetCore.App.Runtime.linux-x64";
- version = "3.1.8";
- sha256 = "1bv9n9wzsqf9g8h6z10p61xkcx8ad4nnip83qv8yyfvhr4kdmbsa";
+ version = "3.1.19";
+ sha256 = "10c9bq1z8j173n9jzamgplbxq101yscwdhksshn1ybisn7cr5g0h";
})
(fetchNuGet {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/dotnet-modules/python-language-server/manual_deps.txt b/infra/libkookie/nixpkgs/unstable/pkgs/development/dotnet-modules/python-language-server/manual_deps.txt
index ec49eee7e9c..541bedcbe56 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/dotnet-modules/python-language-server/manual_deps.txt
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/dotnet-modules/python-language-server/manual_deps.txt
@@ -1,2 +1,2 @@
-Microsoft.AspNetCore.App.Runtime.linux-x64 3.1.8
-Microsoft.NetCore.App.Runtime.linux-x64 3.1.8
+Microsoft.AspNetCore.App.Runtime.linux-x64 3.1.19
+Microsoft.NetCore.App.Runtime.linux-x64 3.1.19
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/fpga/trellis/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/fpga/trellis/default.nix
index 4d14b6a7820..8c44e34e3ed 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/fpga/trellis/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/fpga/trellis/default.nix
@@ -1,30 +1,27 @@
-{ lib, stdenv, fetchFromGitHub
-, python3, boost
-, cmake
-}:
-
-stdenv.mkDerivation rec {
- pname = "trellis";
- version = "2021.07.06";
+{ lib, stdenv, fetchFromGitHub, python3, boost, cmake }:
+let
+ rev = "03e0070f263fbe31c247de61d259544722786210";
# git describe --tags
- realVersion = with lib; with builtins;
- "1.0-482-g${substring 0 7 (elemAt srcs 0).rev}";
+ realVersion = "1.0-532-g${builtins.substring 0 7 rev}";
+in stdenv.mkDerivation rec {
+ pname = "trellis";
+ version = "2021-09-01";
srcs = [
(fetchFromGitHub {
owner = "YosysHQ";
repo = "prjtrellis";
- rev = "dff1cbcb1bd30de7e96f8a059f2e19be1bb2e44d";
- sha256 = "1gbrka9gqn124shx448aivbgywyp30zyjwfazr7v49lhrl7d46lb";
+ inherit rev;
+ sha256 = "joQMsjVj8d3M3IaqOkfVQ1I5qPDM8HHJiye+Ak8f3dg=";
name = "trellis";
})
(fetchFromGitHub {
owner = "YosysHQ";
repo = "prjtrellis-db";
- rev = "0ee729d20eaf9f1e0f1d657bc6452e3ffe6a0d63";
- sha256 = "0069c98bb4wilxz21snwc39yy0rm7ffma179djyz57d99p0vcfkq";
+ rev = "fdf4bf275a7402654bc643db537173e2fbc86103";
+ sha256 = "eDq2wU2pnfK9bOkEVZ07NQPv02Dc6iB+p5GTtVBiyQA=";
name = "trellis-database";
})
];
@@ -38,13 +35,18 @@ stdenv.mkDerivation rec {
"-DCMAKE_INSTALL_DATADIR=${placeholder "out"}/share"
];
- preConfigure = with builtins; ''
- rmdir database && ln -sfv ${elemAt srcs 1} ./database
+ preConfigure = ''
+ rmdir database && ln -sfv ${builtins.elemAt srcs 1} ./database
- source environment.sh
cd libtrellis
'';
+ doInstallCheck = true;
+
+ installCheckPhase = ''
+ $out/bin/ecppack $out/share/trellis/misc/basecfgs/empty_lfe5u-85f.config /tmp/test.bin
+ '';
+
meta = with lib; {
description = "Documentation and bitstream tools for Lattice ECP5 FPGAs";
longDescription = ''
@@ -53,9 +55,9 @@ stdenv.mkDerivation rec {
to provide sufficient information to develop a free and
open Verilog to bitstream toolchain for these devices.
'';
- homepage = "https://github.com/SymbiFlow/prjtrellis";
- license = lib.licenses.isc;
- maintainers = with maintainers; [ q3k thoughtpolice emily ];
- platforms = lib.platforms.all;
+ homepage = "https://github.com/YosysHQ/prjtrellis";
+ license = licenses.isc;
+ maintainers = with maintainers; [ q3k thoughtpolice emily rowanG077 ];
+ platforms = platforms.all;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/openocd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/openocd/default.nix
index 7b3a16fb75e..f61c0cbe517 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/openocd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/openocd/default.nix
@@ -5,6 +5,7 @@
, hidapi
, libftdi1
, libusb1
+, libgpiod
}:
stdenv.mkDerivation rec {
@@ -17,7 +18,7 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [ pkg-config ];
- buildInputs = [ hidapi libftdi1 libusb1 ];
+ buildInputs = [ hidapi libftdi1 libusb1 libgpiod ];
configureFlags = [
"--enable-jtag_vpi"
@@ -29,6 +30,7 @@ stdenv.mkDerivation rec {
(lib.enableFeature (! stdenv.isDarwin) "oocd_trace")
"--enable-buspirate"
(lib.enableFeature stdenv.isLinux "sysfsgpio")
+ (lib.enableFeature stdenv.isLinux "linuxgpiod")
"--enable-remote-bitbang"
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/platformio/chrootenv.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/platformio/chrootenv.nix
index 72384c0994a..138e7186624 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/platformio/chrootenv.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/platformio/chrootenv.nix
@@ -23,7 +23,8 @@ in buildFHSUserEnv {
name = "platformio";
targetPkgs = pio-pkgs;
- multiPkgs = pio-pkgs;
+ # disabled temporarily because fastdiff no longer support 32bit
+ # multiPkgs = pio-pkgs;
meta = with lib; {
description = "An open source ecosystem for IoT development";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/platformio/core.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/platformio/core.nix
index a3dcbdd35fd..169ff4cd5a8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/platformio/core.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/platformio/core.nix
@@ -1,5 +1,6 @@
{ stdenv, lib, python3
, fetchFromGitHub
+, fetchPypi
, git
, spdx-license-list-data
, version, src
@@ -20,6 +21,15 @@ let
doCheck = false;
});
+ ajsonrpc = super.ajsonrpc.overridePythonAttrs (oldAttrs: rec {
+ pname = "ajsonrpc";
+ version = "1.1.0";
+ src = fetchPypi {
+ inherit pname version;
+ sha256 = "sha256-CgHCtW0gxZho7ZavvEaODNc+KbFW4sAsHtM2Xk5Cuaw=";
+ };
+ });
+
click = super.click.overridePythonAttrs (oldAttrs: rec {
version = "7.1.2";
src = oldAttrs.src.override {
@@ -28,6 +38,18 @@ let
};
});
+ starlette = super.starlette.overridePythonAttrs (oldAttrs: rec {
+ pname = "starlette";
+ version = "0.14.2";
+ src = fetchFromGitHub {
+ owner = "encode";
+ repo = pname;
+ rev = version;
+ sha256 = "sha256-Ki5jTEr5w6CrGK6F60E9uvdUlGx8pxdHMpxHvj9D4js=";
+ };
+ doCheck = false;
+ });
+
uvicorn = super.uvicorn.overridePythonAttrs (oldAttrs: rec {
version = "0.13.2";
src = fetchFromGitHub {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/tytools/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/tytools/default.nix
new file mode 100644
index 00000000000..027cfde2669
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/embedded/tytools/default.nix
@@ -0,0 +1,26 @@
+{ lib, stdenv, fetchFromGitHub, cmake, pkg-config, wrapQtAppsHook , qtbase}:
+
+stdenv.mkDerivation rec {
+ pname = "tytools";
+ version = "0.9.3";
+
+ src = fetchFromGitHub {
+ owner = "Koromix";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "0ax6j17f5nm0q4sp8sg1412hd48qp7whdy7dd699kwjcm763bl5j";
+ };
+
+ nativeBuildInputs = [ cmake pkg-config wrapQtAppsHook ];
+ buildInputs = [
+ qtbase
+ ];
+
+ meta = with lib; {
+ description = "Collection of tools to manage Teensy boards";
+ homepage = "https://koromix.dev/tytools";
+ license = licenses.unlicense;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ ahuzik ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/HACKING.md b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/HACKING.md
index 9024a90a09d..51b0abb1552 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/HACKING.md
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/HACKING.md
@@ -20,6 +20,10 @@ The workflow generally proceeds in three main steps:
Each of these steps is described in a separate section.
+There is a script that automates the workflow for merging the currently open
+`haskell-updates` PR into `master` and opening the next PR. It is described
+at the end of this document.
+
## Initial `haskell-updates` PR
In this section we create the PR for merging `haskell-updates` into `master`.
@@ -46,39 +50,8 @@ In this section we create the PR for merging `haskell-updates` into `master`.
1. Push these commits to the `haskell-updates` branch of the NixOS/nixpkgs repository.
-1. Open a PR on Nixpkgs merging `haskell-updates` into `master`.
-
-
-
-Use the title `haskellPackages: update stackage and hackage` and the following message body:
-
-```markdown
-### This Merge
-
-This PR is the regular merge of the `haskell-updates` branch into `master`.
-
-This branch is being continually built and tested by hydra at https://hydra.nixos.org/jobset/nixpkgs/haskell-updates.
-
-I will aim to merge this PR **by 2021-TODO-TODO**. If I can merge it earlier, there might be successor PRs in that time window. As part of our rotation @TODO will continue these merges from 2021-TODO-TODO to 2021-TODO-TODO.
-
-### haskellPackages Workflow Summary
-
-Our workflow is currently described in
-[`pkgs/development/haskell-modules/HACKING.md`](https://github.com/NixOS/nixpkgs/blob/haskell-updates/pkgs/development/haskell-modules/HACKING.md).
-
-The short version is this:
-* We regularly update the Stackage and Hackage pins on `haskell-updates` (normally at the beginning of a merge window).
-* The community fixes builds of Haskell packages on that branch.
-* We aim at at least one merge of `haskell-updates` into `master` every two weeks.
-* We only do the merge if the [`mergeable`](https://hydra.nixos.org/job/nixpkgs/haskell-updates/mergeable) job is succeeding on hydra.
-* If a [`maintained`](https://hydra.nixos.org/job/nixpkgs/haskell-updates/maintained) package is still broken at the time of merge, we will only merge if the maintainer has been pinged 7 days in advance. (If you care about a Haskell package, become a maintainer!)
-
----
-
-This is the follow-up to #TODO.
-```
-
-Make sure to replace all TODO with the actual values.
+1. Open a PR on Nixpkgs for merging `haskell-updates` into `master`. The recommended
+ PR title and body text are described in the `merge-and-open-pr.sh` section.
## Notify Maintainers and Fix Broken Packages
@@ -111,7 +84,7 @@ It may help contributors to try to keep the GitHub comment updated with the
most recent build report.
Maintainers should be given at least 7 days to fix up their packages when they
-break. If maintainers don't fix up their packages with 7 days, then they
+break. If maintainers don't fix up their packages within 7 days, then they
may be marked broken before merging `haskell-updates` into `master`.
### Fix Broken Packages
@@ -180,24 +153,6 @@ following will happen:
- All updated files will be committed.
-### Merge `master` into `haskell-updates`
-
-You should occasionally merge the `master` branch into the `haskell-updates`
-branch.
-
-In an ideal world, when we merge `haskell-updates` into `master`, it would
-cause few Hydra rebuilds on `master`. Ideally, the `nixos-unstable` channel
-would never be prevented from progressing because of needing to wait for
-rebuilding Haskell packages.
-
-In order to make sure that there are a minimal number of rebuilds after merging
-`haskell-updates` into `master`, `master` should occasionally be merged into
-the `haskell-updates` branch.
-
-This is especially important after `staging-next` is merged into `master`,
-since there is a high chance that this will cause all the Haskell packages to
-rebuild.
-
## Merge `haskell-updates` into `master`
Now it is time to merge the `haskell-updates` PR you opened above.
@@ -241,12 +196,82 @@ When you've double-checked these points, go ahead and merge the `haskell-updates
After merging, **make sure not to delete the `haskell-updates` branch**, since it
causes all currently open Haskell-related pull-requests to be automatically closed on GitHub.
+## Script for Merging `haskell-updates` and Opening a New PR
+
+There is a script that automates merging the current `haskell-updates` PR and
+opening the next one. When you want to merge the currently open
+`haskell-updates` PR, you can run the script with the following steps:
+
+1. Make sure you have previously authenticated with the `gh` command. The
+ script uses the `gh` command to merge the current PR and open a new one.
+ You should only need to do this once.
+
+ This command can be used to authenticate:
+
+ ```console
+ $ gh auth login
+ ```
+
+ This command can be used to confirm that you have already authenticated:
+
+ ```console
+ $ gh auth status
+ ```
+
+1. Make sure you have setup your `~/.cabal/config` file for authentication
+ for uploading the NixOS package versions to Hackage. See the following
+ section for details on how to do this.
+
+1. Make sure you have correctly marked packages broken. One of the previous
+ sections explains how to do this.
+
+ In short:
+
+ ```console
+ $ ./maintainers/scripts/haskell/hydra-report.hs get-report
+ $ ./maintainers/scripts/haskell/hydra-report.hs mark-broken-list
+ $ ./maintainers/scripts/haskell/mark-broken.sh --do-commit
+ ```
+
+1. Merge `master` into `haskell-updates` and make sure to push to the
+ `haskell-updates` branch. (This can be skipped if `master` has recently
+ been merged into `haskell-updates`.)
+
+1. Go to https://hydra.nixos.org/jobset/nixpkgs/haskell-updates and force an
+ evaluation of the `haskell-updates` jobset. See one of the following
+ sections for how to do this. Make sure there are no evaluation errors. If
+ there are remaining evaluation errors, fix them before continuing with this
+ merge.
+
+1. Run the script to merge `haskell-updates`:
+
+ ```console
+ $ ./maintainers/scripts/haskell/merge-and-open-pr.sh PR_NUM_OF_CURRENT_HASKELL_UPDATES_PR
+ ```
+
+ This does the following things:
+
+ 1. Fetches `origin`, makes sure you currently have the `haskell-updates`
+ branch checked out, and makes sure your currently checked-out
+ `haskell-updates` branch is on the same commit as
+ `origin/haskell-updates`.
+
+ 1. Merges the currently open `haskell-updates` PR.
+
+ 1. Updates the version of Haskell packages in NixOS on Hackage.
+
+ 1. Updates Stackage and Hackage snapshots. Regenerates the Haskell package set.
+
+ 1. Pushes the commits updating Stackage and Hackage and opens a new
+ `haskell-updates` PR on Nixpkgs. If you'd like to do this by hand,
+ look in the script for the recommended PR title and body text.
+
## Update Hackage Version Information
-After merging into `master` you can update what hackage displays as the current
-version in NixOS for every individual package.
-To do this you run `maintainers/scripts/haskell/upload-nixos-package-list-to-hackage.sh`.
-See the script for how to provide credentials. Once you have configured that
+After merging into `master` you can update what Hackage displays as the current
+version in NixOS for every individual package. To do this you run
+`maintainers/scripts/haskell/upload-nixos-package-list-to-hackage.sh`. See the
+script for how to provide credentials. Once you have configured credentials,
running this takes only a few seconds.
## Additional Info
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/cabal2nix-unstable.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/cabal2nix-unstable.nix
index 0e8fc7c69cf..b216bf9000b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/cabal2nix-unstable.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/cabal2nix-unstable.nix
@@ -8,10 +8,10 @@
}:
mkDerivation {
pname = "cabal2nix";
- version = "unstable-2021-08-21";
+ version = "unstable-2021-09-28";
src = fetchzip {
- url = "https://github.com/NixOS/cabal2nix/archive/51a4082fefad4642af67a0ae4bf3bb3a7d9b45e0.tar.gz";
- sha256 = "1qd991ziv54drb0n655dg4ymknlfa0ndx9nq9wb5v00a15i4c04x";
+ url = "https://github.com/NixOS/cabal2nix/archive/b4d893ed1a7a66b0046dd8a48f62b81de670ab02.tar.gz";
+ sha256 = "0xl5a0gfxrqz8pkx43zrj84xvcg15723lgvirxdcvc4zqa732zjg";
};
isLibrary = true;
isExecutable = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-arm.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-arm.nix
index 1013975ac66..9fc87c06a7d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-arm.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-arm.nix
@@ -91,6 +91,9 @@ self: super: {
xml-html-qq = dontCheck super.xml-html-qq;
yaml-combinators = dontCheck super.yaml-combinators;
yesod-paginator = dontCheck super.yesod-paginator;
+ hls-pragmas-plugin = dontCheck super.hls-pragmas-plugin;
+ hls-call-hierarchy-plugin = dontCheck super.hls-call-hierarchy-plugin;
+ hls-module-name-plugin = dontCheck super.hls-module-name-plugin;
# https://github.com/ekmett/half/issues/35
half = dontCheck super.half;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-common.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-common.nix
index 8f45269c300..1afa282a178 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-common.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-common.nix
@@ -64,7 +64,7 @@ self: super: {
name = "git-annex-${super.git-annex.version}-src";
url = "git://git-annex.branchable.com/";
rev = "refs/tags/" + super.git-annex.version;
- sha256 = "0kcsb5kqyx256fp1bj3y0x6k3286j4cykrx0yr4k3vvb3maakf7k";
+ sha256 = "1yn84q0iy81b2sczbf4gx8b56f9ghb9kgwjc0n7l5xn5lb2wqlqa";
# delete android and Android directories which cause issues on
# darwin (case insensitive directory). Since we don't need them
# during the build process, we can delete it to prevent a hash
@@ -120,14 +120,6 @@ self: super: {
# Jailbreak is necessary for QuickCheck dependency.
vector = doJailbreak (if pkgs.stdenv.isi686 then appendConfigureFlag super.vector "--ghc-options=-msse2" else super.vector);
- # Test suite fails due golden tests checking text representation
- # of normalized dhall expressions, and newer dhall versions format
- # differently.
- hpack-dhall =
- if pkgs.lib.versionOlder "0.5.2" super.hpack-dhall.version
- then throw "Drop dontCheck override for hpack-dhall > 0.5.2"
- else dontCheck super.hpack-dhall;
-
inline-c-cpp = overrideCabal super.inline-c-cpp (drv: {
postPatch = (drv.postPatch or "") + ''
substituteInPlace inline-c-cpp.cabal --replace "-optc-std=c++11" ""
@@ -220,6 +212,7 @@ self: super: {
command-qq = dontCheck super.command-qq; # http://hydra.cryp.to/build/499042/log/raw
conduit-connection = dontCheck super.conduit-connection;
craftwerk = dontCheck super.craftwerk;
+ crc = dontCheck super.crc; # https://github.com/MichaelXavier/crc/issues/2
css-text = dontCheck super.css-text;
damnpacket = dontCheck super.damnpacket; # http://hydra.cryp.to/build/496923/log
data-hash = dontCheck super.data-hash;
@@ -612,6 +605,25 @@ self: super: {
'';
});
+ d-bus = let
+ # The latest release on hackage is missing necessary patches for recent compilers
+ # https://github.com/Philonous/d-bus/issues/24
+ newer = overrideSrc super.d-bus {
+ version = "unstable-2021-01-08";
+ src = pkgs.fetchFromGitHub {
+ owner = "Philonous";
+ repo = "d-bus";
+ rev = "fb8a948a3b9d51db618454328dbe18fb1f313c70";
+ hash = "sha256-R7/+okb6t9DAkPVUV70QdYJW8vRcvBdz4zKJT13jb3A=";
+ };
+ };
+ # Add now required extension on recent compilers.
+ # https://github.com/Philonous/d-bus/pull/23
+ in appendPatch newer (pkgs.fetchpatch {
+ url = "https://github.com/Philonous/d-bus/commit/e5f37900a3a301c41d98bdaa134754894c705681.patch";
+ sha256 = "6rQ7H9t483sJe1x95yLPAZ0BKTaRjgqQvvrQv7HkJRE=";
+ });
+
# * The standard libraries are compiled separately.
# * We need multiple patches from master to fix compilation with
# updated dependencies (haskeline and megaparsec) which can be
@@ -784,7 +796,13 @@ self: super: {
# https://github.com/haskell-hvr/cryptohash-sha256/issues/11
# Jailbreak is necessary to break out of tasty < 1.x dependency.
- cryptohash-sha256 = markUnbroken (doJailbreak super.cryptohash-sha256);
+ # hackage2nix generates this as a broken package due to the (fake) dependency
+ # missing from hackage, so we need to fix the meta attribute set.
+ cryptohash-sha256 = overrideCabal super.cryptohash-sha256 (drv: {
+ jailbreak = true;
+ broken = false;
+ hydraPlatforms = pkgs.lib.platforms.all;
+ });
# The test suite has all kinds of out-dated dependencies, so it feels easier
# to just disable it.
@@ -838,6 +856,11 @@ self: super: {
stripLen = 1;
});
+ # hledger-lib 1.23 depends on doctest >= 0.18
+ hledger-lib_1_23 = super.hledger-lib_1_23.override {
+ doctest = self.doctest_0_18_1;
+ };
+
# Copy hledger man pages from data directory into the proper place. This code
# should be moved into the cabal2nix generator.
hledger = overrideCabal super.hledger (drv: {
@@ -1119,28 +1142,8 @@ self: super: {
# https://bitbucket.org/rvlm/hakyll-contrib-hyphenation/src/master/
# Therefore we jailbreak it.
hakyll-contrib-hyphenation = doJailbreak super.hakyll-contrib-hyphenation;
-
- # Jailbreak due to bounds on multiple dependencies,
- # bound on pandoc needs to be patched since it is conditional
- hakyll = doJailbreak (overrideCabal super.hakyll (drv: {
- patches = [
- # Remove when Hakyll > 4.14.0.0
- (pkgs.fetchpatch {
- url = "https://github.com/jaspervdj/hakyll/commit/0dc6127d81ff688e27c36ce469230320eee60246.patch";
- sha256 = "sha256-YyRz3bAmIBODTEeS5kGl2J2x31SjiPoLzUZUlo3nHvQ=";
- })
- # Remove when Hakyll > 4.14.0.0
- (pkgs.fetchpatch {
- url = "https://github.com/jaspervdj/hakyll/commit/af9e29b5456c105dc948bc46c93e989a650b5ed1.patch";
- sha256 = "sha256-ghc0V5L9OybNHWKmM0vhjRBN2rIvDlp+ClcK/aQst44=";
- })
- # Remove when Hakyll > 4.14.0.0
- (pkgs.fetchpatch {
- url = "https://github.com/jaspervdj/hakyll/commit/e0c63558a82ac4347181d5d77dce7f763a1db410.patch";
- sha256 = "sha256-wYlxJmq56YQ29vpVsQhO+JdL0GBezCAfkdhIdFnLYsc=";
- })
- ];
- }));
+ # 2021-10-04: too strict upper bound on Hakyll
+ hakyll-filestore = doJailbreak super.hakyll-filestore;
# 2020-06-22: NOTE: > 0.4.0 => rm Jailbreak: https://github.com/serokell/nixfmt/issues/71
nixfmt = doJailbreak super.nixfmt;
@@ -1148,6 +1151,7 @@ self: super: {
# The test suite depends on an impure cabal-install installation in
# $HOME, which we don't have in our build sandbox.
cabal-install-parsers = dontCheck super.cabal-install-parsers;
+ cabal-install-parsers_0_4_2 = dontCheck super.cabal-install-parsers_0_4_2;
# 2021-08-18: Erroneously claims that it needs a newer HStringTemplate (>= 0.8.8) than stackage.
gitit = doJailbreak super.gitit;
@@ -1176,6 +1180,15 @@ self: super: {
sha256 = "097wqn8hxsr50b9mhndg5pjim5jma2ym4ylpibakmmb5m98n17zp";
});
+ # Pick patch from 1.6.0 which allows compilation with doctest 0.18
+ polysemy = appendPatches super.polysemy [
+ (pkgs.fetchpatch {
+ name = "allow-doctest-0.18.patch";
+ url = "https://github.com/polysemy-research/polysemy/commit/dbcf851eb69395ce3143ecf2dd616dcad953a339.patch";
+ sha256 = "1qf5pghc8p1glwaadkr95x12d74vhb98mg8dqwilyxbc6gq763w2";
+ })
+ ];
+
# polysemy-plugin 0.2.5.0 has constraint ghc-tcplugins-extra (==0.3.*)
# This upstream issue is relevant:
# https://github.com/polysemy-research/polysemy/issues/322
@@ -1259,6 +1272,23 @@ self: super: {
gi-cairo-render = doJailbreak super.gi-cairo-render;
gi-cairo-connector = doJailbreak super.gi-cairo-connector;
+ svgcairo = overrideCabal super.svgcairo (drv: {
+ patches = [
+ # Remove when https://github.com/gtk2hs/svgcairo/pull/10 gets merged.
+ (pkgs.fetchpatch {
+ url = "https://github.com/gtk2hs/svgcairo/commit/df6c6172b52ecbd32007529d86ba9913ba001306.patch";
+ sha256 = "128qrns56y139vfzg1rbyqfi2xn8gxsmpnxv3zqf4v5spsnprxwh";
+ })
+ # The update here breaks svgcairo:
+ # https://github.com/NixOS/nixpkgs/commit/08fcd73d9dc9a28aa901210b259d9bfb3c228018
+ # and updating the call to the header with the correct name fixes it.
+ (pkgs.fetchpatch {
+ url = "https://github.com/dalpd/svgcairo/commit/4dc6d8d3a6c24be0b8c1fd73b282ff247e7b1e6f.patch";
+ sha256 = "1pq9ld9z67zsxj8vqjf82qwckcp69lvvnrjb7wsyb5jc6jaj3q0a";
+ })
+ ];
+ });
+
# Missing -Iinclude parameter to doc-tests (pull has been accepted, so should be resolved when 0.5.3 released)
# https://github.com/lehins/massiv/pull/104
massiv = dontCheck super.massiv;
@@ -1300,7 +1330,7 @@ self: super: {
})) (drv: {
patches = [ ./patches/graphql-engine-mapkeys.patch ];
doHaddock = false;
- version = "2.0.7";
+ version = "2.0.9";
});
hasura-ekg-core = super.hasura-ekg-core.overrideScope (self: super: {
hspec = dontCheck self.hspec_2_8_3;
@@ -1383,19 +1413,12 @@ self: super: {
# 2021-06-20: Tests fail: https://github.com/haskell/haskell-language-server/issues/1949
hls-refine-imports-plugin = dontCheck super.hls-refine-imports-plugin;
- # 2021-03-09: Golden tests seem to be missing in hackage release:
- # https://github.com/haskell/haskell-language-server/issues/1536
- hls-tactics-plugin = dontCheck (super.hls-tactics-plugin.override { refinery = self.refinery_0_3_0_0; });
+ # 2021-09-14: Tests are broken because of undeterministic variable names
+ hls-tactics-plugin = dontCheck super.hls-tactics-plugin;
# 2021-03-21 Test hangs
# https://github.com/haskell/haskell-language-server/issues/1562
- # Jailbreak because of: https://github.com/haskell/haskell-language-server/pull/1595
- ghcide = doJailbreak (dontCheck super.ghcide);
-
- # 2020-03-09: Tests broken in hackage release
- # fixed on upstream, but not released in hiedb 0.3.0.1
- # https://github.com/wz1000/HieDb/issues/30
- hiedb = dontCheck super.hiedb;
+ ghcide = dontCheck super.ghcide;
data-tree-print = doJailbreak super.data-tree-print;
@@ -1465,17 +1488,21 @@ self: super: {
hercules-ci-cli = generateOptparseApplicativeCompletion "hci" (
# See hercules-ci-optparse-applicative in non-hackage-packages.nix.
- addBuildDepend (unmarkBroken super.hercules-ci-cli) super.hercules-ci-optparse-applicative
+ addBuildDepend
+ (overrideCabal
+ (unmarkBroken super.hercules-ci-cli)
+ (drv: { hydraPlatforms = super.hercules-ci-cli.meta.platforms; }))
+ super.hercules-ci-optparse-applicative
);
# Readline uses Distribution.Simple from Cabal 2, in a way that is not
# compatible with Cabal 3. No upstream repository found so far
readline = appendPatch super.readline ./patches/readline-fix-for-cabal-3.patch;
- # 2020-12-05: http-client is fixed on too old version
- essence-of-live-coding-warp = doJailbreak (super.essence-of-live-coding-warp.override {
- http-client = self.http-client_0_7_8;
- });
+ # 2020-12-05: this package requires a newer version of http-client,
+ # but it still compiles with older version:
+ # https://github.com/turion/essence-of-live-coding/pull/86
+ essence-of-live-coding-warp = doJailbreak super.essence-of-live-coding-warp;
# 2020-12-06: Restrictive upper bounds w.r.t. pandoc-types (https://github.com/owickstrom/pandoc-include-code/issues/27)
pandoc-include-code = doJailbreak super.pandoc-include-code;
@@ -1793,8 +1820,11 @@ self: super: {
# 2021-05-09 haskell-ci pins ShellCheck 0.7.1
# https://github.com/haskell-CI/haskell-ci/issues/507
+ # 2021-09-05 haskell-ci needs Cabal 3.4,
+ # cabal-install-parsers uses Cabal 3.6 since 0.4.3
haskell-ci = super.haskell-ci.override {
ShellCheck = self.ShellCheck_0_7_1;
+ cabal-install-parsers = self.cabal-install-parsers_0_4_2;
};
Frames-streamly = overrideCabal (super.Frames-streamly.override { relude = super.relude_1_0_0_1; }) (drv: {
@@ -1868,9 +1898,6 @@ EOT
testFlags = [ "--pattern" "!/[NOCI]/" ];
};
- # Tests require to run a binary which isn't built
- lsp-test = dontCheck super.lsp-test;
-
# 2021-05-22: Tests fail sometimes (even consistently on hydra)
# when running a fs-related test with >= 12 jobs. To work around
# this, run tests with only a single job.
@@ -1903,9 +1930,34 @@ EOT
# https://github.com/Porges/email-validate-hs/issues/58
email-validate = doJailbreak super.email-validate;
- # 2021-06-20: Outdated upper bounds
- # https://github.com/Porges/email-validate-hs/issues/58
- ghcup = doJailbreak super.ghcup;
+ # 2021-10-02: Make optics 0.4 packages work together
+ optics-th_0_4 = super.optics-th_0_4.override {
+ optics-core = self.optics-core_0_4;
+ };
+ optics-extra_0_4 = super.optics-extra_0_4.override {
+ optics-core = self.optics-core_0_4;
+ };
+ optics_0_4 = super.optics_0_4.override {
+ optics-core = self.optics-core_0_4;
+ optics-extra = self.optics-extra_0_4;
+ optics-th = self.optics-th_0_4;
+ };
+
+ # https://github.com/plow-technologies/hspec-golden-aeson/issues/17
+ hspec-golden-aeson_0_9_0_0 = dontCheck super.hspec-golden-aeson_0_9_0_0;
+
+ # 2021-10-02: Doesn't compile with optics < 0.4
+ ghcup = overrideCabal (super.ghcup.override {
+ hspec-golden-aeson = self.hspec-golden-aeson_0_9_0_0;
+ optics = self.optics_0_4;
+ }) (drv: {
+ # golden files are not shipped with the hackage tarball and hspec-golden-aeson
+ # needs some encouraging to create the missing files after version 0.8.0.0.
+ # See: https://gitlab.haskell.org/haskell/ghcup-hs/-/issues/255
+ preCheck = assert drv.version == "0.1.17.2"; ''
+ export CREATE_MISSING_GOLDEN=yes
+ '' + (drv.preCheck or "");
+ });
# Break out of "Cabal < 3.2" constraint.
stylish-haskell = doJailbreak super.stylish-haskell;
@@ -1949,13 +2001,57 @@ EOT
# Needs Cabal >= 3.4
chs-cabal = super.chs-cabal.override {
- Cabal = self.Cabal_3_6_0_0;
+ Cabal = self.Cabal_3_6_2_0;
};
- # ghc-api-compat needlessly requires 8.10.5 exactly, but we have 8.10.6
- ghc-api-compat = doJailbreak super.ghc-api-compat;
-
# 2021-08-18: streamly-posix was released with hspec 2.8.2, but it works with older versions too.
streamly-posix = doJailbreak super.streamly-posix;
+ # https://github.com/hadolint/language-docker/issues/72
+ language-docker_10_2_0 = overrideCabal super.language-docker_10_2_0 (drv: {
+ testFlags = (drv.testFlags or []) ++ [
+ "--skip=/Language.Docker.Integration/parse"
+ ];
+ });
+
+ # 2021-09-06: hadolint depends on language-docker >= 10.1
+ hadolint = super.hadolint.override {
+ language-docker = self.language-docker_10_2_0;
+ };
+
+ # 2021-09-13: hls 1.3 needs a newer lsp than stackage-lts. (lsp >= 1.2.0.1)
+ # (hls is nearly the only consumer, but consists of 18 packages, so we bump lsp globally.)
+ lsp = doDistribute self.lsp_1_2_0_1;
+ lsp-types = doDistribute self.lsp-types_1_3_0_1;
+ # Not running the "example" test because it requires a binary from lsps test
+ # suite which is not part of the output of lsp.
+ lsp-test = doDistribute (overrideCabal self.lsp-test_0_14_0_1 (old: { testTarget = "tests func-test"; }));
+
+ # 2021-09-14: Tests are flaky.
+ hls-splice-plugin = dontCheck super.hls-splice-plugin;
+
+ # 2021-09-18: https://github.com/haskell/haskell-language-server/issues/2205
+ hls-stylish-haskell-plugin = doJailbreak super.hls-stylish-haskell-plugin;
+
+ # 2021-09-29: unnecessary lower bound on generic-lens
+ hw-ip = assert pkgs.lib.versionOlder self.generic-lens.version "2.2.0.0";
+ doJailbreak super.hw-ip;
+ hw-eliasfano = assert pkgs.lib.versionOlder self.generic-lens.version "2.2.0.0";
+ doJailbreak super.hw-eliasfano;
+ hw-xml = assert pkgs.lib.versionOlder self.generic-lens.version "2.2.0.0";
+ doJailbreak super.hw-xml;
+
+ # Needs network >= 3.1.2
+ quic = super.quic.overrideScope (self: super: {
+ network = self.network_3_1_2_5;
+ });
+
+ http3 = super.http3.overrideScope (self: super: {
+ network = self.network_3_1_2_5;
+ });
+
+ # Fixes https://github.com/NixOS/nixpkgs/issues/140613
+ # https://github.com/recursion-schemes/recursion-schemes/issues/128
+ recursion-schemes = appendPatch super.recursion-schemes ./patches/recursion-schemes-128.patch;
+
} // import ./configuration-tensorflow.nix {inherit pkgs haskellLib;} self super
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-darwin.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-darwin.nix
index f23abbd167d..39e025cbd26 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-darwin.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-darwin.nix
@@ -8,7 +8,7 @@ in
with haskellLib;
-self: super: {
+self: super: ({
# the tests for shell-conduit on Darwin illegitimatey assume non-GNU echo
# see: https://github.com/psibi/shell-conduit/issues/12
@@ -196,6 +196,8 @@ self: super: {
hls-ormolu-plugin = dontCheck super.hls-ormolu-plugin;
hls-pragmas-plugin = dontCheck super.hls-pragmas-plugin;
hls-haddock-comments-plugin = dontCheck super.hls-haddock-comments-plugin;
+ hls-floskell-plugin = dontCheck super.hls-floskell-plugin;
+ hls-call-hierarchy-plugin = dontCheck super.hls-call-hierarchy-plugin;
# We are lacking pure pgrep at the moment for tests to work
tmp-postgres = dontCheck super.tmp-postgres;
@@ -252,4 +254,11 @@ self: super: {
# Otherwise impure gcc is used, which is Apple's weird wrapper
c2hsc = addTestToolDepends super.c2hsc [ pkgs.gcc ];
-}
+} // lib.optionalAttrs pkgs.stdenv.isAarch64 { # aarch64-darwin
+
+ # https://github.com/fpco/unliftio/issues/87
+ unliftio = dontCheck super.unliftio;
+
+ # https://github.com/fpco/inline-c/issues/127
+ inline-c-cpp = dontCheck super.inline-c-cpp;
+})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-8.10.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-8.10.x.nix
index 5ef659349b3..4d0c3320894 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-8.10.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-8.10.x.nix
@@ -44,12 +44,16 @@ self: super: {
# cabal-install needs more recent versions of Cabal and base16-bytestring.
cabal-install = super.cabal-install.overrideScope (self: super: {
- Cabal = self.Cabal_3_4_0_0;
- base16-bytestring = self.base16-bytestring_0_1_1_7;
+ Cabal = self.Cabal_3_6_2_0;
});
- # cabal-install-parsers is written for Cabal 3.4
- cabal-install-parsers = super.cabal-install-parsers.override { Cabal = super.Cabal_3_4_0_0; };
+ # cabal-install-parsers is written for Cabal 3.6
+ cabal-install-parsers = super.cabal-install-parsers.override { Cabal = super.Cabal_3_6_2_0; };
+
+ # older version of cabal-install-parsers for reverse dependencies that use Cabal 3.4
+ cabal-install-parsers_0_4_2 = super.cabal-install-parsers_0_4_2.override {
+ Cabal = self.Cabal_3_4_1_0;
+ };
# Jailbreak to fix the build.
base-noprelude = doJailbreak super.base-noprelude;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix
index 08431ee0dd3..25d8e44b454 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix
@@ -51,8 +51,7 @@ self: super: {
# cabal-install needs more recent versions of Cabal and random, but an older
# version of base16-bytestring.
cabal-install = super.cabal-install.overrideScope (self: super: {
- Cabal = self.Cabal_3_4_0_0;
- base16-bytestring = self.base16-bytestring_0_1_1_7;
+ Cabal = self.Cabal_3_6_2_0;
});
# Ignore overly restrictive upper version bounds.
@@ -99,7 +98,7 @@ self: super: {
darcs = dontDistribute super.darcs;
# The package needs the latest Cabal version.
- cabal-install-parsers = super.cabal-install-parsers.overrideScope (self: super: { Cabal = self.Cabal_3_2_1_0; });
+ cabal-install-parsers = super.cabal-install-parsers.overrideScope (self: super: { Cabal = self.Cabal_3_6_2_0; });
# cabal-fmt requires Cabal3
cabal-fmt = super.cabal-fmt.override { Cabal = self.Cabal_3_2_1_0; };
@@ -129,5 +128,5 @@ self: super: {
# vector 0.12.2 indroduced doctest checks that don‘t work on older compilers
vector = dontCheck super.vector;
- ghc-api-compat = super.ghc-api-compat_8_6;
+ ghc-api-compat = doDistribute super.ghc-api-compat_8_6;
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-9.0.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-9.0.x.nix
index 20c64dbbccf..87cf1c86833 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-9.0.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-9.0.x.nix
@@ -45,8 +45,7 @@ self: super: {
# cabal-install needs more recent versions of Cabal and base16-bytestring.
cabal-install = (doJailbreak super.cabal-install).overrideScope (self: super: {
- Cabal = null;
- base16-bytestring = self.base16-bytestring_0_1_1_7;
+ Cabal = self.Cabal_3_6_2_0;
});
# Jailbreaks & Version Updates
@@ -73,6 +72,17 @@ self: super: {
vector-binary-instances = doJailbreak super.vector-binary-instances;
vector-th-unbox = doJailbreak super.vector-th-unbox;
zlib = doJailbreak super.zlib;
+ weeder = self.weeder_2_3_0;
+ generic-lens-core = self.generic-lens-core_2_2_0_0;
+ generic-lens = self.generic-lens_2_2_0_0;
+
+ # Doesn't allow Dhall 1.39.*
+ weeder_2_3_0 = super.weeder_2_3_0.override {
+ dhall = self.dhall_1_40_1;
+ };
+
+ # Upstream also disables test for GHC 9: https://github.com/kcsongor/generic-lens/pull/130
+ generic-lens_2_2_0_0 = dontCheck super.generic-lens_2_2_0_0;
# Apply patches from head.hackage.
alex = appendPatch (dontCheck super.alex) (pkgs.fetchpatch {
@@ -105,11 +115,80 @@ self: super: {
# https://github.com/Soostone/retry/issues/71
retry = dontCheck super.retry;
- # hlint 3.3 needs a ghc-lib-parser newer than the one from stackage
- hlint = super.hlint_3_3_1.overrideScope (self: super: {
- ghc-lib-parser = overrideCabal self.ghc-lib-parser_9_0_1_20210324 {
- doHaddock = false;
- };
- ghc-lib-parser-ex = self.ghc-lib-parser-ex_9_0_0_4;
+ # Hlint needs >= 3.3.4 for ghc 9 support.
+ hlint = super.hlint_3_3_4;
+
+ # 2021-09-18: ghc-api-compat and ghc-lib-* need >= 9.0.x versions for hls and hlint
+ ghc-api-compat = doDistribute super.ghc-api-compat_9_0_1;
+ ghc-lib-parser = self.ghc-lib-parser_9_0_1_20210324;
+ ghc-lib-parser-ex = self.ghc-lib-parser-ex_9_0_0_4;
+ ghc-lib = self.ghc-lib_9_0_1_20210324;
+
+ # 2021-09-18: Need semialign >= 1.2 for correct bounds
+ semialign = super.semialign_1_2;
+
+ # Will probably be needed for brittany support
+ # https://github.com/lspitzner/czipwith/pull/2
+ #czipwith = appendPatch super.czipwith
+ # (pkgs.fetchpatch {
+ # url = "https://github.com/lspitzner/czipwith/commit/b6245884ae83e00dd2b5261762549b37390179f8.patch";
+ # sha256 = "08rpppdldsdwzb09fmn0j55l23pwyls2dyzziw3yjc1cm0j5vic5";
+ # });
+
+ # 2021-09-18: https://github.com/mokus0/th-extras/pull/8
+ # Release is missing, but asked for in the above PR.
+ th-extras = overrideCabal super.th-extras (old: {
+ version = assert old.version == "0.0.0.4"; "unstable-2021-09-18";
+ src = pkgs.fetchFromGitHub {
+ owner = "mokus0";
+ repo = "th-extras";
+ rev = "0d050b24ec5ef37c825b6f28ebd46787191e2a2d";
+ sha256 = "045f36yagrigrggvyb96zqmw8y42qjsllhhx2h20q25sk5h44xsd";
+ };
+ libraryHaskellDepends = old.libraryHaskellDepends ++ [self.th-abstraction];
+ });
+
+ # 2021-09-18: GHC 9 compat release is missing
+ # Issue: https://github.com/obsidiansystems/dependent-sum/issues/65
+ dependent-sum-template = dontCheck (appendPatch super.dependent-sum-template
+ (pkgs.fetchpatch {
+ url = "https://github.com/obsidiansystems/dependent-sum/commit/8cf4c7fbc3bfa2be475a17bb7c94a1e1e9a830b5.patch";
+ sha256 = "02wyy0ciicq2x8lw4xxz3x5i4a550mxfidhm2ihh60ni6am498ff";
+ stripLen = 2;
+ extraPrefix = "";
+ }));
+
+ # 2021-09-18: cabal2nix does not detect the need for ghc-api-compat.
+ hiedb = overrideCabal super.hiedb (old: {
+ libraryHaskellDepends = old.libraryHaskellDepends ++ [self.ghc-api-compat];
});
+
+ # 2021-09-18: Need path >= 0.9.0 for ghc 9 compat
+ path = self.path_0_9_0;
+ # 2021-09-18: Need ormolu >= 0.3.0.0 for ghc 9 compat
+ ormolu = doDistribute self.ormolu_0_3_1_0;
+ # 2021-09-18: https://github.com/haskell/haskell-language-server/issues/2206
+ # Restrictive upper bound on ormolu
+ hls-ormolu-plugin = doJailbreak super.hls-ormolu-plugin;
+
+ # 2021-09-18: The following plugins don‘t work yet on ghc9.
+ haskell-language-server = appendConfigureFlags (super.haskell-language-server.override {
+ hls-tactics-plugin = null; # No upstream support, generic-lens-core fail
+ hls-splice-plugin = null; # No upstream support in hls 1.4.0, should be fixed in 1.5
+ hls-refine-imports-plugin = null; # same issue es splice-plugin
+ hls-class-plugin = null; # No upstream support
+
+ hls-fourmolu-plugin = null; # No upstream support, needs new fourmolu release
+ hls-stylish-haskell-plugin = null; # No upstream support
+ hls-brittany-plugin = null; # No upstream support, needs new brittany release
+ }) [
+ "-f-tactic"
+ "-f-splice"
+ "-f-refineimports"
+ "-f-class"
+
+ "-f-fourmolu"
+ "-f-brittany"
+ "-f-stylishhaskell"
+ ];
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-9.2.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-9.2.x.nix
new file mode 100644
index 00000000000..ece3daf3b37
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghc-9.2.x.nix
@@ -0,0 +1,256 @@
+{ pkgs, haskellLib }:
+
+with haskellLib;
+
+self: super: {
+
+ # This compiler version needs llvm 10.x.
+ llvmPackages = pkgs.lib.dontRecurseIntoAttrs pkgs.llvmPackages_10;
+
+ # Disable GHC 9.2.x core libraries.
+ array = null;
+ base = null;
+ binary = null;
+ bytestring = null;
+ Cabal = null;
+ containers = null;
+ deepseq = null;
+ directory = null;
+ exceptions = null;
+ filepath = null;
+ ghc-bignum = null;
+ ghc-boot = null;
+ ghc-boot-th = null;
+ ghc-compact = null;
+ ghc-heap = null;
+ ghc-prim = null;
+ ghci = null;
+ haskeline = null;
+ hpc = null;
+ integer-gmp = null;
+ libiserv = null;
+ mtl = null;
+ parsec = null;
+ pretty = null;
+ process = null;
+ rts = null;
+ stm = null;
+ template-haskell = null;
+ terminfo = null;
+ text = null;
+ time = null;
+ transformers = null;
+ unix = null;
+ xhtml = null;
+
+ aeson = appendPatch (doJailbreak super.aeson) (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/aeson-1.5.6.0.patch";
+ sha256 = "07rk7f0lhgilxvbg2grpl1p5x25wjf9m7a0wqmi2jr0q61p9a0nl";
+ # The revision information is newer than that included in the patch
+ excludes = ["*.cabal"];
+ });
+
+ attoparsec = appendPatch (doJailbreak super.attoparsec_0_14_1) (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/attoparsec-0.14.1.patch";
+ sha256 = "0nprywmi3i9ih8mcc8afyimrfjr8pbcjxr2ywz9gwdlwdplk21da";
+ });
+
+ # 0.12.0 introduces support for 9.2
+ base-compat = self.base-compat_0_12_0;
+ base-compat-batteries = self.base-compat-batteries_0_12_0;
+
+ basement = overrideCabal (appendPatch super.basement (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/basement-0.0.12.patch";
+ sha256 = "0c8n2krz827cv87p3vb1vpl3v0k255aysjx9lq44gz3z1dhxd64z";
+ })) (drv: {
+ # This is inside a conditional block so `doJailbreak` doesn't work
+ postPatch = "sed -i -e 's,<4.16,<4.17,' basement.cabal";
+ });
+
+ base16-bytestring = appendPatch super.base16-bytestring (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/base16-bytestring-1.0.1.0.patch";
+ sha256 = "19ajai9y04981zfpcdj1nlz44b12gjj4m1ncciijv43mnz82plji";
+ });
+
+ # Duplicate Show instances in tests and library cause compiling tests to fail
+ blaze-builder = appendPatch (dontCheck super.blaze-builder) (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/blaze-builder-0.4.2.1.patch";
+ sha256 = "1h5ny3mlng69vwaabl3af8hlv4qi24wfw8s14lw2ksw1yjbgi0j8";
+ });
+
+ cereal = appendPatch (doJailbreak super.cereal) (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/cereal-0.5.8.1.patch";
+ sha256 = "03v4nxwz9y6viaa8anxcmp4zdf2clczv4pf9fqq6lnpplpz5i128";
+ });
+
+ # Tests fail because of typechecking changes
+ conduit = dontCheck super.conduit;
+
+ constraints = appendPatch super.constraints (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/constraints-0.13.patch";
+ sha256 = "0cr4qzw0fbwy97f3wx0v3mv8yc642ahpfa80kaqrq191232fzzf3";
+ });
+
+ cryptonite = appendPatch super.cryptonite (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/cryptonite-0.29.patch";
+ sha256 = "1g48lrmqgd88hqvfq3klz7lsrpwrir2v1931myrhh6dy0d9pqj09";
+ });
+
+ # cabal-install needs more recent versions of Cabal
+ cabal-install = (doJailbreak super.cabal-install).overrideScope (self: super: {
+ Cabal = self.Cabal_3_6_2_0;
+ });
+
+ doctest = appendPatch (dontCheck (doJailbreak super.doctest_0_18_1)) (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/doctest-0.18.1.patch";
+ sha256 = "030kdsk0fg08cgdcjpyv6z8ym1vkkrbd34aacs91y5hqzc9g79y1";
+ });
+
+ entropy = appendPatch super.entropy (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/entropy-0.4.1.6.patch";
+ sha256 = "0pbbl6m8b3snf9cz6rs0ljmacpsavayfn0s3p67hdcmndy3ndawi";
+ });
+
+ # Tests fail in GHC 9.2
+ extra = dontCheck super.extra;
+
+ # Jailbreaks & Version Updates
+ assoc = doJailbreak super.assoc;
+ async = doJailbreak super.async;
+ base64-bytestring = doJailbreak super.base64-bytestring;
+ ChasingBottoms = doJailbreak super.ChasingBottoms;
+ cpphs = overrideCabal super.cpphs (drv: { postPatch = "sed -i -e 's,time >=1.5 && <1.11,time >=1.5 \\&\\& <1.12,' cpphs.cabal";});
+ cryptohash-md5 = doJailbreak super.cryptohash-md5;
+ cryptohash-sha1 = doJailbreak super.cryptohash-sha1;
+ data-fix = doJailbreak super.data-fix;
+ dec = doJailbreak super.dec;
+ ed25519 = doJailbreak super.ed25519;
+ ghc-byteorder = doJailbreak super.ghc-byteorder;
+ hackage-security = doJailbreak super.hackage-security;
+ hashable-time = doJailbreak super.hashable-time;
+ HTTP = overrideCabal (doJailbreak super.HTTP) (drv: { postPatch = "sed -i -e 's,! Socket,!Socket,' Network/TCP.hs"; });
+ integer-logarithms = overrideCabal (doJailbreak super.integer-logarithms) (drv: { postPatch = "sed -i -e 's, <1.1, <1.3,' integer-logarithms.cabal"; });
+ indexed-traversable = doJailbreak super.indexed-traversable;
+ lifted-async = doJailbreak super.lifted-async;
+ lukko = doJailbreak super.lukko;
+ network = super.network_3_1_2_5;
+ parallel = doJailbreak super.parallel;
+ polyparse = overrideCabal (doJailbreak super.polyparse) (drv: { postPatch = "sed -i -e 's, <0.11, <0.12,' polyparse.cabal"; });
+ primitive = doJailbreak super.primitive;
+ regex-posix = doJailbreak super.regex-posix;
+ resolv = doJailbreak super.resolv;
+ singleton-bool = doJailbreak super.singleton-bool;
+ scientific = doJailbreak super.scientific;
+ shelly = doJailbreak super.shelly;
+ split = doJailbreak super.split;
+ splitmix = doJailbreak super.splitmix;
+ tar = doJailbreak super.tar;
+ these = doJailbreak super.these;
+ time-compat = doJailbreak super.time-compat;
+ type-equality = doJailbreak super.type-equality;
+ vector = doJailbreak (dontCheck super.vector);
+ vector-binary-instances = doJailbreak super.vector-binary-instances;
+ zlib = doJailbreak super.zlib;
+ indexed-traversable-instances = doJailbreak super.indexed-traversable-instances;
+
+ hpack = overrideCabal (doJailbreak super.hpack) (drv: {
+ # Cabal 3.6 seems to preserve comments when reading, which makes this test fail
+ # 2021-10-10: 9.2.1 is not yet supported (also no issue)
+ testFlags = [
+ "--skip=/Hpack/renderCabalFile/is inverse to readCabalFile/"
+ ] ++ drv.testFlags or [];
+ });
+
+ # Patch for TH code from head.hackage
+ vector-th-unbox = appendPatch (doJailbreak super.vector-th-unbox) (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/vector-th-unbox-0.2.1.9.patch";
+ sha256 = "02bvvy3hx3cf4y4dr64zl5pjvq8giwk4286j5g1n6k8ikyn2403p";
+ });
+
+ # Patch for TH code from head.hackage
+ invariant = appendPatch (doJailbreak super.invariant) (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/invariant-0.5.4.patch";
+ sha256 = "17gg8ck4r6qmlbcbpbnqzksgf5q7i891zs6axfzhas6ajncylxvc";
+ });
+
+ # base 4.15 support from head.hackage
+ lens = appendPatch (doJailbreak super.lens_5_0_1) (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/lens-5.0.1.patch";
+ sha256 = "1s8qqg7ymvv94dnfnr1ragx91chh9y7ydc4jx25zn361wbn00pv7";
+ });
+
+ semigroupoids = overrideCabal super.semigroupoids (drv: {
+ # Patch from head.hackage for base 4.15 compat
+ patches = drv.patches or [] ++ [
+ (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/semigroupoids-5.3.5.patch";
+ sha256 = "0xrn1gv6b2n76522pk2nfp4z69kvp14l2zpif2f8zzz6cwcrx9w8";
+ })
+ ];
+ # acrobatics to make the patch apply
+ prePatch = ''
+ find . -type f | xargs -L 1 ${pkgs.buildPackages.dos2unix}/bin/dos2unix
+ '';
+ editedCabalFile = null;
+ revision = null;
+ });
+
+ # Syntax error in tests fixed in https://github.com/simonmar/alex/commit/84b29475e057ef744f32a94bc0d3954b84160760
+ alex = dontCheck super.alex;
+
+ # Apply patches from head.hackage.
+ language-haskell-extract = appendPatch (doJailbreak super.language-haskell-extract) (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/language-haskell-extract-0.2.4.patch";
+ sha256 = "0w4y3v69nd3yafpml4gr23l94bdhbmx8xky48a59lckmz5x9fgxv";
+ });
+
+ hashable = super.hashable_1_3_4_1;
+
+ haskell-src-meta = appendPatch (doJailbreak super.haskell-src-meta) (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/haskell-src-meta-0.8.7.patch";
+ sha256 = "013k8hpxac226j47cdzgdf9a1j91kmm0cvv7n8zwlajbj3y9bzjp";
+ });
+
+ # Tests depend on `parseTime` which is no longer available
+ hourglass = dontCheck super.hourglass;
+
+ # 1.2.1 introduced support for GHC 9.2.1, stackage has 1.2.0
+ # The test suite indirectly depends on random, which leads to infinite recursion
+ random = dontCheck super.random_1_2_1;
+
+ # 0.16.0 introduced support for GHC 9.0.x, stackage has 0.15.0
+ memory = appendPatch super.memory_0_16_0 (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/memory-0.16.0.patch";
+ sha256 = "1kjganx729a6xfgfnrb3z7q6mvnidl042zrsd9n5n5a3i76nl5nl";
+ });
+
+ # GHC 9.0.x doesn't like `import Spec (main)` in Main.hs
+ # https://github.com/snoyberg/mono-traversable/issues/192
+ mono-traversable = dontCheck super.mono-traversable;
+
+ quickcheck-instances = appendPatch (doJailbreak super.quickcheck-instances) (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/quickcheck-instances-0.3.25.2.patch";
+ sha256 = "0ndnb6wbnhxxwy69jjdpmd7gmmv6asmx1jczwz1hsn921mn1ilnp";
+ # `.cabal` revision information has been included in the patch
+ excludes = ["*.cabal"];
+ });
+
+ # Disable tests pending resolution of
+ # https://github.com/Soostone/retry/issues/71
+ retry = dontCheck super.retry;
+
+ # Disable tests pending resolution of
+ # https://github.com/haskell/text/issues/380 or https://github.com/fpco/streaming-commons/issues/60
+ streaming-commons = dontCheck (appendPatch super.streaming-commons (pkgs.fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/head.hackage/-/raw/dfd024c9a336c752288ec35879017a43bd7e85a0/patches/streaming-commons-0.2.2.1.patch";
+ sha256 = "04wi1jskr3j8ayh88kkx4irvhhgz0i7aj6fblzijy0fygikvidpy";
+ }));
+
+ # hlint 3.3 needs a ghc-lib-parser newer than the one from stackage
+ hlint = super.hlint_3_3_4.overrideScope (self: super: {
+ ghc-lib-parser = overrideCabal self.ghc-lib-parser_9_0_1_20210324 {
+ doHaddock = false;
+ };
+ ghc-lib-parser-ex = self.ghc-lib-parser-ex_9_0_0_4;
+ });
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghcjs.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghcjs.nix
new file mode 100644
index 00000000000..d4e443d6bea
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-ghcjs.nix
@@ -0,0 +1,109 @@
+# GHCJS package fixes
+#
+# Please insert new packages *alphabetically*
+# in the OTHER PACKAGES section.
+{ pkgs, haskellLib }:
+
+let
+ removeLibraryHaskellDepends = pnames: depends:
+ builtins.filter (e: !(builtins.elem (e.pname or "") pnames)) depends;
+in
+
+with haskellLib;
+
+self: super:
+
+## GENERAL SETUP BASE PACKAGES
+{
+ inherit (self.ghc.bootPkgs)
+ jailbreak-cabal alex happy gtk2hs-buildtools rehoo hoogle;
+
+ ghcjs-base = dontCheck (self.callPackage ../compilers/ghcjs/ghcjs-base.nix {
+ fetchgit = pkgs.buildPackages.fetchgit;
+ });
+
+ # GHCJS does not ship with the same core packages as GHC.
+ # https://github.com/ghcjs/ghcjs/issues/676
+ stm = doJailbreak self.stm_2_5_0_1;
+ exceptions = dontCheck self.exceptions_0_10_4;
+
+## OTHER PACKAGES
+
+ # Runtime exception in tests, missing C API h$realloc
+ base-compat-batteries = dontCheck super.base-compat-batteries;
+
+ # nodejs crashes during test
+ ChasingBottoms = dontCheck super.ChasingBottoms;
+
+ # doctest doesn't work on ghcjs, but sometimes dontCheck doesn't seem to get rid of the dependency
+ doctest = pkgs.lib.warn "ignoring dependency on doctest" null;
+
+ ghcjs-dom = overrideCabal super.ghcjs-dom (drv: {
+ libraryHaskellDepends = with self; [
+ ghcjs-base ghcjs-dom-jsffi text transformers
+ ];
+ configureFlags = [ "-fjsffi" "-f-webkit" ];
+ });
+
+ ghcjs-dom-jsffi = overrideCabal super.ghcjs-dom-jsffi (drv: {
+ libraryHaskellDepends = (drv.libraryHaskellDepends or []) ++ [ self.ghcjs-base self.text ];
+ broken = false;
+ });
+
+ # https://github.com/Deewiant/glob/issues/39
+ Glob = dontCheck super.Glob;
+
+ # Test fails to compile during the hsc2hs stage
+ hashable = dontCheck super.hashable;
+
+ # uses doctest
+ http-types = dontCheck super.http-types;
+
+ jsaddle = overrideCabal super.jsaddle (drv: {
+ libraryHaskellDepends = (drv.libraryHaskellDepends or []) ++ [ self.ghcjs-base ];
+ });
+
+ # Tests hang, possibly some issue with tasty and race(async) usage in the nonTerminating tests
+ logict = dontCheck super.logict;
+
+ patch = dontCheck super.patch;
+
+ # TODO: tests hang
+ pcre-light = dontCheck super.pcre-light;
+
+ # Terminal test not supported on ghcjs
+ QuickCheck = dontCheck super.QuickCheck;
+
+ reflex = overrideCabal super.reflex (drv: {
+ libraryHaskellDepends = (drv.libraryHaskellDepends or []) ++ [ self.ghcjs-base ];
+ });
+
+ reflex-dom = overrideCabal super.reflex-dom (drv: {
+ libraryHaskellDepends = removeLibraryHaskellDepends ["jsaddle-webkit2gtk"] (drv.libraryHaskellDepends or []);
+ });
+
+ # https://github.com/dreixel/syb/issues/21
+ syb = dontCheck super.syb;
+
+ # nodejs crashes during test
+ scientific = dontCheck super.scientific;
+
+ # Tests use TH which gives error
+ tasty-quickcheck = dontCheck super.tasty-quickcheck;
+
+ temporary = dontCheck super.temporary;
+
+ # 2 tests fail, related to time precision
+ time-compat = dontCheck super.time-compat;
+
+ # TODO: The tests have a TH error, which has been fixed in ghc
+ # https://gitlab.haskell.org/ghc/ghc/-/issues/15481 but somehow the issue is
+ # still present here https://github.com/glguy/th-abstraction/issues/53
+ th-abstraction = dontCheck super.th-abstraction;
+
+ # https://github.com/haskell/vector/issues/410
+ vector = appendPatch super.vector (../compilers/ghcjs/patches/vector-ghcjs-storable-set.patch) ;
+
+ # Need hedgehog for tests, which fails to compile due to dep on concurrent-output
+ zenc = dontCheck super.zenc;
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/broken.yaml b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/broken.yaml
index 94345e60295..743657a1e3e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/broken.yaml
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/broken.yaml
@@ -3,6 +3,7 @@ broken-packages:
- 3d-graphics-examples
- 3dmodels
- AAI
+ - abacate
- abcnotation
- abeson
- abides
@@ -32,6 +33,7 @@ broken-packages:
- acme-hq9plus
- acme-http
- acme-inator
+ - acme-io
- acme-kitchen-sink
- acme-left-pad
- acme-memorandom
@@ -69,6 +71,7 @@ broken-packages:
- aern2-mp
- AERN-Basics
- aeson-applicative
+ - aeson-bson
- aeson-decode
- aeson-diff-generic
- aeson-filthy
@@ -89,6 +92,7 @@ broken-packages:
- affection
- affine-invariant-ensemble-mcmc
- Agata
+ - Agda-executable
- agda-language-server
- agda-snippets
- agda-unused
@@ -113,11 +117,13 @@ broken-packages:
- align-text
- ally-invest
- alphachar
+ - alpino-tools
- alsa
- alsa-midi
- altcomposition
- alternative-extra
- alternative-io
+ - altfloat
- alto
- alure
- amazon-emailer
@@ -234,6 +240,7 @@ broken-packages:
- AttoBencode
- atto-lisp
- attomail
+ - attoparsec-csv
- attoparsec-text
- attoparsec-trans
- attosplit
@@ -251,6 +258,7 @@ broken-packages:
- autonix-deps
- autopack
- avatar-generator
+ - aviation-cessna172-diagrams
- avl-static
- avr-shake
- awesome-prelude
@@ -285,15 +293,19 @@ broken-packages:
- barchart
- barcodes-code128
- barecheck
+ - barley
- barrie
- barrier
- barrier-monad
+ - base58address
+ - base62
- base64-conduit
- base-compat-migrate
- base-encoding
- base-feature-macros
- base-generics
- base-io-access
+ - basen
- basex-client
- basic
- basic-sop
@@ -373,6 +385,7 @@ broken-packages:
- bind-marshal
- bindynamic
- binembed
+ - binsm
- bio
- BiobaseNewick
- biocore
@@ -402,6 +415,7 @@ broken-packages:
- blaze-json
- blazeT
- blaze-textual-native
+ - ble
- bliplib
- blockchain
- blockhash
@@ -430,6 +444,7 @@ broken-packages:
- bottom
- bounded-array
- bound-extras
+ - box
- braid
- brain-bleep
- Bravo
@@ -556,6 +571,7 @@ broken-packages:
- capri
- caramia
- carbonara
+ - cardano-coin-selection
- carettah
- CarneadesDSL
- carte
@@ -565,6 +581,8 @@ broken-packages:
- Cascade
- cascading
- caseof
+ - casr-logbook
+ - casr-logbook-types
- Cassava
- cassava-conduit
- cassava-records
@@ -579,6 +597,7 @@ broken-packages:
- cautious-gen
- cayene-lpp
- cayley-client
+ - cblrepo
- CCA
- CC-delcont-cxe
- CC-delcont-exc
@@ -632,7 +651,6 @@ broken-packages:
- cio
- cipher-blowfish
- circlehs
- - circular
- citeproc-hs
- cjk
- cj-token
@@ -724,7 +742,6 @@ broken-packages:
- commodities
- commonmark-cli
- commsec
- - compactable
- Compactable
- compact-list
- compact-map
@@ -743,6 +760,7 @@ broken-packages:
- composition-tree
- comprehensions-ghc
- compressed
+ - compression
- compstrat
- comptrans
- computational-geometry
@@ -844,10 +862,10 @@ broken-packages:
- Craft3e
- craftwerk
- crawlchain
- - crc
- crc16
- crdt-event-fold
- creatur
+ - credentials
- credential-store
- critbit
- criterion-compare
@@ -889,6 +907,7 @@ broken-packages:
- CurryDB
- curryer-rpc
- curry-frontend
+ - curryrs
- curves
- custom-prelude
- CV
@@ -906,6 +925,7 @@ broken-packages:
- darcs-monitor
- darkplaces-rcon
- darkplaces-text
+ - data-accessor-monadLib
- data-accessor-monads-tf
- data-aviary
- data-base
@@ -968,7 +988,6 @@ broken-packages:
- dbmigrations-mysql
- dbmigrations-postgresql
- dbmigrations-sqlite
- - d-bus
- DBus
- dbus-core
- dbus-qq
@@ -992,6 +1011,7 @@ broken-packages:
- deepseq-magic
- deepseq-th
- deep-transformations
+ - definitive-base
- deka
- Delta-Lambda
- delude
@@ -1021,9 +1041,11 @@ broken-packages:
- dgim
- dgs
- dhall-check
+ - dhall-csv
- dhall-fly
- dhall-text
- dhall-to-cabal
+ - dhall-toml
- dhcp-lease-parser
- dhrun
- dia-base
@@ -1045,6 +1067,7 @@ broken-packages:
- diffcabal
- differential
- DifferentialEvolution
+ - diff-gestalt
- diffmap
- difftodo
- digestive-bootstrap
@@ -1057,7 +1080,6 @@ broken-packages:
- digits
- DimensionalHash
- dimensional-tf
- - diohsc
- diophantine
- direct-binary-files
- directed-cubical
@@ -1102,8 +1124,10 @@ broken-packages:
- doctest-prop
- docusign-example
- docvim
+ - doi
- dominion
- domplate
+ - dormouse-uri
- do-spaces
- dotfs
- dot-linker
@@ -1161,6 +1185,7 @@ broken-packages:
- dzen-dhall
- dzen-utils
- each
+ - eager-sockets
- earclipper
- early
- easy-api
@@ -1181,6 +1206,7 @@ broken-packages:
- edit-lenses
- editline
- effect-handlers
+ - effective-aspects
- effect-monad
- effect-stack
- effin
@@ -1206,6 +1232,7 @@ broken-packages:
- elision
- elm-street
- elm-websocket
+ - elocrypt
- emacs-module
- emailaddress
- email-header
@@ -1256,10 +1283,12 @@ broken-packages:
- escape-artist
- escoger
- espial
+ - esqueleto-pgcrypto
- ess
- estimators
- EstProgress
- Etage
+ - etcd
- eternal
- ethereum-rlp
- euphoria
@@ -1405,6 +1434,7 @@ broken-packages:
- flamethrower
- flamingra
- flat-maybe
+ - flatparse
- flay
- flexible-time
- flickr
@@ -1452,6 +1482,7 @@ broken-packages:
- fpco-api
- FPretty
- fptest
+ - fquery
- Fractaler
- fractals
- fraction
@@ -1504,7 +1535,6 @@ broken-packages:
- funcons-values
- function-instances-algebra
- functor
- - functor-combinators
- functor-friends
- functor-infix
- functorm
@@ -1576,6 +1606,7 @@ broken-packages:
- GeocoderOpenCage
- geodetics
- geodetic-types
+ - GeoIp
- geojson-types
- geom2d
- GeomPredicates-SSE
@@ -1585,6 +1616,7 @@ broken-packages:
- getflag
- GGg
- ggtsTC
+ - ghc-bignum-orphans
- ghc-clippy-plugin
- ghc-core-smallstep
- ghc-datasize
@@ -1594,6 +1626,7 @@ broken-packages:
- ghc-events-analyze
- ghc-events-parallel
- ghc-generic-instances
+ - ghc-hotswap
- ghci-diagrams
- ghci-haskeline
- ghci-history-parser
@@ -1622,6 +1655,7 @@ broken-packages:
- ghc-tcplugin-api
- ghc-time-alloc-prof
- ghc-usage
+ - ghc-vis
- gh-labeler
- giak
- Gifcurry
@@ -1642,6 +1676,7 @@ broken-packages:
- git-cuk
- git-date
- gitdo
+ - github
- github-backup
- github-data
- github-tools
@@ -1682,6 +1717,7 @@ broken-packages:
- gochan
- godot-haskell
- gofer-prelude
+ - gogol-core
- gooey
- google-cloud
- GoogleCodeJam
@@ -1724,11 +1760,14 @@ broken-packages:
- graql
- grasp
- gray-code
+ - graylog
- greencard
- greg-client
- gremlin-haskell
- Grempa
- grenade
+ - greplicate
+ - gridfs
- grm
- groot
- gross
@@ -1744,6 +1783,7 @@ broken-packages:
- gstreamer
- GTALib
- gtfs-realtime
+ - gtk2hs-cast-th
- gtk2hs-hello
- gtk2hs-rpn
- gtk3-mac-integration
@@ -1800,6 +1840,7 @@ broken-packages:
- hakyll-contrib
- hakyll-contrib-csv
- hakyll-contrib-elm
+ - hakyll-contrib-i18n
- hakyll-contrib-links
- hakyll-dhall
- hakyll-dir-list
@@ -1851,6 +1892,7 @@ broken-packages:
- HaPy
- haquery
- harchive
+ - HARM
- haroonga
- harpy
- harvest-api
@@ -1870,6 +1912,8 @@ broken-packages:
- hashtables-plus
- hasim
- hask
+ - haskades
+ - haskanoid
- haskbot-core
- haskeline-class
- haskelisp
@@ -1957,6 +2001,7 @@ broken-packages:
- hastache
- haste
- haste-prim
+ - hat
- hatex-guide
- hats
- hatt
@@ -2059,6 +2104,8 @@ broken-packages:
- hfractal
- HFrequencyQueue
- hfusion
+ - HGamer3D
+ - HGamer3D-Data
- hg-buildpackage
- hgdbmi
- HGE2D
@@ -2157,6 +2204,7 @@ broken-packages:
- hmumps
- hnetcdf
- hnn
+ - hnop
- hoauth
- hobbes
- hocilib
@@ -2164,6 +2212,7 @@ broken-packages:
- hodatime
- HODE
- hoe
+ - hofix-mtl
- hog
- hogg
- hois
@@ -2355,6 +2404,7 @@ broken-packages:
- htssets
- http2-client
- http2-grpc-proto-lens
+ - http3
- http-attoparsec
- http-client-lens
- http-client-request-modifiers
@@ -2454,6 +2504,7 @@ broken-packages:
- ihaskell-parsec
- ihaskell-widgets
- illuminate
+ - imagemagick
- imagepaste
- imapget
- imgur
@@ -2494,6 +2545,8 @@ broken-packages:
- integreat
- intel-aes
- intensional-datatys
+ - interleavableGen
+ - interleavableIO
- interlude-l
- internetmarke
- intero
@@ -2575,6 +2628,7 @@ broken-packages:
- join-api
- joinlist
- jonathanscard
+ - jort
- jpeg
- jsaddle-hello
- jsaddle-wkwebview
@@ -2593,6 +2647,7 @@ broken-packages:
- json-pointer-hasql
- json-pointy
- json-python
+ - json-qq
- jsonresume
- json-rpc-client
- json-schema
@@ -2633,6 +2688,7 @@ broken-packages:
- kd-tree
- keccak
- keera-hails-reactivevalues
+ - keiretsu
- kempe
- kerry
- Ketchup
@@ -2645,6 +2701,7 @@ broken-packages:
- kmonad
- kmp-dfa
- koellner-phonetic
+ - Konf
- kontra-config
- kparams
- kraken
@@ -2654,6 +2711,7 @@ broken-packages:
- KSP
- ktx
- ktx-codec
+ - kubernetes-client
- kuifje
- kure
- kure-your-boilerplate
@@ -2662,8 +2720,8 @@ broken-packages:
- lagrangian
- lambda2js
- lambdaBase
- - lambdabot-social-plugins
- lambdabot-utils
+ - lambdabot-xmpp
- lambda-bridge
- lambda-canvas
- lambdacms-core
@@ -2706,6 +2764,7 @@ broken-packages:
- language-vhdl
- language-webidl
- lapack-ffi
+ - LargeCardinalHierarchy
- Lastik
- latest-npm-version
- latex-formulae-image
@@ -2716,12 +2775,14 @@ broken-packages:
- layers
- layout
- layout-bootstrap
+ - lazify
- lazyarray
- lazyboy
- lazy-priority-queue
- lazyset
- LazyVault
- l-bfgs-b
+ - lcs
- lda
- ldif
- ld-intervals
@@ -2786,6 +2847,7 @@ broken-packages:
- linear-algebra-cblas
- linear-base
- linear-code
+ - linear-generics
- linear-maps
- linear-opengl
- linearscan
@@ -2975,6 +3037,7 @@ broken-packages:
- mega-sdist
- mellon-core
- melody
+ - memcached
- memcached-binary
- memcache-haskell
- memis
@@ -3018,6 +3081,7 @@ broken-packages:
- miku
- milena
- mime-directory
+ - MiniAgda
- miniforth
- minilens
- minilight
@@ -3108,6 +3172,7 @@ broken-packages:
- monopati
- months
- monus
+ - monus-weighted-search
- monzo
- morfette
- morfeusz
@@ -3141,6 +3206,7 @@ broken-packages:
- mtp
- MuCheck
- mud
+ - mudbath
- muesli
- mu-graphql
- mulang
@@ -3165,6 +3231,7 @@ broken-packages:
- musicScroll
- music-util
- musicxml
+ - mustache2hs
- mustache-haskell
- mvar-lock
- mvc
@@ -3187,6 +3254,7 @@ broken-packages:
- named-servant-server
- named-sop
- namelist
+ - nanoAgda
- nanocurses
- nano-hmac
- nano-md5
@@ -3194,9 +3262,10 @@ broken-packages:
- nanomsg-haskell
- nanoparsec
- NanoProlog
- - nanovg
+ - nanovg-simple
- nanq
- naperian
+ - Naperian
- naqsha
- narc
- nationstates
@@ -3236,6 +3305,7 @@ broken-packages:
- network-bitcoin
- network-builder
- network-bytestring
+ - network-dbus
- network-dns
- networked-game
- network-house
@@ -3284,6 +3354,7 @@ broken-packages:
- nlp-scores
- nm
- NMap
+ - nme
- nntp
- noether
- nofib-analyse
@@ -3293,6 +3364,7 @@ broken-packages:
- NonEmpty
- nonempty-lift
- non-empty-zipper
+ - noodle
- no-role-annots
- notcpp
- not-gloss-examples
@@ -3341,6 +3413,7 @@ broken-packages:
- OGL
- ogmarkup
- oi
+ - old-version
- om-actor
- omaketex
- ombra
@@ -3374,12 +3447,17 @@ broken-packages:
- OpenCL
- OpenCLRaw
- OpenCLWrappers
+ - opencog-atomspace
- opencv-raw
- opendatatable
+ - openexchangerates
+ - openflow
- opengles
+ - OpenGLRaw21
- open-haddock
- openid-connect
- open-pandoc
+ - openpgp
- open-signals
- opensoundcontrol-ht
- openssh-protocol
@@ -3441,6 +3519,7 @@ broken-packages:
- pacman-memcache
- pads-haskell
- pagarme
+ - pagerduty
- pagure-hook-receiver
- Paillier
- palette
@@ -3585,11 +3664,14 @@ broken-packages:
- phasechange
- phaser
- phoityne
+ - phone-metadata
- phone-numbers
- phone-push
+ - phonetic-languages-plus
- phonetic-languages-properties
- phonetic-languages-simplified-properties-lists
- phonetic-languages-simplified-properties-lists-double
+ - phonetic-languages-ukrainian-array
- phraskell
- Phsu
- phybin
@@ -3644,6 +3726,7 @@ broken-packages:
- plex
- plist
- plist-buddy
+ - plivo
- plot-gtk
- plot-gtk3
- plot-gtk-ui
@@ -3669,14 +3752,16 @@ broken-packages:
- poly-cont
- poly-control
- polydata-core
+ - polynom
- polynomial
- - polysemy
+ - polysemy-plugin
- polysemy-zoo
- polytypeable
- pomaps
- pomohoro
- ponder
- pong-server
+ - pontarius-xpmn
- pool
- pool-conduit
- pop3-client
@@ -3693,6 +3778,7 @@ broken-packages:
- posplyu
- postcodes
- postgres-embedded
+ - PostgreSQL
- postgresql-lo-stream
- postgresql-named
- postgresql-resilient
@@ -3719,6 +3805,7 @@ broken-packages:
- pqc
- praglude
- preamble
+ - precis
- precursor
- predicate-class
- predicate-typed
@@ -3763,7 +3850,6 @@ broken-packages:
- process-leksah
- process-listlike
- processmemory
- - procex
- procrastinating-variable
- procstat
- prof2pretty
@@ -3799,6 +3885,7 @@ broken-packages:
- provenience
- proxy-kindness
- proxy-mapping
+ - prune-juice
- pseudo-trie
- PTQ
- publicsuffixlistcreate
@@ -3807,6 +3894,7 @@ broken-packages:
- pubsub
- pugixml
- pugs-DrIFT
+ - pugs-HsSyck
- PUH-Project
- Pup-Events-Server
- pure-io
@@ -3834,6 +3922,7 @@ broken-packages:
- qnap-decrypt
- qr-imager
- qsem
+ - qt
- QuadEdge
- QuadTree
- quantfin
@@ -3842,6 +3931,7 @@ broken-packages:
- quarantimer
- qudb
- quenya-verb
+ - querystring-pickle
- questioner
- QuickAnnotate
- quickbooks
@@ -3992,6 +4082,7 @@ broken-packages:
- remote
- remote-debugger
- remote-monad
+ - reorderable
- reorder-expression
- repa-algorithms
- repa-bytestring
@@ -4020,6 +4111,7 @@ broken-packages:
- resource-embed
- restartable
- restyle
+ - resumable-exceptions
- rethinkdb
- rethinkdb-client-driver
- rethinkdb-wereHamster
@@ -4031,6 +4123,8 @@ broken-packages:
- rfc
- rfc-prelude
- rhbzquery
+ - riak
+ - riak-protobuf-lens
- ribbit
- ribosome
- RichConditional
@@ -4148,12 +4242,14 @@ broken-packages:
- ScratchFs
- script-monad
- scrobble
+ - scrz
- scythe
- scyther-proof
- sdl2-cairo-image
- sdl2-compositor
- sdl2-fps
- sdr
+ - seacat
- seakale
- sec
- secdh
@@ -4175,6 +4271,7 @@ broken-packages:
- semialign-extras
- semibounded-lattices
- Semigroup
+ - semigroupoids-do
- semigroupoids-syntax
- semigroups-actions
- sendgrid-haskell
@@ -4193,6 +4290,7 @@ broken-packages:
- servant-auth-hmac
- servant-auth-token-api
- servant-avro
+ - servant-benchmark
- servant-client-js
- servant-db
- servant-dhall
@@ -4248,6 +4346,7 @@ broken-packages:
- setters
- set-with
- sexp
+ - sexpresso
- sexpr-parser
- sext
- SFML
@@ -4259,6 +4358,7 @@ broken-packages:
- sh2md
- shade
- shadower
+ - shady-gen
- shake-bindist
- shakebook
- shake-cabal
@@ -4286,6 +4386,7 @@ broken-packages:
- shopify
- shortcut-links
- shorten-strings
+ - short-vec
- show-prettyprint
- Shpadoinkle-backend-snabbdom
- Shpadoinkle-isreal
@@ -4305,7 +4406,9 @@ broken-packages:
- simple-css
- simple-download
- simple-eval
+ - simple-form
- simple-genetic-algorithm
+ - SimpleH
- simple-index
- simpleirc
- simple-logging
@@ -4334,6 +4437,7 @@ broken-packages:
- singnal
- singular-factory
- sink
+ - Sit
- sitepipe
- sixfiguregroup
- sized-grid
@@ -4406,6 +4510,7 @@ broken-packages:
- snaplet-ses-html
- snaplet-sqlite-simple
- snaplet-typed-sessions
+ - snap-predicates
- snappy-conduit
- snap-routes
- snap-stream
@@ -4544,6 +4649,7 @@ broken-packages:
- Strafunski-ATermLib
- Strafunski-StrategyLib
- StrappedTemplates
+ - StrategyLib
- stratum-tool
- stratux-types
- stream
@@ -4560,6 +4666,7 @@ broken-packages:
- streaming-utils
- streaming-with
- streamly-examples
+ - streamly-lz4
- streamly-process
- stream-monad
- streamproc
@@ -4612,7 +4719,6 @@ broken-packages:
- SVD2HS
- svfactor
- svg-builder-fork
- - svgcairo
- svgutils
- svm-light-utils
- svm-simple
@@ -4628,6 +4734,12 @@ broken-packages:
- syb-extras
- SybWidget
- syb-with-class-instances-text
+ - sydtest-aeson
+ - sydtest-hedis
+ - sydtest-mongo
+ - sydtest-persistent-postgresql
+ - sydtest-rabbitmq
+ - sydtest-yesod
- syfco
- sym
- symantic
@@ -4739,6 +4851,7 @@ broken-packages:
- testpack
- testpattern
- test-pkg
+ - testPkg
- testrunner
- test-sandbox
- test-shouldbe
@@ -4802,6 +4915,7 @@ broken-packages:
- th-traced
- thumbnail-plus
- tianbar
+ - ticket-management
- TicTacToe
- tictactoe3d
- tidal-midi
@@ -4840,6 +4954,7 @@ broken-packages:
- Titim
- tkhs
- tkyprof
+ - tmp-proc-example
- todo
- tofromxml
- to-haskell
@@ -4911,7 +5026,9 @@ broken-packages:
- tropical
- tropical-geometry
- true-name
+ - trust-chain
- tsession
+ - tslib
- tsparse
- tsp-viz
- tsuntsun
@@ -4934,6 +5051,7 @@ broken-packages:
- twilio
- twine
- twirp
+ - twisty
- twitter
- twitter-feed
- tx
@@ -4971,6 +5089,7 @@ broken-packages:
- type-unary
- typograffiti
- tyro
+ - uAgda
- uberlast
- ucam-webauth-types
- ucd
@@ -5036,7 +5155,6 @@ broken-packages:
- urldecode
- url-decoders
- urldisp-happstack
- - urlencoded
- url-generic
- urn
- urn-random
@@ -5173,6 +5291,7 @@ broken-packages:
- warc
- warp-dynamic
- warp-grpc
+ - warp-quic
- warp-static
- warp-systemd
- wasm
@@ -5205,11 +5324,13 @@ broken-packages:
- webshow
- websockets-rpc
- webwire
+ - wedged
- WEditor
- weekdaze
- weighted-regexp
- welshy
- werewolf
+ - wgpu-hs
- Wheb
- while-lang-parser
- whim
@@ -5218,6 +5339,7 @@ broken-packages:
- why3
- WikimediaParser
- windns
+ - windowslive
- winerror
- Wired
- wires
@@ -5233,6 +5355,7 @@ broken-packages:
- wol
- word2vec-model
- wordify
+ - Wordlint
- wordlist
- WordNet
- WordNet-ghc74
@@ -5280,6 +5403,7 @@ broken-packages:
- xlsx-templater
- xml2json
- xml-conduit-decode
+ - xml-conduit-parse
- xml-conduit-selectors
- xml-conduit-stylist
- xml-html-conduit-lens
@@ -5288,6 +5412,7 @@ broken-packages:
- xml-prettify
- xml-query
- xml-tydom-core
+ - xml-verify
- XMMS
- xmonad-bluetilebranch
- xmonad-contrib-gpl
@@ -5315,6 +5440,7 @@ broken-packages:
- yall
- yam-app
- yam-config
+ - yamlkeysdiff
- yaml-pretty-extras
- YamlReference
- yaml-rpc
@@ -5329,6 +5455,7 @@ broken-packages:
- yandex-translate
- yaop
- yap
+ - yapb
- yarr
- yaya-test
- yaya-unsafe-test
@@ -5396,6 +5523,7 @@ broken-packages:
- Yogurt
- yst
- yu-core
+ - yuiGrid
- yu-tool
- yxdb-utils
- z3-encoding
@@ -5422,6 +5550,7 @@ broken-packages:
- zm
- ZMachine
- zmidi-score
+ - zmqat
- zoneinfo
- zoom
- zoom-refs
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/main.yaml b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/main.yaml
index ec51f8c03ae..e6a1c1e1be2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/main.yaml
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/main.yaml
@@ -1,6 +1,6 @@
# pkgs/development/haskell-modules/configuration-hackage2nix.yaml
-compiler: ghc-8.10.4
+compiler: ghc-8.10.7
core-packages:
- array-0.5.4.0
@@ -63,10 +63,9 @@ core-packages:
# available on Hackage, `hackage2nix` would generate two packages, `aeson`
# at version 1.4.6.0 and `aeson_1_5_0_0` at version 1.5.0.0.
#
-# WARNING: This list is generated semiautomatically based on the most recent
-# LTS package set. If you want to add entries to it, you must do so before the
-# comment saying "# LTS Haskell x.y". Any changes after that comment will be
-# lost the next time `update-stackage.sh` runs.
+# WARNING: We import a list of default-package-overrides from stackage which is
+# tracked in stackage.yaml. Adding conflicting overrides with stackage here will
+# not work.
default-package-overrides:
# This was only intended for ghc-7.0.4, and has very old deps, one hidden behind a flag
- MissingH ==1.4.2.0
@@ -91,6 +90,16 @@ default-package-overrides:
- streamly-bytestring < 0.1.3
- streamly-lmdb < 0.3.0
- streamly-process
+ # dhall-nix is not part of stackage, remove if dhall >= 1.40
+ - dhall-nix < 1.1.22
+ # reflex-dom-pandoc is only used by neuron which needs a version < 1.0.0.0
+ - reflex-dom-pandoc < 1.0.0.0
+ # 2021-09-07: pin to our current GHC version
+ - ghc-api-compat == 8.10.7
+ # 2021-09-14: Pin hiedb to version needed by ghcide
+ - hiedb == 0.4.0.*
+ # 2021-10-13: weeder 2.3.0 require GHC == 9.0.*; remove pin when GHC version changes
+ - weeder < 2.3.0
extra-packages:
- base16-bytestring < 1 # required for cabal-install etc.
@@ -108,17 +117,18 @@ extra-packages:
- haddock-api == 2.23.* # required on GHC < 8.10.x
- haddock-library ==1.7.* # required by stylish-cabal-0.5.0.0
- happy == 1.19.9 # for purescript
+ - happy == 1.19.12 # for ghcjs
- hinotify == 0.3.9 # for xmonad-0.26: https://github.com/kolmodin/hinotify/issues/29
- immortal == 0.2.2.1 # required by Hasura 1.3.1, 2020-08-20
- mmorph == 1.1.3 # Newest working version of mmorph on ghc 8.6.5. needed for hls
- network == 2.6.3.1 # required by pkgs/games/hedgewars/default.nix, 2020-11-15
- optparse-applicative < 0.16 # needed for niv-0.2.19
- - refinery == 0.3.* # required by hls-tactics-plugin-1.0.0.0
- resolv == 0.1.1.2 # required to build cabal-install-3.0.0.0 with pre ghc-8.8.x
- sbv == 7.13 # required for pkgs.petrinizer
- crackNum < 3.0 # 2021-05-21: 3.0 removed the lib which sbv 7.13 uses
- ShellCheck == 0.7.1 # 2021-05-09: haskell-ci 0.12.1 pins this version
- - ghc-api-compat < 8.10.5 # 2021-08-18: ghc-api-compat 8.10.5 is only compatible with ghc 8.10.5
+ - cabal-install-parsers == 0.4.2 # 2021-09-04: needed haskell-ci by until it upgrades to Cabal >= 3.6
+ - ghc-api-compat == 8.6 # 2021-09-07: preserve for GHC 8.8.4
package-maintainers:
abbradar:
@@ -139,9 +149,26 @@ package-maintainers:
- pretty-simple
- spago
- termonad
+ dalpd:
+ - svgcairo
domenkozar:
- cachix
- cachix-api
+ dschrempf:
+ - circular
+ - covariance
+ - dirichlet
+ - elynx
+ - elynx-markov
+ - elynx-nexus
+ - elynx-seq
+ - elynx-tools
+ - elynx-tree
+ - glasso
+ - mcmc
+ - pava
+ - slynx
+ - tlynx
expipiplus1:
- VulkanMemoryAllocator
- autoapply
@@ -216,29 +243,42 @@ package-maintainers:
- mattermost-api-qc
- Unique
maralorn:
- - arbtt
- cabal-fmt
- generic-optics
- ghcup
+ - ghcid
- ghcide
- haskell-language-server
- hedgehog
- hlint
- hmatrix
+ - hspec-discover
- iCalendar
- matrix-client
- neuron
- optics
+ - paths
+ - postgresql-simple
- reflex-dom
- releaser
+ - replace-megaparsec
- req
- shake-bench
- shh
+ - shh-extras
- snap
- stm-containers
- streamly
- taskwarrior
+ - tz
+ - weeder
- witch
+ ncfavier:
+ - lambdabot
+ nomeata:
+ - candid
+ - leb128-cereal
+ - tasty-expected-failure
pacien:
- ldgallery-compiler
peti:
@@ -337,130 +377,132 @@ package-maintainers:
- nix-tree
unsupported-platforms:
- Allure: [ x86_64-darwin ]
- alsa-mixer: [ x86_64-darwin ]
- alsa-pcm: [ x86_64-darwin ]
- alsa-seq: [ x86_64-darwin ]
- AWin32Console: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
+ Allure: [ x86_64-darwin, aarch64-darwin ]
+ alsa-mixer: [ x86_64-darwin, aarch64-darwin ]
+ alsa-pcm: [ x86_64-darwin, aarch64-darwin ]
+ alsa-seq: [ x86_64-darwin, aarch64-darwin ]
+ AWin32Console: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
barbly: [ i686-linux, x86_64-linux, aarch64-linux, armv7l-linux ]
- bdcs-api: [ x86_64-darwin ]
- bindings-directfb: [ x86_64-darwin ]
- bindings-parport: [ x86_64-darwin ] # parport is a linux kernel component
- bindings-sane: [ x86_64-darwin ]
- btrfs: [ x86_64-darwin ] # depends on linux
- bustle: [ x86_64-darwin ] # uses glibc-specific ptsname_r
+ bdcs-api: [ x86_64-darwin, aarch64-darwin ]
+ bindings-directfb: [ x86_64-darwin, aarch64-darwin ]
+ bindings-parport: [ x86_64-darwin, aarch64-darwin ] # parport is a linux kernel component
+ bindings-sane: [ x86_64-darwin, aarch64-darwin ]
+ btrfs: [ x86_64-darwin, aarch64-darwin ] # depends on linux
+ bustle: [ x86_64-darwin, aarch64-darwin ] # uses glibc-specific ptsname_r
charsetdetect: [ aarch64-linux ] # not supported by vendored lib / not configured properly https://github.com/batterseapower/libcharsetdetect/issues/3
crackNum: [ aarch64-linux ] # depends on sbv, which is not supported on aarch64-linux
- cut-the-crap: [ x86_64-darwin ]
- d3d11binding: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- DirectSound: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- dx9base: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- dx9d3d: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- dx9d3dx: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- Euterpea: [ x86_64-darwin ]
- follow-file: [ x86_64-darwin ]
- freenect: [ x86_64-darwin ]
- FTGL: [ x86_64-darwin ]
- fuzzytime: [ x86_64-darwin ] # https://github.com/kamwitsta/fuzzytime/issues/2
- ghcjs-dom-hello: [ x86_64-darwin ]
- gi-dbusmenugtk3: [ x86_64-darwin ]
- gi-dbusmenu: [ x86_64-darwin ]
- gi-ggit: [ x86_64-darwin ]
- gi-ibus: [ x86_64-darwin ]
- gi-ostree: [ x86_64-darwin ]
- gi-vte: [ x86_64-darwin ]
- gi-wnck: [ x86_64-darwin ]
- gnome-keyring: [ x86_64-darwin ]
+ cut-the-crap: [ x86_64-darwin, aarch64-darwin ]
+ d3d11binding: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ DirectSound: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ dx9base: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ dx9d3d: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ dx9d3dx: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ Euterpea: [ x86_64-darwin, aarch64-darwin ]
+ follow-file: [ x86_64-darwin, aarch64-darwin ]
+ freenect: [ x86_64-darwin, aarch64-darwin ]
+ FTGL: [ x86_64-darwin, aarch64-darwin ]
+ fuzzytime: [ x86_64-darwin, aarch64-darwin ] # https://github.com/kamwitsta/fuzzytime/issues/2
+ ghcjs-dom-hello: [ x86_64-darwin, aarch64-darwin ]
+ gi-dbusmenugtk3: [ x86_64-darwin, aarch64-darwin ]
+ gi-dbusmenu: [ x86_64-darwin, aarch64-darwin ]
+ gi-ggit: [ x86_64-darwin, aarch64-darwin ]
+ gi-ibus: [ x86_64-darwin, aarch64-darwin ]
+ gi-ostree: [ x86_64-darwin, aarch64-darwin ]
+ gi-vte: [ x86_64-darwin, aarch64-darwin ]
+ gi-wnck: [ x86_64-darwin, aarch64-darwin ]
+ gnome-keyring: [ x86_64-darwin, aarch64-darwin ]
gtk-mac-integration: [ i686-linux, x86_64-linux, aarch64-linux, armv7l-linux ]
- gtk-sni-tray: [ x86_64-darwin ]
- haskell-snake: [ x86_64-darwin ]
- hcwiid: [ x86_64-darwin ]
+ gtk-sni-tray: [ x86_64-darwin, aarch64-darwin ]
+ haskell-snake: [ x86_64-darwin, aarch64-darwin ]
+ hcwiid: [ x86_64-darwin, aarch64-darwin ]
hevm: [ aarch64-linux ] # depends on sbv, which is not supported on aarch64-linux
- HFuse: [ x86_64-darwin ]
- hidapi: [ x86_64-darwin ]
- hinotify-bytestring: [ x86_64-darwin ]
- hommage-ds: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- honk: [ x86_64-darwin ]
- hpapi: [ x86_64-darwin ]
+ HFuse: [ x86_64-darwin, aarch64-darwin ]
+ hidapi: [ x86_64-darwin, aarch64-darwin ]
+ hinotify-bytestring: [ x86_64-darwin, aarch64-darwin ]
+ hommage-ds: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ honk: [ x86_64-darwin, aarch64-darwin ]
+ hpapi: [ x86_64-darwin, aarch64-darwin ]
HQu: [ aarch64-linux, armv7l-linux ] # unsupported by vendored C++ library, TODO: explicitly list supported platforms
- HSoM: [ x86_64-darwin ]
- iwlib: [ x86_64-darwin ]
- jsaddle-webkit2gtk: [ x86_64-darwin ]
+ HSoM: [ x86_64-darwin, aarch64-darwin ]
+ iwlib: [ x86_64-darwin, aarch64-darwin ]
+ Jazzkell: [ x86_64-darwin, aarch64-darwin ] # depends on Euterpea
+ jsaddle-webkit2gtk: [ x86_64-darwin, aarch64-darwin ]
kqueue: [ x86_64-linux, aarch64-linux, i686-linux, armv7l-linux ] # BSD / Darwin only API
- LambdaHack: [ x86_64-darwin ]
+ Kulitta: [ x86_64-darwin, aarch64-darwin ] # depends on Euterpea
+ LambdaHack: [ x86_64-darwin, aarch64-darwin ]
large-hashable: [ aarch64-linux ] # https://github.com/factisresearch/large-hashable/issues/17
- libmodbus: [ x86_64-darwin ]
- libsystemd-journal: [ x86_64-darwin ]
- libtelnet: [ x86_64-darwin ]
- libzfs: [ x86_64-darwin ]
+ libmodbus: [ x86_64-darwin, aarch64-darwin ]
+ libsystemd-journal: [ x86_64-darwin, aarch64-darwin ]
+ libtelnet: [ x86_64-darwin, aarch64-darwin ]
+ libzfs: [ x86_64-darwin, aarch64-darwin ]
linearEqSolver: [ aarch64-linux ]
- linux-evdev: [ x86_64-darwin ]
- linux-file-extents: [ x86_64-darwin ]
- linux-inotify: [ x86_64-darwin ]
- linux-mount: [ x86_64-darwin ]
- linux-namespaces: [ x86_64-darwin ]
- lio-fs: [ x86_64-darwin ]
- logging-facade-journald: [ x86_64-darwin ]
- midi-alsa: [ x86_64-darwin ]
- mpi-hs: [ aarch64-linux, x86_64-darwin ]
- mpi-hs-binary: [ aarch64-linux, x86_64-darwin ]
- mpi-hs-cereal: [ aarch64-linux, x86_64-darwin ]
- mpi-hs-store: [ aarch64-linux, x86_64-darwin ]
+ linux-evdev: [ x86_64-darwin, aarch64-darwin ]
+ linux-file-extents: [ x86_64-darwin, aarch64-darwin ]
+ linux-inotify: [ x86_64-darwin, aarch64-darwin ]
+ linux-mount: [ x86_64-darwin, aarch64-darwin ]
+ linux-namespaces: [ x86_64-darwin, aarch64-darwin ]
+ lio-fs: [ x86_64-darwin, aarch64-darwin ]
+ logging-facade-journald: [ x86_64-darwin, aarch64-darwin ]
+ midi-alsa: [ x86_64-darwin, aarch64-darwin ]
+ mpi-hs: [ aarch64-linux, x86_64-darwin, aarch64-darwin ]
+ mpi-hs-binary: [ aarch64-linux, x86_64-darwin, aarch64-darwin ]
+ mpi-hs-cereal: [ aarch64-linux, x86_64-darwin, aarch64-darwin ]
+ mpi-hs-store: [ aarch64-linux, x86_64-darwin, aarch64-darwin ]
mplayer-spot: [ aarch64-linux ]
- mptcp-pm: [ x86_64-darwin ]
- netlink: [ x86_64-darwin ]
- notifications-tray-icon: [ x86_64-darwin ] # depends on gi-dbusmenu
- oculus: [ x86_64-darwin ]
- pam: [ x86_64-darwin ]
- parport: [ x86_64-darwin ]
+ mptcp-pm: [ x86_64-darwin, aarch64-darwin ]
+ netlink: [ x86_64-darwin, aarch64-darwin ]
+ notifications-tray-icon: [ x86_64-darwin, aarch64-darwin ] # depends on gi-dbusmenu
+ oculus: [ x86_64-darwin, aarch64-darwin ]
+ pam: [ x86_64-darwin, aarch64-darwin ]
+ parport: [ x86_64-darwin, aarch64-darwin ]
password: [ aarch64-linux, armv7l-linux ] # uses scrypt, which requries x86
password-instances: [ aarch64-linux, armv7l-linux ] # uses scrypt, which requries x86
persist-state: [ aarch64-linux, armv7l-linux ] # https://github.com/minad/persist-state/blob/6fd68c0b8b93dec78218f6d5a1f4fa06ced4e896/src/Data/PersistState.hs#L122-L128
- piyo: [ x86_64-darwin ]
- PortMidi-simple: [ x86_64-darwin ]
- PortMidi: [ x86_64-darwin ]
- posix-api: [ x86_64-darwin ]
- Raincat: [ x86_64-darwin ]
- reactive-balsa: [ x86_64-darwin ] # depends on alsa-core
- reactivity: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- reflex-dom-fragment-shader-canvas: [ x86_64-darwin, aarch64-linux ]
- reflex-dom: [ x86_64-darwin, aarch64-linux ]
- reflex-localize-dom: [ x86_64-darwin, aarch64-linux ]
- rtlsdr: [ x86_64-darwin ]
- rubberband: [ x86_64-darwin ]
+ piyo: [ x86_64-darwin, aarch64-darwin ]
+ PortMidi-simple: [ x86_64-darwin, aarch64-darwin ]
+ PortMidi: [ x86_64-darwin, aarch64-darwin ]
+ posix-api: [ x86_64-darwin, aarch64-darwin ]
+ Raincat: [ x86_64-darwin, aarch64-darwin ]
+ reactive-balsa: [ x86_64-darwin, aarch64-darwin ] # depends on alsa-core
+ reactivity: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ reflex-dom-fragment-shader-canvas: [ x86_64-darwin, aarch64-darwin, aarch64-linux ]
+ reflex-dom: [ x86_64-darwin, aarch64-darwin, aarch64-linux ]
+ reflex-localize-dom: [ x86_64-darwin, aarch64-darwin, aarch64-linux ]
+ rtlsdr: [ x86_64-darwin, aarch64-darwin ]
+ rubberband: [ x86_64-darwin, aarch64-darwin ]
sbv: [ aarch64-linux ]
scat: [ aarch64-linux, armv7l-linux ] # uses scrypt, which requries x86
scrypt: [ aarch64-linux, armv7l-linux ] # https://github.com/informatikr/scrypt/issues/8
- sdl2-mixer: [ x86_64-darwin ]
- sdl2-ttf: [ x86_64-darwin ]
- synthesizer-alsa: [ x86_64-darwin ]
- taffybar: [ x86_64-darwin ]
- termonad: [ x86_64-darwin ]
- tokyotyrant-haskell: [ x86_64-darwin ]
- udev: [ x86_64-darwin ]
- Unixutils-shadow: [ x86_64-darwin ]
+ sdl2-mixer: [ x86_64-darwin, aarch64-darwin ]
+ sdl2-ttf: [ x86_64-darwin, aarch64-darwin ]
+ synthesizer-alsa: [ x86_64-darwin, aarch64-darwin ]
+ taffybar: [ x86_64-darwin, aarch64-darwin ]
+ termonad: [ x86_64-darwin, aarch64-darwin ]
+ tokyotyrant-haskell: [ x86_64-darwin, aarch64-darwin ]
+ udev: [ x86_64-darwin, aarch64-darwin ]
+ Unixutils-shadow: [ x86_64-darwin, aarch64-darwin ]
verifiable-expressions: [ aarch64-linux ]
- vrpn: [ x86_64-darwin ]
- vulkan: [ i686-linux, armv7l-linux, x86_64-darwin ]
- VulkanMemoryAllocator: [ i686-linux, armv7l-linux, x86_64-darwin ]
- vulkan-utils: [ x86_64-darwin ]
- webkit2gtk3-javascriptcore: [ x86_64-darwin ]
- Win32-console: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- Win32-dhcp-server: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- Win32-errors: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- Win32-extras: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- Win32: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- Win32-junction-point: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- Win32-notify: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- Win32-security: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- Win32-services: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- Win32-services-wrapper: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- xattr: [ x86_64-darwin ]
+ vrpn: [ x86_64-darwin, aarch64-darwin ]
+ vulkan: [ i686-linux, armv7l-linux, x86_64-darwin, aarch64-darwin ]
+ VulkanMemoryAllocator: [ i686-linux, armv7l-linux, x86_64-darwin, aarch64-darwin ]
+ vulkan-utils: [ x86_64-darwin, aarch64-darwin ]
+ webkit2gtk3-javascriptcore: [ x86_64-darwin, aarch64-darwin ]
+ Win32-console: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ Win32-dhcp-server: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ Win32-errors: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ Win32-extras: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ Win32: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ Win32-junction-point: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ Win32-notify: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ Win32-security: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ Win32-services: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ Win32-services-wrapper: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ xattr: [ x86_64-darwin, aarch64-darwin ]
xgboost-haskell: [ aarch64-linux, armv7l-linux ]
- XInput: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-linux, armv7l-linux ]
- xmobar: [ x86_64-darwin ]
- xmonad-extras: [ x86_64-darwin ]
- xmonad-volume: [ x86_64-darwin ]
+ XInput: [ i686-linux, x86_64-linux, x86_64-darwin, aarch64-darwin, aarch64-linux, armv7l-linux ]
+ xmobar: [ x86_64-darwin, aarch64-darwin ]
+ xmonad-extras: [ x86_64-darwin, aarch64-darwin ]
+ xmonad-volume: [ x86_64-darwin, aarch64-darwin ]
dont-distribute-packages:
# Depends on shine, which is a ghcjs project.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/stackage.yaml b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/stackage.yaml
index 5d19b843901..5e40f12bcc5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/stackage.yaml
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/stackage.yaml
@@ -1,4 +1,4 @@
-# Stackage LTS 18.5
+# Stackage LTS 18.13
# This file is auto-generated by
# maintainers/scripts/haskell/update-stackage.sh
default-package-overrides:
@@ -11,8 +11,8 @@ default-package-overrides:
- ad ==4.4.1
- adjunctions ==4.4
- adler32 ==0.1.2.0
- - aern2-mp ==0.2.7.0
- - aern2-real ==0.2.7.0
+ - aern2-mp ==0.2.8.0
+ - aern2-real ==0.2.8.0
- aeson ==1.5.6.0
- aeson-attoparsec ==0.0.0
- aeson-better-errors ==0.9.1.0
@@ -140,12 +140,12 @@ default-package-overrides:
- amazonka-workspaces ==1.6.1
- amazonka-xray ==1.6.1
- amqp ==0.22.0
- - amqp-utils ==0.6.1.1
+ - amqp-utils ==0.6.2.2
- annotated-wl-pprint ==0.7.0
- ansi-terminal ==0.11
- ansi-wl-pprint ==0.6.9
- ANum ==0.2.0.2
- - apecs ==0.9.2
+ - apecs ==0.9.3
- apecs-gloss ==0.2.4
- apecs-physics ==0.4.5
- api-field-json-th ==0.1.0.2
@@ -159,27 +159,26 @@ default-package-overrides:
- approximate-equality ==1.1.0.2
- app-settings ==0.2.0.12
- arbor-lru-cache ==0.1.1.1
- - arithmoi ==0.12.0.0
+ - arithmoi ==0.12.0.1
- array-memoize ==0.6.0
- arrow-extras ==0.1.0.1
- arrows ==0.4.4.2
- - ascii ==1.0.1.4
- - ascii-case ==1.0.0.4
- - ascii-char ==1.0.0.8
+ - ascii ==1.0.1.6
+ - ascii-case ==1.0.0.6
+ - ascii-char ==1.0.0.10
- asciidiagram ==1.3.3.3
- - ascii-group ==1.0.0.4
- - ascii-predicates ==1.0.0.4
+ - ascii-group ==1.0.0.6
+ - ascii-predicates ==1.0.0.6
- ascii-progress ==0.3.3.0
- - ascii-superset ==1.0.1.4
- - ascii-th ==1.0.0.4
- - asif ==6.0.4
+ - ascii-superset ==1.0.1.6
+ - ascii-th ==1.0.0.6
- asn1-encoding ==0.9.6
- asn1-parse ==0.9.5
- asn1-types ==0.3.4
- assert-failure ==0.1.2.5
- assoc ==1.0.2
- astro ==0.4.3.0
- - async ==2.2.3
+ - async ==2.2.4
- async-extra ==0.2.0.0
- async-pool ==0.9.1
- async-refresh ==0.3.0.0
@@ -195,7 +194,7 @@ default-package-overrides:
- attoparsec-path ==0.0.0.1
- audacity ==0.0.2
- aur ==7.0.6
- - aura ==3.2.5
+ - aura ==3.2.6
- authenticate ==1.3.5
- authenticate-oauth ==1.6.0.1
- autoexporter ==1.1.20
@@ -224,7 +223,7 @@ default-package-overrides:
- base-compat ==0.11.2
- base-compat-batteries ==0.11.2
- basement ==0.0.12
- - base-orphans ==0.8.4
+ - base-orphans ==0.8.5
- base-prelude ==1.4
- base-unicode-symbols ==0.2.4.2
- basic-prelude ==0.7.0
@@ -269,16 +268,16 @@ default-package-overrides:
- blake2 ==0.3.0
- blanks ==0.5.0
- blas-carray ==0.1.0.1
- - blas-comfort-array ==0.0.0.2
+ - blas-comfort-array ==0.0.0.3
- blas-ffi ==0.1
- blaze-bootstrap ==0.1.0.1
- blaze-builder ==0.4.2.1
- blaze-html ==0.9.1.2
- blaze-markup ==0.8.2.8
- blaze-svg ==0.3.6.1
- - blaze-textual ==0.2.1.0
+ - blaze-textual ==0.2.2.1
- bmp ==1.2.6.3
- - BNFC ==2.9.2
+ - BNFC ==2.9.3
- BNFC-meta ==0.6.1
- board-games ==0.3
- boltzmann-samplers ==0.1.1.0
@@ -288,7 +287,7 @@ default-package-overrides:
- boots ==0.2.0.1
- bordacount ==0.1.0.0
- boring ==0.2
- - both ==0.1.1.1
+ - both ==0.1.1.2
- bound ==2.0.3
- BoundedChan ==1.0.3.0
- bounded-queue ==1.0.0
@@ -311,7 +310,7 @@ default-package-overrides:
- bv ==0.5
- bv-little ==1.1.1
- byteable ==0.1.1
- - byte-count-reader ==0.10.1.3
+ - byte-count-reader ==0.10.1.6
- bytedump ==1.0
- byte-order ==0.1.2.0
- byteorder ==1.0.4
@@ -331,13 +330,15 @@ default-package-overrides:
- c2hs ==0.28.8
- cabal2spec ==2.6.2
- cabal-appimage ==0.3.0.2
+ - cabal-clean ==0.1.20210924
- cabal-debian ==5.1
- cabal-doctest ==1.0.8
- cabal-file ==0.1.1
- cabal-flatpak ==0.1.0.2
- cabal-plan ==0.7.2.0
- - cabal-rpm ==2.0.9
+ - cabal-rpm ==2.0.10
- cache ==0.1.3.0
+ - cached-json-file ==0.1.0
- cacophony ==0.10.1
- calendar-recycling ==0.0.0.1
- call-stack ==0.3.0
@@ -381,7 +382,7 @@ default-package-overrides:
- cheapskate-lucid ==0.1.0.0
- checkers ==0.5.6
- checksum ==0.0
- - chimera ==0.3.1.0
+ - chimera ==0.3.2.0
- chiphunk ==0.1.4.0
- choice ==0.2.2
- chronologique ==0.3.1.3
@@ -393,11 +394,11 @@ default-package-overrides:
- cipher-des ==0.0.6
- cipher-rc4 ==0.1.4
- circle-packing ==0.1.0.6
- - circular ==0.4.0.0
+ - circular ==0.4.0.1
- citeproc ==0.4.0.1
- - clash-ghc ==1.4.2
- - clash-lib ==1.4.2
- - clash-prelude ==1.4.2
+ - clash-ghc ==1.4.3
+ - clash-lib ==1.4.3
+ - clash-prelude ==1.4.3
- classy-prelude ==1.5.0
- classy-prelude-conduit ==1.5.0
- clay ==0.13.3
@@ -415,7 +416,7 @@ default-package-overrides:
- codec-beam ==0.2.0
- code-page ==0.2.1
- collect-errors ==0.1.5.0
- - co-log-concurrent ==0.5.0.0
+ - co-log-concurrent ==0.5.1.0
- co-log-core ==0.2.1.1
- Color ==0.3.2
- colorful-monoids ==0.2.1.3
@@ -439,14 +440,14 @@ default-package-overrides:
- composition ==1.0.2.2
- composition-extra ==2.0.0
- concise ==0.1.0.1
- - concurrency ==1.11.0.1
+ - concurrency ==1.11.0.2
- concurrent-extra ==0.7.0.12
- concurrent-output ==1.10.12
- concurrent-split ==0.0.1.1
- concurrent-supply ==0.1.8
- cond ==0.4.1.1
- conduino ==0.2.2.0
- - conduit ==1.3.4.1
+ - conduit ==1.3.4.2
- conduit-algorithms ==0.0.11.0
- conduit-combinators ==1.3.0
- conduit-concurrent-map ==0.1.1
@@ -478,8 +479,8 @@ default-package-overrides:
- convertible ==1.1.1.0
- cookie ==0.4.5
- core-data ==0.2.1.9
- - core-program ==0.2.7.1
- - core-text ==0.3.0.0
+ - core-program ==0.2.9.1
+ - core-text ==0.3.2.0
- countable ==1.0
- country ==0.2.1
- cpphs ==1.20.9.1
@@ -489,7 +490,7 @@ default-package-overrides:
- crackNum ==3.1
- crc32c ==0.0.0
- credential-store ==0.1.2
- - criterion ==1.5.9.0
+ - criterion ==1.5.10.0
- criterion-measurement ==0.1.3.0
- cron ==0.7.0
- crypto-api ==0.13.3
@@ -571,7 +572,7 @@ default-package-overrides:
- dbus ==1.2.17
- dbus-hslogger ==0.1.0.1
- debian ==4.0.2
- - debian-build ==0.10.2.0
+ - debian-build ==0.10.2.1
- debug-trace-var ==0.2.0
- dec ==0.0.4
- Decimal ==0.5.2
@@ -579,13 +580,13 @@ default-package-overrides:
- deepseq-generics ==0.2.0.0
- deepseq-instances ==0.1.0.1
- deferred-folds ==0.9.17
- - dejafu ==2.4.0.2
+ - dejafu ==2.4.0.3
- dense-linear-algebra ==0.1.0.0
- dependent-map ==0.4.0.0
- dependent-sum ==0.7.1.0
- dependent-sum-template ==0.1.0.3
- depq ==0.4.2
- - deque ==0.4.3
+ - deque ==0.4.4
- deriveJsonNoPrefix ==0.1.0.1
- derive-topdown ==0.0.2.2
- deriving-aeson ==0.2.7
@@ -594,7 +595,7 @@ default-package-overrides:
- dhall ==1.39.0
- dhall-bash ==1.0.37
- dhall-json ==1.7.7
- - dhall-lsp-server ==1.0.15
+ - dhall-lsp-server ==1.0.16
- dhall-yaml ==1.2.7
- diagrams-solve ==0.1.3
- dialogflow-fulfillment ==0.1.1.4
@@ -607,13 +608,13 @@ default-package-overrides:
- di-monad ==1.3.1
- directory-tree ==0.12.1
- direct-sqlite ==2.3.26
- - dirichlet ==0.1.0.4
+ - dirichlet ==0.1.0.5
- discount ==0.1.1
- disk-free-space ==0.1.0.1
- distributed-closure ==0.4.2.0
- distribution-opensuse ==1.1.1
- distributive ==0.6.2.1
- - dl-fedora ==0.9
+ - dl-fedora ==0.9.2
- dlist ==1.0
- dlist-instances ==0.1.1.1
- dlist-nonempty ==0.1.1
@@ -682,7 +683,7 @@ default-package-overrides:
- elynx-tree ==0.5.1.1
- email-validate ==2.3.2.15
- emd ==0.2.0.0
- - emojis ==0.1
+ - emojis ==0.1.1
- enclosed-exceptions ==1.0.3
- ENIG ==0.0.1.0
- entropy ==0.4.1.6
@@ -703,7 +704,7 @@ default-package-overrides:
- errors ==2.3.0
- errors-ext ==0.4.2
- ersatz ==0.4.9
- - esqueleto ==3.5.2.1
+ - esqueleto ==3.5.3.0
- essence-of-live-coding ==0.2.5
- essence-of-live-coding-gloss ==0.2.5
- essence-of-live-coding-pulse ==0.2.5
@@ -743,7 +744,7 @@ default-package-overrides:
- fakefs ==0.3.0.2
- fakepull ==0.3.0.2
- faktory ==1.0.3.1
- - fast-digits ==0.3.0.0
+ - fast-digits ==0.3.1.0
- fast-logger ==3.0.5
- fast-math ==1.0.2
- fb ==2.1.1
@@ -755,11 +756,11 @@ default-package-overrides:
- FenwickTree ==0.1.2.1
- fft ==0.1.8.7
- fgl ==5.7.0.3
- - file-embed ==0.0.14.0
+ - file-embed ==0.0.15.0
- file-embed-lzma ==0
- filelock ==0.1.1.5
- filemanip ==0.3.6.3
- - filepath-bytestring ==1.4.2.1.7
+ - filepath-bytestring ==1.4.2.1.8
- file-path-th ==0.1.0.0
- filepattern ==0.1.2
- fileplow ==0.1.0.0
@@ -775,7 +776,7 @@ default-package-overrides:
- fixed-length ==0.2.3
- fixed-vector ==1.2.0.0
- fixed-vector-hetero ==0.6.1.0
- - fix-whitespace ==0.0.6
+ - fix-whitespace ==0.0.7
- flac ==0.2.0
- flac-picture ==0.1.2
- flags-applicative ==0.1.0.3
@@ -787,9 +788,9 @@ default-package-overrides:
- flow ==1.0.22
- flush-queue ==1.0.0
- fmlist ==0.9.4
- - fmt ==0.6.2.0
+ - fmt ==0.6.3.0
- fn ==0.3.0.2
- - focus ==1.0.2
+ - focus ==1.0.3
- focuslist ==0.1.0.2
- foldable1 ==0.1.0.0
- fold-debounce ==0.2.0.9
@@ -826,7 +827,7 @@ default-package-overrides:
- fusion-plugin ==0.2.3
- fusion-plugin-types ==0.1.0
- fuzzcheck ==0.1.1
- - fuzzy ==0.1.0.0
+ - fuzzy ==0.1.0.1
- fuzzy-dates ==0.1.1.2
- fuzzyset ==0.2.1
- fuzzy-time ==0.1.0.0
@@ -837,9 +838,9 @@ default-package-overrides:
- generic-aeson ==0.2.0.12
- generic-arbitrary ==0.1.0
- generic-constraints ==1.1.1.1
- - generic-data ==0.9.2.0
+ - generic-data ==0.9.2.1
- generic-data-surgery ==0.3.0.0
- - generic-deriving ==1.14
+ - generic-deriving ==1.14.1
- generic-functor ==0.2.0.0
- generic-lens ==2.1.0.0
- generic-lens-core ==2.1.0.0
@@ -883,20 +884,20 @@ default-package-overrides:
- geojson ==4.0.2
- getopt-generics ==0.13.0.4
- ghc-byteorder ==4.11.0.0.10
- - ghc-check ==0.5.0.5
+ - ghc-check ==0.5.0.6
- ghc-core ==0.5.6
- ghc-events ==0.17.0
- ghc-exactprint ==0.6.4
- ghcid ==0.8.7
- ghci-hexcalc ==0.1.1.0
- ghcjs-codemirror ==0.0.0.2
- - ghc-lib ==8.10.5.20210606
- - ghc-lib-parser ==8.10.5.20210606
- - ghc-lib-parser-ex ==8.10.0.21
+ - ghc-lib ==8.10.7.20210828
+ - ghc-lib-parser ==8.10.7.20210828
+ - ghc-lib-parser-ex ==8.10.0.23
- ghc-parser ==0.2.3.0
- ghc-paths ==0.1.0.12
- ghc-prof ==1.4.1.9
- - ghc-source-gen ==0.4.1.0
+ - ghc-source-gen ==0.4.2.0
- ghc-syntax-highlighter ==0.0.6.0
- ghc-tcplugins-extra ==0.4.2
- ghc-trace-events ==0.1.2.3
@@ -923,7 +924,7 @@ default-package-overrides:
- ginger ==0.10.1.0
- gingersnap ==0.3.1.0
- gi-pango ==1.0.24
- - githash ==0.1.6.1
+ - githash ==0.1.6.2
- github-release ==1.3.7
- github-rest ==1.0.3
- github-types ==0.2.1
@@ -985,7 +986,7 @@ default-package-overrides:
- hashing ==0.1.0.1
- hashmap ==1.3.3
- hashtables ==1.2.4.1
- - haskeline ==0.8.1.2
+ - haskeline ==0.8.2
- haskell-awk ==1.2.0.1
- haskell-gi ==0.25.0
- haskell-gi-base ==0.25.0
@@ -1001,7 +1002,8 @@ default-package-overrides:
- haskell-src-exts-util ==0.2.5
- haskell-src-meta ==0.8.7
- haskey-btree ==0.3.0.1
- - hasql ==1.4.5.1
+ - hasktags ==0.72.0
+ - hasql ==1.4.5.2
- hasql-notifications ==0.2.0.0
- hasql-optparse-applicative ==0.3.0.6
- hasql-pool ==0.5.2
@@ -1009,7 +1011,7 @@ default-package-overrides:
- hasql-transaction ==1.0.1
- hasty-hamiltonian ==1.3.4
- HaTeX ==3.22.3.0
- - HaXml ==1.25.5
+ - HaXml ==1.25.6
- haxr ==3000.11.4.1
- HCodecs ==0.5.2
- hdaemonize ==0.5.6
@@ -1038,7 +1040,7 @@ default-package-overrides:
- hgeometry-combinatorial ==0.12.0.3
- hgrev ==0.2.6
- hidapi ==0.1.7
- - hie-bios ==0.7.5
+ - hie-bios ==0.7.6
- hi-file-parser ==0.1.2.0
- higher-leveldb ==0.6.0.0
- highlighting-kate ==0.6.4
@@ -1069,7 +1071,7 @@ default-package-overrides:
- hmpfr ==0.4.4
- hnock ==0.4.0
- hoauth2 ==1.16.0
- - hoogle ==5.0.18.1
+ - hoogle ==5.0.18.2
- hOpenPGP ==2.9.5
- hopenpgp-tools ==0.23.6
- hopenssl ==2.2.4
@@ -1081,7 +1083,7 @@ default-package-overrides:
- hourglass-orphans ==0.1.0.0
- hp2pretty ==0.10
- hpack ==0.34.4
- - hpack-dhall ==0.5.2
+ - hpack-dhall ==0.5.3
- hpc-codecov ==0.3.0.0
- hpc-lcov ==1.0.1
- hprotoc ==2.4.17
@@ -1105,7 +1107,7 @@ default-package-overrides:
- hslua-module-path ==0.1.0.1
- hslua-module-system ==0.2.2.1
- hslua-module-text ==0.3.0.1
- - HsOpenSSL ==0.11.7.1
+ - HsOpenSSL ==0.11.7.2
- HsOpenSSL-x509-system ==0.1.0.4
- hsp ==0.10.0
- hspec ==2.7.10
@@ -1133,8 +1135,8 @@ default-package-overrides:
- hspec-wai-json ==0.11.0
- hs-php-session ==0.0.9.3
- hsshellscript ==3.5.0
- - hs-tags ==0.1.5
- - HStringTemplate ==0.8.7
+ - hs-tags ==0.1.5.2
+ - HStringTemplate ==0.8.8
- HSvm ==0.1.1.3.22
- HsYAML ==0.2.1.0
- HsYAML-aeson ==0.2.0.0
@@ -1142,7 +1144,7 @@ default-package-overrides:
- htaglib ==1.2.0
- HTF ==0.14.0.6
- html ==1.0.1.2
- - html-conduit ==1.3.2.1
+ - html-conduit ==1.3.2.2
- html-entities ==1.1.4.5
- html-entity-map ==0.1.0.0
- htoml ==1.0.0.3
@@ -1153,7 +1155,7 @@ default-package-overrides:
- http-client-openssl ==0.3.2.0
- http-client-overrides ==0.1.1.0
- http-client-tls ==0.3.5.3
- - http-common ==0.8.2.1
+ - http-common ==0.8.3.4
- http-conduit ==2.3.8
- http-date ==0.0.11
- http-directory ==0.1.8
@@ -1163,12 +1165,12 @@ default-package-overrides:
- http-media ==0.8.0.0
- http-query ==0.1.0.1
- http-reverse-proxy ==0.6.0
- - http-streams ==0.8.8.1
+ - http-streams ==0.8.9.4
- http-types ==0.12.3
- human-readable-duration ==0.2.1.4
- HUnit ==1.6.2.0
- HUnit-approx ==1.1.1.1
- - hunit-dejafu ==2.0.0.4
+ - hunit-dejafu ==2.0.0.5
- hvect ==0.4.0.0
- hvega ==0.11.0.1
- hw-balancedparens ==0.4.1.1
@@ -1176,19 +1178,14 @@ default-package-overrides:
- hw-conduit ==0.2.1.0
- hw-conduit-merges ==0.2.1.0
- hw-diagnostics ==0.0.1.0
- - hw-dsv ==0.4.1.0
- hweblib ==0.6.3
- - hw-eliasfano ==0.1.2.0
- hw-excess ==0.2.3.0
- hw-fingertree ==0.1.2.0
- hw-fingertree-strict ==0.1.2.0
- hw-hedgehog ==0.1.1.0
- hw-hspec-hedgehog ==0.1.1.0
- hw-int ==0.0.2.0
- - hw-ip ==2.4.2.0
- hw-json-simd ==0.1.1.0
- - hw-json-simple-cursor ==0.1.1.0
- - hw-json-standard-cursor ==0.2.3.1
- hw-kafka-client ==4.0.3
- hw-packed-vector ==0.2.1.0
- hw-parser ==0.1.1.0
@@ -1199,7 +1196,6 @@ default-package-overrides:
- hw-streams ==0.0.1.0
- hw-string-parse ==0.0.0.4
- hw-succinct ==0.1.0.1
- - hw-xml ==0.5.1.0
- hxt ==9.3.1.22
- hxt-charproperties ==9.5.0.0
- hxt-css ==0.1.0.3
@@ -1237,7 +1233,7 @@ default-package-overrides:
- indexed-traversable-instances ==0.1
- infer-license ==0.2.0
- inflections ==0.4.0.6
- - influxdb ==1.9.1.2
+ - influxdb ==1.9.2
- ini ==0.4.1
- inj ==1.0
- inline-c ==0.9.1.5
@@ -1246,16 +1242,16 @@ default-package-overrides:
- inliterate ==0.1.0
- input-parsers ==0.2.3
- insert-ordered-containers ==0.2.5
- - inspection-testing ==0.4.5.0
+ - inspection-testing ==0.4.6.0
- instance-control ==0.1.2.0
- int-cast ==0.2.0.0
- integer-logarithms ==1.0.3.1
- - integer-roots ==1.0
+ - integer-roots ==1.0.0.1
- integration ==0.2.1
- intern ==0.9.4
- interpolate ==0.2.1
- interpolatedstring-perl6 ==1.0.2
- - interpolation ==0.1.1.1
+ - interpolation ==0.1.1.2
- interpolator ==1.1.0.2
- IntervalMap ==0.6.1.2
- intervals ==0.9.2
@@ -1263,7 +1259,7 @@ default-package-overrides:
- intset-imperative ==0.1.0.0
- invariant ==0.5.4
- invertible ==0.2.0.7
- - invertible-grammar ==0.1.3
+ - invertible-grammar ==0.1.3.1
- io-machine ==0.2.0.0
- io-manager ==0.1.0.3
- io-memoize ==1.1.1.0
@@ -1278,9 +1274,9 @@ default-package-overrides:
- ipynb ==0.1.0.1
- ipython-kernel ==0.10.2.1
- irc ==0.6.1.0
- - irc-client ==1.1.2.1
- - irc-conduit ==0.3.0.4
- - irc-ctcp ==0.1.3.0
+ - irc-client ==1.1.2.2
+ - irc-conduit ==0.3.0.5
+ - irc-ctcp ==0.1.3.1
- isbn ==1.1.0.2
- islink ==0.1.0.0
- iso3166-country-codes ==0.20140203.8
@@ -1294,6 +1290,7 @@ default-package-overrides:
- ix-shapable ==0.1.0
- jack ==0.7.2
- jalaali ==1.0.0.0
+ - java-adt ==0.2018.11.4
- jira-wiki-markup ==1.4.0
- jose ==0.8.4
- jose-jwt ==0.9.2
@@ -1301,11 +1298,12 @@ default-package-overrides:
- js-dgtable ==0.5.2
- js-flot ==0.8.3
- js-jquery ==3.3.1
+ - json ==0.10
- json-feed ==1.0.13
- jsonifier ==0.1.1
- jsonpath ==0.2.0.0
- json-rpc ==1.0.3
- - json-rpc-generic ==0.2.1.5
+ - json-rpc-generic ==0.2.1.6
- JuicyPixels ==3.3.5
- JuicyPixels-blurhash ==0.1.0.3
- JuicyPixels-extra ==0.5.2
@@ -1335,14 +1333,14 @@ default-package-overrides:
- l10n ==0.1.0.1
- labels ==0.3.3
- lackey ==1.0.15
- - lambdabot-core ==5.3.0.1
+ - lambdabot-core ==5.3.0.2
- LambdaHack ==0.10.2.0
- lame ==0.2.0
- language-avro ==0.1.3.1
- language-bash ==0.9.2
- language-c ==0.9.0.1
- language-c-quote ==0.13
- - language-docker ==10.0.1
+ - language-docker ==10.0.2
- language-java ==0.2.9
- language-javascript ==0.7.1.0
- language-protobuf ==1.0.1
@@ -1350,7 +1348,7 @@ default-package-overrides:
- language-thrift ==0.12.0.0
- lapack ==0.3.2
- lapack-carray ==0.0.3
- - lapack-comfort-array ==0.0.0.1
+ - lapack-comfort-array ==0.0.1
- lapack-ffi ==0.0.3
- lapack-ffi-tools ==0.1.2.1
- largeword ==1.2.5
@@ -1391,21 +1389,22 @@ default-package-overrides:
- LibZip ==1.0.1
- lifted-async ==0.10.2.1
- lifted-base ==0.2.3.12
- - lift-generics ==0.2
+ - lift-generics ==0.2.1
- lift-type ==0.1.0.1
- line ==4.0.1
- linear ==1.21.6
- linear-circuit ==0.1.0.2
- linenoise ==0.3.2
+ - linux-capabilities ==0.1.0.0
- linux-file-extents ==0.2.0.0
- linux-namespaces ==0.1.3.0
- liquid-fixpoint ==0.8.10.2
- List ==0.6.2
- - ListLike ==4.7.4
+ - ListLike ==4.7.6
- list-predicate ==0.1.0.1
- listsafe ==0.1.0.1
- list-singleton ==1.0.0.5
- - list-t ==1.0.4
+ - list-t ==1.0.5
- list-transformer ==1.0.7
- ListTree ==0.2.3
- literatex ==0.1.0.2
@@ -1438,7 +1437,7 @@ default-package-overrides:
- lz4-frame-conduit ==0.1.0.1
- lzma ==0.0.0.3
- lzma-clib ==5.2.2
- - lzma-conduit ==1.2.1
+ - lzma-conduit ==1.2.2
- machines ==0.7.2
- machines-binary ==7.0.0.0
- magic ==1.1
@@ -1448,7 +1447,7 @@ default-package-overrides:
- makefile ==1.1.0.0
- managed ==1.0.8
- MapWith ==0.2.0.0
- - markdown ==0.1.17.4
+ - markdown ==0.1.17.5
- markdown-unlit ==0.5.1
- markov-chain ==0.0.3.4
- massiv ==0.6.1.0
@@ -1510,7 +1509,7 @@ default-package-overrides:
- min-max-pqueue ==0.1.0.2
- mintty ==0.1.2
- missing-foreign ==0.1.1
- - mixed-types-num ==0.5.8.0
+ - mixed-types-num ==0.5.9.1
- mltool ==0.2.0.1
- mmap ==0.5.9
- mmark ==0.0.7.2
@@ -1523,12 +1522,12 @@ default-package-overrides:
- mock-time ==0.1.0
- mod ==0.1.2.2
- model ==0.5
- - modern-uri ==0.3.4.1
+ - modern-uri ==0.3.4.2
- modular ==0.1.0.8
- monad-chronicle ==1.0.0.1
- - monad-control ==1.0.3
+ - monad-control ==1.0.3.1
- monad-control-aligned ==0.0.1.1
- - monad-coroutine ==0.9.1.2
+ - monad-coroutine ==0.9.1.3
- monad-extras ==0.6.0
- monadic-arrays ==0.2.2
- monad-journal ==0.8.1
@@ -1541,7 +1540,7 @@ default-package-overrides:
- monad-memo ==0.5.3
- monad-metrics ==0.2.2.0
- monad-par ==0.3.5
- - monad-parallel ==0.7.2.4
+ - monad-parallel ==0.7.2.5
- monad-par-extras ==0.3.3
- monad-peel ==0.2.1.2
- monad-primitive ==0.1
@@ -1558,7 +1557,7 @@ default-package-overrides:
- mongoDB ==2.7.1.1
- monoid-subclasses ==1.1.1
- monoid-transformer ==0.0.4
- - mono-traversable ==1.0.15.1
+ - mono-traversable ==1.0.15.3
- mono-traversable-instances ==0.1.1.0
- mono-traversable-keys ==0.1.0
- more-containers ==0.2.2.2
@@ -1580,13 +1579,13 @@ default-package-overrides:
- multipart ==0.2.1
- multiset ==0.3.4.3
- multistate ==0.8.0.3
- - murmur3 ==1.0.4
+ - murmur3 ==1.0.5
- murmur-hash ==0.1.0.9
- MusicBrainz ==0.4.1
- mustache ==2.3.1
- mutable-containers ==0.3.4
- mwc-probability ==2.3.1
- - mwc-random ==0.15.0.1
+ - mwc-random ==0.15.0.2
- mwc-random-monad ==0.7.3.1
- mx-state-codes ==1.0.0.0
- mysql ==0.2.0.1
@@ -1604,7 +1603,7 @@ default-package-overrides:
- neat-interpolation ==0.5.1.2
- netcode-io ==0.0.2
- netlib-carray ==0.1
- - netlib-comfort-array ==0.0.0.1
+ - netlib-comfort-array ==0.0.0.2
- netlib-ffi ==0.1.1
- net-mqtt ==0.7.1.1
- net-mqtt-lens ==0.1.1.0
@@ -1636,7 +1635,7 @@ default-package-overrides:
- nonce ==1.0.7
- nondeterminism ==1.4
- non-empty ==0.3.3
- - nonempty-containers ==0.3.4.1
+ - nonempty-containers ==0.3.4.4
- nonemptymap ==0.0.6.0
- non-empty-sequence ==0.2.0.4
- nonempty-vector ==0.2.1.0
@@ -1674,7 +1673,7 @@ default-package-overrides:
- OneTuple ==0.2.2.1
- Only ==0.1
- oo-prototypes ==0.1.0.0
- - opaleye ==0.7.3.0
+ - opaleye ==0.7.4.0
- OpenAL ==1.7.0.5
- openapi3 ==3.1.0
- open-browser ==0.2.1.0
@@ -1688,7 +1687,7 @@ default-package-overrides:
- opentelemetry-extra ==0.7.0
- opentelemetry-lightstep ==0.7.0
- opentelemetry-wai ==0.7.0
- - operational ==0.2.3.5
+ - operational ==0.2.4.0
- operational-class ==0.3.0.0
- optics ==0.3
- optics-core ==0.3.0.1
@@ -1698,7 +1697,7 @@ default-package-overrides:
- optional-args ==1.0.2
- options ==1.2.1.1
- optparse-applicative ==0.16.1.0
- - optparse-generic ==1.4.4
+ - optparse-generic ==1.4.5
- optparse-simple ==0.1.1.4
- optparse-text ==0.1.1.0
- ordered-containers ==0.2.2
@@ -1743,7 +1742,7 @@ default-package-overrides:
- pathtype ==0.8.1.1
- pathwalk ==0.3.1.2
- pattern-arrows ==0.0.2
- - pava ==0.1.1.1
+ - pava ==0.1.1.2
- pcg-random ==0.1.3.7
- pcre2 ==1.1.5
- pcre-heavy ==1.0.0.2
@@ -1759,11 +1758,11 @@ default-package-overrides:
- persist ==0.1.1.5
- persistable-record ==0.6.0.5
- persistable-types-HDBC-pg ==0.0.3.5
- - persistent ==2.13.1.1
+ - persistent ==2.13.1.2
- persistent-mtl ==0.2.2.0
- - persistent-mysql ==2.13.0.1
+ - persistent-mysql ==2.13.0.2
- persistent-pagination ==0.1.1.2
- - persistent-postgresql ==2.13.0.3
+ - persistent-postgresql ==2.13.1.0
- persistent-qq ==2.12.0.1
- persistent-sqlite ==2.13.0.3
- persistent-template ==2.12.0.0
@@ -1803,6 +1802,7 @@ default-package-overrides:
- pointedlist ==0.6.1
- pointless-fun ==1.1.0.6
- poll ==0.0.0.2
+ - polling-cache ==0.1.1.0
- poly ==0.5.0.0
- poly-arity ==0.1.0
- polynomials-bernstein ==1.1.2
@@ -1814,7 +1814,7 @@ default-package-overrides:
- posix-paths ==0.3.0.0
- possibly ==1.0.0.0
- postgres-options ==0.2.0.0
- - postgresql-binary ==0.12.4
+ - postgresql-binary ==0.12.4.1
- postgresql-libpq ==0.9.4.3
- postgresql-libpq-notify ==0.2.0.0
- postgresql-orm ==0.5.1
@@ -1832,19 +1832,19 @@ default-package-overrides:
- pretty-class ==1.0.1.1
- pretty-diff ==0.4.0.3
- pretty-hex ==1.1
- - prettyprinter ==1.7.0
- - prettyprinter-ansi-terminal ==1.1.2
+ - prettyprinter ==1.7.1
+ - prettyprinter-ansi-terminal ==1.1.3
- prettyprinter-compat-annotated-wl-pprint ==1.1
- - prettyprinter-compat-ansi-wl-pprint ==1.0.1
- - prettyprinter-compat-wl-pprint ==1.0.0.1
- - prettyprinter-convert-ansi-wl-pprint ==1.1.1
+ - prettyprinter-compat-ansi-wl-pprint ==1.0.2
+ - prettyprinter-compat-wl-pprint ==1.0.1
+ - prettyprinter-convert-ansi-wl-pprint ==1.1.2
- pretty-relative-time ==0.2.0.0
- pretty-show ==1.10
- pretty-simple ==4.0.0.0
- pretty-sop ==0.2.0.3
- pretty-terminal ==0.1.0.0
- primes ==0.2.1.0
- - primitive ==0.7.1.0
+ - primitive ==0.7.2.0
- primitive-addr ==0.1.0.2
- primitive-extras ==0.10.1
- primitive-unaligned ==0.1.1.1
@@ -1861,7 +1861,7 @@ default-package-overrides:
- project-template ==0.2.1.0
- prometheus ==2.2.2
- prometheus-client ==1.0.1
- - prometheus-metrics-ghc ==1.0.1.1
+ - prometheus-metrics-ghc ==1.0.1.2
- prometheus-wai-middleware ==1.0.1.0
- promises ==0.3
- prompt ==0.1.1.2
@@ -1924,7 +1924,7 @@ default-package-overrides:
- Ranged-sets ==0.4.0
- range-set-list ==0.1.3.1
- rank1dynamic ==0.4.1
- - rank2classes ==1.4.2
+ - rank2classes ==1.4.3
- Rasterific ==0.7.5.4
- rasterific-svg ==0.3.3.2
- ratel ==1.0.15
@@ -1946,7 +1946,7 @@ default-package-overrides:
- reanimate ==1.1.4.0
- reanimate-svg ==0.13.0.1
- rebase ==1.13.0.1
- - record-dot-preprocessor ==0.2.11
+ - record-dot-preprocessor ==0.2.12
- record-hasfield ==1.0
- records-sop ==0.1.1.0
- record-wrangler ==0.1.1.0
@@ -1969,8 +1969,9 @@ default-package-overrides:
- regex-compat ==0.95.2.1
- regex-compat-tdfa ==0.95.1.4
- regex-pcre ==0.95.0.0
- - regex-pcre-builtin ==0.95.2.3.8.43
+ - regex-pcre-builtin ==0.95.2.3.8.44
- regex-posix ==0.96.0.1
+ - regex-posix-clib ==2.7
- regex-tdfa ==1.3.1.1
- regex-with-pcre ==1.1.0.0
- registry ==0.2.0.3
@@ -1983,35 +1984,35 @@ default-package-overrides:
- reliable-io ==0.0.1
- relude ==0.7.0.0
- renderable ==0.2.0.1
- - replace-attoparsec ==1.4.4.0
+ - replace-attoparsec ==1.4.5.0
- replace-megaparsec ==1.4.4.0
- repline ==0.4.0.0
- req ==3.9.0
- - req-conduit ==1.0.0
+ - req-conduit ==1.0.1
- rerebase ==1.13.0.1
- rescue ==0.4.2.1
- resistor-cube ==0.0.1.2
- resolv ==0.1.2.0
- resource-pool ==0.2.3.2
- - resourcet ==1.2.4.2
+ - resourcet ==1.2.4.3
- result ==0.2.6.0
- rethinkdb-client-driver ==0.0.25
- retry ==0.8.1.2
- rev-state ==0.1.2
- rfc1751 ==0.1.3
- rfc5051 ==0.2
- - rhbzquery ==0.4.3
+ - rhbzquery ==0.4.4
- rhine ==0.7.0
- rhine-gloss ==0.7.0
- rigel-viz ==0.2.0.0
- - rio ==0.1.20.0
+ - rio ==0.1.21.0
- rio-orphans ==0.1.2.0
- rio-prettyprint ==0.1.1.0
- roc-id ==0.1.0.0
- rocksdb-haskell ==1.0.1
- rocksdb-haskell-jprupp ==2.1.3
- rocksdb-query ==0.4.2
- - roles ==0.2.0.0
+ - roles ==0.2.1.0
- rope-utf16-splay ==0.3.2.0
- rosezipper ==0.2
- rot13 ==0.2.0.1
@@ -2030,7 +2031,7 @@ default-package-overrides:
- safe-foldable ==0.1.0.0
- safeio ==0.0.5.0
- safe-json ==1.1.1.1
- - safe-money ==0.9
+ - safe-money ==0.9.1
- SafeSemaphore ==0.10.1
- safe-tensor ==0.2.1.1
- saltine ==0.1.1.1
@@ -2038,9 +2039,9 @@ default-package-overrides:
- sample-frame ==0.0.3
- sample-frame-np ==0.0.4.1
- sampling ==0.3.5
- - sandwich ==0.1.0.8
- - sandwich-quickcheck ==0.1.0.5
- - sandwich-slack ==0.1.0.4
+ - sandwich ==0.1.0.9
+ - sandwich-quickcheck ==0.1.0.6
+ - sandwich-slack ==0.1.0.6
- sandwich-webdriver ==0.1.0.6
- say ==0.1.0.1
- sbp ==2.6.3
@@ -2072,7 +2073,7 @@ default-package-overrides:
- semialign-optics ==1.1
- semigroupoid-extras ==5
- semigroupoids ==5.3.5
- - semigroups ==0.19.1
+ - semigroups ==0.19.2
- semirings ==0.6
- semiring-simple ==1.0.0.1
- semver ==0.4.0.1
@@ -2120,9 +2121,9 @@ default-package-overrides:
- set-cover ==0.1.1
- setenv ==0.1.1.3
- setlocale ==1.0.0.10
- - sexp-grammar ==2.3.1
+ - sexp-grammar ==2.3.3
- SHA ==1.6.4.4
- - shake ==0.19.5
+ - shake ==0.19.6
- shake-language-c ==0.12.0
- shake-plus ==0.3.4.0
- shake-plus-extended ==0.4.1.0
@@ -2158,6 +2159,7 @@ default-package-overrides:
- singletons ==2.7
- singletons-presburger ==0.6.0.0
- siphash ==1.0.3
+ - Sit ==0.2021.1.18
- sitemap-gen ==0.1.0.0
- sized ==1.0.0.0
- skein ==1.0.9.4
@@ -2167,7 +2169,7 @@ default-package-overrides:
- skylighting-core ==0.10.5.2
- slack-api ==0.12
- slack-progressbar ==0.1.0.1
- - slick ==1.1.1.0
+ - slick ==1.1.2.2
- slist ==0.2.0.0
- slynx ==0.5.1.1
- smallcheck ==1.2.1
@@ -2231,7 +2233,7 @@ default-package-overrides:
- stm-delay ==0.1.1.1
- stm-extras ==0.1.0.3
- stm-lifted ==2.5.0.0
- - STMonadTrans ==0.4.5
+ - STMonadTrans ==0.4.6
- stm-split ==0.0.2.1
- stopwatch ==0.1.0.6
- storable-complex ==0.2.3.0
@@ -2239,7 +2241,7 @@ default-package-overrides:
- storable-record ==0.0.5
- storable-tuple ==0.0.3.3
- storablevector ==0.2.13.1
- - store ==0.7.11
+ - store ==0.7.12
- store-core ==0.4.4.4
- store-streaming ==0.2.0.3
- stratosphere ==0.59.1
@@ -2253,7 +2255,7 @@ default-package-overrides:
- streamt ==0.5.0.0
- strict ==0.4.0.1
- strict-concurrency ==0.2.4.3
- - strict-list ==0.1.5
+ - strict-list ==0.1.6
- strict-tuple ==0.1.4
- strict-tuple-lens ==0.1.0.1
- stringbuilder ==0.5.1
@@ -2312,10 +2314,10 @@ default-package-overrides:
- tar ==0.5.1.1
- tar-conduit ==0.3.2
- tardis ==0.4.3.0
- - tasty ==1.4.1
+ - tasty ==1.4.2
- tasty-ant-xml ==1.1.8
- tasty-bench ==0.2.5
- - tasty-dejafu ==2.0.0.7
+ - tasty-dejafu ==2.0.0.8
- tasty-discover ==4.2.2
- tasty-expected-failure ==0.12.3
- tasty-focus ==1.0.1
@@ -2331,7 +2333,7 @@ default-package-overrides:
- tasty-program ==1.0.5
- tasty-quickcheck ==0.10.1.2
- tasty-rerun ==1.1.18
- - tasty-silver ==3.2.2
+ - tasty-silver ==3.2.3
- tasty-smallcheck ==0.8.2
- tasty-test-reporter ==0.1.1.4
- tasty-th ==0.1.7
@@ -2356,31 +2358,31 @@ default-package-overrides:
- test-framework-smallcheck ==0.2
- test-fun ==0.1.0.0
- testing-type-modifiers ==0.1.0.1
- - texmath ==0.12.3
+ - texmath ==0.12.3.1
- text-ansi ==0.1.1
- text-binary ==0.2.1.1
- - text-builder ==0.6.6.2
+ - text-builder ==0.6.6.3
- text-conversions ==0.3.1
- text-format ==0.3.2
- text-icu ==0.7.1.0
- text-latin1 ==0.3.1
- - text-ldap ==0.1.1.13
+ - text-ldap ==0.1.1.14
- textlocal ==0.1.0.5
- text-manipulate ==0.3.0.0
- - text-metrics ==0.3.0
+ - text-metrics ==0.3.1
- text-postgresql ==0.0.3.1
- text-printer ==0.5.0.1
- text-regex-replace ==0.1.1.4
- text-region ==0.3.1.0
- text-short ==0.1.3
- - text-show ==3.9
+ - text-show ==3.9.2
- text-show-instances ==3.8.4
- text-zipper ==0.11
- tfp ==1.0.2
- tf-random ==0.5
- - th-abstraction ==0.4.2.0
+ - th-abstraction ==0.4.3.0
- th-bang-compat ==0.0.1.0
- - th-compat ==0.1.2
+ - th-compat ==0.1.3
- th-constraint-compat ==0.0.1.0
- th-data-compat ==0.1.0.0
- th-desugar ==1.11
@@ -2394,7 +2396,7 @@ default-package-overrides:
- th-lift ==0.8.2
- th-lift-instances ==0.1.18
- th-nowq ==0.1.0.5
- - th-orphans ==0.13.11
+ - th-orphans ==0.13.12
- th-printf ==0.7
- thread-hierarchy ==0.3.0.2
- thread-local-storage ==0.2
@@ -2402,7 +2404,7 @@ default-package-overrides:
- thread-supervisor ==0.2.0.0
- threepenny-gui ==0.9.1.0
- th-reify-compat ==0.0.1.5
- - th-reify-many ==0.1.9
+ - th-reify-many ==0.1.10
- throttle-io-stream ==0.2.0.1
- through-text ==0.1.0.0
- throwable-exceptions ==0.1.0.9
@@ -2427,7 +2429,7 @@ default-package-overrides:
- timezone-series ==0.1.9
- tinylog ==0.15.0
- titlecase ==1.0.1
- - tldr ==0.9.0
+ - tldr ==0.9.1
- tls ==1.5.5
- tls-debug ==0.4.8
- tls-session-manager ==0.0.4
@@ -2441,7 +2443,7 @@ default-package-overrides:
- torsor ==0.1
- tostring ==0.2.1.1
- transaction ==0.1.1.3
- - transformers-base ==0.4.5.2
+ - transformers-base ==0.4.6
- transformers-bifunctors ==0.1
- transformers-compat ==0.6.6
- transformers-fix ==1.0
@@ -2453,7 +2455,7 @@ default-package-overrides:
- triplesec ==0.2.2.1
- trivial-constraint ==0.7.0.0
- tsv2csv ==0.1.0.2
- - ttc ==1.1.0.1
+ - ttc ==1.1.0.2
- ttl-hashtables ==1.4.1.0
- ttrie ==0.1.2.1
- tuple ==0.3.0.2
@@ -2463,11 +2465,11 @@ default-package-overrides:
- turtle ==1.5.22
- typecheck-plugin-nat-simple ==0.1.0.2
- TypeCompose ==0.9.14
- - typed-process ==0.2.6.0
+ - typed-process ==0.2.6.3
- typed-uuid ==0.1.0.0
- type-equality ==1
- type-errors ==0.2.0.0
- - type-errors-pretty ==0.0.1.1
+ - type-errors-pretty ==0.0.1.2
- type-hint ==0.1
- type-level-integers ==0.0.1
- type-level-kv-list ==1.1.0
@@ -2521,7 +2523,7 @@ default-package-overrides:
- unix-bytestring ==0.3.7.3
- unix-compat ==0.5.3
- unix-time ==0.4.7
- - unliftio ==0.2.19
+ - unliftio ==0.2.20
- unliftio-core ==0.2.0.1
- unliftio-pool ==0.2.1.1
- unliftio-streams ==0.1.1.1
@@ -2560,7 +2562,7 @@ default-package-overrides:
- vault ==0.3.1.5
- vcs-ignore ==0.0.1.0
- vec ==0.4
- - vector ==0.12.3.0
+ - vector ==0.12.3.1
- vector-algorithms ==0.8.0.4
- vector-binary-instances ==0.2.5.2
- vector-buffer ==0.4.1
@@ -2569,7 +2571,7 @@ default-package-overrides:
- vector-circular ==0.1.3
- vector-instances ==3.4
- vector-mmap ==0.0.3
- - vector-rotcev ==0.1.0.0
+ - vector-rotcev ==0.1.0.1
- vector-sized ==1.4.4
- vector-space ==0.16
- vector-split ==1.0.0.2
@@ -2593,21 +2595,21 @@ default-package-overrides:
- wai-feature-flags ==0.1.0.1
- wai-handler-launch ==3.0.3.1
- wai-logger ==2.3.6
- - wai-middleware-auth ==0.2.5.0
+ - wai-middleware-auth ==0.2.5.1
- wai-middleware-caching ==0.1.0.2
- wai-middleware-clacks ==0.1.0.1
- - wai-middleware-prometheus ==1.0.0
+ - wai-middleware-prometheus ==1.0.0.1
- wai-middleware-static ==0.9.0
- wai-rate-limit ==0.1.0.0
- wai-rate-limit-redis ==0.1.0.0
- wai-saml2 ==0.2.1.2
- wai-session ==0.3.3
- - wai-session-redis ==0.1.0.2
+ - wai-session-redis ==0.1.0.3
- wai-slack-middleware ==0.2.0
- wai-websockets ==3.0.1.2
- wakame ==0.1.0.0
- warp ==3.3.17
- - warp-tls ==3.3.1
+ - warp-tls ==3.3.2
- warp-tls-uid ==0.2.0.6
- wave ==0.2.0
- wcwidth ==0.0.2
@@ -2624,7 +2626,7 @@ default-package-overrides:
- wikicfp-scraper ==0.1.0.12
- wild-bind ==0.1.2.7
- wild-bind-x11 ==0.2.0.13
- - Win32 ==2.6.1.0
+ - Win32 ==2.6.2.1
- Win32-notify ==0.3.0.3
- windns ==0.1.0.1
- witch ==0.3.4.0
@@ -2649,7 +2651,7 @@ default-package-overrides:
- writer-cps-transformers ==0.5.6.1
- wss-client ==0.3.0.0
- wuss ==1.1.18
- - X11 ==1.10
+ - X11 ==1.10.1
- X11-xft ==0.3.1
- x11-xim ==0.0.9.0
- x509 ==1.7.5
@@ -2659,7 +2661,7 @@ default-package-overrides:
- Xauth ==0.1
- xdg-basedir ==0.2.2
- xdg-userdirs ==0.1.0.2
- - xeno ==0.4.2
+ - xeno ==0.4.3
- xlsx ==0.8.4
- xlsx-tabular ==0.2.2.1
- xml ==1.3.14
@@ -2681,10 +2683,10 @@ default-package-overrides:
- xmonad-extras ==0.15.3
- xss-sanitize ==0.3.6
- xxhash-ffi ==0.2.0.0
- - yaml ==0.11.5.0
+ - yaml ==0.11.6.0
- yamlparse-applicative ==0.2.0.0
- yesod ==1.6.1.2
- - yesod-auth ==1.6.10.3
+ - yesod-auth ==1.6.10.4
- yesod-auth-hashdb ==1.7.1.7
- yesod-auth-oauth2 ==0.6.3.4
- yesod-bin ==1.6.1
@@ -2692,7 +2694,7 @@ default-package-overrides:
- yesod-fb ==0.6.1
- yesod-form ==1.7.0
- yesod-gitrev ==0.2.2
- - yesod-markdown ==0.12.6.11
+ - yesod-markdown ==0.12.6.12
- yesod-newsfeed ==1.7.0.0
- yesod-page-cursor ==2.0.0.9
- yesod-paginator ==1.1.1.0
@@ -2723,6 +2725,6 @@ default-package-overrides:
- zlib-bindings ==0.1.1.5
- zlib-lens ==0.1.2.1
- zot ==0.0.3
- - zstd ==0.1.2.0
+ - zstd ==0.1.3.0
- ztail ==1.2.0.2
- zydiskell ==0.2.0.0
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/transitive-broken.yaml b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/transitive-broken.yaml
index b0548975db7..0b96cad4687 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/transitive-broken.yaml
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-hackage2nix/transitive-broken.yaml
@@ -136,6 +136,7 @@ dont-distribute-packages:
- GrammarProducts
- GraphHammer
- GraphHammer-examples
+ - Grow
- GrowlNotify
- Gtk2hsGenerics
- GtkGLTV
@@ -147,8 +148,22 @@ dont-distribute-packages:
- HAppS-Server
- HAppS-State
- HGamer3D-API
+ - HGamer3D-Audio
+ - HGamer3D-Bullet-Binding
- HGamer3D-CAudio-Binding
+ - HGamer3D-CEGUI-Binding
+ - HGamer3D-Common
+ - HGamer3D-Enet-Binding
+ - HGamer3D-GUI
+ - HGamer3D-Graphics3D
+ - HGamer3D-InputSystem
+ - HGamer3D-Network
- HGamer3D-OIS-Binding
+ - HGamer3D-Ogre-Binding
+ - HGamer3D-SDL2-Binding
+ - HGamer3D-SFML-Binding
+ - HGamer3D-WinEvent
+ - HGamer3D-Wire
- HJScript
- HLearn-algebra
- HLearn-approximation
@@ -156,6 +171,7 @@ dont-distribute-packages:
- HLearn-datastructures
- HLearn-distributions
- HNM
+ - HPhone
- HPlot
- HPong
- HROOT
@@ -315,15 +331,9 @@ dont-distribute-packages:
- Shellac-haskeline
- Shellac-readline
- ShortestPathProblems
- - Shpadoinkle-backend-pardiff
- - Shpadoinkle-backend-static
- - Shpadoinkle-developer-tools
- Shpadoinkle-disembodied
- Shpadoinkle-examples
- - Shpadoinkle-html
- Shpadoinkle-router
- - Shpadoinkle-template
- - Shpadoinkle-widgets
- SimpleGL
- SimpleLog
- SimpleServer
@@ -391,7 +401,6 @@ dont-distribute-packages:
- adict
- adp-multi-monadiccp
- aern2-real
- - aern2-real_0_2_8_0
- aeson-native
- afv
- agda-server
@@ -446,7 +455,6 @@ dont-distribute-packages:
- apotiki
- approx-rand-test
- arbor-monad-metric-datadog
- - arch-hs
- archlinux-web
- arduino-copilot
- arff
@@ -520,6 +528,7 @@ dont-distribute-packages:
- base64-bytes
- baserock-schema
- batchd
+ - batching
- battlenet-yesod
- battleships
- bayes-stack
@@ -583,7 +592,6 @@ dont-distribute-packages:
- blatex
- blaze-builder-enumerator
- blaze-colonnade
- - ble
- blink1
- blip
- blogination
@@ -603,7 +611,6 @@ dont-distribute-packages:
- boots-web
- borel
- bowntz
- - box
- box-csv
- box-socket
- breakout
@@ -651,7 +658,6 @@ dont-distribute-packages:
- call
- camfort
- campfire
- - candid
- canteven-http
- cao
- cap
@@ -664,6 +670,13 @@ dont-distribute-packages:
- casadi-bindings-ipopt-interface
- casadi-bindings-snopt-interface
- cash
+ - casr-logbook-html
+ - casr-logbook-meta
+ - casr-logbook-meta-html
+ - casr-logbook-reports
+ - casr-logbook-reports-html
+ - casr-logbook-reports-meta
+ - casr-logbook-reports-meta-html
- cassandra-cql
- cassandra-thrift
- cassy
@@ -701,7 +714,9 @@ dont-distribute-packages:
- chr-core
- chr-lang
- chromatin
+ - chronos_1_1_3
- chu2
+ - chuchu
- chunks
- ciphersaber2
- citation-resolve
@@ -712,14 +727,16 @@ dont-distribute-packages:
- claferwiki
- clash
- clash-ghc
- - clash-ghc_1_4_3
+ - clash-ghc_1_4_5
- clash-lib
- - clash-lib_1_4_3
+ - clash-lib_1_4_5
- clash-multisignal
- clash-prelude-quickcheck
+ - clash-shake
- clash-systemverilog
- clash-verilog
- clash-vhdl
+ - clashilator
- classify-frog
- classy-miso
- clckwrks
@@ -828,6 +845,7 @@ dont-distribute-packages:
- craftwerk-cairo
- craftwerk-gtk
- craze
+ - credentials-cli
- crf-chain1
- crf-chain1-constrained
- crf-chain2-generic
@@ -901,7 +919,11 @@ dont-distribute-packages:
- deeplearning-hs
- deepzoom
- defargs
+ - definitive-filesystem
- definitive-graphics
+ - definitive-parser
+ - definitive-reactive
+ - definitive-sound
- deka-tests
- delaunay
- delicious
@@ -910,6 +932,7 @@ dont-distribute-packages:
- delta
- delta-h
- dep-t-advice
+ - dependent-literals-plugin
- dependent-state
- dephd
- deptrack-devops
@@ -921,7 +944,6 @@ dont-distribute-packages:
- dewdrop
- dfinity-radix-tree
- dhall-docs
- - di-polysemy
- dia-functions
- diagrams-haddock
- diagrams-html5
@@ -967,10 +989,10 @@ dont-distribute-packages:
- dmenu-pmount
- dmenu-search
- doc-review
- - doi
- domain
- domain-core
- domain-optics
+ - dormouse-client
- dow
- download-media-content
- dph-examples
@@ -980,6 +1002,7 @@ dont-distribute-packages:
- dph-prim-interface
- dph-prim-par
- dph-prim-seq
+ - dprox
- dropbox-sdk
- dropsolve
- dsh-sql
@@ -1046,6 +1069,7 @@ dont-distribute-packages:
- every-bit-counts
- exception-monads-fd
- exference
+ - exon
- expand
- expat-enumerator
- expiring-containers
@@ -1063,7 +1087,6 @@ dont-distribute-packages:
- falling-turnip
- fallingblocks
- family-tree
- - fast-digits
- fastirc
- fault-tree
- fbrnch
@@ -1093,6 +1116,7 @@ dont-distribute-packages:
- filesystem-enumerator
- find-clumpiness
- findhttp
+ - finite-table
- firstify
- fix-parser-simple
- fixed-point-vector
@@ -1125,12 +1149,14 @@ dont-distribute-packages:
- formlets-hsp
- forth-hll
- fortran-src-extras
+ - fortran-vars
- foscam-directory
- foscam-sort
- fp-ieee
- fplll
- fpnla-examples
- frame-markdown
+ - freckle-app
- free-theorems-counterexamples
- free-theorems-seq
- free-theorems-seq-webui
@@ -1196,7 +1222,6 @@ dont-distribute-packages:
- ghc-instances
- ghc-mod
- ghc-tags-plugin
- - ghc-vis
- ghci-pretty
- ghcjs-hplay
- ght
@@ -1233,9 +1258,192 @@ dont-distribute-packages:
- gnss-converters
- gnuidn
- goal-geometry
+ - goal-graphical
- goal-probability
- goal-simulation
- goat
+ - gogol
+ - gogol-abusiveexperiencereport
+ - gogol-acceleratedmobilepageurl
+ - gogol-accessapproval
+ - gogol-accesscontextmanager
+ - gogol-adexchange-buyer
+ - gogol-adexchange-seller
+ - gogol-adexchangebuyer2
+ - gogol-adexperiencereport
+ - gogol-admin-datatransfer
+ - gogol-admin-directory
+ - gogol-admin-emailmigration
+ - gogol-admin-reports
+ - gogol-adsense
+ - gogol-adsense-host
+ - gogol-affiliates
+ - gogol-alertcenter
+ - gogol-analytics
+ - gogol-analyticsreporting
+ - gogol-android-enterprise
+ - gogol-android-publisher
+ - gogol-androiddeviceprovisioning
+ - gogol-androidmanagement
+ - gogol-appengine
+ - gogol-apps-activity
+ - gogol-apps-calendar
+ - gogol-apps-licensing
+ - gogol-apps-reseller
+ - gogol-apps-tasks
+ - gogol-appstate
+ - gogol-autoscaler
+ - gogol-bigquery
+ - gogol-bigquerydatatransfer
+ - gogol-bigtableadmin
+ - gogol-billing
+ - gogol-binaryauthorization
+ - gogol-blogger
+ - gogol-books
+ - gogol-chat
+ - gogol-civicinfo
+ - gogol-classroom
+ - gogol-cloudasset
+ - gogol-clouderrorreporting
+ - gogol-cloudfunctions
+ - gogol-cloudidentity
+ - gogol-cloudiot
+ - gogol-cloudkms
+ - gogol-cloudmonitoring
+ - gogol-cloudprivatecatalog
+ - gogol-cloudprivatecatalogproducer
+ - gogol-cloudprofiler
+ - gogol-cloudscheduler
+ - gogol-cloudsearch
+ - gogol-cloudshell
+ - gogol-cloudtasks
+ - gogol-cloudtrace
+ - gogol-commentanalyzer
+ - gogol-composer
+ - gogol-compute
+ - gogol-consumersurveys
+ - gogol-container
+ - gogol-containeranalysis
+ - gogol-containerbuilder
+ - gogol-customsearch
+ - gogol-dataflow
+ - gogol-datafusion
+ - gogol-dataproc
+ - gogol-datastore
+ - gogol-debugger
+ - gogol-deploymentmanager
+ - gogol-dfareporting
+ - gogol-dialogflow
+ - gogol-digitalassetlinks
+ - gogol-discovery
+ - gogol-dlp
+ - gogol-dns
+ - gogol-docs
+ - gogol-doubleclick-bids
+ - gogol-doubleclick-search
+ - gogol-drive
+ - gogol-driveactivity
+ - gogol-factchecktools
+ - gogol-file
+ - gogol-firebase-dynamiclinks
+ - gogol-firebase-rules
+ - gogol-firebasehosting
+ - gogol-firebaseremoteconfig
+ - gogol-firestore
+ - gogol-fitness
+ - gogol-fonts
+ - gogol-freebasesearch
+ - gogol-fusiontables
+ - gogol-games
+ - gogol-games-configuration
+ - gogol-games-management
+ - gogol-genomics
+ - gogol-gmail
+ - gogol-groups-migration
+ - gogol-groups-settings
+ - gogol-healthcare
+ - gogol-iam
+ - gogol-iamcredentials
+ - gogol-iap
+ - gogol-identity-toolkit
+ - gogol-indexing
+ - gogol-jobs
+ - gogol-kgsearch
+ - gogol-language
+ - gogol-latencytest
+ - gogol-libraryagent
+ - gogol-logging
+ - gogol-manufacturers
+ - gogol-maps-coordinate
+ - gogol-maps-engine
+ - gogol-mirror
+ - gogol-ml
+ - gogol-monitoring
+ - gogol-oauth2
+ - gogol-oslogin
+ - gogol-pagespeed
+ - gogol-partners
+ - gogol-people
+ - gogol-photoslibrary
+ - gogol-play-moviespartner
+ - gogol-playcustomapp
+ - gogol-plus
+ - gogol-plus-domains
+ - gogol-poly
+ - gogol-prediction
+ - gogol-proximitybeacon
+ - gogol-pubsub
+ - gogol-qpxexpress
+ - gogol-redis
+ - gogol-remotebuildexecution
+ - gogol-replicapool
+ - gogol-replicapool-updater
+ - gogol-resourcemanager
+ - gogol-resourceviews
+ - gogol-run
+ - gogol-runtimeconfig
+ - gogol-safebrowsing
+ - gogol-script
+ - gogol-searchconsole
+ - gogol-securitycenter
+ - gogol-servicebroker
+ - gogol-serviceconsumermanagement
+ - gogol-servicecontrol
+ - gogol-servicemanagement
+ - gogol-servicenetworking
+ - gogol-serviceusage
+ - gogol-serviceuser
+ - gogol-sheets
+ - gogol-shopping-content
+ - gogol-siteverification
+ - gogol-slides
+ - gogol-sourcerepo
+ - gogol-spanner
+ - gogol-spectrum
+ - gogol-speech
+ - gogol-sqladmin
+ - gogol-storage
+ - gogol-storage-transfer
+ - gogol-streetviewpublish
+ - gogol-surveys
+ - gogol-tagmanager
+ - gogol-taskqueue
+ - gogol-testing
+ - gogol-texttospeech
+ - gogol-toolresults
+ - gogol-tpu
+ - gogol-tracing
+ - gogol-translate
+ - gogol-urlshortener
+ - gogol-useraccounts
+ - gogol-vault
+ - gogol-videointelligence
+ - gogol-vision
+ - gogol-webmaster-tools
+ - gogol-websecurityscanner
+ - gogol-youtube
+ - gogol-youtube-analytics
+ - gogol-youtube-reporting
- google-drive
- google-mail-filters
- google-maps-geocoding
@@ -1289,6 +1497,7 @@ dont-distribute-packages:
- gtk-serialized-event
- gtk2hs-cast-glade
- gtk2hs-cast-gnomevfs
+ - gtk2hs-cast-gtk
- gtk2hs-cast-gtkglext
- gtk2hs-cast-gtksourceview2
- gtkimageview
@@ -1692,6 +1901,7 @@ dont-distribute-packages:
- hylotab
- hyloutils
- hyperpublic
+ - iException
- ice40-prim
- ide-backend
- ide-backend-common
@@ -1699,6 +1909,7 @@ dont-distribute-packages:
- ideas-math
- ideas-math-types
- ideas-statistics
+ - if-instance
- ige-mac-integration
- ihaskell-inline-r
- ihaskell-rlangqq
@@ -1789,6 +2000,7 @@ dont-distribute-packages:
- jobs-ui
- join
- jot
+ - jsc
- jsmw
- json-ast-json-encoder
- json-autotype
@@ -1855,7 +2067,6 @@ dont-distribute-packages:
- knots
- korfu
- ks-test
- - kubernetes-client
- kurita
- laborantin-hs
- labsat
@@ -1867,9 +2078,8 @@ dont-distribute-packages:
- lambda-options
- lambdaFeed
- lambdaLit
- - lambdabot
- - lambdabot-xmpp
- lambdabot-zulip
+ - lambdacat
- lambdacms-media
- lambdacube
- lambdacube-bullet
@@ -1895,7 +2105,6 @@ dont-distribute-packages:
- lapack
- lapack-carray
- lapack-comfort-array
- - lapack-comfort-array_0_0_1
- lapack-hmatrix
- lapack_0_4
- lat
@@ -1908,6 +2117,7 @@ dont-distribute-packages:
- layouting
- lazy-hash
- lazy-hash-cache
+ - ldap-scim-bridge
- ldapply
- leaky
- lean
@@ -2006,7 +2216,6 @@ dont-distribute-packages:
- loup
- ls-usb
- lsystem
- - lti13
- luachunk
- lucid-colonnade
- lucienne
@@ -2031,7 +2240,9 @@ dont-distribute-packages:
- majordomo
- majority
- manatee
+ - manatee-all
- manatee-anything
+ - manatee-browser
- manatee-core
- manatee-curl
- manatee-editor
@@ -2041,6 +2252,7 @@ dont-distribute-packages:
- manatee-mplayer
- manatee-pdfviewer
- manatee-processmanager
+ - manatee-reader
- manatee-template
- manatee-terminal
- manatee-welcome
@@ -2064,7 +2276,6 @@ dont-distribute-packages:
- maxent
- maxent-learner-hw-gui
- maxsharing
- - mcmc
- mcmc-samplers
- mealy
- mediabus-fdk-aac
@@ -2097,6 +2308,7 @@ dont-distribute-packages:
- mkbndl
- mlist
- mmark-cli
+ - mmark-cli_0_0_5_1
- mmark-ext
- mmtl-base
- moan
@@ -2114,7 +2326,6 @@ dont-distribute-packages:
- monetdb-mapi
- mongrel2-handler
- monky
- - monomer
- monte-carlo
- moo
- moo-nad
@@ -2172,7 +2383,6 @@ dont-distribute-packages:
- mywatch
- n2o-web
- nakadi-client
- - nanovg-simple
- nats-queue
- natural-number
- nemesis-titan
@@ -2217,6 +2427,7 @@ dont-distribute-packages:
- nomyx-language
- nomyx-library
- nomyx-server
+ - notifications-tray-icon
- notmuch-haskell
- notmuch-web
- numeric-ode
@@ -2244,8 +2455,10 @@ dont-distribute-packages:
- one-liner_2_0
- online
- online-csv
+ - opc-xml-da-client
- open-adt-tutorial
- open-union
+ - openpgp-Crypto
- openpgp-crypto-api
- openssh-github-keys
- opentracing-jaeger
@@ -2309,6 +2522,10 @@ dont-distribute-packages:
- peyotls-codec
- pgsql-simple
- phonetic-languages-examples
+ - phonetic-languages-general
+ - phonetic-languages-simplified-examples-array
+ - phonetic-languages-simplified-examples-common
+ - phonetic-languages-simplified-generalized-examples-array
- phonetic-languages-simplified-lists-examples
- phooey
- photoname
@@ -2345,36 +2562,13 @@ dont-distribute-packages:
- polh-lexicon
- polydata
- polysemy-RandomFu
- - polysemy-chronos
- - polysemy-conc
- - polysemy-extra
- - polysemy-fs
- - polysemy-fskvstore
+ - polysemy-check
- polysemy-http
- - polysemy-keyed-state
- - polysemy-kvstore
- - polysemy-kvstore-jsonfile
- - polysemy-log
- polysemy-log-co
- - polysemy-log-di
- - polysemy-methodology
- polysemy-methodology-co-log
- - polysemy-methodology-composite
- - polysemy-mocks
- polysemy-optics
- - polysemy-path
- - polysemy-plugin
- - polysemy-plugin_0_4_0_0
- polysemy-readline
- - polysemy-req
- polysemy-resume
- - polysemy-several
- - polysemy-socket
- - polysemy-test
- - polysemy-time
- - polysemy-uncontrolled
- - polysemy-video
- - polysemy-vinyl
- polysemy-webserver
- polyseq
- polytypeable-utils
@@ -2433,7 +2627,6 @@ dont-distribute-packages:
- proto3-suite
- protobuf-native
- protocol-buffers-descriptor-fork
- - proton
- psc-ide
- puffytools
- pugs-compat
@@ -2591,6 +2784,8 @@ dont-distribute-packages:
- restful-snap
- restricted-workers
- rethinkdb-model
+ - retroclash-lib
+ - retroclash-sim
- rewrite
- rewriting
- rezoom
@@ -2608,6 +2803,7 @@ dont-distribute-packages:
- rio-process-pool
- riot
- ripple
+ - ripple-federation
- risc-v
- rivet
- rlwe-challenges
@@ -2714,13 +2910,13 @@ dont-distribute-packages:
- servant-auth-token-persistent
- servant-auth-token-rocksdb
- servant-auth-wordpress
- - servant-cli
- servant-client-namedargs
- servant-csharp
- servant-db-postgresql
- servant-ede
- servant-event-stream
- servant-examples
+ - servant-github-webhook
- servant-http2-client
- servant-matrix-param
- servant-polysemy
@@ -2739,6 +2935,7 @@ dont-distribute-packages:
- sgf
- sgrep
- sha1
+ - shady-graphics
- shake-ats
- shake-minify-css
- shaker
@@ -2747,6 +2944,7 @@ dont-distribute-packages:
- shelduck
- shellmate-extras
- shine-varying
+ - short-vec-lens
- showdown
- shpider
- shuffle
@@ -2841,6 +3039,7 @@ dont-distribute-packages:
- sphero
- sphinx-cli
- spice
+ - spike
- spline3
- splines
- sprinkles
@@ -2914,6 +3113,7 @@ dont-distribute-packages:
- swapper
- sweet-egison
- switch
+ - sydtest-amqp
- sylvia
- sym-plot
- symantic-atom
@@ -2972,6 +3172,7 @@ dont-distribute-packages:
- test-sandbox-quickcheck
- test-simple
- testbench
+ - text-json-qq
- text-plus
- text-trie
- text-xml-generic
@@ -3195,7 +3396,6 @@ dont-distribute-packages:
- wheb-strapped
- whitespace
- wikipedia4epub
- - windowslive
- winio
- wl-pprint-ansiterm
- wl-pprint-terminfo
@@ -3238,6 +3438,7 @@ dont-distribute-packages:
- xml-push
- xml-query-xml-conduit
- xml-query-xml-types
+ - xml-syntax
- xml-tydom-conduit
- xml2x
- xmltv
@@ -3270,7 +3471,6 @@ dont-distribute-packages:
- yeshql
- yesod-articles
- yesod-auth-ldap
- - yesod-auth-lti13
- yesod-colonnade
- yesod-continuations
- yesod-examples
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-nix.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-nix.nix
index 97a751a2e43..5fc968ccdde 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-nix.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/configuration-nix.nix
@@ -94,8 +94,6 @@ self: super: builtins.intersectAttrs super {
# Won't find it's header files without help.
sfml-audio = appendConfigureFlag super.sfml-audio "--extra-include-dirs=${pkgs.openal}/include/AL";
- hercules-ci-agent = disableLibraryProfiling super.hercules-ci-agent;
-
# avoid compiling twice by providing executable as a separate output (with small closure size)
niv = enableSeparateBinOutput super.niv;
ormolu = enableSeparateBinOutput super.ormolu;
@@ -140,10 +138,6 @@ self: super: builtins.intersectAttrs super {
# Add necessary reference to gtk3 package
gi-dbusmenugtk3 = addPkgconfigDepend super.gi-dbusmenugtk3 pkgs.gtk3;
- # Need WebkitGTK, not just webkit.
- webkit = super.webkit.override { webkit = pkgs.webkitgtk24x-gtk2; };
- websnap = super.websnap.override { webkit = pkgs.webkitgtk24x-gtk3; };
-
hs-mesos = overrideCabal super.hs-mesos (drv: {
# Pass _only_ mesos; the correct protobuf is propagated.
extraLibraries = [ pkgs.mesos ];
@@ -212,7 +206,19 @@ self: super: builtins.intersectAttrs super {
mime-mail = appendConfigureFlag super.mime-mail "--ghc-option=-DMIME_MAIL_SENDMAIL_PATH=\"sendmail\"";
# Help the test suite find system timezone data.
- tz = overrideCabal super.tz (drv: { preConfigure = "export TZDIR=${pkgs.tzdata}/share/zoneinfo"; });
+ tz = overrideCabal super.tz (drv: {
+ preConfigure = "export TZDIR=${pkgs.tzdata}/share/zoneinfo";
+ patches = [
+ # Fix tests failing with libSystem, musl etc. due to a lack of
+ # support for glibc's non-POSIX TZDIR environment variable.
+ # https://github.com/nilcons/haskell-tz/pull/29
+ (pkgs.fetchpatch {
+ name = "support-non-glibc-tzset.patch";
+ url = "https://github.com/sternenseemann/haskell-tz/commit/64928f1a50a1a276a718491ae3eeef63abcdb393.patch";
+ sha256 = "1f53w8k1vpy39hzalyykpvm946ykkarj2714w988jdp4c2c4l4cf";
+ })
+ ] ++ (drv.patches or []);
+ });
# Nix-specific workaround
xmonad = appendPatch (dontCheck super.xmonad) ./patches/xmonad-nix.patch;
@@ -223,7 +229,11 @@ self: super: builtins.intersectAttrs super {
wxcore = super.wxcore.override { wxGTK = pkgs.wxGTK30; };
# Test suite wants to connect to $DISPLAY.
+ bindings-GLFW = dontCheck super.bindings-GLFW;
+ gi-gtk-declarative = dontCheck super.gi-gtk-declarative;
+ gi-gtk-declarative-app-simple = dontCheck super.gi-gtk-declarative-app-simple;
hsqml = dontCheck (addExtraLibraries (super.hsqml.override { qt5 = pkgs.qt5Full; }) [pkgs.libGLU pkgs.libGL]);
+ monomer = dontCheck super.monomer;
# Wants to check against a real DB, Needs freetds
odbc = dontCheck (addExtraLibraries super.odbc [ pkgs.freetds ]);
@@ -352,13 +362,6 @@ self: super: builtins.intersectAttrs super {
# Looks like Avahi provides the missing library
dnssd = super.dnssd.override { dns_sd = pkgs.avahi.override { withLibdnssdCompat = true; }; };
- # requires an X11 display
- bindings-GLFW = dontCheck super.bindings-GLFW;
-
- # requires an X11 display in test suite
- gi-gtk-declarative = dontCheck super.gi-gtk-declarative;
- gi-gtk-declarative-app-simple = dontCheck super.gi-gtk-declarative-app-simple;
-
# tests depend on executable
ghcide = overrideCabal super.ghcide (drv: {
preCheck = ''export PATH="$PWD/dist/build/ghcide:$PATH"'';
@@ -702,22 +705,15 @@ self: super: builtins.intersectAttrs super {
testTarget = "unit-tests";
};
- haskell-language-server = enableCabalFlag (enableCabalFlag (overrideCabal super.haskell-language-server (drv: {
- postInstall = let
- inherit (pkgs.lib) concatStringsSep take splitString;
- ghc_version = self.ghc.version;
- ghc_major_version = concatStringsSep "." (take 2 (splitString "." ghc_version));
- in ''
- ln -s $out/bin/haskell-language-server $out/bin/haskell-language-server-${ghc_version}
- ln -s $out/bin/haskell-language-server $out/bin/haskell-language-server-${ghc_major_version}
- '';
+ haskell-language-server = overrideCabal super.haskell-language-server (drv: {
+ postInstall = "ln -s $out/bin/haskell-language-server $out/bin/haskell-language-server-${self.ghc.version}";
testToolDepends = [ self.cabal-install pkgs.git ];
testTarget = "func-test"; # wrapper test accesses internet
preCheck = ''
export PATH=$PATH:$PWD/dist/build/haskell-language-server:$PWD/dist/build/haskell-language-server-wrapper
export HOME=$TMPDIR
'';
- })) "all-plugins") "all-formatters";
+ });
# tests depend on a specific version of solc
hevm = dontCheck (doJailbreak super.hevm);
@@ -827,6 +823,12 @@ self: super: builtins.intersectAttrs super {
export HOME=$TMPDIR/home
'';
});
+ hls-rename-plugin = overrideCabal super.hls-rename-plugin (drv: {
+ testToolDepends = [ pkgs.git ];
+ preCheck = ''
+ export HOME=$TMPDIR/home
+ '' + (drv.preCheck or "");
+ });
hls-splice-plugin = overrideCabal super.hls-splice-plugin (drv: {
testToolDepends = [ pkgs.git ];
preCheck = ''
@@ -845,6 +847,16 @@ self: super: builtins.intersectAttrs super {
export HOME=$TMPDIR/home
'';
});
+ hiedb = overrideCabal super.hiedb (drv: {
+ preCheck = ''
+ export PATH=$PWD/dist/build/hiedb:$PATH
+ '';
+ });
+ hls-call-hierarchy-plugin = overrideCabal super.hls-call-hierarchy-plugin (drv: {
+ preCheck = ''
+ export HOME=$TMPDIR/home
+ '';
+ });
# Tests have file permissions expections that don‘t work with the nix store.
hls-stylish-haskell-plugin = dontCheck super.hls-stylish-haskell-plugin;
hls-haddock-comments-plugin = overrideCabal super.hls-haddock-comments-plugin (drv: {
@@ -911,6 +923,8 @@ self: super: builtins.intersectAttrs super {
# Runtime dependencies and CLI completion
nvfetcher = generateOptparseApplicativeCompletion "nvfetcher" (overrideCabal
super.nvfetcher (drv: {
+ # test needs network
+ doCheck = false;
buildTools = drv.buildTools or [ ] ++ [ pkgs.buildPackages.makeWrapper ];
postInstall = drv.postInstall or "" + ''
wrapProgram "$out/bin/nvfetcher" --prefix 'PATH' ':' "${
@@ -953,4 +967,32 @@ self: super: builtins.intersectAttrs super {
})
)
);
+
+ # Test suite is just the default example executable which doesn't work if not
+ # executed by Setup.hs, but works if started on a proper TTY
+ isocline = dontCheck super.isocline;
+
+ # Some hash implementations are x86 only, but part of the test suite.
+ # So executing and building it on non-x86 platforms will always fail.
+ hashes = overrideCabal super.hashes {
+ doCheck = with pkgs.stdenv; hostPlatform == buildPlatform
+ && buildPlatform.isx86;
+ };
+
+ # procex relies on close_range which has been introduced in Linux 5.9,
+ # the test suite seems to force the use of this feature (or the fallback
+ # mechanism is broken), so we can't run the test suite on machines with a
+ # Kernel < 5.9. To check for this, we use uname -r to obtain the Kernel
+ # version and sort -V to compare against our minimum version. If the
+ # Kernel turns out to be older, we disable the test suite.
+ procex = overrideCabal super.procex (drv: {
+ postConfigure = ''
+ minimumKernel=5.9
+ higherVersion=`printf "%s\n%s\n" "$minimumKernel" "$(uname -r)" | sort -rV | head -n1`
+ if [[ "$higherVersion" = "$minimumKernel" ]]; then
+ echo "Used Kernel doesn't support close_range, disabling tests"
+ unset doCheck
+ fi
+ '' + (drv.postConfigure or "");
+ });
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/hackage-packages.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/hackage-packages.nix
index 2125e9341f0..0ab3b8de210 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/hackage-packages.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/hackage-packages.nix
@@ -4,6 +4,22 @@
self: {
+ "2captcha" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, clock, exceptions
+ , http-client, lens, lens-aeson, parsec, text, wreq
+ }:
+ mkDerivation {
+ pname = "2captcha";
+ version = "0.1.0.0";
+ sha256 = "1876bdriagjfp4dyhhkpjrwa8kycvwa0zrdihw5q7dj5msmnxsrc";
+ libraryHaskellDepends = [
+ aeson base bytestring clock exceptions http-client lens lens-aeson
+ parsec text wreq
+ ];
+ description = "Haskell package for easy integration with the 2captcha API";
+ license = lib.licenses.mit;
+ }) {};
+
"3d-graphics-examples" = callPackage
({ mkDerivation, base, GLUT, OpenGL, random }:
mkDerivation {
@@ -762,7 +778,6 @@ self: {
];
description = "Mapping between Aeson's JSON and Bson objects";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"Agata" = callPackage
@@ -814,7 +829,6 @@ self: {
executableToolDepends = [ emacs ];
description = "A dependently typed functional programming language and proof assistant";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
maintainers = with lib.maintainers; [ abbradar turion ];
}) {inherit (pkgs) emacs;};
@@ -830,6 +844,7 @@ self: {
description = "Command-line program for type-checking and compiling Agda programs";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"AhoCorasick" = callPackage
@@ -1269,8 +1284,8 @@ self: {
}:
mkDerivation {
pname = "BNFC";
- version = "2.9.2";
- sha256 = "1wn46a6rw6fwxmqp3b8nz81ag2nphyalm6l2frzg63xbdxnlwgmi";
+ version = "2.9.3";
+ sha256 = "1b2cgnr7c8ndk9jmfr0x905d72wgk0cc9ngbrw9f1q6fbm3mbcgp";
isLibrary = true;
isExecutable = true;
setupHaskellDepends = [ base Cabal cabal-doctest ];
@@ -2268,7 +2283,6 @@ self: {
libraryHaskellDepends = [ base mtl ];
description = "Delimited continuations and dynamically scoped variables";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"CC-delcont-alt" = callPackage
@@ -2731,7 +2745,7 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
- "Cabal_3_4_0_0" = callPackage
+ "Cabal_3_4_1_0" = callPackage
({ mkDerivation, array, async, base, base-compat, base-orphans
, binary, bytestring, clock, containers, deepseq, Diff, directory
, filepath, integer-logarithms, mtl, optparse-applicative, parsec
@@ -2741,8 +2755,8 @@ self: {
}:
mkDerivation {
pname = "Cabal";
- version = "3.4.0.0";
- sha256 = "1za1cl14fkw8y89hhw6sqirzmkixjaa4wpqsibyk0fvnjs59jydq";
+ version = "3.4.1.0";
+ sha256 = "1rqpq6l4b9990rmlgcyz44awps6r37ccyi6bgk7dhcsflad6prj4";
setupHaskellDepends = [ mtl parsec ];
libraryHaskellDepends = [
array base binary bytestring containers deepseq directory filepath
@@ -2761,15 +2775,15 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
- "Cabal_3_6_0_0" = callPackage
+ "Cabal_3_6_2_0" = callPackage
({ mkDerivation, array, base, binary, bytestring, containers
, deepseq, directory, filepath, mtl, parsec, pretty, process, text
, time, transformers, unix
}:
mkDerivation {
pname = "Cabal";
- version = "3.6.0.0";
- sha256 = "0xkyip9fm1k4cwjifqsh12xwi7za5lcbszqkl11gjyx6nxddzdhv";
+ version = "3.6.2.0";
+ sha256 = "0hmw2wkypd42qyyfi6rhbsxmymbxg9rrw683yg39627vlw33v44y";
setupHaskellDepends = [ mtl parsec ];
libraryHaskellDepends = [
array base binary bytestring containers deepseq directory filepath
@@ -3355,6 +3369,27 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "Color_0_3_3" = callPackage
+ ({ mkDerivation, base, colour, criterion, data-default-class
+ , deepseq, hspec, hspec-discover, HUnit, JuicyPixels, massiv
+ , massiv-test, QuickCheck, random, vector
+ }:
+ mkDerivation {
+ pname = "Color";
+ version = "0.3.3";
+ sha256 = "14sf71lhqc1w6s3p1k8a99xgzcwfnr3v5irvfkr09rvv7fd66nva";
+ libraryHaskellDepends = [ base data-default-class deepseq vector ];
+ testHaskellDepends = [
+ base colour hspec HUnit JuicyPixels massiv massiv-test QuickCheck
+ random vector
+ ];
+ testToolDepends = [ hspec-discover ];
+ benchmarkHaskellDepends = [ base colour criterion deepseq random ];
+ description = "Color spaces and conversions between them";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"Combinatorrent" = callPackage
({ mkDerivation, array, attoparsec, base, bytestring, cereal
, containers, deepseq, directory, filepath, hopenssl, hslogger
@@ -3455,8 +3490,8 @@ self: {
}:
mkDerivation {
pname = "ConClusion";
- version = "0.0.2";
- sha256 = "1n2wyvcyh950v67z4szvnr19vdh0fg2zvhxqyfqblpb1njayy92l";
+ version = "0.1.0";
+ sha256 = "1zi113zyf6fp133fplc3263683asxf0j038xsy51simwzw4rmxjc";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -3834,7 +3869,6 @@ self: {
];
description = "Collects together existing Haskell cryptographic functions into a package";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"CurryDB" = callPackage
@@ -6463,8 +6497,8 @@ self: {
}:
mkDerivation {
pname = "Frames-streamly";
- version = "0.1.1.1";
- sha256 = "05al2v7wivvpwxq0gxypbm30ch4ssxmxw1wl4k9az3dqfvr0xgal";
+ version = "0.1.2.0";
+ sha256 = "1jsdbbpiclj5f8m6rnlpf43la5s2jirdllsdl41kmi6mwid7adj0";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base exceptions Frames primitive relude streamly strict text vinyl
@@ -7153,6 +7187,7 @@ self: {
description = "Pure bindings for the MaxMind IP database";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"GeocoderOpenCage" = callPackage
@@ -7783,6 +7818,7 @@ self: {
description = "A simple ARM emulator in haskell";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"HAppS-Data" = callPackage
@@ -8203,6 +8239,7 @@ self: {
description = "Toolset for the Haskell Game Programmer";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"HGamer3D-API" = callPackage
@@ -8313,6 +8350,7 @@ self: {
description = "Toolset for the Haskell Game Programmer - Data Definitions";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"HGamer3D-Enet-Binding" = callPackage
@@ -8806,23 +8844,24 @@ self: {
"HMock" = callPackage
({ mkDerivation, base, constraints, containers, data-default
, deepseq, directory, doctest-exitcode-stdio, doctest-lib
- , exceptions, extra, hspec, monad-control, mono-traversable, mtl
- , QuickCheck, regex-tdfa, stm, syb, template-haskell
- , transformers-base, unliftio
+ , exceptions, explainable-predicates, extra, hspec, monad-control
+ , mtl, QuickCheck, stm, syb, template-haskell, transformers-base
+ , unliftio
}:
mkDerivation {
pname = "HMock";
- version = "0.3.0.0";
- sha256 = "0rvb3a0nbf8i0qfg7na5rvd966amids07l8w8ka6b7hdw46lkczn";
+ version = "0.5.0.0";
+ sha256 = "0ib5cqwqqpyc58vg5h57410pq8ycr0y3ayck1pc6vq958m879v0r";
libraryHaskellDepends = [
- base constraints containers data-default exceptions extra
- monad-control mono-traversable mtl regex-tdfa stm syb
+ base constraints containers data-default exceptions
+ explainable-predicates extra monad-control mtl stm syb
template-haskell transformers-base unliftio
];
testHaskellDepends = [
base containers data-default deepseq directory
- doctest-exitcode-stdio doctest-lib exceptions extra hspec mtl
- QuickCheck syb template-haskell unliftio
+ doctest-exitcode-stdio doctest-lib exceptions
+ explainable-predicates extra hspec mtl QuickCheck syb
+ template-haskell unliftio
];
description = "A flexible mock framework for testing effectful code";
license = lib.licenses.bsd3;
@@ -9041,7 +9080,9 @@ self: {
benchmarkHaskellDepends = [ base gauge ];
description = "quantitative finance library";
license = lib.licenses.mit;
- platforms = [ "i686-linux" "x86_64-darwin" "x86_64-linux" ];
+ platforms = [
+ "aarch64-darwin" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ ];
}) {inherit (pkgs) gsl;};
"HROOT" = callPackage
@@ -9328,26 +9369,6 @@ self: {
}) {};
"HStringTemplate" = callPackage
- ({ mkDerivation, array, base, blaze-builder, bytestring, containers
- , deepseq, directory, filepath, mtl, old-locale, parsec, pretty
- , semigroups, syb, template-haskell, text, time, void
- }:
- mkDerivation {
- pname = "HStringTemplate";
- version = "0.8.7";
- sha256 = "03kbmyh0713j3qhhrl7jqbmsvyq1q82h2yxq45cc9rs55sma8kjg";
- revision = "2";
- editedCabalFile = "082d1lm6q1jb7rrl20jz0y4ca1qf87ihbq3v6mji9ibacl6adjaq";
- libraryHaskellDepends = [
- array base blaze-builder bytestring containers deepseq directory
- filepath mtl old-locale parsec pretty semigroups syb
- template-haskell text time void
- ];
- description = "StringTemplate implementation in Haskell";
- license = lib.licenses.bsd3;
- }) {};
-
- "HStringTemplate_0_8_8" = callPackage
({ mkDerivation, array, base, blaze-builder, bytestring, containers
, deepseq, directory, filepath, HUnit, mtl, old-locale, parsec
, pretty, QuickCheck, random, semigroups, syb, template-haskell
@@ -9365,7 +9386,6 @@ self: {
testHaskellDepends = [ base containers HUnit QuickCheck random ];
description = "StringTemplate implementation in Haskell";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"HStringTemplateHelpers" = callPackage
@@ -9440,6 +9460,8 @@ self: {
pname = "HTTP";
version = "4000.3.16";
sha256 = "0bgyj3ahqlyg0jw6qsm2sncp8mklc4h0dj91s043vb3ig01iq2fn";
+ revision = "1";
+ editedCabalFile = "0wagwgzfy2mqkha88fjl37ah0l7h4biz34v65993xd502ly68hk0";
libraryHaskellDepends = [
array base bytestring mtl network network-uri parsec time
];
@@ -9762,10 +9784,8 @@ self: {
}:
mkDerivation {
pname = "HaXml";
- version = "1.25.5";
- sha256 = "0d8jbiv53r3ndg76r3937idqdg34nhmb99vj087i73hjnv21mifb";
- revision = "4";
- editedCabalFile = "029jnlmab1llr55dmlamrn2hxkbqw7ryz1dfg19h1aip6byf4ljh";
+ version = "1.25.6";
+ sha256 = "13idysjh6bqkcgzg0rbgd1cm6knapzbkf44rsnyvrfd0r8kja8gr";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -10724,10 +10744,10 @@ self: {
}:
mkDerivation {
pname = "HsHTSLib";
- version = "1.9.2";
- sha256 = "077j64jpq64bw9bjy0n2qmar6dc768lrn62cpkwl0cl5sygpd005";
+ version = "1.9.2.2";
+ sha256 = "11jy5xv58x379gmzgd4whgjba58syxlagggc6v77w4n3l86wrdxm";
libraryHaskellDepends = [
- base bytestring bytestring-lexing conduit containers
+ base bytestring bytestring-lexing conduit containers vector
];
librarySystemDepends = [ zlib ];
libraryToolDepends = [ c2hs ];
@@ -10790,8 +10810,8 @@ self: {
({ mkDerivation, base, bytestring, Cabal, network, openssl, time }:
mkDerivation {
pname = "HsOpenSSL";
- version = "0.11.7.1";
- sha256 = "1vkcs0crifjpgr1rxkbzkwyhl4zg84m5bfxkp095mgry557gqzm8";
+ version = "0.11.7.2";
+ sha256 = "0ysdfl8ck3nzhx597fa13dqf31jq5gzwajlak6r91jajks9w0dl5";
setupHaskellDepends = [ base Cabal ];
libraryHaskellDepends = [ base bytestring network time ];
librarySystemDepends = [ openssl ];
@@ -11363,7 +11383,6 @@ self: {
];
description = "Multiline strings, interpolation and templating";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"Interpolation-maxs" = callPackage
@@ -11375,7 +11394,6 @@ self: {
libraryHaskellDepends = [ base syb template-haskell ];
description = "Multiline strings, interpolation and templating";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"IntervalMap" = callPackage
@@ -11586,7 +11604,9 @@ self: {
libraryHaskellDepends = [ base Euterpea random ];
description = "Library for modeling jazz improvisation";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
+ platforms = [
+ "aarch64-linux" "armv7l-linux" "i686-linux" "x86_64-linux"
+ ];
}) {};
"Jdh" = callPackage
@@ -11604,13 +11624,13 @@ self: {
"Jikka" = callPackage
({ mkDerivation, alex, ansi-terminal, array, base, containers
- , deepseq, directory, doctest, happy, hlint, hspec, hspec-discover
- , mtl, ormolu, template-haskell, text, transformers, vector
+ , deepseq, directory, doctest, happy, hspec, hspec-discover, mtl
+ , template-haskell, text, transformers, vector
}:
mkDerivation {
pname = "Jikka";
- version = "5.3.0.0";
- sha256 = "0njy5mgzbpvqdqp343a7bh69sdrmvfd57skr3qwma7dya5m12v2r";
+ version = "5.6.0.0";
+ sha256 = "18if2ghs642yvwqnblkhwd8ah32gdnpg53v5hjmqz4k4gr589bsg";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -11624,8 +11644,8 @@ self: {
template-haskell text transformers vector
];
testHaskellDepends = [
- ansi-terminal array base containers deepseq directory doctest hlint
- hspec mtl ormolu template-haskell text transformers vector
+ ansi-terminal array base containers deepseq directory doctest hspec
+ mtl template-haskell text transformers vector
];
testToolDepends = [ hspec-discover ];
description = "A transpiler from Python to C++ for competitive programming";
@@ -11710,6 +11730,23 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "JuicyPixels_3_3_6" = callPackage
+ ({ mkDerivation, base, binary, bytestring, containers, deepseq, mtl
+ , primitive, transformers, vector, zlib
+ }:
+ mkDerivation {
+ pname = "JuicyPixels";
+ version = "3.3.6";
+ sha256 = "1f8giivsqxma19ax78dr7j4gir12iyfqn2mlsd27zzl8dn7dy6w1";
+ libraryHaskellDepends = [
+ base binary bytestring containers deepseq mtl primitive
+ transformers vector zlib
+ ];
+ description = "Picture loading/serialization (in png, jpeg, bitmap, gif, tga, tiff and radiance)";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"JuicyPixels-blp" = callPackage
({ mkDerivation, attoparsec, base, binary, bytestring, directory
, filepath, hashable, JuicyPixels, optparse-simple, text-show
@@ -11814,8 +11851,8 @@ self: {
pname = "JuicyPixels-scale-dct";
version = "0.1.2";
sha256 = "04rhrmjnh12hh2nz04k245avgdcwqfyjnsbpcrz8j9328j41nf7p";
- revision = "6";
- editedCabalFile = "0np8wqf0s0pwqnjfhs8zw9h133p2x173xbv984c4dn5a1xhn0azq";
+ revision = "7";
+ editedCabalFile = "12ylqc5xi7jhgdsq8dbxm4v6llbi1km78zam962052b5s81d00qw";
libraryHaskellDepends = [
base base-compat carray fft JuicyPixels
];
@@ -12076,6 +12113,7 @@ self: {
description = "A configuration language and a parser";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"Kriens" = callPackage
@@ -12104,7 +12142,9 @@ self: {
];
description = "Library for automated composition and musical learning";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
+ platforms = [
+ "aarch64-linux" "armv7l-linux" "i686-linux" "x86_64-linux"
+ ];
}) {};
"KyotoCabinet" = callPackage
@@ -12483,6 +12523,7 @@ self: {
description = "A transfinite cardinal arithmetic library including all known large cardinals";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"Lastik" = callPackage
@@ -12734,8 +12775,8 @@ self: {
}:
mkDerivation {
pname = "ListLike";
- version = "4.7.4";
- sha256 = "170yrz008ya4haj0i8z1c7ydjg8hcw3i9cqs6abainh8zxvc1lqi";
+ version = "4.7.6";
+ sha256 = "08jip0q2f9qc95wcqka2lrqpf8r7sswsi5104w73kyrbmfirqnrd";
libraryHaskellDepends = [
array base bytestring containers deepseq dlist fmlist text
utf8-string vector
@@ -13517,6 +13558,7 @@ self: {
description = "A toy dependently typed programming language with type-based termination";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"MissingH" = callPackage
@@ -14278,6 +14320,7 @@ self: {
description = "Naperian Functors for APL-like programming";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"NaperianNetCDF" = callPackage
@@ -14439,7 +14482,6 @@ self: {
];
description = "High-level abstraction over 9P protocol";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"NewBinary" = callPackage
@@ -15178,6 +15220,7 @@ self: {
description = "The intersection of OpenGL 2.1 and OpenGL 3.1 Core";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"OpenSCAD" = callPackage
@@ -16069,6 +16112,7 @@ self: {
description = "Thin wrapper over the C postgresql library";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"Prelude" = callPackage
@@ -17784,8 +17828,8 @@ self: {
}:
mkDerivation {
pname = "STMonadTrans";
- version = "0.4.5";
- sha256 = "0kly2zjizk8m84jzmkd93h6qpqgb03i4cjhm9q7rzr284qn5x09m";
+ version = "0.4.6";
+ sha256 = "0rvhh0hhwz601ibpzisry7xf3j61r5sxfgp47imaa37i5bvrlynb";
libraryHaskellDepends = [ array base mtl ];
testHaskellDepends = [
array base tasty tasty-hunit tasty-quickcheck transformers
@@ -17839,6 +17883,8 @@ self: {
pname = "SVGFonts";
version = "1.7.0.1";
sha256 = "06vnpkkr19s9b1wjp7l2w29vr7fsghcrffd2knlxvdhjacrfpc9h";
+ revision = "2";
+ editedCabalFile = "0q731cyrqq1csbid9nxh2bj6rf8yss017lz9j9zk22bw3bymzb0s";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
attoparsec base blaze-markup blaze-svg bytestring cereal
@@ -18076,8 +18122,8 @@ self: {
pname = "SecureHash-SHA3";
version = "0.1.1.0";
sha256 = "0dva3bzfzyzh8kxljyipd041a2w1zhxjvxmhnw2mlv2jcywnk2hz";
- revision = "2";
- editedCabalFile = "0rvalvvjadb0i0rh9z5lgw2hca4a9yw3cg2f6gcx7h30f5dp8x1j";
+ revision = "3";
+ editedCabalFile = "0jsx09bjk98nvfy0fvr5n2dyx8s22xnq66dvniyl572g5kfyknwm";
libraryHaskellDepends = [ base bytestring ];
description = "simple static linked SHA3 using private symbols and the ref impl";
license = lib.licenses.bsd2;
@@ -18390,7 +18436,6 @@ self: {
];
description = "A Virtual Dom in pure Haskell, based on Html as an Alignable Functor";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"Shpadoinkle-backend-snabbdom" = callPackage
@@ -18421,7 +18466,6 @@ self: {
libraryHaskellDepends = [ base compactable Shpadoinkle text ];
description = "A backend for rendering Shpadoinkle as Text";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"Shpadoinkle-console" = callPackage
@@ -18467,7 +18511,6 @@ self: {
];
description = "Chrome extension to aide in development";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"Shpadoinkle-disembodied" = callPackage
@@ -18538,7 +18581,6 @@ self: {
];
description = "A typed, template generated Html DSL, and helpers";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"Shpadoinkle-isreal" = callPackage
@@ -18633,7 +18675,6 @@ self: {
];
description = "Read standard file formats into Shpadoinkle with Template Haskell";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"Shpadoinkle-widgets" = callPackage
@@ -18658,7 +18699,6 @@ self: {
];
description = "A collection of common reusable types and components";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"Shrub" = callPackage
@@ -18739,6 +18779,7 @@ self: {
description = "A light, clean and powerful Haskell utility library";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"SimpleLog" = callPackage
@@ -18813,6 +18854,7 @@ self: {
description = "Prototypical type checker for Type Theory with Sized Natural Numbers";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"SizeCompare" = callPackage
@@ -19005,16 +19047,18 @@ self: {
}) {};
"SpaceInvaders" = callPackage
- ({ mkDerivation, array, base, HGL, random, Yampa }:
+ ({ mkDerivation, array, base, deepseq, HGL, random
+ , simple-affine-space, Yampa
+ }:
mkDerivation {
pname = "SpaceInvaders";
- version = "0.4.5";
- sha256 = "1nf50gdjdm7bwxzg5ligly9v1hkkdmqd8b1f8637a9bg8sz1rzbd";
- revision = "1";
- editedCabalFile = "0s21i9snp7734amqd6q7ckbj77vsnl0mi2k939ha0phfvdisb5gy";
+ version = "0.13.3";
+ sha256 = "0gl1cs2msc7c8vs4zknl77wqmlmp2k4brv0asni6mlc6nigriq4f";
isLibrary = false;
isExecutable = true;
- executableHaskellDepends = [ array base HGL random Yampa ];
+ executableHaskellDepends = [
+ array base deepseq HGL random simple-affine-space Yampa
+ ];
description = "Video game";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -19417,6 +19461,7 @@ self: {
libraryHaskellDepends = [ base mtl ];
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"Stream" = callPackage
@@ -20480,8 +20525,8 @@ self: {
}:
mkDerivation {
pname = "Unique";
- version = "0.4.7.8";
- sha256 = "0w82pa6r2a6969w251fbrx0sr1ws8mkg2lwdyjl4qjhl5s28k43i";
+ version = "0.4.7.9";
+ sha256 = "14f1qnmhdmbam8qis725dhwq1mk9h86fsnzhkwhsx73ny9z29s1l";
libraryHaskellDepends = [
base containers extra hashable unordered-containers
];
@@ -20645,6 +20690,19 @@ self: {
broken = true;
}) {};
+ "ValveValueKeyvalue" = callPackage
+ ({ mkDerivation, base, parsec }:
+ mkDerivation {
+ pname = "ValveValueKeyvalue";
+ version = "1.0.1.0";
+ sha256 = "05m75nhsz1a2zb59lkdmkv7wznljhr76k7qm2pia37dj8h5zywcy";
+ revision = "1";
+ editedCabalFile = "1d4n0kqypzrcbxaq43aiw5zaq12ncws5c50ckyzvky4cs208g0is";
+ libraryHaskellDepends = [ base parsec ];
+ description = "A Valve Value-keyvalue parser for Haskell made with Parsec";
+ license = lib.licenses.mit;
+ }) {};
+
"Vec" = callPackage
({ mkDerivation, array, base, ghc-prim }:
mkDerivation {
@@ -20739,7 +20797,6 @@ self: {
testToolDepends = [ c2hs ];
description = "ViennaRNA v2 bindings";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"ViennaRNA-extras" = callPackage
@@ -20829,8 +20886,8 @@ self: {
({ mkDerivation, base, bytestring, transformers, vector, vulkan }:
mkDerivation {
pname = "VulkanMemoryAllocator";
- version = "0.7.1";
- sha256 = "1h9kz02s7ams9fw9x8k947nzji2b82s9xn2yvqzys14ypzc34qpn";
+ version = "0.7.2";
+ sha256 = "1hdiwm79qmf24rx1wcmc48ykbiy3pfnn0kbg77wfp0rnq7qlcknc";
libraryHaskellDepends = [
base bytestring transformers vector vulkan
];
@@ -21182,21 +21239,19 @@ self: {
({ mkDerivation }:
mkDerivation {
pname = "Win32";
- version = "2.6.1.0";
- sha256 = "1qwwznnnqnr6zqvjzwr35bkvzrvjf7v90j4qkhinzs8p0yx4b97b";
- revision = "1";
- editedCabalFile = "1ia6dk2fvxg3gzqdmcypdka6fcnnrza23hq1rhslj53jy3qzs3kn";
- description = "A binding to part of the Win32 library";
+ version = "2.6.2.1";
+ sha256 = "03lwm777sqv24hwyjjail8lk95jgaw7mns1g1hx2qhk29593432q";
+ description = "A binding to Windows Win32 API";
license = lib.licenses.bsd3;
platforms = lib.platforms.none;
}) {};
- "Win32_2_12_0_1" = callPackage
+ "Win32_2_13_0_0" = callPackage
({ mkDerivation }:
mkDerivation {
pname = "Win32";
- version = "2.12.0.1";
- sha256 = "1nivdwjp9x9i64xg8gf3xj8khm9dfq6n5m8kvvlhz7i7ypl4mv72";
+ version = "2.13.0.0";
+ sha256 = "0i4ws3d7s94vv6gh3cjj9nr0l88rwx7bwjk9jk0grzvw734dd9a2";
description = "A binding to Windows Win32 API";
license = lib.licenses.bsd3;
platforms = lib.platforms.none;
@@ -21443,6 +21498,7 @@ self: {
description = "Plaintext prose redundancy linter";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"Workflow" = callPackage
@@ -21497,24 +21553,6 @@ self: {
}) {};
"X11" = callPackage
- ({ mkDerivation, base, data-default-class, libX11, libXext
- , libXinerama, libXrandr, libXrender, libXScrnSaver
- }:
- mkDerivation {
- pname = "X11";
- version = "1.10";
- sha256 = "1zrdqryx99izjvsrsalb65ihpmikm9r6cjlci7cfp6wlwa3i585n";
- libraryHaskellDepends = [ base data-default-class ];
- librarySystemDepends = [
- libX11 libXext libXinerama libXrandr libXrender libXScrnSaver
- ];
- description = "A binding to the X11 graphics library";
- license = lib.licenses.bsd3;
- }) {inherit (pkgs.xorg) libX11; inherit (pkgs.xorg) libXScrnSaver;
- inherit (pkgs.xorg) libXext; inherit (pkgs.xorg) libXinerama;
- inherit (pkgs.xorg) libXrandr; inherit (pkgs.xorg) libXrender;};
-
- "X11_1_10_1" = callPackage
({ mkDerivation, base, data-default-class, libX11, libXext
, libXinerama, libXrandr, libXrender, libXScrnSaver
}:
@@ -21528,7 +21566,6 @@ self: {
];
description = "A binding to the X11 graphics library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs.xorg) libX11; inherit (pkgs.xorg) libXScrnSaver;
inherit (pkgs.xorg) libXext; inherit (pkgs.xorg) libXinerama;
inherit (pkgs.xorg) libXrandr; inherit (pkgs.xorg) libXrender;};
@@ -21887,8 +21924,8 @@ self: {
({ mkDerivation, base, deepseq, random, simple-affine-space }:
mkDerivation {
pname = "Yampa";
- version = "0.13.1";
- sha256 = "0wx47awmijdrw4alcwd4icfip8702h3riq0nhs8sjfjqsihdz4fb";
+ version = "0.13.3";
+ sha256 = "06w2glnn5w97bjmwl88j46xkr2mkvgy5a2wwwzqq168awn436kk3";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -22234,6 +22271,7 @@ self: {
description = "Parser for a language similar to Cucumber's Gherkin";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"abc-puzzle" = callPackage
@@ -23476,6 +23514,7 @@ self: {
description = "The only true way to do IO in Haskell!";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"acme-iot" = callPackage
@@ -24370,29 +24409,6 @@ self: {
}) {};
"aern2-mp" = callPackage
- ({ mkDerivation, base, cdar-mBound, collect-errors, deepseq, hspec
- , integer-logarithms, mixed-types-num, QuickCheck, reflection
- , regex-tdfa, template-haskell
- }:
- mkDerivation {
- pname = "aern2-mp";
- version = "0.2.7.0";
- sha256 = "1gsqaggg6mpcpl9s3z566gmbynj4l6n1fhni5b0p8pf5hj8n93gg";
- libraryHaskellDepends = [
- base cdar-mBound collect-errors deepseq hspec integer-logarithms
- mixed-types-num QuickCheck reflection regex-tdfa template-haskell
- ];
- testHaskellDepends = [
- base cdar-mBound collect-errors deepseq hspec integer-logarithms
- mixed-types-num QuickCheck reflection regex-tdfa template-haskell
- ];
- description = "Multi-precision ball (interval) arithmetic";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
- }) {};
-
- "aern2-mp_0_2_8_0" = callPackage
({ mkDerivation, base, cdar-mBound, collect-errors, deepseq, hspec
, integer-logarithms, mixed-types-num, QuickCheck, reflection
, regex-tdfa, template-haskell
@@ -24416,27 +24432,6 @@ self: {
}) {};
"aern2-real" = callPackage
- ({ mkDerivation, aern2-mp, base, collect-errors, hspec
- , integer-logarithms, mixed-types-num, QuickCheck
- }:
- mkDerivation {
- pname = "aern2-real";
- version = "0.2.7.0";
- sha256 = "0dsph1775rifr9vvx4w7v55bryqmh04fhk6nyk7d91yhn1sf6wc9";
- libraryHaskellDepends = [
- aern2-mp base collect-errors hspec integer-logarithms
- mixed-types-num QuickCheck
- ];
- testHaskellDepends = [
- aern2-mp base collect-errors hspec integer-logarithms
- mixed-types-num QuickCheck
- ];
- description = "Real numbers as sequences of MPBalls";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
- "aern2-real_0_2_8_0" = callPackage
({ mkDerivation, aern2-mp, base, collect-errors, hspec
, integer-logarithms, mixed-types-num, QuickCheck
}:
@@ -24471,8 +24466,8 @@ self: {
pname = "aeson";
version = "1.5.6.0";
sha256 = "1s5z4bgb5150h6a4cjf5vh8dmyrn6ilh29gh05999v6jwd5w6q83";
- revision = "1";
- editedCabalFile = "1y7ddmghsjblsxaj1wyif66wrw0vvp2dca5i7v9rqk33z1r6iryk";
+ revision = "2";
+ editedCabalFile = "1zxkarvmbgc2cpcc9sx1rlqm7nfh473052898ypiwk8azawp1hbj";
libraryHaskellDepends = [
attoparsec base base-compat-batteries bytestring containers
data-fix deepseq dlist ghc-prim hashable primitive scientific
@@ -24491,6 +24486,41 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "aeson_2_0_1_0" = callPackage
+ ({ mkDerivation, attoparsec, base, base-compat
+ , base-compat-batteries, base-orphans, base16-bytestring
+ , bytestring, containers, data-fix, deepseq, Diff, directory, dlist
+ , filepath, generic-deriving, ghc-prim, hashable
+ , indexed-traversable, integer-logarithms, primitive, QuickCheck
+ , quickcheck-instances, scientific, semialign, strict, tagged
+ , tasty, tasty-golden, tasty-hunit, tasty-quickcheck
+ , template-haskell, text, th-abstraction, these, time, time-compat
+ , unordered-containers, uuid-types, vector, witherable
+ }:
+ mkDerivation {
+ pname = "aeson";
+ version = "2.0.1.0";
+ sha256 = "0zlplkgsv1czp4rvi9k6pyyrfgd6vcdkj9vq84dr9zf7pzi8fl1s";
+ libraryHaskellDepends = [
+ attoparsec base base-compat-batteries bytestring containers
+ data-fix deepseq dlist ghc-prim hashable indexed-traversable
+ primitive scientific semialign strict tagged template-haskell text
+ th-abstraction these time time-compat unordered-containers
+ uuid-types vector witherable
+ ];
+ testHaskellDepends = [
+ attoparsec base base-compat base-orphans base16-bytestring
+ bytestring containers data-fix Diff directory dlist filepath
+ generic-deriving ghc-prim hashable integer-logarithms QuickCheck
+ quickcheck-instances scientific strict tagged tasty tasty-golden
+ tasty-hunit tasty-quickcheck template-haskell text these time
+ time-compat unordered-containers uuid-types vector
+ ];
+ description = "Fast JSON parsing and encoding";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"aeson-applicative" = callPackage
({ mkDerivation, aeson, base, text, unordered-containers }:
mkDerivation {
@@ -24548,6 +24578,7 @@ self: {
description = "Mapping between Aeson's JSON and Bson objects";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"aeson-casing" = callPackage
@@ -24616,6 +24647,19 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "aeson-commit_1_4" = callPackage
+ ({ mkDerivation, aeson, aeson-qq, base, hspec, mtl, text }:
+ mkDerivation {
+ pname = "aeson-commit";
+ version = "1.4";
+ sha256 = "1xbck5hcbfqm2kc3yzrl1dzdmjl9zf84vc33ird3c50ny884amwa";
+ libraryHaskellDepends = [ aeson base mtl text ];
+ testHaskellDepends = [ aeson aeson-qq base hspec text ];
+ description = "Parse Aeson data with commitment";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"aeson-compat" = callPackage
({ mkDerivation, aeson, attoparsec, attoparsec-iso8601, base
, base-compat, base-orphans, bytestring, containers, exceptions
@@ -24627,8 +24671,34 @@ self: {
pname = "aeson-compat";
version = "0.3.9";
sha256 = "1j13gykv4ryvmr14w5blz0nnpdb4p0hpa27wahw3mhb1lwdr8hz0";
- revision = "6";
- editedCabalFile = "18ni5j2zvn7qfdama9j1s84kz9ylsnjmi5ynbq68mpri5wimm448";
+ revision = "7";
+ editedCabalFile = "15aflmqs5y0yg2p4042yvnhxyp11ndlihs1dxj21bxfdzd1bbkrn";
+ libraryHaskellDepends = [
+ aeson attoparsec attoparsec-iso8601 base base-compat bytestring
+ containers exceptions hashable scientific tagged text time
+ time-locale-compat unordered-containers vector
+ ];
+ testHaskellDepends = [
+ aeson attoparsec base base-compat base-orphans bytestring
+ containers exceptions hashable QuickCheck quickcheck-instances
+ scientific tagged tasty tasty-hunit tasty-quickcheck text time
+ time-locale-compat unordered-containers vector
+ ];
+ description = "Compatibility layer for aeson";
+ license = lib.licenses.bsd3;
+ }) {};
+
+ "aeson-compat_0_3_10" = callPackage
+ ({ mkDerivation, aeson, attoparsec, attoparsec-iso8601, base
+ , base-compat, base-orphans, bytestring, containers, exceptions
+ , hashable, QuickCheck, quickcheck-instances, scientific, tagged
+ , tasty, tasty-hunit, tasty-quickcheck, text, time
+ , time-locale-compat, unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "aeson-compat";
+ version = "0.3.10";
+ sha256 = "0ia3qfdpbrzhwwg4ywpdwca0z1m85k081pcz6jh1sx8qjsvcr71w";
libraryHaskellDepends = [
aeson attoparsec attoparsec-iso8601 base base-compat bytestring
containers exceptions hashable scientific tagged text time
@@ -24642,6 +24712,7 @@ self: {
];
description = "Compatibility layer for aeson";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"aeson-decode" = callPackage
@@ -24752,10 +24823,8 @@ self: {
}:
mkDerivation {
pname = "aeson-extra";
- version = "0.5";
- sha256 = "0nlp6bwb8zynfncfzr05fi9acfs8n2fkz4anm2c0g97dk2ziq213";
- revision = "1";
- editedCabalFile = "1x1fh0zgb0y3w7wf94zznbmdmbxs0b5n7prfw324g3kzhi428s3d";
+ version = "0.5.1";
+ sha256 = "13d01hppx71cm7f901n1bd0hxj69ikbp57i6ckfygc6da0mg49jc";
libraryHaskellDepends = [
aeson attoparsec attoparsec-iso8601 base base-compat-batteries
bytestring containers deepseq exceptions hashable parsec
@@ -24965,8 +25034,8 @@ self: {
}:
mkDerivation {
pname = "aeson-match-qq";
- version = "1.2.0";
- sha256 = "000dna5xs5ybhr4vyla6ndj7ykk7lphg4dbcp7nn13746fnnggs2";
+ version = "1.3.0";
+ sha256 = "1jxw5rg8sj0913h9ldknhikpq7avlwj0lmqx613zsr9kv4yhz1qh";
libraryHaskellDepends = [
aeson attoparsec base bytestring either haskell-src-meta scientific
template-haskell text unordered-containers vector
@@ -25024,14 +25093,32 @@ self: {
pname = "aeson-optics";
version = "1.1.0.1";
sha256 = "1pfi84cl7w5bp7dwdhcyi8kchvbfjybqcp0sifqrn70dj2b50mf7";
- revision = "5";
- editedCabalFile = "102mdf74ka25qnw45282j7c4ds3v4mppa3g1mp1hr0hf0f2ya3bk";
+ revision = "6";
+ editedCabalFile = "1id12jhwlgx1gckxjzap4rm3n495fm57ja47gas5r8v2j5ky8lic";
+ libraryHaskellDepends = [
+ aeson attoparsec base base-compat bytestring optics-core
+ optics-extra scientific text unordered-containers vector
+ ];
+ description = "Law-abiding optics for aeson";
+ license = lib.licenses.mit;
+ }) {};
+
+ "aeson-optics_1_1_1" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, base-compat, bytestring
+ , optics-core, optics-extra, scientific, text, unordered-containers
+ , vector
+ }:
+ mkDerivation {
+ pname = "aeson-optics";
+ version = "1.1.1";
+ sha256 = "1na5nsbp4sds8q289knzj4rgiwabbinxm0ihh66jfz0sjiia4wp7";
libraryHaskellDepends = [
aeson attoparsec base base-compat bytestring optics-core
optics-extra scientific text unordered-containers vector
];
description = "Law-abiding optics for aeson";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"aeson-options" = callPackage
@@ -25102,6 +25189,8 @@ self: {
pname = "aeson-pretty";
version = "0.8.8";
sha256 = "09n7gs91y1fbw6gjszrd2na3isnvk3y5rsi90lzjrwywnqfadkl1";
+ revision = "1";
+ editedCabalFile = "0xr8f76vmcdvlg8wvw0jdrzpzqy73d4rvf633wfwhmslfr7f5jvg";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -25136,6 +25225,29 @@ self: {
license = lib.licenses.mit;
}) {};
+ "aeson-qq_0_8_4" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, base-compat, ghc-prim
+ , haskell-src-meta, hspec, hspec-discover, parsec, scientific
+ , template-haskell, text, vector
+ }:
+ mkDerivation {
+ pname = "aeson-qq";
+ version = "0.8.4";
+ sha256 = "0dpklq2xdhrkg1rdc7zfdjnzm6c3qxx2i1xskrqdxpqi84ffnlyh";
+ libraryHaskellDepends = [
+ aeson attoparsec base base-compat haskell-src-meta parsec
+ scientific template-haskell text vector
+ ];
+ testHaskellDepends = [
+ aeson attoparsec base base-compat ghc-prim haskell-src-meta hspec
+ parsec scientific template-haskell text vector
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "JSON quasiquoter for Haskell";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"aeson-quick" = callPackage
({ mkDerivation, aeson, attoparsec, base, bytestring, criterion
, deepseq, microlens, tasty, tasty-hunit, text
@@ -25229,6 +25341,37 @@ self: {
broken = true;
}) {};
+ "aeson-schemas_1_3_5" = callPackage
+ ({ mkDerivation, aeson, aeson-qq, base, criterion, deepseq
+ , first-class-families, hashable, hint, interpolate, megaparsec
+ , QuickCheck, raw-strings-qq, tasty, tasty-golden, tasty-hunit
+ , tasty-quickcheck, template-haskell, text, th-orphans
+ , th-test-utils, unordered-containers
+ }:
+ mkDerivation {
+ pname = "aeson-schemas";
+ version = "1.3.5";
+ sha256 = "1fdq109yjmvixri7hamj8z0iryxxdja8kkm6pk54mi0az0vx9743";
+ libraryHaskellDepends = [
+ aeson base first-class-families hashable megaparsec
+ template-haskell text unordered-containers
+ ];
+ testHaskellDepends = [
+ aeson aeson-qq base deepseq first-class-families hashable hint
+ interpolate megaparsec QuickCheck raw-strings-qq tasty tasty-golden
+ tasty-hunit tasty-quickcheck template-haskell text th-orphans
+ th-test-utils unordered-containers
+ ];
+ benchmarkHaskellDepends = [
+ aeson base criterion deepseq first-class-families hashable
+ megaparsec template-haskell text th-test-utils unordered-containers
+ ];
+ description = "Easily consume JSON data on-demand with type-safety";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"aeson-serialize" = callPackage
({ mkDerivation, aeson, base, cereal, hspec, HUnit }:
mkDerivation {
@@ -25397,8 +25540,8 @@ self: {
}:
mkDerivation {
pname = "aeson-via";
- version = "0.1.1";
- sha256 = "18b1pxvkrva6531v8x38vhqmyj48iddi49vgc79s0jx8sgb39l8d";
+ version = "0.1.2";
+ sha256 = "1dm90xx57c5d7x55zdq57pm78v1phii8gkb92y9nzvjjq5y6galy";
libraryHaskellDepends = [
aeson aeson-casing base newtype-generics text
];
@@ -26929,6 +27072,7 @@ self: {
description = "Alpino data manipulation tools";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"alsa" = callPackage
@@ -27176,6 +27320,7 @@ self: {
description = "Alternative floating point support for GHC";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"alto" = callPackage
@@ -27962,8 +28107,8 @@ self: {
pname = "amazonka-core";
version = "1.6.1";
sha256 = "0hx250dja1l4n4y5115w0qngzlqj8f6p861sdaykh0yjm4nzb621";
- revision = "1";
- editedCabalFile = "1656dyw6fk3gvph6v3xzvdp3p8xny3ji0gxg7qxvmvn60gj9ricv";
+ revision = "2";
+ editedCabalFile = "15mwn2cv4f99rb53wzk7hplb6ncpcl7crsvj8dx71ymm60cf2kxr";
libraryHaskellDepends = [
aeson attoparsec base bifunctors bytestring case-insensitive
conduit conduit-extra cryptonite deepseq exceptions hashable
@@ -29886,19 +30031,19 @@ self: {
"amqp-utils" = callPackage
({ mkDerivation, amqp, base, bytestring, connection, containers
- , data-default-class, directory, hinotify, magic, network, process
- , text, time, tls, unix, utf8-string, x509-system
+ , data-default-class, directory, filepath, hinotify, magic, network
+ , process, text, time, tls, unix, utf8-string, x509-system
}:
mkDerivation {
pname = "amqp-utils";
- version = "0.6.1.1";
- sha256 = "1lffc76ybvk73k57qn5m6788m2nkfsqavs7mfs1kaqw38pya940c";
+ version = "0.6.2.2";
+ sha256 = "03hc962z1q9gpaa7955y71wyzh1gaazrfcpw8wzacll3p28fdnpx";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
amqp base bytestring connection containers data-default-class
- directory hinotify magic network process text time tls unix
- utf8-string x509-system
+ directory filepath hinotify magic network process text time tls
+ unix utf8-string x509-system
];
description = "AMQP toolset for the command line";
license = lib.licenses.gpl3Only;
@@ -30491,8 +30636,8 @@ self: {
}:
mkDerivation {
pname = "ansi-terminal-game";
- version = "1.1.0.0";
- sha256 = "08sy50yicjgcxmnpq2828xggmvxc5yjp3xp03nd0bq4ykyr4za80";
+ version = "1.2.1.0";
+ sha256 = "1gzqf0dby0w25nbz6lzlb4b7jgxydv09wqfcvbcfjwn0wg76wfvc";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -31160,23 +31305,6 @@ self: {
}) {};
"apecs" = callPackage
- ({ mkDerivation, array, base, containers, criterion, exceptions
- , linear, mtl, QuickCheck, template-haskell, vector
- }:
- mkDerivation {
- pname = "apecs";
- version = "0.9.2";
- sha256 = "1ca9wpp60jslj63q77v55qql46f20jknfqsz7cjmqns41ml5g3q8";
- libraryHaskellDepends = [
- array base containers exceptions mtl template-haskell vector
- ];
- testHaskellDepends = [ base containers linear QuickCheck vector ];
- benchmarkHaskellDepends = [ base criterion linear ];
- description = "Fast Entity-Component-System library for game programming";
- license = lib.licenses.bsd3;
- }) {};
-
- "apecs_0_9_3" = callPackage
({ mkDerivation, array, base, containers, criterion, exceptions
, linear, mtl, QuickCheck, template-haskell, vector
}:
@@ -31191,7 +31319,6 @@ self: {
benchmarkHaskellDepends = [ base criterion linear ];
description = "Fast Entity-Component-System library for game programming";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"apecs-gloss" = callPackage
@@ -32449,7 +32576,7 @@ self: {
];
description = "Automatic Rule-Based Time Tracker";
license = lib.licenses.gpl2Only;
- maintainers = with lib.maintainers; [ maralorn rvl ];
+ maintainers = with lib.maintainers; [ rvl ];
}) {};
"arcgrid" = callPackage
@@ -32514,7 +32641,6 @@ self: {
];
description = "Distribute hackage packages to archlinux";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"arch-web" = callPackage
@@ -32715,8 +32841,8 @@ self: {
}:
mkDerivation {
pname = "arduino-copilot";
- version = "1.5.4";
- sha256 = "15z3ndcg1ycnfzvqbbfalx1gfa61pyi5n2fy1dj8qm0gqkhz23lh";
+ version = "1.5.5";
+ sha256 = "0g2zw7xngaz5xhp4zrnhrkscnyck0474mngvp2j14p87sc7qn20w";
libraryHaskellDepends = [
base containers copilot copilot-c99 copilot-language directory
filepath mtl optparse-applicative temporary
@@ -32785,6 +32911,29 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "argo" = callPackage
+ ({ mkDerivation, array, base, bytestring, deepseq, tasty
+ , tasty-bench, tasty-hunit, tasty-quickcheck, text
+ }:
+ mkDerivation {
+ pname = "argo";
+ version = "0.2021.10.13";
+ sha256 = "1jlw1v0kzcapc0ziax15hxgvb86q7cby7dpsqlrygw0y72w05w9q";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [ array base bytestring deepseq text ];
+ executableHaskellDepends = [ array base bytestring deepseq text ];
+ testHaskellDepends = [
+ array base bytestring deepseq tasty tasty-hunit tasty-quickcheck
+ text
+ ];
+ benchmarkHaskellDepends = [
+ array base bytestring deepseq tasty-bench text
+ ];
+ description = "Parse and render JSON";
+ license = lib.licenses.mit;
+ }) {};
+
"argon" = callPackage
({ mkDerivation, aeson, ansi-terminal, base, bytestring, Cabal
, containers, directory, docopt, filepath, ghc, ghc-paths
@@ -33021,6 +33170,8 @@ self: {
pname = "arithmetic-circuits";
version = "0.2.0";
sha256 = "09fqcg8302dklzlr3fqlac09zzfws3li45nri4cd886cx8b1vzzq";
+ revision = "2";
+ editedCabalFile = "0386y15pncrafpvm5k10ipxhx09vbkjl3yj9z3895j5n1bpdn7f4";
libraryHaskellDepends = [
aeson base bulletproofs containers elliptic-curve filepath
galois-fft galois-field MonadRandom poly process-extras protolude
@@ -33049,12 +33200,12 @@ self: {
, deepseq, exact-pi, integer-gmp, integer-logarithms, integer-roots
, mod, QuickCheck, quickcheck-classes, random, semirings
, smallcheck, tasty, tasty-bench, tasty-hunit, tasty-quickcheck
- , tasty-rerun, tasty-smallcheck, transformers, vector, vector-sized
+ , tasty-rerun, tasty-smallcheck, transformers, vector
}:
mkDerivation {
pname = "arithmoi";
- version = "0.12.0.0";
- sha256 = "1lghgr4z2vhafj8d8971pdghih6r5qq5xlc0b87jmazyhzz95w3f";
+ version = "0.12.0.1";
+ sha256 = "1dsa0fw6z6b6gcsw23j08qayqyh2icya7gmb4v4r0adszr2p7ziz";
configureFlags = [ "-f-llvm" ];
libraryHaskellDepends = [
array base chimera constraints containers deepseq exact-pi
@@ -33065,7 +33216,6 @@ self: {
base containers exact-pi integer-gmp integer-roots mod QuickCheck
quickcheck-classes random semirings smallcheck tasty tasty-hunit
tasty-quickcheck tasty-rerun tasty-smallcheck transformers vector
- vector-sized
];
benchmarkHaskellDepends = [
array base constraints containers deepseq integer-logarithms mod
@@ -33550,14 +33700,34 @@ self: {
}:
mkDerivation {
pname = "ascii";
- version = "1.0.1.4";
- sha256 = "0j0cx91q4kmljv2fnfa1cllmyyk2wr6vg373bdfq0cx79d6542hw";
+ version = "1.0.1.6";
+ sha256 = "1bxii5r24p7i68h37m6q7bjqy9fgp6s33ia9s62hwh70xkkcm8yw";
libraryHaskellDepends = [
ascii-case ascii-char ascii-group ascii-predicates ascii-superset
ascii-th base bytestring data-ascii text
];
+ testHaskellDepends = [ base text ];
+ description = "The ASCII character set and encoding";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "ascii_1_1_1_0" = callPackage
+ ({ mkDerivation, ascii-case, ascii-char, ascii-group
+ , ascii-predicates, ascii-superset, ascii-th, base, bytestring
+ , text
+ }:
+ mkDerivation {
+ pname = "ascii";
+ version = "1.1.1.0";
+ sha256 = "11a2hwjz439damkjcx3ybbwjnvhdrhwxw78203vgydym4v1k2hrn";
+ libraryHaskellDepends = [
+ ascii-case ascii-char ascii-group ascii-predicates ascii-superset
+ ascii-th base bytestring text
+ ];
+ testHaskellDepends = [ base text ];
description = "The ASCII character set and encoding";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"ascii-art-to-unicode" = callPackage
@@ -33579,9 +33749,10 @@ self: {
({ mkDerivation, ascii-char, base, hashable }:
mkDerivation {
pname = "ascii-case";
- version = "1.0.0.4";
- sha256 = "1485y33as83f1iprdq7hl6ar7gha85678ajq80jld06wsmi9k0xa";
+ version = "1.0.0.6";
+ sha256 = "1hsgid4c5w0b2bfgpsgbw21vxxflyg0imlcjqaaixnjzxzal2d6x";
libraryHaskellDepends = [ ascii-char base hashable ];
+ testHaskellDepends = [ ascii-char base ];
description = "ASCII letter case";
license = lib.licenses.asl20;
}) {};
@@ -33590,9 +33761,10 @@ self: {
({ mkDerivation, base, hashable }:
mkDerivation {
pname = "ascii-char";
- version = "1.0.0.8";
- sha256 = "1ajpkzq1d04ww5yif7dl4np5zhhm5ks8wxhmfdl8ygy8r7akg50d";
+ version = "1.0.0.10";
+ sha256 = "027pskgzrvyfgl1rw2rp17by5m8ak4v17hl590fflr2qc2wqcm5s";
libraryHaskellDepends = [ base hashable ];
+ testHaskellDepends = [ base ];
description = "A Char type representing an ASCII character";
license = lib.licenses.asl20;
}) {};
@@ -33628,9 +33800,10 @@ self: {
({ mkDerivation, ascii-char, base, hashable }:
mkDerivation {
pname = "ascii-group";
- version = "1.0.0.4";
- sha256 = "0h1y0dmly3yammmqwa197nf3g83x03r5jlnsv9z9zxm9bin38ac5";
+ version = "1.0.0.6";
+ sha256 = "00qgs30jwxcrqjldzpd306yzhhjmrg9hfb4y4077shm7nqf75scv";
libraryHaskellDepends = [ ascii-char base hashable ];
+ testHaskellDepends = [ ascii-char base ];
description = "ASCII character groups";
license = lib.licenses.asl20;
}) {};
@@ -33654,9 +33827,10 @@ self: {
({ mkDerivation, ascii-char, base }:
mkDerivation {
pname = "ascii-predicates";
- version = "1.0.0.4";
- sha256 = "0c2331y1izxw7n33pfxfb7krz4lgdf87244xlnf03x8npckb7kgq";
+ version = "1.0.0.6";
+ sha256 = "19f8s5jb6yswa1a0wl4npvljs2pkyrpznxnim6563pn4gh60b945";
libraryHaskellDepends = [ ascii-char base ];
+ testHaskellDepends = [ ascii-char base ];
description = "Various categorizations of ASCII characters";
license = lib.licenses.asl20;
}) {};
@@ -33709,11 +33883,12 @@ self: {
({ mkDerivation, ascii-char, base, bytestring, hashable, text }:
mkDerivation {
pname = "ascii-superset";
- version = "1.0.1.4";
- sha256 = "1bjkinz2p8lq4grnj5d9q1z9jsn4b8cgkqsnxjhzb3plz94i5mxk";
+ version = "1.0.1.6";
+ sha256 = "06byxk5czii1i1hrm5m41g7ihccyykp9594406f260zhzrxrxll3";
libraryHaskellDepends = [
ascii-char base bytestring hashable text
];
+ testHaskellDepends = [ ascii-char base text ];
description = "Representing ASCII with refined supersets";
license = lib.licenses.asl20;
}) {};
@@ -33736,15 +33911,19 @@ self: {
}) {};
"ascii-th" = callPackage
- ({ mkDerivation, ascii-char, ascii-superset, base, template-haskell
+ ({ mkDerivation, ascii-char, ascii-superset, base, bytestring
+ , template-haskell, text
}:
mkDerivation {
pname = "ascii-th";
- version = "1.0.0.4";
- sha256 = "08vfy2gp0ppa3l95gjqrbqca3gn2aln7zvw7qpp6x7jnzjkl8dn1";
+ version = "1.0.0.6";
+ sha256 = "06yhb1mb67i4cxnh0zjrklykxm8p76q0m7dyslv7w1cngs8mzdm5";
libraryHaskellDepends = [
ascii-char ascii-superset base template-haskell
];
+ testHaskellDepends = [
+ ascii-char ascii-superset base bytestring text
+ ];
description = "Template Haskell support for ASCII";
license = lib.licenses.asl20;
}) {};
@@ -34421,8 +34600,8 @@ self: {
}:
mkDerivation {
pname = "async";
- version = "2.2.3";
- sha256 = "0p4k6872pj0aykbnc19ilam1h8fgskxlwpyg5qisaivr0fhg6yj6";
+ version = "2.2.4";
+ sha256 = "09d7w3krfhnmf9dp6yffa9wykinhw541wibnjgnlyv77w1dzhka8";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base hashable stm ];
@@ -35146,6 +35325,32 @@ self: {
broken = true;
}) {};
+ "attenuation" = callPackage
+ ({ mkDerivation, base, constraints }:
+ mkDerivation {
+ pname = "attenuation";
+ version = "0.2.0";
+ sha256 = "0qp203qchmllxsrcfz04lxnssrij203i5q8byy159vc8cspd03nq";
+ revision = "1";
+ editedCabalFile = "11h8m92hb0spgzvbijkgfbhbhrnf76a8w2z6yw8zahk0bgdqxrzi";
+ libraryHaskellDepends = [ base constraints ];
+ description = "Subtyping relations and variance roles";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "attenuation-profunctors" = callPackage
+ ({ mkDerivation, attenuation, base, constraints, profunctors }:
+ mkDerivation {
+ pname = "attenuation-profunctors";
+ version = "0.1.0";
+ sha256 = "11zhh9iwb7r2jfp9bn8bqx1wzl4mln9v80gb2jijp0rryag25ili";
+ libraryHaskellDepends = [
+ attenuation base constraints profunctors
+ ];
+ description = "Attenuation support for Profunctors";
+ license = lib.licenses.asl20;
+ }) {};
+
"attic-schedule" = callPackage
({ mkDerivation, attoparsec, base, control-bool, doctest, foldl
, protolude, system-filepath, text, time, turtle
@@ -35330,6 +35535,7 @@ self: {
description = "A parser for CSV files that uses Attoparsec";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"attoparsec-data" = callPackage
@@ -35400,8 +35606,8 @@ self: {
pname = "attoparsec-iso8601";
version = "1.0.2.0";
sha256 = "162gc101mwhmjbfhhv1wm3yvk2h4ra34wpw5x87735cfqxvjv582";
- revision = "1";
- editedCabalFile = "1c43ynmjfljp3nsp67521nrnb0d4vzwr33dfqf15xh02gifcf9ma";
+ revision = "2";
+ editedCabalFile = "18557xy5gvkhj0sb35wwxmhqirkiqrkwm0y0pqygsr0aimccs5zm";
libraryHaskellDepends = [
attoparsec base base-compat-batteries text time time-compat
];
@@ -35707,10 +35913,8 @@ self: {
}:
mkDerivation {
pname = "aura";
- version = "3.2.5";
- sha256 = "1zrsjcvmhh3y0pahnz2fr944j2xz8sv4dcd9xz08vci4x1lm87hr";
- revision = "1";
- editedCabalFile = "0rmihjl4ysw36fpj4g5zkdhzvq8c0n0vxv4zlcmn35q37k85qpb6";
+ version = "3.2.6";
+ sha256 = "07sry2nf41f101ldcfcf2x5pp0w7qvlvl6m4j5bbkvxp3rmsjbx2";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -35744,6 +35948,8 @@ self: {
pname = "authenticate";
version = "1.3.5";
sha256 = "10df40ycd4r45p58xzdh0vcsa401909fa99nkgd18fx5alqh84sz";
+ revision = "1";
+ editedCabalFile = "0lmb1m5d1l2scnm2fqxfk348m8xqbc7f7kvk7zvpsvcdcpxinafz";
libraryHaskellDepends = [
aeson attoparsec base blaze-builder bytestring case-insensitive
conduit containers html-conduit http-conduit http-types network-uri
@@ -36217,6 +36423,7 @@ self: {
description = "Diagrams for the Cessna 172 aircraft in aviation";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"aviation-cessna172-weight-balance" = callPackage
@@ -36359,6 +36566,8 @@ self: {
pname = "avro";
version = "0.5.2.1";
sha256 = "0g10nbcxz5ff7rckbzwb4sxh1qqg6ay5zwakmlxrsfj9srg8dq2d";
+ revision = "1";
+ editedCabalFile = "14kq896191zvqnsl3hgfxlwi7ajvagrbsiv5l8hxckp5glh5825j";
libraryHaskellDepends = [
aeson array base base16-bytestring bifunctors binary bytestring
containers data-binary-ieee754 deepseq fail HasBigDecimal hashable
@@ -36509,6 +36718,8 @@ self: {
pname = "aws";
version = "0.22";
sha256 = "1l3f94mpih7slz37ikyjkyrwvlf110w87997d8sbnbd8glwlcb8r";
+ revision = "1";
+ editedCabalFile = "1xj2chcn73v45wxb3lr0b3px6p3gbbzvwgx1mqrds4lp2yy7a1cr";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -37804,7 +38015,6 @@ self: {
executableHaskellDepends = [ base gd X11 ];
description = "braindead utility to compose Xinerama backgrounds";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"bag" = callPackage
@@ -38156,7 +38366,7 @@ self: {
];
description = "Create status bar menus for macOS from executables";
license = lib.licenses.bsd3;
- platforms = [ "x86_64-darwin" ];
+ platforms = [ "aarch64-darwin" "x86_64-darwin" ];
}) {};
"barchart" = callPackage
@@ -38220,6 +38430,7 @@ self: {
description = "A web based environment for learning and tinkering with Haskell";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"barrie" = callPackage
@@ -38297,6 +38508,18 @@ self: {
license = lib.licenses.mit;
}) {};
+ "base-compat_0_12_0" = callPackage
+ ({ mkDerivation, base, unix }:
+ mkDerivation {
+ pname = "base-compat";
+ version = "0.12.0";
+ sha256 = "1fb8lszh8bc4158bc3lyhzakjsjx5l7sa3598zg0zzcrnzb75axp";
+ libraryHaskellDepends = [ base unix ];
+ description = "A compatibility layer for base";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"base-compat-batteries" = callPackage
({ mkDerivation, base, base-compat, hspec, hspec-discover
, QuickCheck
@@ -38312,6 +38535,24 @@ self: {
license = lib.licenses.mit;
}) {};
+ "base-compat-batteries_0_12_0" = callPackage
+ ({ mkDerivation, base, base-compat, hspec, hspec-discover
+ , QuickCheck
+ }:
+ mkDerivation {
+ pname = "base-compat-batteries";
+ version = "0.12.0";
+ sha256 = "02j5v1xcj383nfjg1r3y0py4ahy8mhigkkabqvij5a5lfdbalkfs";
+ revision = "1";
+ editedCabalFile = "17wd527f6ssylwg81f51s45mpp2k3b3zb0j5a6xd6z682x2pj97b";
+ libraryHaskellDepends = [ base base-compat ];
+ testHaskellDepends = [ base hspec QuickCheck ];
+ testToolDepends = [ hspec-discover ];
+ description = "base-compat with extra batteries";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"base-compat-migrate" = callPackage
({ mkDerivation, base, base-compat }:
mkDerivation {
@@ -38402,8 +38643,8 @@ self: {
}:
mkDerivation {
pname = "base-orphans";
- version = "0.8.4";
- sha256 = "1cxvjcyy0vsg21n85gxal03gfprw75vjd1h9sni00d60as9vbcip";
+ version = "0.8.5";
+ sha256 = "1lw1jhrrsdq7x9wr2bwkxq9mscidcad0n30kh9gfk8kgifl5xh9k";
libraryHaskellDepends = [ base ghc-prim ];
testHaskellDepends = [ base hspec QuickCheck ];
testToolDepends = [ hspec-discover ];
@@ -38633,6 +38874,7 @@ self: {
description = "Parsing and serialization for Base58 addresses (Bitcoin and Ripple)";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"base58string" = callPackage
@@ -38666,6 +38908,8 @@ self: {
];
description = "Base62 encoding and decoding";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"base64" = callPackage
@@ -38769,8 +39013,8 @@ self: {
pname = "base64-bytestring-type";
version = "1.0.1";
sha256 = "03kq4rjj6by02rf3hg815jfdqpdk0xygm5f46r2pn8mb99yd01zn";
- revision = "8";
- editedCabalFile = "196m1ylkl9d03iymld08fhfnfcdydzd824v7ffl67ijmfxcvzcyn";
+ revision = "10";
+ editedCabalFile = "0d9nd34ga5xhgkvbysqzyn03w3zi42hgfjk6cza2ymiqxrl2yk9m";
libraryHaskellDepends = [
aeson base base-compat base64-bytestring binary bytestring cereal
deepseq hashable http-api-data QuickCheck serialise text
@@ -38827,7 +39071,6 @@ self: {
libraryHaskellDepends = [ base ];
description = "Base64 implementation for String's";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"base91" = callPackage
@@ -38880,6 +39123,7 @@ self: {
testToolDepends = [ tasty-discover ];
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"basen-bytestring" = callPackage
@@ -39100,6 +39344,24 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "batching" = callPackage
+ ({ mkDerivation, base, primitive, QuickCheck, short-vec, sint
+ , test-framework, test-framework-quickcheck2
+ }:
+ mkDerivation {
+ pname = "batching";
+ version = "0.1.0.0";
+ sha256 = "1mks6w3zfvkdgi9didf94jp1hac9ps4pli75vn79p9hxjwc2fm27";
+ libraryHaskellDepends = [ base primitive short-vec sint ];
+ testHaskellDepends = [
+ base primitive QuickCheck short-vec sint test-framework
+ test-framework-quickcheck2
+ ];
+ description = "An Applicative Functor deferring actions to run in a batch later";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"battlenet" = callPackage
({ mkDerivation, aeson, base, containers, http-conduit, text }:
mkDerivation {
@@ -39730,8 +39992,8 @@ self: {
}:
mkDerivation {
pname = "bearriver";
- version = "0.13.1.2";
- sha256 = "0jqb1jn6jdrg6pm80zhd86rwsa05rxn0675lxv0m8c2z07g5601c";
+ version = "0.13.1.3";
+ sha256 = "1qycifi7gnfky4pxy3dr7az0iv2s2xykjy4mccy8gki05fsy0lg5";
libraryHaskellDepends = [
base dunai MonadRandom mtl simple-affine-space transformers
];
@@ -40054,8 +40316,8 @@ self: {
}:
mkDerivation {
pname = "bencoding";
- version = "0.4.5.2";
- sha256 = "1q0v56jj5vdhd5qgs8kwnbnb4wz84bn7ghnki8c36k6hsm1f56kq";
+ version = "0.4.5.4";
+ sha256 = "01ncsvlay03h4cnj19mvrwbhmx0mksrvyq96qq8r5f7i8l0l9z8r";
libraryHaskellDepends = [
attoparsec base bytestring deepseq ghc-prim integer-gmp mtl pretty
text
@@ -40944,6 +41206,32 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "binary-instances_1_0_2" = callPackage
+ ({ mkDerivation, aeson, base, binary, binary-orphans, bytestring
+ , case-insensitive, hashable, QuickCheck, quickcheck-instances
+ , scientific, tagged, tasty, tasty-quickcheck, text, text-binary
+ , time-compat, unordered-containers, vector
+ , vector-binary-instances
+ }:
+ mkDerivation {
+ pname = "binary-instances";
+ version = "1.0.2";
+ sha256 = "10z29k35clq74ma2f0yrkbyf14wdax1zzgb6mn26ja4vp9f5wc14";
+ libraryHaskellDepends = [
+ aeson base binary binary-orphans case-insensitive hashable
+ scientific tagged text text-binary time-compat unordered-containers
+ vector vector-binary-instances
+ ];
+ testHaskellDepends = [
+ aeson base binary bytestring case-insensitive hashable QuickCheck
+ quickcheck-instances scientific tagged tasty tasty-quickcheck text
+ time-compat unordered-containers vector
+ ];
+ description = "Orphan instances for binary";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"binary-io" = callPackage
({ mkDerivation, async, base, binary, bytestring, concurrency
, deque, exceptions, hspec, process, stm, transformers
@@ -41218,6 +41506,35 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "binary-tagged_0_3_1" = callPackage
+ ({ mkDerivation, array, base, base16-bytestring, binary
+ , binary-instances, bytestring, containers, criterion, deepseq
+ , QuickCheck, quickcheck-instances, singleton-bool, structured
+ , tagged, tasty, tasty-hunit, tasty-quickcheck, text, transformers
+ , unordered-containers
+ }:
+ mkDerivation {
+ pname = "binary-tagged";
+ version = "0.3.1";
+ sha256 = "01d1wb8h5mz76l09r2azphvhm1y3nar8pqrh2waxn797bd2dh6fp";
+ libraryHaskellDepends = [
+ array base base16-bytestring binary bytestring containers
+ structured tagged
+ ];
+ testHaskellDepends = [
+ base binary binary-instances bytestring QuickCheck
+ quickcheck-instances singleton-bool tagged tasty tasty-hunit
+ tasty-quickcheck transformers
+ ];
+ benchmarkHaskellDepends = [
+ base binary binary-instances bytestring criterion deepseq text
+ unordered-containers
+ ];
+ description = "Tagged binary serialisation";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"binary-tree" = callPackage
({ mkDerivation, base, ChasingBottoms, checkers, criterion, deepseq
, doctest, ghc-prim, HUnit, QuickCheck, random, test-framework
@@ -42250,6 +42567,7 @@ self: {
description = "binary files splitter and merger";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"bio" = callPackage
@@ -42584,23 +42902,23 @@ self: {
"bisc" = callPackage
({ mkDerivation, base, bytestring, configurator, data-default
- , directory, exceptions, filepath, leveldb-haskell, mtl, selda
- , selda-sqlite, snappy, text
+ , directory, exceptions, filepath, leveldb-haskell, mtl
+ , optparse-applicative, selda, selda-sqlite, text
}:
mkDerivation {
pname = "bisc";
- version = "0.3.0.0";
- sha256 = "097b25pp6pi7rq4xhk19g1i5v7v9hyx7ldyq0y3aj1cm50s2356m";
+ version = "0.4.0.0";
+ sha256 = "1x0i3yjgfnhw5nsx2fr150anf199z29g630xv58315xz6a526js0";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
base bytestring configurator data-default directory exceptions
- filepath leveldb-haskell mtl selda selda-sqlite text
+ filepath leveldb-haskell mtl optparse-applicative selda
+ selda-sqlite text
];
- executableSystemDepends = [ snappy ];
description = "A small tool that clears cookies (and more)";
license = lib.licenses.gpl3Only;
- }) {inherit (pkgs) snappy;};
+ }) {};
"biscuit-haskell" = callPackage
({ mkDerivation, async, attoparsec, base, base16-bytestring, base64
@@ -42683,33 +43001,32 @@ self: {
}) {};
"bishbosh" = callPackage
- ({ mkDerivation, array, base, Cabal, containers, data-default
- , deepseq, directory, extra, factory, filepath, HUnit, hxt
- , hxt-relaxng, mtl, parallel, polyparse, QuickCheck, random, time
- , toolshed, unix
+ ({ mkDerivation, array, base, containers, data-default, deepseq
+ , directory, extra, factory, filepath, HUnit, hxt, hxt-relaxng, mtl
+ , parallel, polyparse, process, QuickCheck, random, time, toolshed
+ , unix
}:
mkDerivation {
pname = "bishbosh";
- version = "0.0.0.8";
- sha256 = "0mk0mki02m8nvk667wbrk954qnb6qxdfzyz10bfcyvfbz1afg702";
+ version = "0.1.1.0";
+ sha256 = "0raryshzgahldn03dzpin6hi9vyq4w81dxgmgcq34z7h2salia5m";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
libraryHaskellDepends = [
- array base Cabal containers data-default deepseq extra factory
- filepath hxt mtl parallel polyparse random time toolshed
+ array base containers data-default deepseq extra factory filepath
+ hxt mtl parallel polyparse process random time toolshed
];
executableHaskellDepends = [
- array base Cabal containers data-default deepseq directory extra
- factory filepath hxt hxt-relaxng mtl parallel polyparse random time
- toolshed unix
+ array base containers data-default deepseq directory extra factory
+ filepath hxt hxt-relaxng mtl process random toolshed unix
];
testHaskellDepends = [
- array base Cabal containers data-default extra filepath HUnit hxt
+ array base containers data-default deepseq extra filepath HUnit hxt
mtl polyparse QuickCheck random toolshed
];
description = "Plays chess";
- license = "GPL";
+ license = lib.licenses.gpl3Plus;
hydraPlatforms = lib.platforms.none;
broken = true;
}) {};
@@ -43535,6 +43852,8 @@ self: {
pname = "bitwise-enum";
version = "1.0.1.0";
sha256 = "0vmdr8csmxwab7s4nmqdfpqdssivh90fddk94i8wkwj1la867y1z";
+ revision = "1";
+ editedCabalFile = "0g4w46bv0pj52v3kfcc41g9m750il67fg78n54s91p6jam6l0r6h";
libraryHaskellDepends = [
aeson array base deepseq mono-traversable vector
];
@@ -43777,8 +44096,8 @@ self: {
pname = "blank-canvas";
version = "0.7.3";
sha256 = "1g10959ly5nv2xfhax4pamzxnxkqbniahplc5za8k5r4nq1vjrm2";
- revision = "2";
- editedCabalFile = "00nv87d38agrnqp1bhlk5id78r23k2fk7pqnar1lzg2wr39b1mvi";
+ revision = "4";
+ editedCabalFile = "0lxslpm4s98ddh12py9810k2q872vizphfrszp8gxkkvfzflbb5b";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson base base-compat-batteries base64-bytestring bytestring
@@ -43839,22 +44158,6 @@ self: {
}) {};
"blas-comfort-array" = callPackage
- ({ mkDerivation, base, blas-ffi, comfort-array
- , netlib-comfort-array, netlib-ffi, storable-complex, transformers
- }:
- mkDerivation {
- pname = "blas-comfort-array";
- version = "0.0.0.2";
- sha256 = "1n9w905ppb08w0d8xbxvgipr9fv1iapwq3ybvk0dbj009w341kd1";
- libraryHaskellDepends = [
- base blas-ffi comfort-array netlib-comfort-array netlib-ffi
- storable-complex transformers
- ];
- description = "Auto-generated interface to Fortran BLAS via comfort-array";
- license = lib.licenses.bsd3;
- }) {};
-
- "blas-comfort-array_0_0_0_3" = callPackage
({ mkDerivation, base, blas-ffi, comfort-array
, netlib-comfort-array, netlib-ffi, storable-complex, transformers
}:
@@ -43868,7 +44171,6 @@ self: {
];
description = "Auto-generated interface to Fortran BLAS via comfort-array";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"blas-ffi" = callPackage
@@ -44009,8 +44311,8 @@ self: {
pname = "blaze-colonnade";
version = "1.2.2.1";
sha256 = "1wh0q72qv2s6a42i13lqb94i0b5bgmqwqw7d5xy89dc76j0ncd2d";
- revision = "1";
- editedCabalFile = "0b8imj6i3map53b3j9i7rz9wc65s10qd4hndpq6nik2xd6shdip3";
+ revision = "2";
+ editedCabalFile = "08baclp16z9qrvmd8qcf0nn98g735xr7la9kxs36w03b6vq02xmj";
libraryHaskellDepends = [
base blaze-html blaze-markup colonnade profunctors text
];
@@ -44176,8 +44478,8 @@ self: {
}:
mkDerivation {
pname = "blaze-textual";
- version = "0.2.1.0";
- sha256 = "0bbcykkrlgdb6jaz72njriq9if6bzsx52jn26k093f5sn1d7jhhh";
+ version = "0.2.2.1";
+ sha256 = "0zjnwnjpcpnnm0815h9ngr3a3iy0szsnb3nrcavkbx4905s9k4bs";
libraryHaskellDepends = [
base blaze-builder bytestring ghc-prim integer-gmp old-locale text
time vector
@@ -44268,6 +44570,7 @@ self: {
description = "Bluetooth Low Energy (BLE) peripherals";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"blindpass" = callPackage
@@ -44340,6 +44643,17 @@ self: {
broken = true;
}) {};
+ "blizzard-html" = callPackage
+ ({ mkDerivation, base, blaze-html, clay, text }:
+ mkDerivation {
+ pname = "blizzard-html";
+ version = "0.1.0.0";
+ sha256 = "0q77g1apkjy6vfks1734cx6y11v5l7qksfs1g2axp2lmsymkd28v";
+ libraryHaskellDepends = [ base blaze-html clay text ];
+ description = "An HTML and CSS renderer for Haskell";
+ license = lib.licenses.mit;
+ }) {};
+
"blockchain" = callPackage
({ mkDerivation, aeson, async, base, byteable, bytestring
, cryptonite, deepseq, either, errors, hashable, hspec, memory, mtl
@@ -44365,6 +44679,94 @@ self: {
broken = true;
}) {};
+ "blockfrost-api" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, data-default
+ , data-default-class, deriving-aeson, hspec, lens, QuickCheck
+ , quickcheck-instances, raw-strings-qq, safe-money, servant
+ , servant-docs, servant-multipart-api, tasty, tasty-discover
+ , tasty-hspec, tasty-hunit, template-haskell, text, time, vector
+ }:
+ mkDerivation {
+ pname = "blockfrost-api";
+ version = "0.1.0.0";
+ sha256 = "0fc1s4ajx2l5s3csqz7q7r6kr985607cj3a2x2ypwv1q6x1f2amz";
+ libraryHaskellDepends = [
+ aeson base bytestring data-default-class deriving-aeson lens
+ QuickCheck quickcheck-instances safe-money servant servant-docs
+ servant-multipart-api template-haskell text time
+ ];
+ testHaskellDepends = [
+ aeson base bytestring data-default hspec raw-strings-qq safe-money
+ tasty tasty-hspec tasty-hunit text vector
+ ];
+ testToolDepends = [ tasty-discover ];
+ description = "API definitions for blockfrost.io";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "blockfrost-client" = callPackage
+ ({ mkDerivation, base, blockfrost-api, blockfrost-client-core
+ , bytestring, data-default, directory, filepath, hspec, mtl
+ , servant, servant-client, servant-client-core, tasty
+ , tasty-discover, tasty-hspec, tasty-hunit, tasty-quickcheck, text
+ }:
+ mkDerivation {
+ pname = "blockfrost-client";
+ version = "0.1.0.0";
+ sha256 = "0n21zbmspjix1jnwym7xijaciyii85phb07ndr5dih12i9vsncp6";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base blockfrost-api blockfrost-client-core bytestring data-default
+ directory filepath mtl servant servant-client servant-client-core
+ text
+ ];
+ testHaskellDepends = [
+ base hspec tasty tasty-hspec tasty-hunit tasty-quickcheck
+ ];
+ testToolDepends = [ tasty-discover ];
+ description = "blockfrost.io basic client";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "blockfrost-client-core" = callPackage
+ ({ mkDerivation, aeson, base, blockfrost-api, bytestring
+ , case-insensitive, containers, data-default, http-client
+ , http-client-tls, http-types, servant, servant-client
+ , servant-client-core, servant-multipart-api
+ , servant-multipart-client, text
+ }:
+ mkDerivation {
+ pname = "blockfrost-client-core";
+ version = "0.1.0.0";
+ sha256 = "0khybzvsy61zl4z02ccvh51gl4xj2cbi20i27xl4wxrhw6iqzc0i";
+ libraryHaskellDepends = [
+ aeson base blockfrost-api bytestring case-insensitive containers
+ data-default http-client http-client-tls http-types servant
+ servant-client servant-client-core servant-multipart-api
+ servant-multipart-client text
+ ];
+ description = "blockfrost.io common client definitions / instances";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "blockfrost-pretty" = callPackage
+ ({ mkDerivation, base, blockfrost-api, data-default, lens
+ , prettyprinter, prettyprinter-ansi-terminal, safe-money, text
+ , time
+ }:
+ mkDerivation {
+ pname = "blockfrost-pretty";
+ version = "0.1.0.0";
+ sha256 = "1i25jcq45jf9x8idi9ipwfikq2pcnzpia8flcdgn8c9s6ap5bb1h";
+ libraryHaskellDepends = [
+ base blockfrost-api data-default lens prettyprinter
+ prettyprinter-ansi-terminal safe-money text time
+ ];
+ description = "blockfrost.io pretty-printing utilities";
+ license = lib.licenses.asl20;
+ }) {};
+
"blockhash" = callPackage
({ mkDerivation, base, bytestring, JuicyPixels
, optparse-applicative, primitive, vector, vector-algorithms
@@ -44433,6 +44835,8 @@ self: {
pname = "bloodhound";
version = "0.16.0.0";
sha256 = "0g4x2g0qmwgfrz39iwwagrr7p19fmmz1fpfk29bkmvqvbfvsjgqz";
+ revision = "1";
+ editedCabalFile = "129sx2n094p2y7k8hf1cpr84hw2z9lk37l1w515r85n09ckkyb2l";
libraryHaskellDepends = [
aeson base blaze-builder bytestring containers exceptions hashable
http-client http-types mtl network-uri scientific semigroups semver
@@ -44759,6 +45163,8 @@ self: {
pname = "bnb-staking-csvs";
version = "0.2.0.0";
sha256 = "1m2bd6cwlgavq3nri3xwbqim2zikzv1dxqf5a5gxkqra1qgbvm4v";
+ revision = "1";
+ editedCabalFile = "066nk1wjxlwh1dqhgr4yalch45w09p032whbvs5rin18airixyk3";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -45549,17 +45955,6 @@ self: {
}) {};
"both" = callPackage
- ({ mkDerivation, base, semigroups, zero }:
- mkDerivation {
- pname = "both";
- version = "0.1.1.1";
- sha256 = "0kdqz901fpdgggmkm9rpnpv7gma9c8d887bszhnz6xd3v96gg7xn";
- libraryHaskellDepends = [ base semigroups zero ];
- description = "Like Maybe, but with a different Monoid instance";
- license = lib.licenses.mit;
- }) {};
-
- "both_0_1_1_2" = callPackage
({ mkDerivation, base, semigroups, zero }:
mkDerivation {
pname = "both";
@@ -45568,7 +45963,6 @@ self: {
libraryHaskellDepends = [ base semigroups zero ];
description = "Like Maybe, but with a different Monoid instance";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"botpp" = callPackage
@@ -45622,8 +46016,8 @@ self: {
pname = "bound";
version = "2.0.3";
sha256 = "0rhpcz99sax81zh2k1ww7g2xgfcna56ppj9xc1l4gfnsrrlb27yg";
- revision = "1";
- editedCabalFile = "16hy32ccjrch3zw45282m630p5hk1hziapmmk8a5nis2mlkq6z2h";
+ revision = "2";
+ editedCabalFile = "1s2vmmmj9gshhisj7fplm146p69bd4js4w0x4zk3qcb9qxl707i2";
libraryHaskellDepends = [
base bifunctors binary bytes cereal comonad deepseq hashable mmorph
profunctors template-haskell th-abstraction transformers
@@ -45758,69 +46152,58 @@ self: {
}) {};
"box" = callPackage
- ({ mkDerivation, attoparsec, base, comonad, concurrency, containers
- , contravariant, dejafu, doctest, exceptions, generic-lens, lens
- , mmorph, mtl, numhask, numhask-space, optparse-generic
- , profunctors, random, text, time, transformers, transformers-base
- , websockets
+ ({ mkDerivation, attoparsec, base, concurrency, containers
+ , contravariant, exceptions, lens, mmorph, mtl, profunctors, text
+ , time, transformers
}:
mkDerivation {
pname = "box";
- version = "0.6.3";
- sha256 = "1qdl8n9icp8v8hpk4jd3gsg8wrr469q4y6h6p1h6n6f899rwpv5c";
- isLibrary = true;
- isExecutable = true;
+ version = "0.7.0";
+ sha256 = "0fxrhfzf4xsfma2x355ij3ky1h83wq3khd08vkix1lnmw9vnvk37";
libraryHaskellDepends = [
- attoparsec base comonad concurrency containers contravariant
- exceptions lens mmorph numhask numhask-space profunctors text time
- transformers transformers-base
+ attoparsec base concurrency containers contravariant exceptions
+ lens mmorph mtl profunctors text time transformers
];
- executableHaskellDepends = [
- base concurrency containers dejafu exceptions generic-lens lens mtl
- numhask optparse-generic random text transformers websockets
- ];
- testHaskellDepends = [ base doctest numhask ];
description = "boxes";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"box-csv" = callPackage
- ({ mkDerivation, attoparsec, base, box, doctest, generic-lens, lens
- , numhask, scientific, text, time
+ ({ mkDerivation, attoparsec, base, box, generic-lens, lens
+ , scientific, text, time
}:
mkDerivation {
pname = "box-csv";
- version = "0.0.3";
- sha256 = "16kg45hma04r6slw2fic5jbamkcbv6mgqybw081w76hckcg72522";
+ version = "0.1.0";
+ sha256 = "0h62za6qirm003bwrsjb9pj4d9gnrypvqchzhnv3nj37niscmddy";
libraryHaskellDepends = [
- attoparsec base box generic-lens lens numhask scientific text time
+ attoparsec base box generic-lens lens scientific text time
];
- testHaskellDepends = [ base doctest numhask ];
- description = "See readme.md";
+ description = "CSV parsing in a box";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
}) {};
"box-socket" = callPackage
- ({ mkDerivation, base, box, bytestring, concurrency, doctest
- , exceptions, generic-lens, lens, network, network-simple, numhask
- , optparse-generic, websockets
+ ({ mkDerivation, async, base, box, bytestring, concurrency
+ , exceptions, generic-lens, lens, network, network-simple
+ , optparse-generic, text, websockets
}:
mkDerivation {
pname = "box-socket";
- version = "0.1.2";
- sha256 = "0ybv8amph38s2yz8qpwjrn7428d09ikl7d5ljqkbivydripg6ybc";
+ version = "0.2.0";
+ sha256 = "198llmb67g348apjrhp180x2ykjlp2mnbhvkw90yh5nm7pnd0j3c";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- base box bytestring concurrency exceptions generic-lens lens
- network network-simple numhask websockets
+ async base box bytestring concurrency exceptions generic-lens lens
+ network network-simple text websockets
];
executableHaskellDepends = [
- base box concurrency generic-lens lens numhask optparse-generic
+ base box concurrency generic-lens lens optparse-generic text
];
- testHaskellDepends = [ base doctest numhask ];
description = "Box websockets";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -46064,6 +46447,33 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "brick_0_64_1" = callPackage
+ ({ mkDerivation, base, bytestring, config-ini, containers
+ , contravariant, data-clist, deepseq, directory, dlist, exceptions
+ , filepath, microlens, microlens-mtl, microlens-th, QuickCheck, stm
+ , template-haskell, text, text-zipper, transformers, unix, vector
+ , vty, word-wrap
+ }:
+ mkDerivation {
+ pname = "brick";
+ version = "0.64.1";
+ sha256 = "13n4m4qfxbh8grqmp3ycl99xf8hszk9539qy73bzz785axgvhhbj";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring config-ini containers contravariant data-clist
+ deepseq directory dlist exceptions filepath microlens microlens-mtl
+ microlens-th stm template-haskell text text-zipper transformers
+ unix vector vty word-wrap
+ ];
+ testHaskellDepends = [
+ base containers microlens QuickCheck vector
+ ];
+ description = "A declarative terminal user interface library";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"brick-dropdownmenu" = callPackage
({ mkDerivation, base, brick, containers, microlens, microlens-ghc
, microlens-th, pointedlist, vector, vty
@@ -46658,7 +47068,6 @@ self: {
];
description = "Mapping between BSON and algebraic data types";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"bspack" = callPackage
@@ -47003,6 +47412,24 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "bugsnag-hs_0_2_0_5" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, hedgehog, http-client
+ , text, time, unordered-containers
+ }:
+ mkDerivation {
+ pname = "bugsnag-hs";
+ version = "0.2.0.5";
+ sha256 = "1gm38w8vjgxmn2a9a7xr364pcv7vsvd3brf90hwbwh7y88q6jdn2";
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ aeson base bytestring http-client text time unordered-containers
+ ];
+ testHaskellDepends = [ aeson base bytestring hedgehog ];
+ description = "A Bugsnag client for Haskell";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"bugzilla" = callPackage
({ mkDerivation, aeson, base, blaze-builder, bytestring, connection
, containers, data-default, http-conduit, http-types, iso8601-time
@@ -47046,6 +47473,28 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "bugzilla-redhat_0_3_3" = callPackage
+ ({ mkDerivation, aeson, base, blaze-builder, bytestring, connection
+ , containers, hspec, http-conduit, http-types, iso8601-time
+ , resourcet, text, time, transformers, unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "bugzilla-redhat";
+ version = "0.3.3";
+ sha256 = "1nslky0rc868hyx11s0bvlz6r4mvagknhwmnlqvgcnlfbg6xni4d";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base blaze-builder bytestring connection containers
+ http-conduit http-types iso8601-time resourcet text time
+ transformers unordered-containers vector
+ ];
+ testHaskellDepends = [ aeson base hspec time ];
+ description = "A Haskell interface to the Bugzilla native REST API";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"build" = callPackage
({ mkDerivation, algebraic-graphs, base, containers, extra
, filepath, mtl, random, transformers
@@ -47662,30 +48111,14 @@ self: {
}:
mkDerivation {
pname = "byte-count-reader";
- version = "0.10.1.3";
- sha256 = "1z02g8mkjwxdrbyxncbvrwg18knyiqa3w9n0x01y2xmbr279rsh0";
- libraryHaskellDepends = [ base extra parsec parsec-numbers text ];
- testHaskellDepends = [
- base extra hspec parsec parsec-numbers text
- ];
- description = "Read strings describing a number of bytes like 2Kb and 0.5 MiB";
- license = lib.licenses.gpl3Only;
- }) {};
-
- "byte-count-reader_0_10_1_5" = callPackage
- ({ mkDerivation, base, extra, hspec, parsec, parsec-numbers, text
- }:
- mkDerivation {
- pname = "byte-count-reader";
- version = "0.10.1.5";
- sha256 = "0iq40gnfw8z1rkri1rpaqx5av1ay45h6518cg0a0m4ypdzf03r0s";
+ version = "0.10.1.6";
+ sha256 = "182pc1fx74zfcrvp1g3ghqw3rhc9pcjkxy92n66pg0zm8yk8xqly";
libraryHaskellDepends = [ base extra parsec parsec-numbers text ];
testHaskellDepends = [
base extra hspec parsec parsec-numbers text
];
description = "Read strings describing a number of bytes like 2Kb and 0.5 MiB";
license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
}) {};
"byte-order" = callPackage
@@ -47861,8 +48294,8 @@ self: {
}:
mkDerivation {
pname = "byteslice";
- version = "0.2.5.2";
- sha256 = "0nva9w086g6d7g6bjwk4ad14jz8z17m0m9fvzfxv90cx6wkmvph3";
+ version = "0.2.6.0";
+ sha256 = "0kgrqf5v0crr44xm46fppkbqw5r738qspwyjdk9g4wavsm1bk20b";
libraryHaskellDepends = [
base bytestring primitive primitive-addr primitive-unlifted run-st
tuples vector
@@ -47883,8 +48316,8 @@ self: {
}:
mkDerivation {
pname = "bytesmith";
- version = "0.3.7.0";
- sha256 = "13dc4cwiga63wmnw9hl332d8gvqjl4yl0p09z2pkmwl81br7ybrc";
+ version = "0.3.8.0";
+ sha256 = "0rfqpnc1awnpn50yfjxgwb65byhdcg5rivg3mbsd5clmq337cz12";
libraryHaskellDepends = [
base byteslice bytestring contiguous primitive run-st text-short
wide-word
@@ -48082,7 +48515,6 @@ self: {
];
description = "A type-class to convert values from ByteString";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"bytestring-handle" = callPackage
@@ -48744,6 +49176,8 @@ self: {
pname = "ca-province-codes";
version = "1.0.0.0";
sha256 = "1lhmmqn83v9bflm4x2nqbxx6pjh393id29syglinaqal4dvl5qq3";
+ revision = "1";
+ editedCabalFile = "0502zw8bbx6761s8dfnmbnw475y9x2ikk8s281j1fd1db3wdv17l";
libraryHaskellDepends = [ aeson base text ];
testHaskellDepends = [ aeson base hspec QuickCheck text ];
description = "ISO 3166-2:CA Province Codes and Names";
@@ -48898,6 +49332,8 @@ self: {
pname = "cabal-cache";
version = "1.0.3.0";
sha256 = "0xx0a53z7wj75p8dqypr6ys63cpw8acl49358f42xi5lgblvqnca";
+ revision = "1";
+ editedCabalFile = "19dr9x78xfgb8jnbj1i23mhzqnvixgh1azyq5fvccm6h4pcbjfzz";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -48949,8 +49385,8 @@ self: {
}:
mkDerivation {
pname = "cabal-clean";
- version = "0.1.20210815";
- sha256 = "0bx11grnw1x594n6si3lnswb87n2gsnn7fn7lr1ggn3rd0dm2ccg";
+ version = "0.1.20210924";
+ sha256 = "11r46rfncgp8gmvvsfp64krdnp0q4rykrhv5z2gwrxyv5sjkfpyz";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -49317,8 +49753,8 @@ self: {
}:
mkDerivation {
pname = "cabal-install";
- version = "3.4.0.0";
- sha256 = "15rylx5pa03jdiwcg1x7zvs6aq3g6phwmi1hz26cl080nczyz00r";
+ version = "3.6.2.0";
+ sha256 = "0dihpm4h3xh13vnpvwflnb7v614qdvljycc6ffg5cvhwbwfrxyfw";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -49401,7 +49837,7 @@ self: {
broken = true;
}) {};
- "cabal-install-parsers" = callPackage
+ "cabal-install-parsers_0_4_2" = callPackage
({ mkDerivation, aeson, ansi-terminal, base, base16-bytestring
, binary, binary-instances, bytestring, Cabal, containers
, criterion, cryptohash-sha256, deepseq, directory, filepath, lukko
@@ -49427,6 +49863,37 @@ self: {
];
description = "Utilities to work with cabal-install files";
license = "GPL-2.0-or-later AND BSD-3-Clause";
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
+ "cabal-install-parsers" = callPackage
+ ({ mkDerivation, aeson, ansi-terminal, base, base16-bytestring
+ , binary, binary-instances, bytestring, Cabal, containers
+ , criterion, cryptohash-sha256, deepseq, directory, filepath, lukko
+ , network-uri, parsec, pretty, tar, tasty, tasty-golden
+ , tasty-hunit, text, time, transformers, tree-diff
+ }:
+ mkDerivation {
+ pname = "cabal-install-parsers";
+ version = "0.4.3";
+ sha256 = "0gpnfv80rhrws12b1klyi5fkqvn8pgnl2hxh5fbnfp8fbrwklfjq";
+ revision = "1";
+ editedCabalFile = "18ngagasjind29r0840rnsjzzki92q01yi1p0fw4i5p129mczc8n";
+ libraryHaskellDepends = [
+ aeson base base16-bytestring binary binary-instances bytestring
+ Cabal containers cryptohash-sha256 deepseq directory filepath lukko
+ network-uri parsec pretty tar text time transformers
+ ];
+ testHaskellDepends = [
+ ansi-terminal base base16-bytestring bytestring Cabal containers
+ directory filepath pretty tar tasty tasty-golden tasty-hunit
+ tree-diff
+ ];
+ benchmarkHaskellDepends = [
+ base bytestring Cabal containers criterion directory filepath
+ ];
+ description = "Utilities to work with cabal-install files";
+ license = "GPL-2.0-or-later AND BSD-3-Clause";
}) {};
"cabal-lenses" = callPackage
@@ -49561,6 +50028,34 @@ self: {
license = lib.licenses.gpl2Plus;
}) {};
+ "cabal-plan_0_7_2_1" = callPackage
+ ({ mkDerivation, aeson, ansi-terminal, async, base, base-compat
+ , base16-bytestring, bytestring, containers, directory, filepath
+ , mtl, optics-core, optparse-applicative, parsec, process
+ , semialign, singleton-bool, text, these, topograph, transformers
+ , vector
+ }:
+ mkDerivation {
+ pname = "cabal-plan";
+ version = "0.7.2.1";
+ sha256 = "0n45bakzf1r1nyvs76fmrj0cf74knqnpyb2i82baxia0falbp48r";
+ configureFlags = [ "-fexe" ];
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base base16-bytestring bytestring containers directory
+ filepath text
+ ];
+ executableHaskellDepends = [
+ ansi-terminal async base base-compat bytestring containers
+ directory mtl optics-core optparse-applicative parsec process
+ semialign singleton-bool text these topograph transformers vector
+ ];
+ description = "Library and utility for processing cabal's plan.json file";
+ license = lib.licenses.gpl2Plus;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"cabal-progdeps" = callPackage
({ mkDerivation, base, Cabal, directory, filepath }:
mkDerivation {
@@ -49594,27 +50089,6 @@ self: {
}) {};
"cabal-rpm" = callPackage
- ({ mkDerivation, base, bytestring, Cabal, directory, extra
- , filepath, http-client, http-client-tls, http-conduit
- , optparse-applicative, process, simple-cabal, simple-cmd
- , simple-cmd-args, time, unix
- }:
- mkDerivation {
- pname = "cabal-rpm";
- version = "2.0.9";
- sha256 = "1r6a0dki4wsrmq5xfjb9bkj0dl7cnhwdf46427k71ay90hmc6ncq";
- isLibrary = false;
- isExecutable = true;
- executableHaskellDepends = [
- base bytestring Cabal directory extra filepath http-client
- http-client-tls http-conduit optparse-applicative process
- simple-cabal simple-cmd simple-cmd-args time unix
- ];
- description = "RPM packaging tool for Haskell Cabal-based packages";
- license = lib.licenses.gpl3Only;
- }) {};
-
- "cabal-rpm_2_0_10" = callPackage
({ mkDerivation, base, bytestring, Cabal, directory, extra
, filepath, http-client, http-client-tls, http-conduit
, optparse-applicative, process, simple-cabal, simple-cmd
@@ -49633,7 +50107,6 @@ self: {
];
description = "RPM packaging tool for Haskell Cabal-based packages";
license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
}) {};
"cabal-scripts" = callPackage
@@ -50623,8 +51096,8 @@ self: {
}:
mkDerivation {
pname = "calamity";
- version = "0.1.30.4";
- sha256 = "038df356by37c1wj5i0a31hihxad44bbks1fb6xbx2abzp3343ji";
+ version = "0.1.31.0";
+ sha256 = "1yk0b54m243vz4kiqw70w2hc1p6zz4z0z852slgwp3455q02qy18";
libraryHaskellDepends = [
aeson async base bytestring calamity-commands colour
concurrent-extra connection containers data-default-class
@@ -50795,20 +51268,20 @@ self: {
"call-alloy" = callPackage
({ mkDerivation, base, bytestring, containers, directory
- , file-embed, filepath, hashable, hspec, lens, mtl, process, split
+ , file-embed, filepath, hashable, hspec, mtl, process, split
, trifecta, unix
}:
mkDerivation {
pname = "call-alloy";
- version = "0.2.1.1";
- sha256 = "0vgn4rrpnhmjcn7wh01nr4q0mlmr4ja2dd1b9vysxfrmslfxnxda";
+ version = "0.2.2.0";
+ sha256 = "09xy823lxmp4siqxbv8f6v192a9bs0vmq36293cbiv7g7w65bnvi";
libraryHaskellDepends = [
base bytestring containers directory file-embed filepath hashable
- lens mtl process split trifecta unix
+ mtl process split trifecta unix
];
testHaskellDepends = [
base bytestring containers directory file-embed filepath hashable
- hspec lens mtl process split trifecta unix
+ hspec mtl process split trifecta unix
];
description = "A simple library to call Alloy given a specification";
license = lib.licenses.mit;
@@ -50872,8 +51345,8 @@ self: {
}:
mkDerivation {
pname = "camfort";
- version = "1.1.0";
- sha256 = "0y6ds8lhhs0r4ns35y6zrph3bjkq9kdx4zp7kb8knsn0cpd3haz1";
+ version = "1.1.2";
+ sha256 = "13a6x4j4f95izwz7g5sykpb2pq4lzwcswi31986gkyl6nicn5cfj";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -50946,8 +51419,8 @@ self: {
"candid" = callPackage
({ mkDerivation, base, base32, bytestring, cereal, constraints
- , containers, crc, directory, dlist, doctest, filepath, hex-text
- , leb128-cereal, megaparsec, mtl, optparse-applicative
+ , containers, crc, directory, dlist, doctest, file-embed, filepath
+ , hex-text, leb128-cereal, megaparsec, mtl, optparse-applicative
, parser-combinators, prettyprinter, row-types, scientific
, smallcheck, split, tasty, tasty-hunit, tasty-quickcheck
, tasty-rerun, tasty-smallcheck, template-haskell, text
@@ -50955,13 +51428,13 @@ self: {
}:
mkDerivation {
pname = "candid";
- version = "0.2";
- sha256 = "0cif618n6m9cvlcvr7hk3gnypv2vxaz1qaa63jrxakmkcr1lm028";
+ version = "0.3";
+ sha256 = "0zq29zddkkwvlyz9qmxl942ml53m6jawl4m5rkb2510glbkcvr5x";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
base base32 bytestring cereal constraints containers crc dlist
- hex-text leb128-cereal megaparsec mtl parser-combinators
+ file-embed hex-text leb128-cereal megaparsec mtl parser-combinators
prettyprinter row-types scientific split template-haskell text
transformers unordered-containers vector
];
@@ -50976,7 +51449,7 @@ self: {
];
description = "Candid integration";
license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ nomeata ];
}) {};
"canon" = callPackage
@@ -51292,6 +51765,8 @@ self: {
pname = "capnp";
version = "0.14.0.0";
sha256 = "15v0s597wq0ipvikd727fzcqg5r6685lvr8y1x93q5mdl975gi8m";
+ revision = "1";
+ editedCabalFile = "1s57x426qkn54g8zj8rbn77qg849mx5ps1zwjj6gwfjifddgd5g5";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -51472,6 +51947,8 @@ self: {
];
description = "Algorithms for coin selection and fee balancing";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"cardano-transactions" = callPackage
@@ -51980,6 +52457,7 @@ self: {
description = "CASR 61.345 Pilot Personal Logbook";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"casr-logbook-html" = callPackage
@@ -52139,6 +52617,7 @@ self: {
description = "CASR 61.345 Pilot Personal Logbook";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"cassandra-cql" = callPackage
@@ -52733,6 +53212,7 @@ self: {
description = "Tool to maintain a database of CABAL packages and their dependencies";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"cbor-tool" = callPackage
@@ -52767,6 +53247,8 @@ self: {
pname = "cborg";
version = "0.2.5.0";
sha256 = "08da498bpbnl5c919m45mjm7sr78nn6qs7xyl0smfgd06wwm65xf";
+ revision = "1";
+ editedCabalFile = "0fnyjafbq9lzgr06ladraxfgzk6dj5gns17ihn7lc1ya49yv83wr";
libraryHaskellDepends = [
array base bytestring containers deepseq ghc-prim half integer-gmp
primitive text
@@ -53073,6 +53555,8 @@ self: {
pname = "cereal";
version = "0.5.8.1";
sha256 = "1mqvd1iwzr50az4y24332x3g3wsrzw8j1iwph02vr7jbjfn8i7id";
+ revision = "1";
+ editedCabalFile = "02v1nivac478nzzykjbq3rawnqskxjc4sb54m6s5jcgbigfnb2x0";
libraryHaskellDepends = [
array base bytestring containers ghc-prim
];
@@ -53814,7 +54298,8 @@ self: {
description = "Character set detection using Mozilla's Universal Character Set Detector";
license = "LGPL";
platforms = [
- "armv7l-linux" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ "aarch64-darwin" "armv7l-linux" "i686-linux" "x86_64-darwin"
+ "x86_64-linux"
];
}) {};
@@ -53863,30 +54348,26 @@ self: {
}) {};
"chart-svg" = callPackage
- ({ mkDerivation, attoparsec, base, bytestring, Color, concurrency
- , containers, cubicbezier, doctest, foldl, generic-lens
- , JuicyPixels, lens, linear, lucid, numhask, numhask-space
- , reanimate, reanimate-svg, scientific, tagsoup, text, time
- , transformers, unordered-containers, vector
+ ({ mkDerivation, attoparsec, base, Color, containers, cubicbezier
+ , foldl, generic-lens, JuicyPixels, lens, linear, lucid, mtl
+ , numhask, numhask-space, reanimate, reanimate-svg, scientific
+ , tagsoup, text, time, transformers, unordered-containers
}:
mkDerivation {
pname = "chart-svg";
- version = "0.2.2";
- sha256 = "184hpqkc9fvn64bg550clwxvjg2ii8igcl7nq3895pgi0cxxr0p1";
+ version = "0.2.3";
+ sha256 = "1j96l71gi2nrvwrwsvww9x7whvv3vmnc0ywgiy6ak1yh71hmivm1";
+ revision = "1";
+ editedCabalFile = "1zanv288hlir51yv4zqkcf2b4wxqivwd5y6f1s1n9zdn9k6b7c64";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
attoparsec base Color containers cubicbezier foldl generic-lens
- JuicyPixels lens linear lucid numhask numhask-space reanimate
+ JuicyPixels lens linear lucid mtl numhask numhask-space reanimate
reanimate-svg scientific tagsoup text time transformers
unordered-containers
];
- executableHaskellDepends = [
- attoparsec base bytestring concurrency foldl JuicyPixels lens
- linear lucid numhask numhask-space reanimate reanimate-svg text
- time transformers unordered-containers vector
- ];
- testHaskellDepends = [ base doctest numhask ];
+ executableHaskellDepends = [ base lens reanimate ];
description = "Charting library targetting SVGs";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -54391,8 +54872,8 @@ self: {
}:
mkDerivation {
pname = "chessIO";
- version = "0.9.0.0";
- sha256 = "0f8dd3w8az8fzidwiv2h6m52fppfp5b9zd0c1s4c815z3a3rxr4v";
+ version = "0.9.1.0";
+ sha256 = "19lkjrqji01kmfjm065xmlxsrwwr0z2vz2cz19wr0bx6sz4hw3zr";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -54491,27 +54972,6 @@ self: {
}) {};
"chimera" = callPackage
- ({ mkDerivation, adjunctions, base, distributive, gauge, mtl
- , QuickCheck, random, tasty, tasty-hunit, tasty-quickcheck
- , tasty-smallcheck, vector
- }:
- mkDerivation {
- pname = "chimera";
- version = "0.3.1.0";
- sha256 = "09dk9x2har2k6apm1wlm2k5k97jf3qxy4rzx94rbfmhs5fhz0i99";
- libraryHaskellDepends = [
- adjunctions base distributive mtl vector
- ];
- testHaskellDepends = [
- base QuickCheck tasty tasty-hunit tasty-quickcheck tasty-smallcheck
- vector
- ];
- benchmarkHaskellDepends = [ base gauge mtl random ];
- description = "Lazy infinite streams with O(1) indexing";
- license = lib.licenses.bsd3;
- }) {};
-
- "chimera_0_3_2_0" = callPackage
({ mkDerivation, adjunctions, base, distributive, mtl, QuickCheck
, random, tasty, tasty-bench, tasty-hunit, tasty-quickcheck
, tasty-smallcheck, vector
@@ -54530,7 +54990,6 @@ self: {
benchmarkHaskellDepends = [ base mtl random tasty-bench ];
description = "Lazy infinite streams with O(1) indexing and applications for memoization";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"chiphunk" = callPackage
@@ -54902,19 +55361,22 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "chronos_1_1_2" = callPackage
- ({ mkDerivation, aeson, attoparsec, base, bytestring, criterion
- , deepseq, doctest, hashable, HUnit, old-locale, primitive
- , QuickCheck, semigroups, test-framework, test-framework-hunit
- , test-framework-quickcheck2, text, thyme, time, torsor, vector
+ "chronos_1_1_3" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, bytebuild, byteslice
+ , bytesmith, bytestring, criterion, deepseq, doctest, hashable
+ , HUnit, natural-arithmetic, old-locale, primitive, QuickCheck
+ , semigroups, test-framework, test-framework-hunit
+ , test-framework-quickcheck2, text, text-short, thyme, time, torsor
+ , vector
}:
mkDerivation {
pname = "chronos";
- version = "1.1.2";
- sha256 = "0izzg5cnnw53m3pfxglpj96i88h1qx75w04n6a67855xly4dfyxa";
+ version = "1.1.3";
+ sha256 = "1c5jzws6szqf9izifavapzvvib0b83rlyf5wcjfrshfipwfqrg26";
libraryHaskellDepends = [
- aeson attoparsec base bytestring deepseq hashable primitive
- semigroups text torsor vector
+ aeson attoparsec base bytebuild byteslice bytesmith bytestring
+ deepseq hashable natural-arithmetic primitive semigroups text
+ text-short torsor vector
];
testHaskellDepends = [
aeson attoparsec base bytestring deepseq doctest HUnit QuickCheck
@@ -54923,7 +55385,7 @@ self: {
];
benchmarkHaskellDepends = [
attoparsec base bytestring criterion deepseq old-locale QuickCheck
- text thyme time vector
+ text text-short thyme time vector
];
description = "A high-performance time library";
license = lib.licenses.bsd3;
@@ -55244,10 +55706,8 @@ self: {
}:
mkDerivation {
pname = "cipher-aes128";
- version = "0.7.0.5";
- sha256 = "1bafr5aa9mjfzdgc6gwapvb9g04pyh4lwhv2x2m1v3ljjglg9d1w";
- revision = "1";
- editedCabalFile = "0miqp8jvgsqkgj5rxb2vjwa24ac5kdyy3b2cwxb9z0wk1ixk5ilm";
+ version = "0.7.0.6";
+ sha256 = "1pcxmgj9i8g3yl502lpywc75dilmaszlzixpd7wqjqr7lvasa117";
isLibrary = true;
isExecutable = true;
setupHaskellDepends = [ base Cabal process ];
@@ -55466,8 +55926,8 @@ self: {
}:
mkDerivation {
pname = "circular";
- version = "0.4.0.0";
- sha256 = "1542z19mf0ck3x8n62slw88rbmqy8dgwjlsz145a0i8lb8f79kn1";
+ version = "0.4.0.1";
+ sha256 = "03j06zf2fshcf59df088i47s4nx89arggv9h96izbpi0rz4m0fmk";
libraryHaskellDepends = [ aeson base primitive vector ];
testHaskellDepends = [
aeson base hspec primitive QuickCheck quickcheck-instances vector
@@ -55475,8 +55935,7 @@ self: {
benchmarkHaskellDepends = [ base criterion vector ];
description = "Circular fixed-sized mutable vectors";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
+ maintainers = with lib.maintainers; [ dschrempf ];
}) {};
"circus" = callPackage
@@ -55959,8 +56418,8 @@ self: {
}:
mkDerivation {
pname = "clash-ghc";
- version = "1.4.2";
- sha256 = "04sj88mcxszgbr8rxnrwa48r2pkf7h612507gcyk131f5kf6mkss";
+ version = "1.4.3";
+ sha256 = "00ipx45s2qdjv5cj6v3cg7dgwsw3sd8ai3cr1q9n1k6g26dzc3pw";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -55978,7 +56437,7 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
- "clash-ghc_1_4_3" = callPackage
+ "clash-ghc_1_4_5" = callPackage
({ mkDerivation, array, base, bifunctors, bytestring, Cabal
, clash-lib, clash-prelude, concurrent-supply, containers, deepseq
, directory, exceptions, extra, filepath, ghc, ghc-boot, ghc-prim
@@ -55990,8 +56449,8 @@ self: {
}:
mkDerivation {
pname = "clash-ghc";
- version = "1.4.3";
- sha256 = "00ipx45s2qdjv5cj6v3cg7dgwsw3sd8ai3cr1q9n1k6g26dzc3pw";
+ version = "1.4.5";
+ sha256 = "1qss6wic91fwbdjmi8bk5hwv282xs7rfxx4zhp0px5dqp2s8kc6v";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -56026,8 +56485,8 @@ self: {
}:
mkDerivation {
pname = "clash-lib";
- version = "1.4.2";
- sha256 = "1gismfz0pahhgfgra8kn34i3g82ip5nfy9aj38ym3rcnpg4aw10m";
+ version = "1.4.3";
+ sha256 = "0p6sf9wn25i1f0isqskpy35x6qm2ym63x9fpb54kbd1pp9xqgysl";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson aeson-pretty ansi-terminal array attoparsec base
@@ -56052,7 +56511,7 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
- "clash-lib_1_4_3" = callPackage
+ "clash-lib_1_4_5" = callPackage
({ mkDerivation, aeson, aeson-pretty, ansi-terminal, array
, attoparsec, base, base16-bytestring, binary, bytestring
, clash-prelude, concurrent-supply, containers, cryptohash-sha256
@@ -56069,8 +56528,8 @@ self: {
}:
mkDerivation {
pname = "clash-lib";
- version = "1.4.3";
- sha256 = "0p6sf9wn25i1f0isqskpy35x6qm2ym63x9fpb54kbd1pp9xqgysl";
+ version = "1.4.5";
+ sha256 = "1xbh513l3xg2xh7s9zkqxp2qwf9p2qhmp0xbj91r706av1cilyxi";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson aeson-pretty ansi-terminal array attoparsec base
@@ -56125,8 +56584,8 @@ self: {
}:
mkDerivation {
pname = "clash-prelude";
- version = "1.4.2";
- sha256 = "04hshjdddd9sk697zvbwlq6cdvyvdfrw670ksfdxxgssyrcsid95";
+ version = "1.4.3";
+ sha256 = "07mdl3196yb971hpcbysyaj6vciyrm1p5m9prcymkhkqh7vw9igy";
setupHaskellDepends = [ base Cabal cabal-doctest ];
libraryHaskellDepends = [
array arrows base bifunctors binary bytestring constraints
@@ -56152,7 +56611,7 @@ self: {
broken = true;
}) {};
- "clash-prelude_1_4_3" = callPackage
+ "clash-prelude_1_4_5" = callPackage
({ mkDerivation, array, arrows, base, bifunctors, binary
, bytestring, Cabal, cabal-doctest, constraints, containers
, criterion, data-binary-ieee754, data-default-class, deepseq
@@ -56167,8 +56626,8 @@ self: {
}:
mkDerivation {
pname = "clash-prelude";
- version = "1.4.3";
- sha256 = "07mdl3196yb971hpcbysyaj6vciyrm1p5m9prcymkhkqh7vw9igy";
+ version = "1.4.5";
+ sha256 = "0hikw8n0agjq5x4rfnklb9wixdbcn8snfh3rzb4r8j5b187j1brk";
setupHaskellDepends = [ base Cabal cabal-doctest ];
libraryHaskellDepends = [
array arrows base bifunctors binary bytestring constraints
@@ -56207,6 +56666,24 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "clash-shake" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, clash-ghc, clash-lib
+ , clash-prelude, directory, shake, split, stache, text
+ , unordered-containers
+ }:
+ mkDerivation {
+ pname = "clash-shake";
+ version = "0.1.1";
+ sha256 = "09c13rfsbb7r5fa214143a4nafkbz2slcb999hpj5wvl2882k1ry";
+ libraryHaskellDepends = [
+ aeson base bytestring clash-ghc clash-lib clash-prelude directory
+ shake split stache text unordered-containers
+ ];
+ description = "Shake rules for building Clash programs";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"clash-systemverilog" = callPackage
({ mkDerivation, base, clash-lib, clash-prelude, fgl, hashable
, lens, mtl, text, unordered-containers, wl-pprint-text
@@ -56261,6 +56738,30 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "clashilator" = callPackage
+ ({ mkDerivation, aeson, base, Cabal, clash-ghc, clash-lib
+ , containers, filepath, ghc, lens, optparse-applicative, shake
+ , stache, text, unordered-containers
+ }:
+ mkDerivation {
+ pname = "clashilator";
+ version = "0.1.0";
+ sha256 = "0nlyjhf4vy5ypimdv3ac9qw9aljm2k99y42b2pkhhw84iblv4qgy";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base Cabal clash-ghc clash-lib containers filepath ghc lens
+ optparse-applicative shake stache text unordered-containers
+ ];
+ executableHaskellDepends = [
+ aeson base Cabal clash-ghc clash-lib containers filepath ghc lens
+ optparse-applicative shake stache text unordered-containers
+ ];
+ description = "Automated Clash to Verilator bridge";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"classify" = callPackage
({ mkDerivation, base, containers, mtl }:
mkDerivation {
@@ -57190,7 +57691,6 @@ self: {
libraryHaskellDepends = [ base natural-induction peano ];
description = "Counted list";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"clit" = callPackage
@@ -57336,6 +57836,17 @@ self: {
license = lib.licenses.mit;
}) {};
+ "closed-classes" = callPackage
+ ({ mkDerivation, base, template-haskell }:
+ mkDerivation {
+ pname = "closed-classes";
+ version = "0.1";
+ sha256 = "1l62g1akdxwfxjy80hbfw7bmscbrxr8xqq6gdx7d2z8np7vvjr3k";
+ libraryHaskellDepends = [ base template-haskell ];
+ description = "Closed type class declarations";
+ license = lib.licenses.bsd3;
+ }) {};
+
"closed-intervals" = callPackage
({ mkDerivation, base, containers, doctest-exitcode-stdio
, doctest-lib, filtrable, QuickCheck, time, utility-ht
@@ -57713,7 +58224,6 @@ self: {
];
description = "CMA-ES wrapper in Haskell";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"cmake-syntax" = callPackage
@@ -58183,17 +58693,6 @@ self: {
}) {};
"co-log-concurrent" = callPackage
- ({ mkDerivation, base, co-log-core, stm }:
- mkDerivation {
- pname = "co-log-concurrent";
- version = "0.5.0.0";
- sha256 = "07z4aklk7dc2fhbc30kd9hbdvq71sa4ip6r2pyifcpn3p2pr3mna";
- libraryHaskellDepends = [ base co-log-core stm ];
- description = "Asynchronous backend for co-log library";
- license = lib.licenses.mpl20;
- }) {};
-
- "co-log-concurrent_0_5_1_0" = callPackage
({ mkDerivation, base, co-log-core, stm }:
mkDerivation {
pname = "co-log-concurrent";
@@ -58202,7 +58701,6 @@ self: {
libraryHaskellDepends = [ base co-log-core stm ];
description = "Asynchronous backend for co-log library";
license = lib.licenses.mpl20;
- hydraPlatforms = lib.platforms.none;
}) {};
"co-log-core" = callPackage
@@ -58319,23 +58817,24 @@ self: {
"cobot" = callPackage
({ mkDerivation, array, base, bytestring, clock, containers
, criterion, deepseq, hspec, lens, linear, megaparsec, mtl
- , parallel, random, split, template-haskell, text
+ , parallel, random, split, template-haskell, text, vector
}:
mkDerivation {
pname = "cobot";
- version = "0.1.1.4";
- sha256 = "0sskb87cjq6b6splwyl4dc11vwyx4jqp4xwdsgk78awfl1zcqhxd";
+ version = "0.1.1.7";
+ sha256 = "12g2vfb224lax59pv6jd4061v0bdzk91w0dc034p6mzm02dpxhqr";
libraryHaskellDepends = [
array base bytestring containers deepseq lens linear megaparsec mtl
- split template-haskell text
+ split template-haskell text vector
];
testHaskellDepends = [
array base bytestring containers deepseq hspec lens linear
- megaparsec mtl split template-haskell text
+ megaparsec mtl split template-haskell text vector
];
benchmarkHaskellDepends = [
array base bytestring clock containers criterion deepseq lens
linear megaparsec mtl parallel random split template-haskell text
+ vector
];
description = "Computational biology toolkit to collaborate with researchers in constructive protein engineering";
license = lib.licenses.bsd3;
@@ -58410,13 +58909,13 @@ self: {
}:
mkDerivation {
pname = "code-conjure";
- version = "0.4.2";
- sha256 = "1y8pg8siz4myia38bbyzaibargkjbsls57i9n79w0z63kqij6wn4";
+ version = "0.5.0";
+ sha256 = "0vby6br1hg4v2yvp835p1wf32jmp431zqxkgglnd4f1by09vbx7m";
libraryHaskellDepends = [
base express leancheck speculate template-haskell
];
testHaskellDepends = [ base express leancheck speculate ];
- description = "conjure Haskell functions out of partial definitions";
+ description = "synthesize Haskell functions out of partial definitions";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
}) {};
@@ -58722,10 +59221,8 @@ self: {
({ mkDerivation, base, profunctors }:
mkDerivation {
pname = "coercible-subtypes";
- version = "0.1.0.0";
- sha256 = "1z5fmdgv52x410x2z4gxyac18f98226dymzdvhcvkx7mw2k9q44x";
- revision = "1";
- editedCabalFile = "05bd9lp5jp31ac039vq0p58kr03g5ai3cyymc4ikhbnl3x44hx4d";
+ version = "0.2.0.0";
+ sha256 = "0n8g69l3iwcy588yj29b7qsac8n8cl44ibb62a36x9n2jpgz5xif";
libraryHaskellDepends = [ base profunctors ];
description = "Coercible but only in one direction";
license = lib.licenses.bsd3;
@@ -58903,28 +59400,39 @@ self: {
, bytestring, containers, cryptonite, exceptions, HsOpenSSL
, http-api-data, http-client, http-client-tls, http-streams
, http-types, io-streams, memory, network, servant, servant-client
- , servant-client-core, text, time, transformers, unagi-streams
- , unordered-containers, uuid, vector, websockets, wuss
+ , servant-client-core, tasty, tasty-hunit, text, time, transformers
+ , unagi-streams, unordered-containers, uuid, vector, websockets
+ , wuss
}:
mkDerivation {
pname = "coinbase-pro";
- version = "0.9.2.2";
- sha256 = "1jfmzzwjk81w5bm9v4zfan2w7qi2sl2a1py9nxisz1wq8vxdyvxn";
+ version = "0.9.3.0";
+ sha256 = "0974snfkil4xmrkw38d81d85n5w78ld3jd0kbsn3s22jd36dzjlm";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
aeson aeson-casing async base binary bytestring containers
cryptonite exceptions HsOpenSSL http-api-data http-client
http-client-tls http-streams http-types io-streams memory network
- servant servant-client servant-client-core text time transformers
- unagi-streams unordered-containers uuid vector websockets wuss
+ servant servant-client servant-client-core tasty tasty-hunit text
+ time transformers unagi-streams unordered-containers uuid vector
+ websockets wuss
];
executableHaskellDepends = [
aeson aeson-casing async base binary bytestring containers
cryptonite exceptions HsOpenSSL http-api-data http-client
http-client-tls http-streams http-types io-streams memory network
- servant servant-client servant-client-core text time transformers
- unagi-streams unordered-containers uuid vector websockets wuss
+ servant servant-client servant-client-core tasty tasty-hunit text
+ time transformers unagi-streams unordered-containers uuid vector
+ websockets wuss
+ ];
+ testHaskellDepends = [
+ aeson aeson-casing async base binary bytestring containers
+ cryptonite exceptions HsOpenSSL http-api-data http-client
+ http-client-tls http-streams http-types io-streams memory network
+ servant servant-client servant-client-core tasty tasty-hunit text
+ time transformers unagi-streams unordered-containers uuid vector
+ websockets wuss
];
description = "Client for Coinbase Pro";
license = lib.licenses.mit;
@@ -59100,6 +59608,25 @@ self: {
broken = true;
}) {};
+ "collate" = callPackage
+ ({ mkDerivation, base, containers, lens, primitive, QuickCheck
+ , test-framework, test-framework-quickcheck2, transformers, vector
+ }:
+ mkDerivation {
+ pname = "collate";
+ version = "0.1.0.0";
+ sha256 = "1fh335mwk51gyhyxilv83z66ilz5ws3ni8cbr4qmpfpgf9m1jp5s";
+ libraryHaskellDepends = [
+ base containers lens primitive transformers vector
+ ];
+ testHaskellDepends = [
+ base containers lens primitive QuickCheck test-framework
+ test-framework-quickcheck2 transformers vector
+ ];
+ description = "An Applicative Functor for extracting parts of a stream of values";
+ license = lib.licenses.asl20;
+ }) {};
+
"collect-errors" = callPackage
({ mkDerivation, base, containers, deepseq, QuickCheck }:
mkDerivation {
@@ -59200,8 +59727,8 @@ self: {
pname = "colonnade";
version = "1.2.0.2";
sha256 = "1asjx71gp26a15v7g3p8bfddb5nnzky6672c35xx35hq73mhykr4";
- revision = "1";
- editedCabalFile = "1aq72ri6labv8vsf6s3h8mkry4kiig9659lgdmrqr8ngyp7jwp69";
+ revision = "2";
+ editedCabalFile = "0ps86y9vlai49qx3rxzmxy6dzxwhnz6sr7ndyzrp4w7qwhgkmd70";
libraryHaskellDepends = [
base bytestring contravariant profunctors semigroups text vector
];
@@ -59825,7 +60352,6 @@ self: {
testHaskellDepends = [ base QuickCheck text ];
description = "CSV Parser & Producer";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"command" = callPackage
@@ -60299,8 +60825,6 @@ self: {
libraryHaskellDepends = [ base containers transformers vector ];
description = "A typeclass for structures which can be catMaybed, filtered, and partitioned";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"compactmap" = callPackage
@@ -60804,17 +61328,36 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "composite-cassava" = callPackage
+ ({ mkDerivation, base, bytestring, cassava, composite-base, tasty
+ , tasty-hunit, text, unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "composite-cassava";
+ version = "0.0.3.1";
+ sha256 = "138yg758qq9a0zyqjw3xaa0jdp9h09gfnxwp2lrkibgqvhinnxxa";
+ libraryHaskellDepends = [
+ base cassava composite-base text unordered-containers vector
+ ];
+ testHaskellDepends = [
+ base bytestring cassava composite-base tasty tasty-hunit text
+ unordered-containers vector
+ ];
+ description = "Csv parsing functionality for composite";
+ license = lib.licenses.mit;
+ }) {};
+
"composite-dhall" = callPackage
({ mkDerivation, base, composite-base, dhall, tasty, tasty-hunit
- , text
+ , text, vinyl
}:
mkDerivation {
pname = "composite-dhall";
- version = "0.0.4.1";
- sha256 = "19lhw02my7dv6gx2zlvmsbc2w4g09j1yxpwg6s203bd5n4dp5v9v";
- libraryHaskellDepends = [ base composite-base dhall text ];
+ version = "0.1.0.0";
+ sha256 = "05izp2zg6y4av8cc7lvvsy7ngk6aajqcm9x29faq36288dq1iim7";
+ libraryHaskellDepends = [ base composite-base dhall text vinyl ];
testHaskellDepends = [
- base composite-base dhall tasty tasty-hunit text
+ base composite-base dhall tasty tasty-hunit text vinyl
];
description = "Dhall instances for composite records";
license = lib.licenses.mit;
@@ -61053,6 +61596,7 @@ self: {
description = "Common compression algorithms";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"compstrat" = callPackage
@@ -61419,22 +61963,6 @@ self: {
}) {};
"concurrency" = callPackage
- ({ mkDerivation, array, atomic-primops, base, exceptions
- , monad-control, mtl, stm, transformers
- }:
- mkDerivation {
- pname = "concurrency";
- version = "1.11.0.1";
- sha256 = "0ds55wpkxlrw2x5ql34p9b05xlycnc4v7962f27a54nhrjfhb2ah";
- libraryHaskellDepends = [
- array atomic-primops base exceptions monad-control mtl stm
- transformers
- ];
- description = "Typeclasses, functions, and data types for concurrency and STM";
- license = lib.licenses.mit;
- }) {};
-
- "concurrency_1_11_0_2" = callPackage
({ mkDerivation, array, atomic-primops, base, exceptions
, monad-control, mtl, stm, transformers
}:
@@ -61448,7 +61976,6 @@ self: {
];
description = "Typeclasses, functions, and data types for concurrency and STM";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"concurrency-benchmarks" = callPackage
@@ -61853,8 +62380,8 @@ self: {
}:
mkDerivation {
pname = "conduit";
- version = "1.3.4.1";
- sha256 = "1w96q9nqxvl1s9js1rrzy9x711jpkj8mm6s5nz67jmrdby6knx45";
+ version = "1.3.4.2";
+ sha256 = "15r1rw5sp09zxjlfvjwpjva1pnn4my4gc28kxpd51kf74wpq7f9c";
libraryHaskellDepends = [
base bytestring directory exceptions filepath mono-traversable mtl
primitive resourcet text transformers unix unliftio-core vector
@@ -62858,8 +63385,8 @@ self: {
}:
mkDerivation {
pname = "configuration-tools";
- version = "0.6.0";
- sha256 = "1lncsh3dfl8iz1yr2b0mmpcdyww3cbr3jglp85iqmpvzv66m2kbg";
+ version = "0.6.1";
+ sha256 = "1gindjq9vd0fmsw6smwd3syz3k3bfkzsgmchqg6jllr6bhgvykjy";
isLibrary = true;
isExecutable = true;
setupHaskellDepends = [
@@ -62997,6 +63524,21 @@ self: {
broken = true;
}) {};
+ "conic-graphs" = callPackage
+ ({ mkDerivation, base, fcf-graphs, fcf-vinyl, first-class-families
+ , vinyl
+ }:
+ mkDerivation {
+ pname = "conic-graphs";
+ version = "0.0.1.0";
+ sha256 = "19fjgji22ikgr3c80cjr6bpj3cx28vsix8vwqmhmnmff9daw63z4";
+ libraryHaskellDepends = [
+ base fcf-graphs fcf-vinyl first-class-families vinyl
+ ];
+ description = "Vinyl-style extensible graphs";
+ license = lib.licenses.bsd3;
+ }) {};
+
"conjugateGradient" = callPackage
({ mkDerivation, base, containers, random }:
mkDerivation {
@@ -63125,8 +63667,8 @@ self: {
}:
mkDerivation {
pname = "connections";
- version = "0.3.1";
- sha256 = "0candwv3sv6qk76a4dn3m64957462da1pyvixl8jazf0gvq1pp23";
+ version = "0.3.2";
+ sha256 = "1j5vwg9ch37wkfa7sdyy97d6xlz4y70pfpcxp963cia9l28qpima";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base containers extended-reals time ];
@@ -63589,8 +64131,8 @@ self: {
}:
mkDerivation {
pname = "consumers";
- version = "2.2.0.4";
- sha256 = "0rssm2ygr395djjzkrzcq3vylc83k58flwcpqb4cb3w1id55ph5r";
+ version = "2.2.0.5";
+ sha256 = "1ramqz680xfh47p5kijcp58nby687lm5vacfxpz57a3p01j39y8s";
libraryHaskellDepends = [
base containers exceptions extra hpqtypes lifted-base
lifted-threads log-base monad-control monad-time mtl stm time
@@ -63902,8 +64444,8 @@ self: {
}:
mkDerivation {
pname = "contiguous";
- version = "0.5.2";
- sha256 = "04ylz0mld2yj0mdj88k38jw9330p88h0ga46p4wzlmazsy0p5s67";
+ version = "0.6.1";
+ sha256 = "1cgmz0adrv1kv1z21cfs4s4lmzbyp4acq5v2ly96bckp8a35cbix";
libraryHaskellDepends = [
base deepseq primitive primitive-unlifted run-st
];
@@ -64597,8 +65139,8 @@ self: {
}:
mkDerivation {
pname = "copilot";
- version = "3.4";
- sha256 = "1cl7w9z08rnzsig09q65i6j4644zj469isd1mjjiwp23yiqs5gfh";
+ version = "3.5";
+ sha256 = "1cia936fm14bzkd8qh8g8zri2lfx7n0jfbjqiqwpwhpgmxvfhznj";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -64617,8 +65159,8 @@ self: {
}:
mkDerivation {
pname = "copilot-c99";
- version = "3.4";
- sha256 = "14ajk29p4da777r9klfqhcyi5qkrz16qn5f6h22kgw1vqj9vm4ja";
+ version = "3.5";
+ sha256 = "1svcshxqrqp9m7pj7k77vfxzmzkanj0zyjq4ry985zzhcqgzcank";
libraryHaskellDepends = [
base containers copilot-core directory filepath language-c99
language-c99-simple language-c99-util mtl pretty
@@ -64648,8 +65190,8 @@ self: {
({ mkDerivation, base, dlist, mtl, pretty }:
mkDerivation {
pname = "copilot-core";
- version = "3.4";
- sha256 = "01bxajyxd9na6msjnh6klnhc71xryc7nxz96pylyqn39978by7p0";
+ version = "3.5";
+ sha256 = "0i5jj4hzk0gqsjx24xswjna9cdwxbyv5hln5jsmhdhgw05c4z5g4";
libraryHaskellDepends = [ base dlist mtl pretty ];
description = "An intermediate representation for Copilot";
license = lib.licenses.bsd3;
@@ -64657,15 +65199,14 @@ self: {
"copilot-language" = callPackage
({ mkDerivation, array, base, containers, copilot-core
- , copilot-theorem, data-reify, ghc-prim, mtl
+ , copilot-theorem, data-reify, mtl
}:
mkDerivation {
pname = "copilot-language";
- version = "3.4";
- sha256 = "0i3ha9mpjizqalhnv2vvcgzs75r3ld7c4qhaknip3jw1d0j76fx6";
+ version = "3.5";
+ sha256 = "0s1yn4la8pbdwlcfrlx79031anagp235dbapm3c715mv67dgcs2b";
libraryHaskellDepends = [
- array base containers copilot-core copilot-theorem data-reify
- ghc-prim mtl
+ array base containers copilot-core copilot-theorem data-reify mtl
];
description = "A Haskell-embedded DSL for monitoring hard real-time distributed systems";
license = lib.licenses.bsd3;
@@ -64678,8 +65219,8 @@ self: {
}:
mkDerivation {
pname = "copilot-libraries";
- version = "3.4";
- sha256 = "13ypvpqr0g3xrvdria35c83yxpk3727wm6n8jdsihq7ilkhl00mf";
+ version = "3.5";
+ sha256 = "1glz1x00abyavyca7kz19ji7jipl5fjxwqi47mql4b3wr9ksc0y4";
libraryHaskellDepends = [
array base containers copilot-language data-reify mtl parsec
];
@@ -64713,8 +65254,8 @@ self: {
}:
mkDerivation {
pname = "copilot-theorem";
- version = "3.4";
- sha256 = "1mrw6i4dwg8cx56821vcy0bnzyhxcch0k9byrkazg3jgplvalfbr";
+ version = "3.5";
+ sha256 = "0cb22695jp502iilyjkfhr8lzl97rdrpjvs9fbknrr0zbwn1m7p9";
libraryHaskellDepends = [
ansi-terminal base bimap bv-sized containers copilot-core
data-default directory filepath libBF mtl panic parameterized-utils
@@ -64821,6 +65362,24 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "core-data_0_2_1_10" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, containers, core-text
+ , hashable, prettyprinter, scientific, text, unordered-containers
+ , vector
+ }:
+ mkDerivation {
+ pname = "core-data";
+ version = "0.2.1.10";
+ sha256 = "151b8kym71dklgynyjsbzpggbyw7wysa8bv1pkpy94jdbflmlpaf";
+ libraryHaskellDepends = [
+ aeson base bytestring containers core-text hashable prettyprinter
+ scientific text unordered-containers vector
+ ];
+ description = "Convenience wrappers around common data structures and encodings";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"core-haskell" = callPackage
({ mkDerivation, base, haskeline, haskell-src-exts, hint }:
mkDerivation {
@@ -64847,8 +65406,8 @@ self: {
}:
mkDerivation {
pname = "core-program";
- version = "0.2.7.1";
- sha256 = "1bm75bdmcrjizmrspl52qqs4vq9hlyh7fjv5y5lfpkmqrs45045b";
+ version = "0.2.9.1";
+ sha256 = "1r604zbr0ds2g29rp1470x2m25yv8j2iw1jglf3ppf7j30dsv8qj";
libraryHaskellDepends = [
async base bytestring chronologique core-data core-text directory
exceptions filepath fsnotify hashable hourglass mtl prettyprinter
@@ -64856,7 +65415,29 @@ self: {
transformers unix
];
description = "Opinionated Haskell Interoperability";
- license = lib.licenses.bsd3;
+ license = lib.licenses.mit;
+ }) {};
+
+ "core-program_0_2_12_0" = callPackage
+ ({ mkDerivation, async, base, bytestring, chronologique, core-data
+ , core-text, directory, exceptions, filepath, fsnotify, hashable
+ , hourglass, mtl, prettyprinter, safe-exceptions, stm
+ , template-haskell, terminal-size, text, text-short, transformers
+ , unix
+ }:
+ mkDerivation {
+ pname = "core-program";
+ version = "0.2.12.0";
+ sha256 = "0gl1cv82h2ax8gf1jjqphkj2481plz64jqq497rvyy2cpqv72kvr";
+ libraryHaskellDepends = [
+ async base bytestring chronologique core-data core-text directory
+ exceptions filepath fsnotify hashable hourglass mtl prettyprinter
+ safe-exceptions stm template-haskell terminal-size text text-short
+ transformers unix
+ ];
+ description = "Opinionated Haskell Interoperability";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"core-text" = callPackage
@@ -64866,14 +65447,32 @@ self: {
}:
mkDerivation {
pname = "core-text";
- version = "0.3.0.0";
- sha256 = "103fd1bbhkh1l9di0f88yxl9mdaxjh31pgg49bk6yhx9cmdhgn1x";
+ version = "0.3.2.0";
+ sha256 = "1dxxw75xdb1r9vcxfg52z7fg7a1050n8a9c8ndakgxqh5c9j6xqq";
libraryHaskellDepends = [
ansi-terminal base bytestring colour deepseq fingertree hashable
prettyprinter template-haskell text text-short
];
description = "A rope type based on a finger tree over UTF-8 fragments";
- license = lib.licenses.bsd3;
+ license = lib.licenses.mit;
+ }) {};
+
+ "core-text_0_3_4_0" = callPackage
+ ({ mkDerivation, ansi-terminal, base, bytestring, colour, deepseq
+ , fingertree, hashable, prettyprinter, template-haskell, text
+ , text-short
+ }:
+ mkDerivation {
+ pname = "core-text";
+ version = "0.3.4.0";
+ sha256 = "1013s28p90fifkkqldh35wrdrs86fhan0r8q54imb7b8c7lasj3r";
+ libraryHaskellDepends = [
+ ansi-terminal base bytestring colour deepseq fingertree hashable
+ prettyprinter template-haskell text text-short
+ ];
+ description = "A rope type based on a finger tree over UTF-8 fragments";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"corebot-bliki" = callPackage
@@ -65246,6 +65845,21 @@ self: {
broken = true;
}) {};
+ "covariance" = callPackage
+ ({ mkDerivation, base, glasso, hmatrix, statistics, tasty
+ , tasty-hunit, vector
+ }:
+ mkDerivation {
+ pname = "covariance";
+ version = "0.1.0.5";
+ sha256 = "0ahbr930imp1qf67zdalk67zykp1q6dm141wcrb6pkv6ldjavv2p";
+ libraryHaskellDepends = [ base glasso hmatrix statistics vector ];
+ testHaskellDepends = [ base hmatrix tasty tasty-hunit ];
+ description = "Well-conditioned estimation of large-dimensional covariance matrices";
+ license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ dschrempf ];
+ }) {};
+
"coverage" = callPackage
({ mkDerivation, base, hspec, HUnit, QuickCheck }:
mkDerivation {
@@ -65559,7 +66173,6 @@ self: {
];
description = "Cassandra CQL binary protocol";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"cql-io" = callPackage
@@ -65811,7 +66424,8 @@ self: {
description = "Crack various integer, floating-point data formats";
license = lib.licenses.bsd3;
platforms = [
- "armv7l-linux" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ "aarch64-darwin" "armv7l-linux" "i686-linux" "x86_64-darwin"
+ "x86_64-linux"
];
hydraPlatforms = lib.platforms.none;
}) {};
@@ -65832,7 +66446,8 @@ self: {
description = "Crack various integer and floating-point data formats";
license = lib.licenses.bsd3;
platforms = [
- "armv7l-linux" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ "aarch64-darwin" "armv7l-linux" "i686-linux" "x86_64-darwin"
+ "x86_64-linux"
];
}) {};
@@ -65852,7 +66467,8 @@ self: {
description = "Crack various integer and floating-point data formats";
license = lib.licenses.bsd3;
platforms = [
- "armv7l-linux" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ "aarch64-darwin" "armv7l-linux" "i686-linux" "x86_64-darwin"
+ "x86_64-linux"
];
hydraPlatforms = lib.platforms.none;
}) {};
@@ -66004,8 +66620,6 @@ self: {
benchmarkHaskellDepends = [ base bytestring criterion ];
description = "Implements various Cyclic Redundancy Checks (CRC)";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"crc16" = callPackage
@@ -66094,8 +66708,8 @@ self: {
}:
mkDerivation {
pname = "creatur";
- version = "5.9.28";
- sha256 = "11fscbkzyzajgg6m50s5x4rmxy1mxrgiqflamqxiwsyj9szw16xl";
+ version = "5.9.30";
+ sha256 = "1zxg8zkm1n78c80z6xqnnx6x8jzpfx0lfdjn26i9p3rr3wd78bf3";
libraryHaskellDepends = [
array base binary bytestring cereal cond directory exceptions
filepath gray-extended hdaemonize hsyslog MonadRandom mtl random
@@ -66152,6 +66766,7 @@ self: {
description = "Secure Credentials Storage and Distribution";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"credentials-cli" = callPackage
@@ -66296,10 +66911,10 @@ self: {
}:
mkDerivation {
pname = "criterion";
- version = "1.5.9.0";
- sha256 = "0qhlylhra1d3vzk6miqv0gdrn10gw03bdwv8b4bfmdzgpf0zgqr1";
- revision = "1";
- editedCabalFile = "140444pqw65vsqpa168c13cljb66rdgvq41mxnvds296wxq2yz7i";
+ version = "1.5.10.0";
+ sha256 = "0akws27z3i9381xrb0p0h5qicz4w5nnxy8jq7gk68gi50gj0flxq";
+ revision = "2";
+ editedCabalFile = "11jdxivx971fbwrg8g0pq365kg6zr6gs06zc5jcjhj34pyr6xpdr";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -66323,6 +66938,44 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "criterion_1_5_11_0" = callPackage
+ ({ mkDerivation, aeson, ansi-wl-pprint, base, base-compat
+ , base-compat-batteries, binary, binary-orphans, bytestring
+ , cassava, code-page, containers, criterion-measurement, deepseq
+ , directory, exceptions, filepath, Glob, HUnit, js-chart
+ , microstache, mtl, mwc-random, optparse-applicative, parsec
+ , QuickCheck, statistics, tasty, tasty-hunit, tasty-quickcheck
+ , text, time, transformers, transformers-compat, vector
+ , vector-algorithms
+ }:
+ mkDerivation {
+ pname = "criterion";
+ version = "1.5.11.0";
+ sha256 = "1lwawng4rmhmqqn8gsalfn4xj2n19gwz7pl4wjssicl5qgmnvp8s";
+ isLibrary = true;
+ isExecutable = true;
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ aeson ansi-wl-pprint base base-compat-batteries binary
+ binary-orphans bytestring cassava code-page containers
+ criterion-measurement deepseq directory exceptions filepath Glob
+ js-chart microstache mtl mwc-random optparse-applicative parsec
+ statistics text time transformers transformers-compat vector
+ vector-algorithms
+ ];
+ executableHaskellDepends = [
+ base base-compat-batteries optparse-applicative
+ ];
+ testHaskellDepends = [
+ aeson base base-compat base-compat-batteries bytestring deepseq
+ directory HUnit QuickCheck statistics tasty tasty-hunit
+ tasty-quickcheck vector
+ ];
+ description = "Robust, reliable performance measurement and analysis";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"criterion-cmp" = callPackage
({ mkDerivation, ansi-terminal, base, boxes, bytestring, cassava
, containers, filepath, optparse-applicative, vector
@@ -66572,6 +67225,20 @@ self: {
broken = true;
}) {};
+ "cropty" = callPackage
+ ({ mkDerivation, base, binary, bytestring, cryptonite, hedgehog
+ , unliftio
+ }:
+ mkDerivation {
+ pname = "cropty";
+ version = "0.3.1.0";
+ sha256 = "1syffvzak02j5rha2wc61yjw9g98g0mqq2j2smv1ri8y0p43gdii";
+ libraryHaskellDepends = [ base binary bytestring cryptonite ];
+ testHaskellDepends = [ base binary hedgehog unliftio ];
+ description = "Encryption and decryption";
+ license = lib.licenses.mit;
+ }) {};
+
"cruncher-types" = callPackage
({ mkDerivation, aeson, base, containers, hlint, lens, text }:
mkDerivation {
@@ -67204,6 +67871,28 @@ self: {
broken = true;
}) {cryptohash-sha256-pure = null;};
+ "cryptohash-sha256_0_11_102_1" = callPackage
+ ({ mkDerivation, base, base16-bytestring, bytestring, criterion
+ , SHA, tasty, tasty-hunit, tasty-quickcheck
+ }:
+ mkDerivation {
+ pname = "cryptohash-sha256";
+ version = "0.11.102.1";
+ sha256 = "1xkb7iqplbw4fy1122p79xf1zcb7k44rl0wmfj1q06l7cdqxr9vk";
+ configureFlags = [ "-fuse-cbits" ];
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [ base bytestring ];
+ testHaskellDepends = [
+ base base16-bytestring bytestring SHA tasty tasty-hunit
+ tasty-quickcheck
+ ];
+ benchmarkHaskellDepends = [ base bytestring criterion SHA ];
+ description = "Fast, pure and practical SHA-256 implementation";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"cryptohash-sha512" = callPackage
({ mkDerivation, base, base16-bytestring, bytestring, criterion
, SHA, tasty, tasty-hunit, tasty-quickcheck
@@ -67283,14 +67972,14 @@ self: {
, criterion, cryptohash-sha1, deepseq, directory, exceptions, extra
, filepath, ghc-prim, gitrev, GraphSCC, happy, haskeline, heredoc
, integer-gmp, libBF, MemoTrie, monad-control, monadLib, mtl
- , optparse-applicative, panic, parameterized-utils, pretty, process
- , random, sbv, simple-smt, stm, strict, temporary, text, tf-random
+ , optparse-applicative, panic, parameterized-utils, prettyprinter
+ , process, sbv, simple-smt, stm, strict, temporary, text, tf-random
, time, transformers, transformers-base, what4
}:
mkDerivation {
pname = "cryptol";
- version = "2.11.0";
- sha256 = "05h751lxyym27b5kfrcss5vjg4ifpl4lqgxvvp6fz4mpbigm7ds3";
+ version = "2.12.0";
+ sha256 = "13h5bd6xqh3x6jqdv8a25ffyj10wdyam0flzpdxi4zd23z5b2ihp";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -67298,14 +67987,14 @@ self: {
array async base base-compat bv-sized bytestring containers
cryptohash-sha1 deepseq directory exceptions filepath ghc-prim
gitrev GraphSCC heredoc integer-gmp libBF MemoTrie monad-control
- monadLib mtl panic parameterized-utils pretty process random sbv
+ monadLib mtl panic parameterized-utils prettyprinter process sbv
simple-smt stm strict text tf-random time transformers-base what4
];
libraryToolDepends = [ alex happy ];
executableHaskellDepends = [
ansi-terminal base base-compat blaze-html containers directory
- extra filepath haskeline monad-control mtl optparse-applicative
- process temporary text transformers
+ exceptions extra filepath haskeline monad-control mtl
+ optparse-applicative process temporary text transformers
];
benchmarkHaskellDepends = [
base criterion deepseq directory filepath sbv text
@@ -67531,8 +68220,8 @@ self: {
}:
mkDerivation {
pname = "csound-expression";
- version = "5.4.1";
- sha256 = "0dyafw91ycsr71sxf7z3fbvfbp9vh8l260l9ygfxlrg37971l4pj";
+ version = "5.4.3";
+ sha256 = "00hd0sb1787cx7yppg2f3zkd3y8d75fsmf460qnsxc77m4qw5388";
libraryHaskellDepends = [
base Boolean colour containers csound-expression-dynamic
csound-expression-opcodes csound-expression-typed data-default
@@ -67549,8 +68238,8 @@ self: {
}:
mkDerivation {
pname = "csound-expression-dynamic";
- version = "0.3.7";
- sha256 = "1qx9qig18y89k4sxpn333hvqz74c6f56nbvaf8dfbawx5asar0jm";
+ version = "0.3.8";
+ sha256 = "0q94d228zsjrnjzl3w11i4cqdnx3w1mcvsrf3w6jrvysrn1zskbz";
libraryHaskellDepends = [
array base Boolean containers data-default data-fix data-fix-cse
deriving-compat hashable transformers wl-pprint
@@ -67582,8 +68271,8 @@ self: {
}:
mkDerivation {
pname = "csound-expression-typed";
- version = "0.2.5";
- sha256 = "1bid3wxg879l69w8c1vcana0xxrggxv30dw9bqi8zww2w23id54q";
+ version = "0.2.7";
+ sha256 = "1mh1mfyi2vx8ykyc1ca8vpbi545fkp7f0ss5nw6dkykl6zm7pj6d";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base Boolean colour containers csound-expression-dynamic
@@ -68015,21 +68704,21 @@ self: {
}) {};
"cuckoo" = callPackage
- ({ mkDerivation, base, bytestring, criterion, cryptonite, doctest
- , hashable, memory, primitive, QuickCheck, random, stopwatch
- , vector
+ ({ mkDerivation, base, blake2, bytestring, criterion, doctest
+ , hashable, hashes, primitive, QuickCheck, random, stopwatch
}:
mkDerivation {
pname = "cuckoo";
- version = "0.2.2";
- sha256 = "1wm81a5fsq0wdvx3ayxfrljya7rm9c0vfmy5dhxa6h9zxnqrkvav";
- libraryHaskellDepends = [ base memory primitive random vector ];
+ version = "0.3.0";
+ sha256 = "11p7f1br9jyjdwaviy94xwq1jg3kgq5q1pqls20sakgm1f71axls";
+ libraryHaskellDepends = [
+ base bytestring hashes primitive random
+ ];
testHaskellDepends = [
- base bytestring cryptonite doctest hashable memory primitive
- stopwatch
+ base blake2 bytestring doctest hashable stopwatch
];
benchmarkHaskellDepends = [
- base bytestring criterion memory QuickCheck stopwatch
+ base bytestring criterion QuickCheck stopwatch
];
doHaddock = false;
description = "Haskell Implementation of Cuckoo Filters";
@@ -68227,7 +68916,6 @@ self: {
testHaskellDepends = [ base hspec ];
description = "bindings to libcurl, the multiprotocol file transfer library";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"curly-expander" = callPackage
@@ -68266,7 +68954,6 @@ self: {
];
description = "Types representing standard and non-standard currencies";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"currency-codes" = callPackage
@@ -68433,6 +69120,7 @@ self: {
description = "Easy to use FFI Bridge for using Rust in Haskell";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"cursedcsv" = callPackage
@@ -68797,8 +69485,6 @@ self: {
];
description = "Permissively licensed D-Bus client library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"d10" = callPackage
@@ -69440,6 +70126,7 @@ self: {
description = "Accessor functions for monadLib's monads";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"data-accessor-monads-fd" = callPackage
@@ -70814,6 +71501,8 @@ self: {
pname = "data-reify";
version = "0.6.3";
sha256 = "1sacbil9xn1n2085wpa0dq7ikf1wvh2kkddnvmwsp22ssx059h55";
+ revision = "1";
+ editedCabalFile = "137z993v7af9ym468vprys09416c7l7pys5hrng7k5vafga73y3b";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -70934,6 +71623,49 @@ self: {
broken = true;
}) {};
+ "data-sketches" = callPackage
+ ({ mkDerivation, base, criterion, data-sketches-core, ghc-prim
+ , hspec, hspec-discover, mtl, mwc-random, pretty-show, primitive
+ , QuickCheck, statistics, vector, vector-algorithms
+ }:
+ mkDerivation {
+ pname = "data-sketches";
+ version = "0.3.1.0";
+ sha256 = "0a3157ch2l2vn6s1b6mcfjw3lnvp45vm3dykzbjmfglhz7x9dxbz";
+ libraryHaskellDepends = [
+ base data-sketches-core ghc-prim mtl mwc-random primitive vector
+ vector-algorithms
+ ];
+ testHaskellDepends = [
+ base data-sketches-core ghc-prim hspec hspec-discover mtl
+ mwc-random pretty-show primitive QuickCheck statistics vector
+ vector-algorithms
+ ];
+ testToolDepends = [ hspec-discover ];
+ benchmarkHaskellDepends = [
+ base criterion data-sketches-core ghc-prim mtl mwc-random primitive
+ vector vector-algorithms
+ ];
+ license = lib.licenses.asl20;
+ }) {};
+
+ "data-sketches-core" = callPackage
+ ({ mkDerivation, base, deepseq, ghc-prim, mwc-random, primitive
+ , vector, vector-algorithms
+ }:
+ mkDerivation {
+ pname = "data-sketches-core";
+ version = "0.1.0.0";
+ sha256 = "0ffw8ppgv1ifqh43nr3730qc188dg65d4bswsk0vj519fw578m93";
+ libraryHaskellDepends = [
+ base deepseq ghc-prim mwc-random primitive vector vector-algorithms
+ ];
+ testHaskellDepends = [
+ base deepseq ghc-prim mwc-random primitive vector vector-algorithms
+ ];
+ license = lib.licenses.bsd3;
+ }) {};
+
"data-spacepart" = callPackage
({ mkDerivation, base, vector-space }:
mkDerivation {
@@ -72440,19 +73172,19 @@ self: {
({ mkDerivation, base, containers, directory, filepath, glew
, inline-c, inline-c-cpp, managed, megaparsec, parser-combinators
, scientific, SDL2, sdl2, StateVar, template-haskell, text, th-lift
- , transformers, unliftio, unordered-containers
+ , transformers, unliftio, unordered-containers, vector
}:
mkDerivation {
pname = "dear-imgui";
- version = "1.0.1";
- sha256 = "06w88awpcgjdj7d0alikswcqg76gn7pv8njn7dvb4w8dxllypib2";
+ version = "1.2.1";
+ sha256 = "1wciaz2yxg7nk4hfc9a7gr2r5gx3fjp9h320lbx4a07zz6q3hqh4";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
base containers directory filepath inline-c inline-c-cpp managed
megaparsec parser-combinators scientific sdl2 StateVar
template-haskell text th-lift transformers unliftio
- unordered-containers
+ unordered-containers vector
];
libraryPkgconfigDepends = [ glew SDL2 ];
doHaddock = false;
@@ -72500,7 +73232,6 @@ self: {
libraryHaskellDepends = [ base directory filepath HSH ];
description = "Utilities to work with debian binary packages";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"debian-build" = callPackage
@@ -72509,8 +73240,8 @@ self: {
}:
mkDerivation {
pname = "debian-build";
- version = "0.10.2.0";
- sha256 = "1yqswr5cvv2yzl15nylvnf2x7cshz482fgfi1nnm22vq71zszn2x";
+ version = "0.10.2.1";
+ sha256 = "1114xaqmhx74w0zqdksj6c1ggmfglcshhsxrw89gai5kzy47zp9d";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -73062,6 +73793,7 @@ self: {
description = "The base modules of the Definitive framework";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"definitive-filesystem" = callPackage
@@ -73181,22 +73913,6 @@ self: {
}) {};
"dejafu" = callPackage
- ({ mkDerivation, base, concurrency, containers, contravariant
- , deepseq, exceptions, leancheck, profunctors, random, transformers
- }:
- mkDerivation {
- pname = "dejafu";
- version = "2.4.0.2";
- sha256 = "1hwhyxjjwapk6jgsbs0vh033xbc9b8mr5iqd93vskvvljnb40v9h";
- libraryHaskellDepends = [
- base concurrency containers contravariant deepseq exceptions
- leancheck profunctors random transformers
- ];
- description = "A library for unit-testing concurrent programs";
- license = lib.licenses.mit;
- }) {};
-
- "dejafu_2_4_0_3" = callPackage
({ mkDerivation, base, concurrency, containers, contravariant
, deepseq, exceptions, leancheck, profunctors, random, transformers
}:
@@ -73210,7 +73926,6 @@ self: {
];
description = "A library for unit-testing concurrent programs";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"deka" = callPackage
@@ -73485,8 +74200,8 @@ self: {
}:
mkDerivation {
pname = "dep-t";
- version = "0.4.4.0";
- sha256 = "1qknqh9j7nvyy15zfi3prx6bifp2nd72kgfd085p478z887i71r4";
+ version = "0.4.5.0";
+ sha256 = "0hmyfq7xkz3l7d2f3d9ax782b6h0vvq1cfcxxnj1dg29hrnpd1ja";
libraryHaskellDepends = [ base mtl transformers unliftio-core ];
testHaskellDepends = [
base doctest mtl rank2classes sop-core tasty tasty-hunit
@@ -73505,8 +74220,8 @@ self: {
}:
mkDerivation {
pname = "dep-t-advice";
- version = "0.4.6.0";
- sha256 = "1v1nn0qbr3l7hh3f5aw6ril8ifzg6r8im7gpyj5plfbp272v4gs9";
+ version = "0.4.6.1";
+ sha256 = "1afr4qjzxvf4h56l0m1zjxy2m9vxs51wj1rcvxpqr3q9hvdg17vx";
libraryHaskellDepends = [ base dep-t sop-core transformers ];
testHaskellDepends = [
barbies base dep-t doctest mtl rank2classes sop-core tasty
@@ -73559,6 +74274,43 @@ self: {
broken = true;
}) {};
+ "dependent-literals" = callPackage
+ ({ mkDerivation, base, fin-int, numeric-kinds, sint, snumber
+ , tagged, wrapped
+ }:
+ mkDerivation {
+ pname = "dependent-literals";
+ version = "0.1.1.0";
+ sha256 = "0dw2xc5l8cmhsmr2akyyhyfj8m3qx02pz81fn52ii9mkhzs6rcjb";
+ revision = "2";
+ editedCabalFile = "1l3fns5gi8l5bav6z3ymvp93i1cq59f9b6p3dc496c0rmkh01kjq";
+ libraryHaskellDepends = [
+ base fin-int numeric-kinds sint snumber tagged wrapped
+ ];
+ description = "Library for dependent-literals-plugin";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "dependent-literals-plugin" = callPackage
+ ({ mkDerivation, base, dependent-literals, fin-int, ghc
+ , numeric-kinds, short-vec, sint, snumber, syb, wrapped
+ }:
+ mkDerivation {
+ pname = "dependent-literals-plugin";
+ version = "0.1.0.1";
+ sha256 = "1rpjlcv3g150rcmxidn48n25xxv2ghdz4x9jnap1swkz0fb10i3a";
+ revision = "2";
+ editedCabalFile = "1bfsv402cxwhwz22gqj8p0grr4wb9ynsd2swnwy1m6xdsfi1wdy4";
+ libraryHaskellDepends = [ base ghc syb ];
+ testHaskellDepends = [
+ base dependent-literals fin-int numeric-kinds short-vec sint
+ snumber wrapped
+ ];
+ description = "Dependent integer literals";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"dependent-map_0_2_4_0" = callPackage
({ mkDerivation, base, containers, dependent-sum }:
mkDerivation {
@@ -73586,7 +74338,6 @@ self: {
];
description = "Dependent finite maps (partial dependent products)";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"dependent-monoidal-map" = callPackage
@@ -73780,15 +74531,15 @@ self: {
}) {};
"deque" = callPackage
- ({ mkDerivation, base, hashable, mtl, QuickCheck
+ ({ mkDerivation, base, deepseq, hashable, mtl, QuickCheck
, quickcheck-instances, rerebase, strict-list, tasty, tasty-hunit
, tasty-quickcheck
}:
mkDerivation {
pname = "deque";
- version = "0.4.3";
- sha256 = "19apwmcykprz3a91wszmc1w3qcz4x3rq79gmik514fszi9yhwsmp";
- libraryHaskellDepends = [ base hashable mtl strict-list ];
+ version = "0.4.4";
+ sha256 = "1x0rjdqgf4kwgpyisx618waz6r9gki3ivav9m4biysyc04hdhinn";
+ libraryHaskellDepends = [ base deepseq hashable mtl strict-list ];
testHaskellDepends = [
QuickCheck quickcheck-instances rerebase tasty tasty-hunit
tasty-quickcheck
@@ -74022,6 +74773,8 @@ self: {
pname = "deriving-aeson";
version = "0.2.7";
sha256 = "02mx8z1cgqn9wgwcp94am2g655hhn7sn12sikmm8jhbldnyv3ziz";
+ revision = "1";
+ editedCabalFile = "0qgkjl7h1d4w119a97wrsznnqys02mlkwv9hic1715swg0cp05ix";
libraryHaskellDepends = [ aeson base ];
testHaskellDepends = [ aeson base bytestring ];
description = "Type driven generic aeson instance customisation";
@@ -74051,6 +74804,30 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "deriving-compat_0_6" = callPackage
+ ({ mkDerivation, base, base-compat, base-orphans, containers
+ , ghc-boot-th, ghc-prim, hspec, hspec-discover, QuickCheck, tagged
+ , template-haskell, th-abstraction, transformers
+ , transformers-compat, void
+ }:
+ mkDerivation {
+ pname = "deriving-compat";
+ version = "0.6";
+ sha256 = "0yy4gm4wf9ivwfz2hwc7j3kavbya1p01s49fdgnzisgsk3h9xvnp";
+ libraryHaskellDepends = [
+ base containers ghc-boot-th ghc-prim template-haskell
+ th-abstraction transformers transformers-compat
+ ];
+ testHaskellDepends = [
+ base base-compat base-orphans hspec QuickCheck tagged
+ template-haskell transformers transformers-compat void
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "Backports of GHC deriving extensions";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"deriving-show-simple" = callPackage
({ mkDerivation, base, HUnit }:
mkDerivation {
@@ -74686,6 +75463,63 @@ self: {
maintainers = with lib.maintainers; [ Gabriel439 ];
}) {};
+ "dhall_1_40_1" = callPackage
+ ({ mkDerivation, aeson, aeson-pretty, ansi-terminal, atomic-write
+ , base, bytestring, case-insensitive, cborg, cborg-json, containers
+ , contravariant, cryptonite, data-fix, deepseq, Diff, directory
+ , doctest, dotgen, either, exceptions, filepath, foldl, gauge
+ , generic-random, half, hashable, haskeline, http-client
+ , http-client-tls, http-types, lens-family-core, megaparsec, memory
+ , mmorph, mockery, mtl, network-uri, optparse-applicative
+ , parser-combinators, parsers, pretty-simple, prettyprinter
+ , prettyprinter-ansi-terminal, profunctors, QuickCheck
+ , quickcheck-instances, repline, scientific, serialise
+ , special-values, spoon, tasty, tasty-expected-failure, tasty-hunit
+ , tasty-quickcheck, tasty-silver, template-haskell, temporary, text
+ , text-manipulate, th-lift-instances, time, transformers, turtle
+ , unordered-containers, uri-encode, vector
+ }:
+ mkDerivation {
+ pname = "dhall";
+ version = "1.40.1";
+ sha256 = "0m2fw9ak9l6fz8ylpbi0cdihf2j66jlnd5j3vf56r7wlqgbkxhi1";
+ revision = "2";
+ editedCabalFile = "0vywq222wyy8rs4114d0pq70yji7xh440i0ilqbmfywjfj2gm1pj";
+ isLibrary = true;
+ isExecutable = true;
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ aeson aeson-pretty ansi-terminal atomic-write base bytestring
+ case-insensitive cborg cborg-json containers contravariant
+ cryptonite data-fix deepseq Diff directory dotgen either exceptions
+ filepath half hashable haskeline http-client http-client-tls
+ http-types lens-family-core megaparsec memory mmorph mtl
+ network-uri optparse-applicative parser-combinators parsers
+ pretty-simple prettyprinter prettyprinter-ansi-terminal profunctors
+ repline scientific serialise template-haskell text text-manipulate
+ th-lift-instances time transformers unordered-containers uri-encode
+ vector
+ ];
+ executableHaskellDepends = [ base ];
+ testHaskellDepends = [
+ base bytestring cborg containers data-fix deepseq directory doctest
+ either filepath foldl generic-random http-client http-client-tls
+ lens-family-core megaparsec mockery prettyprinter QuickCheck
+ quickcheck-instances scientific serialise special-values spoon
+ tasty tasty-expected-failure tasty-hunit tasty-quickcheck
+ tasty-silver template-haskell temporary text time transformers
+ turtle unordered-containers vector
+ ];
+ benchmarkHaskellDepends = [
+ base bytestring containers directory gauge text
+ ];
+ doCheck = false;
+ description = "A configuration language guaranteed to terminate";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ Gabriel439 ];
+ }) {};
+
"dhall-bash" = callPackage
({ mkDerivation, base, bytestring, containers, dhall
, neat-interpolation, optparse-generic, shell-escape, text
@@ -74708,6 +75542,29 @@ self: {
maintainers = with lib.maintainers; [ Gabriel439 ];
}) {};
+ "dhall-bash_1_0_38" = callPackage
+ ({ mkDerivation, base, bytestring, containers, dhall
+ , neat-interpolation, optparse-generic, shell-escape, text
+ }:
+ mkDerivation {
+ pname = "dhall-bash";
+ version = "1.0.38";
+ sha256 = "0pfwqz4l3nn4mr16pv5703j517g3nsgzpmiv18kzl4fs6141d881";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring containers dhall neat-interpolation shell-escape
+ text
+ ];
+ executableHaskellDepends = [
+ base bytestring dhall optparse-generic text
+ ];
+ description = "Compile Dhall to Bash";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ Gabriel439 ];
+ }) {};
+
"dhall-check" = callPackage
({ mkDerivation, base, containers, dhall, directory, filepath
, fsnotify, text, trifecta
@@ -74727,6 +75584,40 @@ self: {
broken = true;
}) {};
+ "dhall-csv" = callPackage
+ ({ mkDerivation, ansi-terminal, base, bytestring, cassava
+ , containers, dhall, either, exceptions, filepath
+ , optparse-applicative, prettyprinter, prettyprinter-ansi-terminal
+ , tasty, tasty-hunit, tasty-silver, text, unordered-containers
+ , vector
+ }:
+ mkDerivation {
+ pname = "dhall-csv";
+ version = "1.0.0";
+ sha256 = "1dg310mq4c00ykkm1vsvrcicls25zbx7iypcg0nqa8ggchac5jmh";
+ revision = "1";
+ editedCabalFile = "06mya0h8kw8609chxrbkq24gi7yk3fviz2q6balyv5gp3wivzzvg";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring cassava containers dhall either exceptions filepath
+ optparse-applicative prettyprinter text unordered-containers vector
+ ];
+ executableHaskellDepends = [
+ ansi-terminal base bytestring cassava dhall optparse-applicative
+ prettyprinter prettyprinter-ansi-terminal text unordered-containers
+ vector
+ ];
+ testHaskellDepends = [
+ base bytestring cassava dhall filepath tasty tasty-hunit
+ tasty-silver text unordered-containers vector
+ ];
+ description = "Convert bidirectionally between Dhall and CSV files";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"dhall-docs" = callPackage
({ mkDerivation, base, bytestring, containers, cryptonite, dhall
, directory, doctest, file-embed, filepath, foldl, hashable
@@ -74736,10 +75627,10 @@ self: {
}:
mkDerivation {
pname = "dhall-docs";
- version = "1.0.6";
- sha256 = "004n8kh8riw67aqwp6z9199jwv2c9r1dbkg92s71vd9zc04wxljv";
+ version = "1.0.7";
+ sha256 = "1h7bzpp3xa5m8zknhi24q0wh1n6w6z26ka780mdsbmchhhj59njm";
revision = "2";
- editedCabalFile = "1z41z96qawc1i818ycp1ycdpxnysynipjjbi1pmrrgglv89hqjlq";
+ editedCabalFile = "19mn12l8qljrf41n0xs58hqi89xaaab4wp6dldvi7pym276zg7pn";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -74824,6 +75715,37 @@ self: {
maintainers = with lib.maintainers; [ Gabriel439 ];
}) {};
+ "dhall-json_1_7_8" = callPackage
+ ({ mkDerivation, aeson, aeson-pretty, aeson-yaml, ansi-terminal
+ , base, bytestring, containers, dhall, exceptions, filepath
+ , lens-family-core, optparse-applicative, prettyprinter
+ , prettyprinter-ansi-terminal, scientific, tasty, tasty-hunit
+ , tasty-silver, text, unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "dhall-json";
+ version = "1.7.8";
+ sha256 = "0g4c71di93r6vnbhajsj5k3ivhzvn0n0aicvvc2j9nbjfhvahd3h";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson aeson-pretty aeson-yaml base bytestring containers dhall
+ exceptions filepath lens-family-core optparse-applicative
+ prettyprinter scientific text unordered-containers vector
+ ];
+ executableHaskellDepends = [
+ aeson aeson-pretty ansi-terminal base bytestring dhall exceptions
+ optparse-applicative prettyprinter prettyprinter-ansi-terminal text
+ ];
+ testHaskellDepends = [
+ aeson base bytestring dhall tasty tasty-hunit tasty-silver text
+ ];
+ description = "Convert between Dhall and JSON or YAML";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ Gabriel439 ];
+ }) {};
+
"dhall-lex" = callPackage
({ mkDerivation, alex, array, base, bytestring, criterion, deepseq
, hspec, hspec-dirstream, scientific
@@ -74853,10 +75775,10 @@ self: {
}:
mkDerivation {
pname = "dhall-lsp-server";
- version = "1.0.15";
- sha256 = "0bq6k92g22vdym9zyj95gx052yyzvgr1jv7yszlcj8p5angbxdqy";
+ version = "1.0.16";
+ sha256 = "04s4kvbjp4ai17l64syram0br3qc4fpz669ps24r8fkcbbaczckq";
revision = "1";
- editedCabalFile = "0l1y8c02i4ydh3y67br1727al9xahpld879pinwgyv45f30n1jcb";
+ editedCabalFile = "1xhi855rcfm38p5wb8zk51m10m4afpxaglmhrinm4h2awawfvzpz";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -74899,6 +75821,29 @@ self: {
maintainers = with lib.maintainers; [ Gabriel439 ];
}) {};
+ "dhall-nix_1_1_22" = callPackage
+ ({ mkDerivation, base, containers, data-fix, dhall, hnix
+ , lens-family-core, neat-interpolation, optparse-generic, text
+ }:
+ mkDerivation {
+ pname = "dhall-nix";
+ version = "1.1.22";
+ sha256 = "1da64h52fz4imvk7hqblri27zg54r9rcs6hlyzkbnqg4yivpiclg";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base containers data-fix dhall hnix lens-family-core
+ neat-interpolation text
+ ];
+ executableHaskellDepends = [
+ base dhall hnix optparse-generic text
+ ];
+ description = "Dhall to Nix compiler";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ Gabriel439 ];
+ }) {};
+
"dhall-nixpkgs" = callPackage
({ mkDerivation, aeson, base, data-fix, dhall, foldl, hnix
, lens-family-core, megaparsec, mmorph, neat-interpolation
@@ -74907,10 +75852,10 @@ self: {
}:
mkDerivation {
pname = "dhall-nixpkgs";
- version = "1.0.5";
- sha256 = "10gvkw2rdmz7pgr9675xqnhkgi8pwjid77mwsriid81d703z2b6j";
- revision = "2";
- editedCabalFile = "1kb2bn9v6p6ma016gaq3332vpcd6rdalrmcvxzzys4vaa0l6nl68";
+ version = "1.0.6";
+ sha256 = "12sfxz7n86m69m1xbnrrr1ybggh70rfwmr4maflq522bhkc2hgvk";
+ revision = "1";
+ editedCabalFile = "0xy1g9ab355mdkcq30z091rr33sfw98jqjldmawrcq0yxb2gb2s6";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -74930,10 +75875,10 @@ self: {
}:
mkDerivation {
pname = "dhall-openapi";
- version = "1.0.1";
- sha256 = "1n34amb97dqpvz0s3mhqb46gjq1ix1gicsq700z6v6y7xssrgbz2";
+ version = "1.0.2";
+ sha256 = "1p678nn1gfj2xp0kmw8i5pzsv6s5bpnsmyng45adb9pnpiyxbcyj";
revision = "1";
- editedCabalFile = "03axb4pqa5p8fkdqxjz1xni8fxg66xr3pshfs2p3y13bgn0kn5z2";
+ editedCabalFile = "1mbl9ximmblz1cdm07sk8lwsxdxknhlipx91amd155xpqs72i8jg";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -74958,6 +75903,8 @@ self: {
pname = "dhall-recursive-adt";
version = "0.1.0.1";
sha256 = "09s3m86vflj5im2walab8d0wpvihsvxc5mzy55m10pfzr3gxsd11";
+ revision = "1";
+ editedCabalFile = "0phfa1y6fic6wyvm3bp56z4sj43wbf75rzggzgf763vivlnvc7xw";
libraryHaskellDepends = [ base data-fix dhall recursion-schemes ];
testHaskellDepends = [
base dhall either hedgehog recursion-schemes tasty tasty-hedgehog
@@ -75017,6 +75964,32 @@ self: {
broken = true;
}) {};
+ "dhall-toml" = callPackage
+ ({ mkDerivation, base, containers, dhall, directory, doctest
+ , filepath, prettyprinter, tasty, tasty-hunit, text, tomland
+ , unordered-containers
+ }:
+ mkDerivation {
+ pname = "dhall-toml";
+ version = "1.0.0";
+ sha256 = "13pz6y0l70h3x62wkhay2jiws6s5m6dy9v7kpd2c5mqzaspfy0w2";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base containers dhall prettyprinter text tomland
+ unordered-containers
+ ];
+ executableHaskellDepends = [ base ];
+ testHaskellDepends = [
+ base dhall directory doctest filepath tasty tasty-hunit text
+ tomland
+ ];
+ description = "Convert between Dhall and TOML";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"dhall-yaml" = callPackage
({ mkDerivation, aeson, ansi-terminal, base, bytestring, dhall
, dhall-json, exceptions, HsYAML, HsYAML-aeson
@@ -75046,6 +76019,36 @@ self: {
maintainers = with lib.maintainers; [ Gabriel439 ];
}) {};
+ "dhall-yaml_1_2_8" = callPackage
+ ({ mkDerivation, aeson, ansi-terminal, base, bytestring, dhall
+ , dhall-json, exceptions, HsYAML, HsYAML-aeson
+ , optparse-applicative, prettyprinter, prettyprinter-ansi-terminal
+ , tasty, tasty-expected-failure, tasty-hunit, text, vector
+ }:
+ mkDerivation {
+ pname = "dhall-yaml";
+ version = "1.2.8";
+ sha256 = "1p766ybwib3f2i5h7m1hh71vc255ahvf7237bpprdapqw3ag8nak";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base bytestring dhall dhall-json HsYAML HsYAML-aeson
+ optparse-applicative text vector
+ ];
+ executableHaskellDepends = [
+ aeson ansi-terminal base bytestring dhall dhall-json exceptions
+ optparse-applicative prettyprinter prettyprinter-ansi-terminal text
+ ];
+ testHaskellDepends = [
+ base bytestring dhall dhall-json tasty tasty-expected-failure
+ tasty-hunit text
+ ];
+ description = "Convert between Dhall and YAML";
+ license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ Gabriel439 ];
+ }) {};
+
"dhcp-lease-parser" = callPackage
({ mkDerivation, attoparsec, base, bytestring, chronos, ip, tasty
, tasty-hunit, text
@@ -75193,7 +76196,6 @@ self: {
];
description = "DI logger wrapped for Polysemy";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"dia-base" = callPackage
@@ -75235,8 +76237,8 @@ self: {
}:
mkDerivation {
pname = "diagrams";
- version = "1.4";
- sha256 = "0fcik4vwm2zah5m3yf6p1dlf0vbs7h1jri77zfwl731bd3xgc246";
+ version = "1.4.0.1";
+ sha256 = "1y3yij2k2bpvmfxykr2s0hadbcprl1yi6z3pz4yjzqkib5s7y1mq";
libraryHaskellDepends = [
diagrams-contrib diagrams-core diagrams-lib diagrams-svg
];
@@ -75297,8 +76299,8 @@ self: {
pname = "diagrams-builder";
version = "0.8.0.5";
sha256 = "0dz617kfkvjf3f2zbphkdx1scglcjj162qsfk9xj7slbapnj918m";
- revision = "1";
- editedCabalFile = "0rcj755n729gs9rgmjwai1xacigwpyk4b91x0cadfsl7xrgqax0c";
+ revision = "2";
+ editedCabalFile = "1jys7j2s8shwh5yr7w597qd46ip5xqvszaqgpjvnx9fxazqp6r0v";
configureFlags = [ "-fcairo" "-fps" "-frasterific" "-fsvg" ];
isLibrary = true;
isExecutable = true;
@@ -75328,8 +76330,8 @@ self: {
pname = "diagrams-cairo";
version = "1.4.1.1";
sha256 = "0vyd2yr55n7x71194i18lnbcshdjpnqw4qyq7vj5zx377rsz711k";
- revision = "2";
- editedCabalFile = "0ngbshqmb86sc9djmgkn3zc9l69bn3kdml7ld6qx36dsyq6mafq9";
+ revision = "3";
+ editedCabalFile = "16jm9g9rfc7d1dy2kwq3n9wfgcj8l6c0d54lym1r6b0arc7yjqlb";
libraryHaskellDepends = [
array base bytestring cairo colour containers data-default-class
diagrams-core diagrams-lib filepath hashable JuicyPixels lens mtl
@@ -75349,8 +76351,8 @@ self: {
pname = "diagrams-canvas";
version = "1.4.1";
sha256 = "1ihmv42412d8dk3s894zd70xd386wrk9ycxkid19barry1vz5plj";
- revision = "1";
- editedCabalFile = "12p6n6g4ab81b39d031vzwfj89qi5wm27srpyigf2v0zswppdyvn";
+ revision = "2";
+ editedCabalFile = "0x8iv0998nn42l0ph90xq50ca514m3zhac4s9zl1hc5hzivj10sl";
libraryHaskellDepends = [
base blank-canvas cmdargs containers data-default-class
diagrams-core diagrams-lib lens mtl NumInstances
@@ -75374,8 +76376,8 @@ self: {
pname = "diagrams-contrib";
version = "1.4.4";
sha256 = "043jpr7lqg708lzmv6cqys7312lfdwnf8ijcnpl4jkbvcwl87c1m";
- revision = "2";
- editedCabalFile = "1mkpq356z7b2q6ifa4f1k7whsmhgn3hww8scacca0q2wnc8p4xjg";
+ revision = "3";
+ editedCabalFile = "03drxpqhyv62kzsxiabhfjiayk79fpv8wrq4qapsjbv7ph2jhx2v";
libraryHaskellDepends = [
base circle-packing colour containers cubicbezier data-default
data-default-class diagrams-core diagrams-lib diagrams-solve
@@ -75418,8 +76420,8 @@ self: {
pname = "diagrams-core";
version = "1.5.0";
sha256 = "0y3smp3hiyfdirdak3j4048cgqv7a5q9p2jb6z8na2llys5mrmdn";
- revision = "1";
- editedCabalFile = "1718pkifs74nq8bdwcm6firahy8riiinwarcxkk087brmm2rbfli";
+ revision = "2";
+ editedCabalFile = "1wbvmarr8vaki80x19lwahsvyknw2047l1kmk3ybppg5s8mfiqpa";
libraryHaskellDepends = [
adjunctions base containers distributive dual-tree lens linear
monoid-extras mtl profunctors semigroups unordered-containers
@@ -75437,8 +76439,8 @@ self: {
pname = "diagrams-graphviz";
version = "1.4.1.1";
sha256 = "0lscrxd682jvyrl5bj4dxp7593qwyis01sl0p4jm2jfn335wdq40";
- revision = "1";
- editedCabalFile = "1qx69541pxf71whfz2a913yzbhfcks2pyzfprkgrcmiiyv0a3i7b";
+ revision = "2";
+ editedCabalFile = "0cxg21lsahkrf129k5h82d4znm3861dqc597mgzwyl5f0ywhllzr";
libraryHaskellDepends = [
base containers diagrams-lib fgl graphviz split
];
@@ -75454,8 +76456,8 @@ self: {
pname = "diagrams-gtk";
version = "1.4";
sha256 = "1sga2wwkircjgryd4pn9i0wvvcnh3qnhpxas32crpdq939idwsxn";
- revision = "3";
- editedCabalFile = "0k0i3nm5zpdmrqh8wmd8y5xhw7drd67hifdva5a7dih8w5sab4ra";
+ revision = "4";
+ editedCabalFile = "1w6xykrsfmhanzy7rdrnfzsc3ny9d28kqz9sla4dygm3gay5509q";
libraryHaskellDepends = [
base cairo diagrams-cairo diagrams-lib gtk
];
@@ -75476,6 +76478,8 @@ self: {
pname = "diagrams-haddock";
version = "0.4.1";
sha256 = "0p978saxsfad6d8wkjnp6i300cf58ps02yw7a1zzhjfgk5ih2qlb";
+ revision = "1";
+ editedCabalFile = "063j6drlybzbm6bf9yfix86hs3hvgb98sgh4rzgrqkq9kvarj2ij";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -75675,8 +76679,8 @@ self: {
pname = "diagrams-postscript";
version = "1.5";
sha256 = "00j58mc84srjvrf21v6zjxxlbw6b8ahhn1jmbq697w8kw3cvygpa";
- revision = "2";
- editedCabalFile = "0dyji1b8mcxaxwzgckkk3p3ji0prrp7rsin98f1hmyjlj3ih735b";
+ revision = "3";
+ editedCabalFile = "1aq214837jk85b2l79adm5rcrv8y929aspvix7yjq1skyrqgk7pa";
libraryHaskellDepends = [
base bytestring containers data-default-class diagrams-core
diagrams-lib hashable lens monoid-extras mtl semigroups split
@@ -75712,8 +76716,8 @@ self: {
pname = "diagrams-rasterific";
version = "1.4.2.1";
sha256 = "09a1jnpc4kplg1yhv5kxhi0ph22m5xyr0vmnjv2c5wlz72c72z2z";
- revision = "1";
- editedCabalFile = "1nc12hm4qbj2lmbpk3a77cd46n5bcf20vwd075qj2vglbca2yl9b";
+ revision = "2";
+ editedCabalFile = "1vd8njhanjaa86h0g8hb6khz11bv9zvg8l4pfx0dj4ypknz4hwak";
libraryHaskellDepends = [
base bytestring containers data-default-class diagrams-core
diagrams-lib file-embed filepath FontyFruity hashable JuicyPixels
@@ -75785,8 +76789,8 @@ self: {
pname = "diagrams-svg";
version = "1.4.3";
sha256 = "1ysv6cz0fngrndl4wjmw4hrdj2rik5fxa1dkxzwnlgf1xwpvxgk8";
- revision = "3";
- editedCabalFile = "19n3g9wvp2fj4dlz3ssyjqx1b6ihskg5s595x9z2c99bpbzyykkv";
+ revision = "4";
+ editedCabalFile = "0irjf0g1barr06fy409r0ld2hypihrhh6n80ig3487xxny6gfzs0";
libraryHaskellDepends = [
base base64-bytestring bytestring colour containers diagrams-core
diagrams-lib filepath hashable JuicyPixels lens monoid-extras mtl
@@ -76059,6 +77063,7 @@ self: {
description = "A diff algorithm based on recursive longest common substrings";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"diff-parse" = callPackage
@@ -76714,27 +77719,25 @@ self: {
, containers, cryptonite, data-default-class, data-hash, directory
, drunken-bishop, exceptions, filepath, haskeline, hourglass, iconv
, memory, mime, mtl, network, network-simple, network-uri, parsec
- , pem, process, regex-compat, safe, temporary, terminal-size, text
- , tls, transformers, unix, x509, x509-store, x509-validation
+ , pem, process, regex-compat, rset, safe, temporary, terminal-size
+ , text, tls, transformers, unix, x509, x509-store, x509-validation
}:
mkDerivation {
pname = "diohsc";
- version = "0.1.8";
- sha256 = "0a614db90pwfc689gb174af6q5fdrb6i9bvhjgvq8vkgldicg4wb";
+ version = "0.1.9";
+ sha256 = "1mxccj0fwj8n8zh8h997ljd4r179zyn6k63xgnhw7bgi1pjv4301";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
asn1-encoding asn1-types base bytestring containers cryptonite
data-default-class data-hash directory drunken-bishop exceptions
filepath haskeline hourglass iconv memory mime mtl network
- network-simple network-uri parsec pem process regex-compat safe
- temporary terminal-size text tls transformers unix x509 x509-store
- x509-validation
+ network-simple network-uri parsec pem process regex-compat rset
+ safe temporary terminal-size text tls transformers unix x509
+ x509-store x509-validation
];
description = "Gemini client";
license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"diophantine" = callPackage
@@ -76947,12 +77950,12 @@ self: {
broken = true;
}) {};
- "directory_1_3_6_2" = callPackage
+ "directory_1_3_7_0" = callPackage
({ mkDerivation, base, filepath, time, unix }:
mkDerivation {
pname = "directory";
- version = "1.3.6.2";
- sha256 = "0zgwx7bwhw0s5xc17hfn1ps3fxn9ihv174b2s7rz63flm8lsm0y3";
+ version = "1.3.7.0";
+ sha256 = "041dnqqnc1dadasqyhivpa7rnhw3i08gq5bwj1kfz7lhlihbv1lz";
libraryHaskellDepends = [ base filepath time unix ];
testHaskellDepends = [ base filepath time unix ];
description = "Platform-agnostic library for filesystem operations";
@@ -77068,14 +78071,15 @@ self: {
}:
mkDerivation {
pname = "dirichlet";
- version = "0.1.0.4";
- sha256 = "1qhkqcdzdryzds5zb9y55ckd35wij39yk2k58s7fdacnash9l3fg";
+ version = "0.1.0.5";
+ sha256 = "1ibp7cvbi86m2m0kb1pzxmnb68awhbkayms7gffx3nqli6yb1fi9";
libraryHaskellDepends = [
base log-domain math-functions mwc-random primitive vector
];
testHaskellDepends = [ base hspec log-domain mwc-random vector ];
description = "Multivariate Dirichlet distribution";
license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ dschrempf ];
}) {};
"dirstream" = callPackage
@@ -77319,6 +78323,21 @@ self: {
license = lib.licenses.mit;
}) {markdown = null;};
+ "discover-instances" = callPackage
+ ({ mkDerivation, base, some-dict-of, template-haskell, th-compat }:
+ mkDerivation {
+ pname = "discover-instances";
+ version = "0.1.0.0";
+ sha256 = "1ncmvc9xc4xynsjymw3i61p6310pfi41kkkmqi2dmbagfv7n2xl6";
+ libraryHaskellDepends = [
+ base some-dict-of template-haskell th-compat
+ ];
+ testHaskellDepends = [
+ base some-dict-of template-haskell th-compat
+ ];
+ license = lib.licenses.bsd3;
+ }) {};
+
"discrete" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -78176,10 +79195,8 @@ self: {
}:
mkDerivation {
pname = "distribution-nixpkgs";
- version = "1.6.0";
- sha256 = "0m1kw3wy0n611487qhskldivrxmkh7m5bkzib44d8n0qfg5lv06i";
- revision = "1";
- editedCabalFile = "0j35y7ws7rbc68vkmyvpa4m2dyfpzpzzvm4lv7h6r7x34w331dgg";
+ version = "1.6.2";
+ sha256 = "0kq4pf5dalifxd8qxz75fazfjspn36q0cmp8d1jd7w9zlzc3c4dz";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson base bytestring Cabal containers deepseq language-nix lens
@@ -78413,8 +79430,8 @@ self: {
}:
mkDerivation {
pname = "dl-fedora";
- version = "0.9";
- sha256 = "17khlv65irp1bdr7j0njlh1sgvr1nhi5xfvdiklhjr7vm6vhmipd";
+ version = "0.9.2";
+ sha256 = "1x48nrgz34a3kyfkv126jscbjv5yra8h0csrb6sw8f9jw5x3spss";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -78460,8 +79477,8 @@ self: {
pname = "dlist-nonempty";
version = "0.1.1";
sha256 = "0csbspdy43pzvasb5mhs5pz2f49ws78pi253cx7pp84wjx6ads20";
- revision = "10";
- editedCabalFile = "0k9h3d93ivjykdpblkdcxyv1aybbjq6m5laqjh7bdv6nrdr5va2c";
+ revision = "11";
+ editedCabalFile = "1mnf6qa3773v2j2k2gp51qb0pbd9lf1hw9cx2sqrpcwjxfb3lfqg";
libraryHaskellDepends = [
base base-compat deepseq dlist semigroupoids
];
@@ -79094,21 +80111,41 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "doclayout_0_3_1_1" = callPackage
+ ({ mkDerivation, base, containers, criterion, emojis, mtl, safe
+ , tasty, tasty-golden, tasty-hunit, tasty-quickcheck, text
+ }:
+ mkDerivation {
+ pname = "doclayout";
+ version = "0.3.1.1";
+ sha256 = "1p9kgjlf7y4p1symvkwndgs4lvyw2c45bsgld09y9r4aiqbhdrxp";
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [ base containers emojis mtl safe text ];
+ testHaskellDepends = [
+ base emojis mtl tasty tasty-golden tasty-hunit tasty-quickcheck
+ text
+ ];
+ benchmarkHaskellDepends = [ base criterion mtl text ];
+ description = "A prettyprinting library for laying out text documents";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"docopt" = callPackage
({ mkDerivation, aeson, ansi-terminal, base, bytestring, containers
- , HUnit, parsec, split, template-haskell, text, th-lift
+ , HUnit, parsec, split, template-haskell, text
}:
mkDerivation {
pname = "docopt";
- version = "0.7.0.5";
- sha256 = "1vh5kn13z0c6k2ir6nyr453flyn0cfmz7h61903vysw9lh40hy8m";
+ version = "0.7.0.7";
+ sha256 = "0q3f9j7yqyb97z08h2k6p6bax87g6ab96ng4cpj1xf5k91726ic1";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
- base containers parsec template-haskell th-lift
+ base containers parsec template-haskell
];
testHaskellDepends = [
aeson ansi-terminal base bytestring containers HUnit parsec split
- template-haskell text th-lift
+ template-haskell text
];
description = "A command-line interface parser that will make you smile";
license = lib.licenses.mit;
@@ -79177,20 +80214,20 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "doctemplates_0_10" = callPackage
+ "doctemplates_0_10_0_1" = callPackage
({ mkDerivation, aeson, base, bytestring, containers, criterion
, doclayout, filepath, Glob, HsYAML, mtl, parsec, safe, scientific
, tasty, tasty-golden, tasty-hunit, temporary, text
- , text-conversions, unordered-containers, vector
+ , text-conversions, vector
}:
mkDerivation {
pname = "doctemplates";
- version = "0.10";
- sha256 = "1nwav01dqxhbbmf4si8ks70lmhkldmy70m1dw89xrndvcgcynmrx";
+ version = "0.10.0.1";
+ sha256 = "08l8lgmvn83xwbdlh4m2jljj5gcyxiq1vjd1ggysv36d81swsg1x";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson base containers doclayout filepath HsYAML mtl parsec safe
- scientific text text-conversions unordered-containers vector
+ scientific text text-conversions vector
];
testHaskellDepends = [
aeson base bytestring containers doclayout filepath Glob tasty
@@ -79510,6 +80547,7 @@ self: {
description = "Automatic Bibtex and fulltext of scientific articles";
license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"doldol" = callPackage
@@ -79752,6 +80790,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Simple, type-safe and testable HTTP client";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"dormouse-uri" = callPackage
@@ -79776,6 +80815,8 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Library for type-safe representations of Uri/Urls";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"dot" = callPackage
@@ -80326,28 +81367,29 @@ self: {
}) {};
"dprox" = callPackage
- ({ mkDerivation, attoparsec, base, bytestring, containers, dns
- , hashable, hspec, iproute, network, optparse-applicative, psqueues
- , streaming-commons, time, unix, unordered-containers
+ ({ mkDerivation, attoparsec, base, bytestring, bytestring-trie
+ , containers, dns, hashable, hspec, iproute, network
+ , optparse-applicative, psqueues, streaming-commons, time, unix
}:
mkDerivation {
pname = "dprox";
- version = "0.2.0";
- sha256 = "0hylymdpvnh353rg9gh8d9m9ag8hfxjh2ndrdxvhapbpddbbz3qm";
+ version = "0.3.0";
+ sha256 = "1my3v3g7jb8akc41hxx557kamsqhry3q8g76rhsf9h8fhsm31gv1";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- attoparsec base bytestring containers dns hashable iproute network
- optparse-applicative psqueues streaming-commons time unix
- unordered-containers
+ attoparsec base bytestring bytestring-trie containers dns hashable
+ iproute network optparse-applicative psqueues streaming-commons
+ time unix
];
testHaskellDepends = [
- attoparsec base bytestring containers dns hashable hspec iproute
- network optparse-applicative psqueues streaming-commons time unix
- unordered-containers
+ attoparsec base bytestring bytestring-trie containers dns hashable
+ hspec iproute network optparse-applicative psqueues
+ streaming-commons time unix
];
description = "a lightweight DNS proxy server";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"drClickOn" = callPackage
@@ -81183,6 +82225,24 @@ self: {
maintainers = with lib.maintainers; [ turion ];
}) {};
+ "dunai_0_8_0" = callPackage
+ ({ mkDerivation, base, MonadRandom, simple-affine-space, tasty
+ , tasty-hunit, transformers, transformers-base
+ }:
+ mkDerivation {
+ pname = "dunai";
+ version = "0.8.0";
+ sha256 = "06xdn1fqfbpffdcpf9mmkl56797bdh9ijwg7nm98fqhwq0d4jnkv";
+ libraryHaskellDepends = [
+ base MonadRandom simple-affine-space transformers transformers-base
+ ];
+ testHaskellDepends = [ base tasty tasty-hunit transformers ];
+ description = "Generalised reactive framework supporting classic, arrowized and monadic FRP";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ turion ];
+ }) {};
+
"dunai-core" = callPackage
({ mkDerivation, base, MonadRandom, transformers, transformers-base
}:
@@ -81203,8 +82263,8 @@ self: {
({ mkDerivation, base, dunai, normaldistribution, QuickCheck }:
mkDerivation {
pname = "dunai-test";
- version = "0.7.0";
- sha256 = "08pbfw10dkds8dwr913p0rikvs7vxfwyj5rdsr7njzh39fz9lqli";
+ version = "0.8.0";
+ sha256 = "1n5a30iw0pr94fl1jparlmf0lnw4md4l3r9aq56247cz1npnfaqh";
libraryHaskellDepends = [
base dunai normaldistribution QuickCheck
];
@@ -81408,6 +82468,8 @@ self: {
pname = "dwarf-el";
version = "0.3";
sha256 = "177y84zgl215jivbxifn09w8mdv0k65bxyky0l1hadd64hgp2nq7";
+ revision = "1";
+ editedCabalFile = "134jqfl7zrk1l6jcv3ws4511x1097yzhn2gi0vcn0bkz6qc8lr3s";
libraryHaskellDepends = [
base binary bytestring containers text text-show transformers
];
@@ -81423,6 +82485,8 @@ self: {
pname = "dwarfadt";
version = "0.6";
sha256 = "1fzkigzrm6s9060vmxsgw4bwzpfvcxc510ghb1rkqh5gslqszcb0";
+ revision = "1";
+ editedCabalFile = "0rdydzqy6g24jgddc4sgg1244l9mdkhp1zyjnvjfg0jbrkgqcy73";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -81935,6 +82999,7 @@ self: {
description = "Socket operations with timeouts";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"eap" = callPackage
@@ -82416,6 +83481,8 @@ self: {
pname = "ede";
version = "0.3.2.0";
sha256 = "1y78l8b6wnl621nlfm3jwf9qskfnyd4ian1sxjsmk2jw22z1yp4d";
+ revision = "1";
+ editedCabalFile = "0zgz0d3qj8slkbsg4r7jdhnrr5zlq506hviq6kw20d78mafh16c0";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -82817,6 +83884,7 @@ self: {
description = "A monadic embedding of aspect oriented programming";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"effective-aspects-mzv" = callPackage
@@ -83596,7 +84664,6 @@ self: {
libraryHaskellDepends = [ base elerea SDL ];
description = "Elerea FRP wrapper for SDL";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"elevator" = callPackage
@@ -83618,12 +84685,27 @@ self: {
pname = "elf";
version = "0.30";
sha256 = "16gfpqsqfwlq4qprh0nswa4br1rz7rj7in7v803cqggkxz7s5c4p";
+ revision = "1";
+ editedCabalFile = "08krv9xws8gr8s5k6796y7yzng22gf4m1a4mv5g57j3yjldwkds2";
libraryHaskellDepends = [ base binary bytestring ];
testHaskellDepends = [ base bytestring containers hspec ];
description = "An Elf parser";
license = lib.licenses.bsd3;
}) {};
+ "elf_0_31" = callPackage
+ ({ mkDerivation, base, binary, bytestring, containers, hspec }:
+ mkDerivation {
+ pname = "elf";
+ version = "0.31";
+ sha256 = "180h5kz8wmrm6hy4myp83b2sjss7dq8wxvlqryi79qscsrz9n0ln";
+ libraryHaskellDepends = [ base binary bytestring ];
+ testHaskellDepends = [ base bytestring containers hspec ];
+ description = "An Elf parser";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"eliminators" = callPackage
({ mkDerivation, base, extra, hspec, hspec-discover, singleton-nats
, singletons, template-haskell, th-abstraction, th-desugar
@@ -83721,14 +84803,14 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "elm-bridge_0_7_0" = callPackage
+ "elm-bridge_0_8_0" = callPackage
({ mkDerivation, aeson, base, containers, hspec, QuickCheck
, template-haskell, text
}:
mkDerivation {
pname = "elm-bridge";
- version = "0.7.0";
- sha256 = "1ccqsvyy60bzq7vhy9kwbl6rmlnpk0bpy7wyqapm54qxkx71bfk6";
+ version = "0.8.0";
+ sha256 = "05xnbwxzxm80xccrd5g4f83gsvs7gmyg9a7a0xxyk10qx93j4rs3";
libraryHaskellDepends = [ aeson base template-haskell ];
testHaskellDepends = [
aeson base containers hspec QuickCheck text
@@ -83826,7 +84908,6 @@ self: {
];
description = "A library to generate Elm types from Haskell source";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"elm-export-persistent" = callPackage
@@ -84189,6 +85270,7 @@ self: {
description = "Generate easy-to-remember, hard-to-guess passwords";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"elsa" = callPackage
@@ -84228,6 +85310,26 @@ self: {
];
description = "Validate and (optionally) redo ELynx analyses";
license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ dschrempf ];
+ }) {};
+
+ "elynx_0_6_1_0" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, elynx-tools
+ , optparse-applicative, slynx, tlynx
+ }:
+ mkDerivation {
+ pname = "elynx";
+ version = "0.6.1.0";
+ sha256 = "0y6l3vcjjrsr3klzzcbckil36v12fyhy195fd43h8zabmlkrg897";
+ isLibrary = false;
+ isExecutable = true;
+ executableHaskellDepends = [
+ aeson base bytestring elynx-tools optparse-applicative slynx tlynx
+ ];
+ description = "Validate and (optionally) redo ELynx analyses";
+ license = lib.licenses.gpl3Plus;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ dschrempf ];
}) {};
"elynx-markov" = callPackage
@@ -84249,6 +85351,30 @@ self: {
benchmarkHaskellDepends = [ base ];
description = "Simulate molecular sequences along trees";
license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ dschrempf ];
+ }) {};
+
+ "elynx-markov_0_6_1_0" = callPackage
+ ({ mkDerivation, async, attoparsec, base, bytestring, containers
+ , elynx-seq, elynx-tools, hmatrix, hspec, integration
+ , math-functions, mwc-random, primitive, statistics, vector
+ }:
+ mkDerivation {
+ pname = "elynx-markov";
+ version = "0.6.1.0";
+ sha256 = "1bk0hxwym1kgq97xdyzf4925y53gsb5figl5gamarwh6f3rxl6sw";
+ libraryHaskellDepends = [
+ async attoparsec base bytestring containers elynx-seq hmatrix
+ integration math-functions mwc-random primitive statistics vector
+ ];
+ testHaskellDepends = [
+ base containers elynx-tools hmatrix hspec mwc-random vector
+ ];
+ benchmarkHaskellDepends = [ base ];
+ description = "Simulate molecular sequences along trees";
+ license = lib.licenses.gpl3Plus;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ dschrempf ];
}) {};
"elynx-nexus" = callPackage
@@ -84261,6 +85387,21 @@ self: {
testHaskellDepends = [ base hspec ];
description = "Import and export Nexus files";
license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ dschrempf ];
+ }) {};
+
+ "elynx-nexus_0_6_1_0" = callPackage
+ ({ mkDerivation, attoparsec, base, bytestring, hspec }:
+ mkDerivation {
+ pname = "elynx-nexus";
+ version = "0.6.1.0";
+ sha256 = "1dj8mn3ky0xzr94iar6bc82vsia3znq2fbr8ly0mlwph45x25czz";
+ libraryHaskellDepends = [ attoparsec base bytestring ];
+ testHaskellDepends = [ base hspec ];
+ description = "Import and export Nexus files";
+ license = lib.licenses.gpl3Plus;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ dschrempf ];
}) {};
"elynx-seq" = callPackage
@@ -84281,6 +85422,29 @@ self: {
];
description = "Handle molecular sequences";
license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ dschrempf ];
+ }) {};
+
+ "elynx-seq_0_6_1_0" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, bytestring, containers
+ , elynx-tools, hspec, matrices, mwc-random, parallel, primitive
+ , vector, vector-th-unbox, word8
+ }:
+ mkDerivation {
+ pname = "elynx-seq";
+ version = "0.6.1.0";
+ sha256 = "1bzcp6s1pxxwwg44yj0v1rh0k4saf52nr5m7mh6fpybcm3kpkww0";
+ libraryHaskellDepends = [
+ aeson attoparsec base bytestring containers matrices mwc-random
+ parallel primitive vector vector-th-unbox word8
+ ];
+ testHaskellDepends = [
+ base bytestring elynx-tools hspec matrices vector
+ ];
+ description = "Handle molecular sequences";
+ license = lib.licenses.gpl3Plus;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ dschrempf ];
}) {};
"elynx-tools" = callPackage
@@ -84303,6 +85467,28 @@ self: {
];
description = "Tools for ELynx";
license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ dschrempf ];
+ }) {};
+
+ "elynx-tools_0_6_1_0" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, base16-bytestring
+ , bytestring, cryptohash-sha256, directory, hmatrix, mwc-random
+ , optparse-applicative, template-haskell, text, time, transformers
+ , vector, zlib
+ }:
+ mkDerivation {
+ pname = "elynx-tools";
+ version = "0.6.1.0";
+ sha256 = "17vw9b9158mfna83xqkj8hnl02m8ngn2k22wsh2kvnhgiw9qc38m";
+ libraryHaskellDepends = [
+ aeson attoparsec base base16-bytestring bytestring
+ cryptohash-sha256 directory hmatrix mwc-random optparse-applicative
+ template-haskell text time transformers vector zlib
+ ];
+ description = "Tools for ELynx";
+ license = lib.licenses.gpl3Plus;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ dschrempf ];
}) {};
"elynx-tree" = callPackage
@@ -84328,6 +85514,36 @@ self: {
];
description = "Handle phylogenetic trees";
license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ dschrempf ];
+ }) {};
+
+ "elynx-tree_0_6_1_0" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, bytestring, comonad
+ , containers, criterion, data-default, data-default-class, deepseq
+ , double-conversion, elynx-nexus, elynx-tools, hspec
+ , math-functions, microlens, mwc-random, parallel, primitive
+ , QuickCheck, quickcheck-classes, statistics
+ }:
+ mkDerivation {
+ pname = "elynx-tree";
+ version = "0.6.1.0";
+ sha256 = "186f8qyp0k8jjc01wvpwlpxfkmr7043yyxajmh700jlxbz4p8j7i";
+ libraryHaskellDepends = [
+ aeson attoparsec base bytestring comonad containers
+ data-default-class deepseq double-conversion elynx-nexus
+ math-functions mwc-random parallel primitive statistics
+ ];
+ testHaskellDepends = [
+ attoparsec base bytestring containers data-default elynx-tools
+ hspec QuickCheck quickcheck-classes
+ ];
+ benchmarkHaskellDepends = [
+ base criterion elynx-tools microlens mwc-random parallel
+ ];
+ description = "Handle phylogenetic trees";
+ license = lib.licenses.gpl3Plus;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ dschrempf ];
}) {};
"ema" = callPackage
@@ -84681,14 +85897,27 @@ self: {
({ mkDerivation, base, containers, HUnit, text }:
mkDerivation {
pname = "emojis";
- version = "0.1";
- sha256 = "1c6zkj9gmk1y90gbdrn50hyp7mw1mggzhnr2khqd728ryipw60ss";
+ version = "0.1.1";
+ sha256 = "1by9mr3vrqhfzdqv5vsqh5nfhkzpz4fibnk6xcw4wa0k8zvyzrvr";
libraryHaskellDepends = [ base containers text ];
testHaskellDepends = [ base HUnit text ];
description = "Conversion between emoji characters and their names";
license = lib.licenses.bsd3;
}) {};
+ "emojis_0_1_2" = callPackage
+ ({ mkDerivation, base, containers, HUnit, text }:
+ mkDerivation {
+ pname = "emojis";
+ version = "0.1.2";
+ sha256 = "09x2xrppwypi369y7rzf3ln2g7c3g9qfckn2gydxpfzglcp9rziw";
+ libraryHaskellDepends = [ base containers text ];
+ testHaskellDepends = [ base HUnit text ];
+ description = "Conversion between emoji characters and their names";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"empty" = callPackage
({ mkDerivation }:
mkDerivation {
@@ -84774,8 +86003,8 @@ self: {
}:
mkDerivation {
pname = "encoding";
- version = "0.8.5";
- sha256 = "1kqi6ic5sa8y01ya99v7r5j9rl68vgy2lsixhbnavi8fx2200hcs";
+ version = "0.8.6";
+ sha256 = "0m68a4q98q4hf0sy0s9b3cmi2pl5s00xxchnjqqs3lb6b8xzg4fz";
setupHaskellDepends = [
base Cabal containers filepath ghc-prim HaXml
];
@@ -86429,8 +87658,8 @@ self: {
}:
mkDerivation {
pname = "esqueleto";
- version = "3.5.2.1";
- sha256 = "1hx3v9cb5w0zckgi83qa1ibfs44172syj89p36xsiphxqhlgaa0s";
+ version = "3.5.3.0";
+ sha256 = "0z3cf49sha6q965qw2m08jfmb91ki2rsdpnr7l39lka5b4ffxjlz";
libraryHaskellDepends = [
aeson attoparsec base blaze-html bytestring conduit containers
monad-logger persistent resourcet tagged text time transformers
@@ -86447,6 +87676,25 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "esqueleto-pgcrypto" = callPackage
+ ({ mkDerivation, base, esqueleto, hspec, monad-logger, persistent
+ , persistent-postgresql, QuickCheck, text, transformers, unliftio
+ }:
+ mkDerivation {
+ pname = "esqueleto-pgcrypto";
+ version = "0.1.0.0";
+ sha256 = "0xxs9nk6k3v7jjcrbgm0b85bncd6rzgrgflvw2w65i6dam0yr4ix";
+ libraryHaskellDepends = [ base esqueleto text ];
+ testHaskellDepends = [
+ base esqueleto hspec monad-logger persistent persistent-postgresql
+ QuickCheck text transformers unliftio
+ ];
+ description = "Esqueleto support for the pgcrypto PostgreSQL module";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"ess" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -86668,6 +87916,7 @@ self: {
description = "Client for etcd, a highly-available key value store";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"eternal" = callPackage
@@ -87400,6 +88649,8 @@ self: {
pname = "eventlog2html";
version = "0.9.1";
sha256 = "17fp0q44lk3nkqzpilxlvzbr0b25girbh7j18yl6blcp5mcmq2cd";
+ revision = "1";
+ editedCabalFile = "17p7h7xii3p0k8ji11jw7dcprmcrwhw0lfpyq2f557s87cpwlinf";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -87634,6 +88885,44 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "evm-opcodes" = callPackage
+ ({ mkDerivation, base, bytestring, cereal, containers, data-dword
+ , hedgehog, hspec, tasty, tasty-discover, tasty-hedgehog
+ , tasty-hspec, text
+ }:
+ mkDerivation {
+ pname = "evm-opcodes";
+ version = "0.1.0";
+ sha256 = "1bjn8i6d6vccms4xzs877cpmd75v0kgd349a024gig3rfsxqadn5";
+ libraryHaskellDepends = [
+ base bytestring cereal containers data-dword text
+ ];
+ testHaskellDepends = [
+ base bytestring cereal containers data-dword hedgehog hspec tasty
+ tasty-discover tasty-hedgehog tasty-hspec text
+ ];
+ testToolDepends = [ tasty-discover ];
+ description = "Opcode types for Ethereum Virtual Machine (EVM)";
+ license = lib.licenses.mit;
+ }) {};
+
+ "evoke" = callPackage
+ ({ mkDerivation, aeson, base, ghc, HUnit, insert-ordered-containers
+ , lens, QuickCheck, swagger2, text
+ }:
+ mkDerivation {
+ pname = "evoke";
+ version = "0.2021.9.14";
+ sha256 = "1r31f54s37rqdka8szmiavgjr0nhnsbbzmsdakwv675s29cclh8f";
+ libraryHaskellDepends = [ base ghc ];
+ testHaskellDepends = [
+ aeson base HUnit insert-ordered-containers lens QuickCheck swagger2
+ text
+ ];
+ description = "A GHC plugin to derive instances";
+ license = lib.licenses.mit;
+ }) {};
+
"ewe" = callPackage
({ mkDerivation, alex, array, base, Cabal, containers, happy, mtl
, pretty, transformers, uuagc, uuagc-cabal, uulib
@@ -88139,8 +89428,10 @@ self: {
}:
mkDerivation {
pname = "exiftool";
- version = "0.1.1.0";
- sha256 = "1z0zk9axilxp3l13n0h83csia4lvahmqkwhlfp9mswbdy8v8fqm0";
+ version = "0.2.0.0";
+ sha256 = "138d25fxqz3vg62mfgmva52flyzjxd8dxr0kc7ayfil1zk3bp4jg";
+ revision = "1";
+ editedCabalFile = "0sgi3w8x1zlch0v3zpxrjhisxd1s6wj1qznjlm0gz2r5d1pzc03z";
libraryHaskellDepends = [
aeson base base64 bytestring hashable process scientific
string-conversions temporary text unordered-containers vector
@@ -88405,7 +89696,6 @@ self: {
libraryToolDepends = [ c2hs ];
description = "Compression and decompression in the exomizer format";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"exon" = callPackage
@@ -88415,8 +89705,8 @@ self: {
}:
mkDerivation {
pname = "exon";
- version = "0.1.0.0";
- sha256 = "014jbbzhb9ar3azxqjnagyyasack0dik32h2d0lzb6yr0yiwsv8m";
+ version = "0.2.0.0";
+ sha256 = "1kd1gf4yrbjpd62arrb74x5sri1xvjx88lk4dah0mbx1f19129ar";
libraryHaskellDepends = [
base flatparse haskell-src-exts haskell-src-meta relude
template-haskell text
@@ -88427,6 +89717,7 @@ self: {
];
description = "Monoidal Quasiquote Interpolation";
license = "BSD-2-Clause-Patent";
+ hydraPlatforms = lib.platforms.none;
}) {};
"exotic-list-monads" = callPackage
@@ -88639,6 +89930,26 @@ self: {
broken = true;
}) {};
+ "explainable-predicates" = callPackage
+ ({ mkDerivation, array, base, doctest-exitcode-stdio, doctest-lib
+ , hspec, HUnit, mono-traversable, QuickCheck, regex-tdfa, syb
+ , template-haskell
+ }:
+ mkDerivation {
+ pname = "explainable-predicates";
+ version = "0.1.2.0";
+ sha256 = "02gcbg3fas0kk13hm8g79dj62nxs1gdxsf58kx35vm58c5i8jay2";
+ libraryHaskellDepends = [
+ array base HUnit mono-traversable QuickCheck regex-tdfa syb
+ template-haskell
+ ];
+ testHaskellDepends = [
+ base doctest-exitcode-stdio doctest-lib hspec
+ ];
+ description = "Predicates that can explain themselves";
+ license = lib.licenses.bsd3;
+ }) {};
+
"explicit-constraint-lens" = callPackage
({ mkDerivation, base, tasty, tasty-hunit }:
mkDerivation {
@@ -88750,8 +90061,8 @@ self: {
({ mkDerivation, base, containers, fgl, mtl, transformers }:
mkDerivation {
pname = "exploring-interpreters";
- version = "0.3.2.0";
- sha256 = "0wf35nnqqlvmzn8l3dxrvnr1w9clrzvmpw2vls2zyxnh9dsvrhf7";
+ version = "0.4.0.0";
+ sha256 = "07q4cjk2sqp471w0rgygf1x2c91vyajh93s8xzi3j09wdb23v9l3";
libraryHaskellDepends = [ base containers fgl mtl transformers ];
description = "A generic exploring interpreter for exploratory programming";
license = lib.licenses.bsd3;
@@ -88792,12 +90103,12 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "express_1_0_4" = callPackage
+ "express_1_0_8" = callPackage
({ mkDerivation, base, leancheck, template-haskell }:
mkDerivation {
pname = "express";
- version = "1.0.4";
- sha256 = "0yv7gn7pj6ya4ijvwsh6gqn02qm4xn3ri98q10zd0zvjipmn20db";
+ version = "1.0.8";
+ sha256 = "1hkcrzbqn54sx907zh28sg659f46yip6dvgjaywdjpk8hbvqfzs2";
libraryHaskellDepends = [ base template-haskell ];
testHaskellDepends = [ base leancheck ];
benchmarkHaskellDepends = [ base leancheck ];
@@ -89195,6 +90506,25 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "extra_1_7_10" = callPackage
+ ({ mkDerivation, base, clock, directory, filepath, process
+ , QuickCheck, quickcheck-instances, time, unix
+ }:
+ mkDerivation {
+ pname = "extra";
+ version = "1.7.10";
+ sha256 = "0h219hi4b74x51jdxhyfff0lyxsbgyclm428lv3nr6y8hrwydpwz";
+ libraryHaskellDepends = [
+ base clock directory filepath process time unix
+ ];
+ testHaskellDepends = [
+ base directory filepath QuickCheck quickcheck-instances unix
+ ];
+ description = "Extra functions I use";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"extract-dependencies" = callPackage
({ mkDerivation, async, base, Cabal, containers
, package-description-remote
@@ -89393,8 +90723,8 @@ self: {
}:
mkDerivation {
pname = "factory";
- version = "0.3.2.2";
- sha256 = "00nxadfipy92rpg7d3ypgigr51n4sn9jjh6n1gzxfjl6p7vq6myn";
+ version = "0.3.2.3";
+ sha256 = "0x743fvk24pin54ghz4zlzvqngnhi52rx4s1a3pb7l9m4aj1iz4y";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -89409,7 +90739,7 @@ self: {
toolshed
];
description = "Rational arithmetic in an irrational world";
- license = "GPL";
+ license = lib.licenses.gpl3Plus;
}) {};
"facts" = callPackage
@@ -89798,16 +91128,16 @@ self: {
broken = true;
}) {};
- "faktory_1_1_0_0" = callPackage
- ({ mkDerivation, aeson, aeson-casing, async, base, bytestring
- , connection, cryptonite, errors, hspec, markdown-unlit, megaparsec
- , memory, mtl, network, random, safe-exceptions, scanner
- , semigroups, text, time, unix, unordered-containers
+ "faktory_1_1_1_0" = callPackage
+ ({ mkDerivation, aeson, aeson-casing, aeson-qq, async, base
+ , bytestring, connection, cryptonite, errors, hspec, markdown-unlit
+ , megaparsec, memory, mtl, network, random, safe-exceptions
+ , scanner, semigroups, text, time, unix, unordered-containers
}:
mkDerivation {
pname = "faktory";
- version = "1.1.0.0";
- sha256 = "0bxwrrypkwhq7whaj79dkibad022bdxd8r5vh1dnd4aka3bdk8mj";
+ version = "1.1.1.0";
+ sha256 = "1lh427lq991pclzqr112dw4jjalcxpkwl440ygg2fhl6bgn082wx";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -89817,7 +91147,7 @@ self: {
];
executableHaskellDepends = [ aeson base safe-exceptions ];
testHaskellDepends = [
- aeson async base hspec markdown-unlit mtl time
+ aeson aeson-qq async base hspec markdown-unlit mtl time
];
testToolDepends = [ markdown-unlit ];
description = "Faktory Worker for Haskell";
@@ -89942,8 +91272,8 @@ self: {
}:
mkDerivation {
pname = "fast-builder";
- version = "0.1.2.1";
- sha256 = "0j11ahdmbni56car4zblwz9yz1qkrr6qbmpbah4fgpiyq6177cn9";
+ version = "0.1.3.0";
+ sha256 = "0j2dfh6y689sk5ahh232zl8glbmwp34xnqkmaq9n9jwbddw4fg5z";
libraryHaskellDepends = [ base bytestring ghc-prim ];
testHaskellDepends = [ base bytestring process QuickCheck stm ];
benchmarkHaskellDepends = [
@@ -89975,26 +91305,6 @@ self: {
}) {};
"fast-digits" = callPackage
- ({ mkDerivation, base, digits, gauge, integer-gmp, QuickCheck
- , smallcheck, tasty, tasty-quickcheck, tasty-smallcheck
- }:
- mkDerivation {
- pname = "fast-digits";
- version = "0.3.0.0";
- sha256 = "1vlmjlkmv1nmw1rriafm2hzkmqd5xnwgzmvrg6xxqj1ab5sdsafs";
- libraryHaskellDepends = [ base integer-gmp ];
- testHaskellDepends = [
- base digits QuickCheck smallcheck tasty tasty-quickcheck
- tasty-smallcheck
- ];
- benchmarkHaskellDepends = [ base digits gauge ];
- doHaddock = false;
- description = "Integer-to-digits conversion";
- license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
- "fast-digits_0_3_1_0" = callPackage
({ mkDerivation, base, integer-gmp, QuickCheck, smallcheck, tasty
, tasty-bench, tasty-quickcheck, tasty-smallcheck
}:
@@ -90010,7 +91320,6 @@ self: {
doHaddock = false;
description = "Integer-to-digits conversion";
license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
}) {};
"fast-downward" = callPackage
@@ -90656,6 +91965,27 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "fcf-composite" = callPackage
+ ({ mkDerivation, base, composite-base, fcf-containers
+ , first-class-families, tasty, tasty-hunit, vinyl
+ }:
+ mkDerivation {
+ pname = "fcf-composite";
+ version = "0.1.1.0";
+ sha256 = "1ghcggwvwrdc47lalamdxx18q1qfxfr4w2kw5vxci4fkfc5p0wkb";
+ revision = "1";
+ editedCabalFile = "08k5mxb792d940id4kdahdw78sna7appv7n958ni7s2rsds90haj";
+ libraryHaskellDepends = [
+ base composite-base fcf-containers first-class-families vinyl
+ ];
+ testHaskellDepends = [
+ base composite-base fcf-containers first-class-families tasty
+ tasty-hunit vinyl
+ ];
+ description = "Type-level computation for composite using first-class-families";
+ license = lib.licenses.mit;
+ }) {};
+
"fcf-containers" = callPackage
({ mkDerivation, base, doctest, first-class-families, Glob }:
mkDerivation {
@@ -90671,6 +92001,37 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "fcf-graphs" = callPackage
+ ({ mkDerivation, base, doctest, fcf-containers
+ , first-class-families, Glob
+ }:
+ mkDerivation {
+ pname = "fcf-graphs";
+ version = "0.0.1.0";
+ sha256 = "15yzkgn5vj7yd14h0y7l2nwcip9ys3wx09wx6mm8ryx3f98iym69";
+ libraryHaskellDepends = [
+ base fcf-containers first-class-families
+ ];
+ testHaskellDepends = [
+ base doctest fcf-containers first-class-families Glob
+ ];
+ description = "Type-level version of algebraic-graphs";
+ license = lib.licenses.mit;
+ }) {};
+
+ "fcf-vinyl" = callPackage
+ ({ mkDerivation, base, first-class-families, vinyl }:
+ mkDerivation {
+ pname = "fcf-vinyl";
+ version = "0.0.1.0";
+ sha256 = "0svdmd4lj1dwn7ipzx7vzd9bw6v2fvm2w70kziqvmnjxrvnnbxwv";
+ revision = "1";
+ editedCabalFile = "19xfj49aw1vrdzrkbsy7aj9jq5l8ss3l41sznrg3ljxpvh3b3i4l";
+ libraryHaskellDepends = [ base first-class-families vinyl ];
+ description = "Vinyl compatibility with first-class-families";
+ license = lib.licenses.bsd3;
+ }) {};
+
"fcg" = callPackage
({ mkDerivation }:
mkDerivation {
@@ -90971,8 +92332,8 @@ self: {
pname = "feed";
version = "1.3.2.0";
sha256 = "0kv3vx3njqlhwvkmf12m1gmwl8jj97kfa60da2362vwdavhcf4dk";
- revision = "1";
- editedCabalFile = "0lg9yphl07hbknzx0nvdxvcw05bpxc5ac9sqb26lv4d9nkb72blg";
+ revision = "2";
+ editedCabalFile = "12kl1bsgv6jdbvm1dblxjkvc2n8p83wgcpalp8vyf414lhxrjnpx";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base base-compat bytestring old-locale old-time safe text time
@@ -91881,14 +93242,14 @@ self: {
}:
mkDerivation {
pname = "file-embed";
- version = "0.0.14.0";
- sha256 = "1b45yk17339zw53zgp8zb5sjg5xn76kryrb6dkqk747vnbdnf0h5";
+ version = "0.0.15.0";
+ sha256 = "1pavxj642phrkq67620g10wqykjfhmm9yj2rm8pja83sadfvhrph";
libraryHaskellDepends = [
base bytestring directory filepath template-haskell
];
testHaskellDepends = [ base bytestring filepath ];
description = "Use Template Haskell to embed file contents directly";
- license = lib.licenses.bsd3;
+ license = lib.licenses.bsd2;
}) {};
"file-embed-lzma" = callPackage
@@ -91899,8 +93260,8 @@ self: {
pname = "file-embed-lzma";
version = "0";
sha256 = "0xqcgx4ysyjqrygnfabs169y4w986kwzvsaqh64h7x3wfi7z8v78";
- revision = "6";
- editedCabalFile = "0m2ay6krrjs2cgmy7divlavx0wvgwhwgba97f1m3ppcxxm1y4ikv";
+ revision = "7";
+ editedCabalFile = "1jm3jr70vvfv9an3nb7n5rx5ldk6i4c1dcwi3pgbf6lkx7lkp754";
libraryHaskellDepends = [
base base-compat bytestring directory filepath lzma
template-haskell text th-lift-instances transformers
@@ -92104,18 +93465,6 @@ self: {
}) {};
"filepath-bytestring" = callPackage
- ({ mkDerivation, base, bytestring, filepath, QuickCheck, unix }:
- mkDerivation {
- pname = "filepath-bytestring";
- version = "1.4.2.1.7";
- sha256 = "00xayqjq2qvb4yj0ppx838cabg5cx9swh0mzfb8c6njk9y5rc41n";
- libraryHaskellDepends = [ base bytestring unix ];
- testHaskellDepends = [ base bytestring filepath QuickCheck ];
- description = "Library for manipulating RawFilePaths in a cross platform way";
- license = lib.licenses.bsd3;
- }) {};
-
- "filepath-bytestring_1_4_2_1_8" = callPackage
({ mkDerivation, base, bytestring, criterion, filepath, QuickCheck
, unix
}:
@@ -92128,7 +93477,6 @@ self: {
benchmarkHaskellDepends = [ base criterion filepath ];
description = "Library for manipulating RawFilePaths in a cross platform way";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"filepath-crypto" = callPackage
@@ -92396,6 +93744,22 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "fin-int" = callPackage
+ ({ mkDerivation, attenuation, base, data-default-class, deepseq
+ , portray, portray-diff, QuickCheck, sint
+ }:
+ mkDerivation {
+ pname = "fin-int";
+ version = "0.2.0";
+ sha256 = "0ra5lcd3ybmv1a0l7bisjlgi7b8mywa6c6pj7n82ppyi6rqssdlh";
+ libraryHaskellDepends = [
+ attenuation base data-default-class deepseq portray portray-diff
+ QuickCheck sint
+ ];
+ description = "Finite sets of static size";
+ license = lib.licenses.asl20;
+ }) {};
+
"final" = callPackage
({ mkDerivation, base, stm, transformers }:
mkDerivation {
@@ -92684,6 +94048,34 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "finite-table" = callPackage
+ ({ mkDerivation, adjunctions, base, cereal, data-default-class
+ , deepseq, distributive, fin-int, indexed-traversable, lens
+ , portray, portray-diff, QuickCheck, short-vec, short-vec-lens
+ , sint, test-framework, test-framework-quickcheck2, wrapped
+ }:
+ mkDerivation {
+ pname = "finite-table";
+ version = "0.1.0.1";
+ sha256 = "17bn5wmv5sz89yh3lh39i1armi168wxxnz6l9smcfmw334lidlv6";
+ revision = "1";
+ editedCabalFile = "17marqdap2mhlv90khnhygy78mn4gj9068gbz2ld4xv7q2kf3vlm";
+ libraryHaskellDepends = [
+ adjunctions base cereal data-default-class deepseq distributive
+ fin-int indexed-traversable lens portray portray-diff short-vec
+ short-vec-lens sint wrapped
+ ];
+ testHaskellDepends = [
+ adjunctions base cereal data-default-class deepseq distributive
+ fin-int indexed-traversable lens portray portray-diff QuickCheck
+ short-vec short-vec-lens sint test-framework
+ test-framework-quickcheck2 wrapped
+ ];
+ description = "Types isomorphic to Fin, and Tables indexed by them";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"finite-typelits" = callPackage
({ mkDerivation, base, deepseq }:
mkDerivation {
@@ -93006,8 +94398,8 @@ self: {
}:
mkDerivation {
pname = "fix-whitespace";
- version = "0.0.6";
- sha256 = "087sp7bf7k4h9clmhqdzk8j1y12rc6lhd22p2w6kp7w1ppgg06aw";
+ version = "0.0.7";
+ sha256 = "1nx56dfgg0i75f007y0r5w0955y3x78drjkvdx278llalyfpc5bg";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -93015,7 +94407,6 @@ self: {
];
description = "Fixes whitespace issues";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"fixed" = callPackage
@@ -93705,23 +95096,26 @@ self: {
}) {};
"flatparse" = callPackage
- ({ mkDerivation, attoparsec, base, bytesmith, bytestring
- , containers, gauge, integer-gmp, megaparsec, parsec, primitive
+ ({ mkDerivation, attoparsec, base, bytestring, containers, gauge
+ , hspec, HUnit, integer-gmp, megaparsec, parsec, primitive
, template-haskell
}:
mkDerivation {
pname = "flatparse";
- version = "0.2.2.0";
- sha256 = "13cm882c2n0p52dwn802cqgfqrgkmlrb1kaxm2l89yfpb6wqynfz";
+ version = "0.3.0.0";
+ sha256 = "0x6q1dwk9zr9s7rx3knm127pjnkpcqmihdzajfcmvi0ayviq984i";
libraryHaskellDepends = [
base bytestring containers integer-gmp template-haskell
];
+ testHaskellDepends = [ base bytestring hspec HUnit ];
benchmarkHaskellDepends = [
- attoparsec base bytesmith bytestring gauge integer-gmp megaparsec
- parsec primitive
+ attoparsec base bytestring gauge integer-gmp megaparsec parsec
+ primitive
];
description = "High-performance parsing from strict bytestrings";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"flay" = callPackage
@@ -93755,6 +95149,23 @@ self: {
license = lib.licenses.publicDomain;
}) {};
+ "flexible-numeric-parsers" = callPackage
+ ({ mkDerivation, attoparsec, base, hedgehog, parsers, scientific
+ , tasty, tasty-hedgehog, tasty-hunit, text
+ }:
+ mkDerivation {
+ pname = "flexible-numeric-parsers";
+ version = "0.1.0.0";
+ sha256 = "122nncxfp776g4yn4s78vr8r33khl02dl1x475k3z3138ylav8zp";
+ libraryHaskellDepends = [ base parsers scientific ];
+ testHaskellDepends = [
+ attoparsec base hedgehog parsers scientific tasty tasty-hedgehog
+ tasty-hunit text
+ ];
+ description = "Flexible numeric parsers for real-world programming languages";
+ license = lib.licenses.mit;
+ }) {};
+
"flexible-time" = callPackage
({ mkDerivation, base, bytestring, unix-time }:
mkDerivation {
@@ -94026,12 +95437,13 @@ self: {
pname = "flock";
version = "0.3.2";
sha256 = "0zi04gmrjda11zp8y7zx6r9hkz00wplvjj7sn6q7lbm2h5kv20xr";
+ revision = "1";
+ editedCabalFile = "18mhjwcrz2jx0vsdd8cyb84lnabhliwfxaw76k8sifarhk847af8";
libraryHaskellDepends = [
base lifted-base monad-control transformers unix
];
description = "Wrapper for flock(2)";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"floskell" = callPackage
@@ -94612,8 +96024,8 @@ self: {
}:
mkDerivation {
pname = "fmt";
- version = "0.6.2.0";
- sha256 = "14h5f7nz9czfg1ar0ga9vry4ck2xf7h6rxiyk276w871yra01l9g";
+ version = "0.6.3.0";
+ sha256 = "01mh0k69dv5x30hlmxi36dp1ylk0a6affr4jb3pvy8vjm4ypzvml";
libraryHaskellDepends = [
base base64-bytestring bytestring call-stack containers formatting
microlens text time time-locale-compat
@@ -94702,8 +96114,8 @@ self: {
}:
mkDerivation {
pname = "focus";
- version = "1.0.2";
- sha256 = "09d85g6knv3wcn8ib5mpbpjn9xw1pbl3a6qlfy1lrzypv9lrv0ld";
+ version = "1.0.3";
+ sha256 = "03h6gq0k5z9a7nar29qijfnd4gwxd8h16dfsig74bsdzazj50c1m";
libraryHaskellDepends = [ base transformers ];
testHaskellDepends = [
QuickCheck quickcheck-instances rerebase tasty tasty-hunit
@@ -95364,6 +96776,21 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "forma_1_2_0" = callPackage
+ ({ mkDerivation, aeson, base, containers, hspec, mtl, text }:
+ mkDerivation {
+ pname = "forma";
+ version = "1.2.0";
+ sha256 = "13kyggzlf156d3d3mj453jwmafyz74vk4bim3f30zxyd6syl3m5v";
+ revision = "1";
+ editedCabalFile = "12336x0g41hp6brl4ynw0m32kj3n191945qfgp8xaabm5qrchinj";
+ libraryHaskellDepends = [ aeson base containers mtl text ];
+ testHaskellDepends = [ aeson base containers hspec mtl text ];
+ description = "Parse and validate forms in JSON format";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"formal" = callPackage
({ mkDerivation, ansi-terminal, base, bytestring, containers
, directory, file-embed, HTTP, indents, interpolatedstring-perl6
@@ -95638,8 +97065,8 @@ self: {
}:
mkDerivation {
pname = "fortran-src";
- version = "0.5.0";
- sha256 = "1bza9aav1yy4yzv7lwwi1x466i9h7ar4xvwva1r7992msqp05pxb";
+ version = "0.6.1";
+ sha256 = "1d07ih8bcij71x4b5nwd4fk12cmmigpzcf98fixgayrkcvmnckzg";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -95682,6 +97109,35 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "fortran-vars" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, containers, deepseq, fgl
+ , fortran-src, fortran-src-extras, hspec, hspec-discover, HUnit
+ , text, uniplate
+ }:
+ mkDerivation {
+ pname = "fortran-vars";
+ version = "0.1.0";
+ sha256 = "1j1k4paca1g6w38kd7fl1h4vzf6rb8ypdfilhkn2fk5llxkkw226";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base bytestring containers deepseq fgl fortran-src
+ fortran-src-extras text uniplate
+ ];
+ executableHaskellDepends = [
+ aeson base bytestring containers deepseq fgl fortran-src
+ fortran-src-extras text uniplate
+ ];
+ testHaskellDepends = [
+ aeson base bytestring containers deepseq fgl fortran-src
+ fortran-src-extras hspec HUnit text uniplate
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "Fortran memory model and other static analysis tools";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"fortytwo" = callPackage
({ mkDerivation, ansi-terminal, base, doctest, hspec, text }:
mkDerivation {
@@ -95842,6 +97298,38 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "fourmolu_0_4_0_0" = callPackage
+ ({ mkDerivation, aeson, ansi-terminal, base, bytestring, Cabal
+ , containers, Diff, directory, dlist, exceptions, filepath
+ , ghc-lib-parser, gitrev, hspec, hspec-discover, HsYAML
+ , HsYAML-aeson, mtl, optparse-applicative, path, path-io, syb
+ , temporary, text
+ }:
+ mkDerivation {
+ pname = "fourmolu";
+ version = "0.4.0.0";
+ sha256 = "1mq0h6nsl7ssfwh6zqhyja7w212vn8msmlm5iwwimca279hzwywb";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson ansi-terminal base bytestring Cabal containers Diff directory
+ dlist exceptions filepath ghc-lib-parser HsYAML HsYAML-aeson mtl
+ syb text
+ ];
+ executableHaskellDepends = [
+ base directory filepath ghc-lib-parser gitrev optparse-applicative
+ text
+ ];
+ testHaskellDepends = [
+ base containers directory filepath hspec path path-io temporary
+ text
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "A formatter for Haskell source code";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"fp-ieee" = callPackage
({ mkDerivation, base, decimal-arithmetic, doctest, gauge, hspec
, hspec-core, integer-gmp, integer-logarithms, QuickCheck, random
@@ -96024,6 +97512,7 @@ self: {
description = "Installed package query tool for Gentoo Linux";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"fractal" = callPackage
@@ -96179,6 +97668,43 @@ self: {
broken = true;
}) {};
+ "freckle-app" = callPackage
+ ({ mkDerivation, aeson, ansi-terminal, base, bytestring
+ , case-insensitive, conduit, data-default, datadog, directory
+ , doctest, errors, exceptions, fast-logger, filepath, Glob, hspec
+ , hspec-core, hspec-expectations-lifted, hspec-junit-formatter
+ , http-client, http-conduit, http-link-header, http-types, immortal
+ , iproute, lens, lens-aeson, load-env, monad-control, monad-logger
+ , MonadRandom, mtl, network, network-uri, persistent
+ , persistent-postgresql, postgresql-simple, primitive, process
+ , resource-pool, retry, rio, temporary, text, time, transformers
+ , transformers-base, unliftio, unliftio-core, wai, wai-extra, yaml
+ , yesod, yesod-core
+ }:
+ mkDerivation {
+ pname = "freckle-app";
+ version = "1.0.0.3";
+ sha256 = "13iw0fj3n409mjbc6kkxcy1jx2zg8dwd55qp150qrkbmmjvrw54q";
+ libraryHaskellDepends = [
+ aeson ansi-terminal base bytestring case-insensitive conduit
+ data-default datadog doctest errors exceptions fast-logger filepath
+ Glob hspec hspec-core hspec-expectations-lifted
+ hspec-junit-formatter http-client http-conduit http-link-header
+ http-types immortal iproute lens load-env monad-control
+ monad-logger MonadRandom mtl network network-uri persistent
+ persistent-postgresql postgresql-simple primitive process
+ resource-pool retry rio text time transformers transformers-base
+ unliftio unliftio-core wai wai-extra yaml yesod yesod-core
+ ];
+ testHaskellDepends = [
+ aeson base bytestring directory hspec http-types lens lens-aeson
+ process temporary text time wai wai-extra
+ ];
+ description = "Haskell application toolkit used at Freckle";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"freddy" = callPackage
({ mkDerivation, amqp, async, base, broadcast-chan, bytestring
, data-default, hspec, random, text, uuid
@@ -96503,8 +98029,8 @@ self: {
pname = "free-vector-spaces";
version = "0.1.5.0";
sha256 = "0rf6yhjcd2x4yj2jvyl6yc8x55a2hqhj5mxzg4f24734agh720z1";
- revision = "3";
- editedCabalFile = "09jy8kj31p6b4pmzry6glq7climw6pmpph23byhijs82a7yl609w";
+ revision = "4";
+ editedCabalFile = "07xkdzajkrswa69gazl0gpzayklafs883xz4xf8cawk58m5pr645";
libraryHaskellDepends = [
base lens linear MemoTrie pragmatic-show vector vector-space
];
@@ -96880,7 +98406,6 @@ self: {
];
description = "Fresco binding for Haskell";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"fresh" = callPackage
@@ -97421,8 +98946,8 @@ self: {
}:
mkDerivation {
pname = "ftdi";
- version = "0.3.0.1";
- sha256 = "0xa1dld03ai3mxqywia3f9pvyz67slw7dai8m97iqg1xysd8ykzr";
+ version = "0.3.0.2";
+ sha256 = "0ybx59gs54nk5swh8c2yzcn7mxsm02qp7rwaj51y38p4yzajcw9x";
libraryHaskellDepends = [
async base base-unicode-symbols bytestring transformers usb vector
];
@@ -97921,8 +99446,35 @@ self: {
];
description = "Tools for functor combinator-based program design";
license = lib.licenses.bsd3;
+ }) {};
+
+ "functor-combinators_0_4_1_0" = callPackage
+ ({ mkDerivation, assoc, base, bifunctors, comonad, constraints
+ , containers, contravariant, dependent-sum, deriving-compat, free
+ , hashable, hedgehog, invariant, kan-extensions, mmorph, mtl
+ , natural-transformation, nonempty-containers, pointed, profunctors
+ , semigroupoids, sop-core, StateVar, tagged, tasty, tasty-hedgehog
+ , these, transformers, trivial-constraint, unordered-containers
+ , vinyl
+ }:
+ mkDerivation {
+ pname = "functor-combinators";
+ version = "0.4.1.0";
+ sha256 = "1b7324ia810i1rjn2z4q3h7rcbbvmfh3nl8vxswgnkglhrkcmg49";
+ libraryHaskellDepends = [
+ assoc base bifunctors comonad constraints containers contravariant
+ deriving-compat free hashable invariant kan-extensions mmorph mtl
+ natural-transformation nonempty-containers pointed profunctors
+ semigroupoids sop-core StateVar tagged these transformers
+ trivial-constraint unordered-containers vinyl
+ ];
+ testHaskellDepends = [
+ base bifunctors dependent-sum free hedgehog nonempty-containers
+ semigroupoids tasty tasty-hedgehog transformers trivial-constraint
+ ];
+ description = "Tools for functor combinator-based program design";
+ license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"functor-combo" = callPackage
@@ -98250,6 +99802,8 @@ self: {
pname = "fused-effects-mwc-random";
version = "0.1.0.0";
sha256 = "044di06rjbh9jchbblddz3zmwni94vlp9rqb42yi4cnwrjp7vgln";
+ revision = "1";
+ editedCabalFile = "1b5q1861ffb2nkr5fjzmnlw05vqni49731rcsyz46kdwgl9kh2ik";
libraryHaskellDepends = [
base fused-effects mwc-random primitive template-haskell
transformers vector
@@ -98395,33 +99949,34 @@ self: {
}) {};
"futhark" = callPackage
- ({ mkDerivation, aeson, alex, ansi-terminal, array, base, binary
- , blaze-html, bmp, bytestring, bytestring-to-vector, cmark-gfm
- , containers, directory, directory-tree, dlist, file-embed
- , filepath, free, futhark-data, futhark-server, gitrev, happy
- , hashable, haskeline, language-c-quote, mainland-pretty
- , megaparsec, mtl, neat-interpolation, parallel, parser-combinators
- , pcg-random, process, process-extras, QuickCheck, regex-tdfa
- , srcloc, tasty, tasty-hunit, tasty-quickcheck, template-haskell
- , temporary, terminal-size, text, time, transformers
- , unordered-containers, utf8-string, vector
- , vector-binary-instances, versions, zip-archive, zlib
+ ({ mkDerivation, aeson, alex, ansi-terminal, array, base
+ , base16-bytestring, binary, blaze-html, bmp, bytestring
+ , bytestring-to-vector, cmark-gfm, containers, cryptohash-md5
+ , directory, directory-tree, dlist, file-embed, filepath, free
+ , futhark-data, futhark-server, githash, half, happy, haskeline
+ , language-c-quote, mainland-pretty, megaparsec, mtl
+ , neat-interpolation, parallel, parser-combinators, process
+ , process-extras, QuickCheck, random, regex-tdfa, srcloc, tasty
+ , tasty-hunit, tasty-quickcheck, template-haskell, temporary
+ , terminal-size, text, time, transformers, unordered-containers
+ , vector, vector-binary-instances, versions, zip-archive, zlib
}:
mkDerivation {
pname = "futhark";
- version = "0.19.7";
- sha256 = "1c3la98gsw3xxvakg4zsknwn3z3whn75r5vr9rf6w6f48jl0829k";
+ version = "0.20.4";
+ sha256 = "0yjm0s9risrc0aj1si8i403k6b1znr8szff1f8ksb5cr9j7nn7gn";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson ansi-terminal array base binary blaze-html bmp bytestring
- bytestring-to-vector cmark-gfm containers directory directory-tree
- dlist file-embed filepath free futhark-data futhark-server gitrev
- hashable haskeline language-c-quote mainland-pretty megaparsec mtl
- neat-interpolation parallel pcg-random process process-extras
- regex-tdfa srcloc template-haskell temporary terminal-size text
- time transformers unordered-containers utf8-string vector
- vector-binary-instances versions zip-archive zlib
+ aeson ansi-terminal array base base16-bytestring binary blaze-html
+ bmp bytestring bytestring-to-vector cmark-gfm containers
+ cryptohash-md5 directory directory-tree dlist file-embed filepath
+ free futhark-data futhark-server githash half haskeline
+ language-c-quote mainland-pretty megaparsec mtl neat-interpolation
+ parallel process process-extras random regex-tdfa srcloc
+ template-haskell temporary terminal-size text time transformers
+ unordered-containers vector vector-binary-instances versions
+ zip-archive zlib
];
libraryToolDepends = [ alex happy ];
executableHaskellDepends = [ base text ];
@@ -98461,8 +100016,8 @@ self: {
}:
mkDerivation {
pname = "futhark-server";
- version = "1.1.0.0";
- sha256 = "0mv3q4a6l3xp0qjlhh9f8bvgbmrmr4hypnkapb2wsn0fvb0iw2kb";
+ version = "1.1.1.0";
+ sha256 = "1iqrpvh79y6a3b56ybafyxc98mlilnx928aqclx6h78hs10qlysy";
libraryHaskellDepends = [
base binary bytestring directory futhark-data mtl process temporary
text
@@ -98557,8 +100112,8 @@ self: {
({ mkDerivation, base, HUnit, monoid-subclasses }:
mkDerivation {
pname = "fuzzy";
- version = "0.1.0.0";
- sha256 = "1jz9arrg33x64ygipk0115b7jfchxh20cy14177iwg0na8mpl2l2";
+ version = "0.1.0.1";
+ sha256 = "16pl4ba9f3wlx69pg8va1c2qg4zb9c0w50d7f6d84x9b5ysaza5w";
libraryHaskellDepends = [ base monoid-subclasses ];
testHaskellDepends = [ base HUnit ];
description = "Filters a list based on a fuzzy string search";
@@ -98947,6 +100502,8 @@ self: {
pname = "galois-field";
version = "1.0.2";
sha256 = "17khwhh0annwlbbsdj5abh3jv2csg84qvhgn1ircgc69fzb0r59d";
+ revision = "1";
+ editedCabalFile = "1bxvg0906s3b3gnppdmgdcag5vdpgh6rwbk8a2pkqmd8dn1k2z8z";
libraryHaskellDepends = [
base bitvec groups integer-gmp mod MonadRandom poly protolude
QuickCheck semirings vector wl-pprint-text
@@ -100048,25 +101605,22 @@ self: {
}) {};
"generic-data" = callPackage
- ({ mkDerivation, ap-normalize, base, base-orphans, Cabal
- , cabal-doctest, contravariant, criterion, deepseq, doctest
- , generic-lens, ghc-boot-th, inspection-testing, one-liner
- , QuickCheck, show-combinators, tasty, tasty-hunit
- , template-haskell, unordered-containers
+ ({ mkDerivation, ap-normalize, base, base-orphans, contravariant
+ , criterion, deepseq, generic-lens, ghc-boot-th, inspection-testing
+ , one-liner, show-combinators, tasty, tasty-hunit, template-haskell
+ , unordered-containers
}:
mkDerivation {
pname = "generic-data";
- version = "0.9.2.0";
- sha256 = "00zwfr21kmgcdjfjvacn4pvs5i8bl6xbbzw95746g67ap5nz624d";
- setupHaskellDepends = [ base Cabal cabal-doctest ];
+ version = "0.9.2.1";
+ sha256 = "0hs5ahl1nx61kw5j0pnwgjrph7jgqq0djma956ksz6aivzldjf7q";
libraryHaskellDepends = [
ap-normalize base base-orphans contravariant ghc-boot-th
show-combinators
];
testHaskellDepends = [
- base doctest generic-lens inspection-testing one-liner QuickCheck
- show-combinators tasty tasty-hunit template-haskell
- unordered-containers
+ base generic-lens inspection-testing one-liner show-combinators
+ tasty tasty-hunit template-haskell unordered-containers
];
benchmarkHaskellDepends = [ base criterion deepseq ];
description = "Deriving instances with GHC.Generics and related utilities";
@@ -100108,10 +101662,8 @@ self: {
}:
mkDerivation {
pname = "generic-deriving";
- version = "1.14";
- sha256 = "00nbnxxkxyjfzj3zf6sxh3im24qv485w4jb1gj36c2wn4gjdbayh";
- revision = "1";
- editedCabalFile = "0g17hk01sxv5lmrlnmwqhkk73y3dy3xhy7l9myyg5qnw7hm7iin9";
+ version = "1.14.1";
+ sha256 = "19qpahcfhs9nqqv6na8znybrvpw885cajbdnrfylxbsmm0sys4s7";
libraryHaskellDepends = [
base containers ghc-prim template-haskell th-abstraction
];
@@ -101628,6 +103180,8 @@ self: {
pname = "geojson";
version = "4.0.2";
sha256 = "0csbg4pdh686bxk689968bi94njx78iv1sm5g6lp915pg6cfnd66";
+ revision = "1";
+ editedCabalFile = "1ry2z0hwacbdwpxjbz1zfwc24094776xqshqdkq23r2gfgyy3m3k";
libraryHaskellDepends = [
aeson base containers deepseq lens scientific semigroups text
transformers validation vector
@@ -101692,8 +103246,8 @@ self: {
}:
mkDerivation {
pname = "geomancy";
- version = "0.2.2.4";
- sha256 = "0vx2dz7fxd4hq50whsx0g6i3v1aidr7rpbylf169q1vshhrl8yaf";
+ version = "0.2.3.0";
+ sha256 = "1li0411y725c5k6zmkki0brz4w4yksxfdbk2ggq31yirmdihc5al";
libraryHaskellDepends = [ base containers deepseq ];
testHaskellDepends = [ base deepseq hedgehog linear ];
benchmarkHaskellDepends = [ base criterion deepseq linear ];
@@ -101707,8 +103261,8 @@ self: {
}:
mkDerivation {
pname = "geos";
- version = "0.4.1";
- sha256 = "1b6dp3vzp8jpgdzkqzfyjk8n26w8jb06adp77qzfbjmik0lw8b03";
+ version = "0.5.0";
+ sha256 = "1s4zjwhqcxxxb32hva4h93kkmzlvghmj11jvzvkwga9qm4wd9n3a";
libraryHaskellDepends = [
base bytestring mtl transformers vector
];
@@ -101724,18 +103278,20 @@ self: {
}) {inherit (pkgs) geos;};
"gerrit" = callPackage
- ({ mkDerivation, aeson, base, bytestring, containers, directory
- , http-client, http-client-tls, tasty, tasty-hunit, text
+ ({ mkDerivation, aeson, aeson-casing, base, bytestring, containers
+ , directory, http-client, http-client-openssl, tasty, tasty-hunit
+ , text, time
}:
mkDerivation {
pname = "gerrit";
- version = "0.1.0.0";
- sha256 = "03lcf9xvyhypzkrs6lv7dnwsxnn52vnnl6mhsbbhmpv2bf59whq2";
+ version = "0.1.1.0";
+ sha256 = "0qkrcf0m2rq31sqd3fqij5c0yfp5pnzj2fx3dh9cwi7dqz24jwsg";
libraryHaskellDepends = [
- aeson base bytestring containers http-client http-client-tls text
+ aeson aeson-casing base bytestring containers http-client
+ http-client-openssl text time
];
testHaskellDepends = [
- aeson base bytestring directory tasty tasty-hunit
+ aeson base bytestring directory tasty tasty-hunit time
];
description = "A gerrit client library";
license = lib.licenses.asl20;
@@ -101835,7 +103391,6 @@ self: {
preBuild = ''export LD_LIBRARY_PATH=`pwd`/dist/build''${LD_LIBRARY_PATH:+:}$LD_LIBRARY_PATH'';
description = "Grammatical Framework";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"ggtsTC" = callPackage
@@ -101931,14 +103486,26 @@ self: {
({ mkDerivation, base, ghc }:
mkDerivation {
pname = "ghc-api-compat";
- version = "8.10.5";
- sha256 = "09g04k7zvzjs312yn9hhk8bk3l6k2vbb8qrdpnlr12a6az4adq3s";
+ version = "8.10.7";
+ sha256 = "1swsly340pj4inmk1l57q4s0dpw8iibl192y1zayvkgc43zky62a";
libraryHaskellDepends = [ base ghc ];
doHaddock = false;
description = "GHC-API compatibility helpers";
license = lib.licenses.bsd3;
}) {};
+ "ghc-api-compat_9_0_1" = callPackage
+ ({ mkDerivation, base, containers, ghc }:
+ mkDerivation {
+ pname = "ghc-api-compat";
+ version = "9.0.1";
+ sha256 = "05jb1sck9cjpsd1j6qlpr7apqv6g2vw3xac36kcsyf0qxw8sq9dk";
+ libraryHaskellDepends = [ base containers ghc ];
+ description = "GHC-API compatibility helpers";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"ghc-bignum" = callPackage
({ mkDerivation }:
mkDerivation {
@@ -101949,6 +103516,19 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "ghc-bignum-orphans" = callPackage
+ ({ mkDerivation, base, ghc-bignum }:
+ mkDerivation {
+ pname = "ghc-bignum-orphans";
+ version = "0.1";
+ sha256 = "034m3qfw6rks1a0a5ivrhjb9my5prscq6ydc980cfdsz486pap8n";
+ libraryHaskellDepends = [ base ghc-bignum ];
+ description = "Backwards-compatible orphan instances for ghc-bignum";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"ghc-boot_9_0_1" = callPackage
({ mkDerivation, base, binary, bytestring, containers, directory
, filepath, ghc-boot-th
@@ -102010,8 +103590,8 @@ self: {
}:
mkDerivation {
pname = "ghc-check";
- version = "0.5.0.5";
- sha256 = "0ml5v9r729i24dwj54fh8fqr55xbndc7wpbkzaids4r666hsjlsi";
+ version = "0.5.0.6";
+ sha256 = "14cdfbjk8l3j97v46clpb806zlkckbfhgpzip67byhw9kzv5r14s";
libraryHaskellDepends = [
base containers directory filepath ghc ghc-paths process
safe-exceptions template-haskell th-compat transformers
@@ -102211,6 +103791,17 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "ghc-definitions-th" = callPackage
+ ({ mkDerivation, base, constraints, ghc, template-haskell }:
+ mkDerivation {
+ pname = "ghc-definitions-th";
+ version = "0.1";
+ sha256 = "13c9m1rlgayqncqs8cn7mc0r72p2gb7657gpq3gi7r707mj52fj3";
+ libraryHaskellDepends = [ base constraints ghc template-haskell ];
+ description = "Automatically generate GHC API counterparts to Haskell declarations";
+ license = lib.licenses.bsd3;
+ }) {};
+
"ghc-dump-core" = callPackage
({ mkDerivation, base, bytestring, directory, filepath, ghc
, serialise, text
@@ -102379,6 +103970,19 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "ghc-exactprint_1_2_0" = callPackage
+ ({ mkDerivation }:
+ mkDerivation {
+ pname = "ghc-exactprint";
+ version = "1.2.0";
+ sha256 = "0dxjhw7vqd7grhghwz5zcjfb7bm5sa9mq0iqsr9vsz4vxxlfyi4k";
+ isLibrary = true;
+ isExecutable = true;
+ description = "ExactPrint for GHC";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"ghc-gc-tune" = callPackage
({ mkDerivation, base, directory, filepath, process }:
mkDerivation {
@@ -102446,6 +104050,7 @@ self: {
description = "Library for hot-swapping shared objects in GHC";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"ghc-imported-from" = callPackage
@@ -102528,8 +104133,8 @@ self: {
}:
mkDerivation {
pname = "ghc-lib";
- version = "8.10.5.20210606";
- sha256 = "0bl2jp44s3pd1a1sg0kslkhi97f4z2423kdkizg65m6cvmk25ijs";
+ version = "8.10.7.20210828";
+ sha256 = "1p0svqh9dnpia9ddp6z9v1k5b68jc70181v69adr8rqzk0dl4i40";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
array base binary bytestring containers deepseq directory filepath
@@ -102564,17 +104169,17 @@ self: {
"ghc-lib-parser" = callPackage
({ mkDerivation, alex, array, base, binary, bytestring, containers
- , deepseq, directory, filepath, ghc-prim, happy, hpc, pretty
- , process, time, transformers, unix
+ , deepseq, directory, filepath, ghc-prim, happy, pretty, process
+ , time, transformers, unix
}:
mkDerivation {
pname = "ghc-lib-parser";
- version = "8.10.5.20210606";
- sha256 = "0pqsq49kvqwgbi6jw0dycbdzyldi0sannyqkfzavhqjf4mwjzn4a";
+ version = "8.10.7.20210828";
+ sha256 = "178v4f7q9ndqmlhg2vhlk6ifm3ilajlrz8iw84vggzs7rp0fnlx0";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
array base binary bytestring containers deepseq directory filepath
- ghc-prim hpc pretty process time transformers unix
+ ghc-prim pretty process time transformers unix
];
libraryToolDepends = [ alex happy ];
description = "The GHC API, decoupled from GHC versions";
@@ -102609,8 +104214,8 @@ self: {
}:
mkDerivation {
pname = "ghc-lib-parser-ex";
- version = "8.10.0.21";
- sha256 = "0ynrn7qdmim35fs9qdlbajqwyz559x1l9cqmjhb54vbrs2xnk6vp";
+ version = "8.10.0.23";
+ sha256 = "0r5sl7hhn0cxp0b1dskx1lshplc0yka7hcvs2nh10nrj07fjd3vj";
libraryHaskellDepends = [
base bytestring containers ghc ghc-boot ghc-boot-th uniplate
];
@@ -103012,8 +104617,8 @@ self: {
}:
mkDerivation {
pname = "ghc-source-gen";
- version = "0.4.1.0";
- sha256 = "09rd6p8bprmj9nbdhh2909hw5il9gapj0cm3i7aiin200v80k84y";
+ version = "0.4.2.0";
+ sha256 = "1cb4yb48xzpdlrbw3gp6gf6nmjgyy0i9yzh63scl872allv8jfm3";
libraryHaskellDepends = [ base ghc ];
testHaskellDepends = [
base ghc ghc-paths QuickCheck tasty tasty-hunit tasty-quickcheck
@@ -103172,8 +104777,8 @@ self: {
({ mkDerivation, base, ghc, transformers }:
mkDerivation {
pname = "ghc-tcplugin-api";
- version = "0.3.1.0";
- sha256 = "10s9i2n8r3ckdz3kd1s4pwwm4j8p8fg13xhn2m2dy4832iwg12bz";
+ version = "0.5.1.0";
+ sha256 = "1rwdq81k0f85idg3fypac127iq6r3da5jrkq4ynixvpahj1w6m87";
libraryHaskellDepends = [ base ghc transformers ];
description = "An API for type-checker plugins";
license = lib.licenses.bsd3;
@@ -103355,8 +104960,8 @@ self: {
}:
mkDerivation {
pname = "ghc-vis";
- version = "0.9.2";
- sha256 = "1i7sx0ffbgfskhj27wnh9f8qldf4fqxmshlmqvajsrg9n5v5i772";
+ version = "0.9.3";
+ sha256 = "08144lfp3amgi5i6qfbpi0gqv39q34q4v9ykzl00pbc1l1zxnspg";
enableSeparateDataOutput = true;
setupHaskellDepends = [ base Cabal filepath ];
libraryHaskellDepends = [
@@ -103366,6 +104971,7 @@ self: {
description = "Live visualization of data structures in GHCi";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"ghcflags" = callPackage
@@ -103570,6 +105176,7 @@ self: {
];
description = "GHCi based bare bones IDE";
license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ maralorn ];
}) {};
"ghcide" = callPackage
@@ -103582,44 +105189,44 @@ self: {
, ghc-trace-events, ghc-typelits-knownnat, gitrev, Glob
, haddock-library, hashable, heapsize, hie-bios, hie-compat, hiedb
, hls-graph, hls-plugin-api, hp2pretty, hslogger, implicit-hie
- , implicit-hie-cradle, lens, lsp, lsp-test, lsp-types, mtl
- , network-uri, opentelemetry, optparse-applicative, parallel
- , prettyprinter, prettyprinter-ansi-terminal, process, QuickCheck
+ , implicit-hie-cradle, lens, lsp, lsp-test, lsp-types
+ , monoid-subclasses, mtl, network-uri, opentelemetry
+ , optparse-applicative, parallel, prettyprinter
+ , prettyprinter-ansi-terminal, process, QuickCheck
, quickcheck-instances, record-dot-preprocessor, record-hasfield
, regex-tdfa, retrie, rope-utf16-splay, safe, safe-exceptions
, shake, shake-bench, sorted-list, sqlite-simple, stm, syb, tasty
, tasty-expected-failure, tasty-hunit, tasty-quickcheck
, tasty-rerun, text, time, transformers, unix, unliftio
- , unliftio-core, unordered-containers, utf8-string, vector, yaml
+ , unliftio-core, unordered-containers, utf8-string, vector
+ , vector-algorithms, yaml
}:
mkDerivation {
pname = "ghcide";
- version = "1.4.0.3";
- sha256 = "1znf54l3g44cskx5blfaibf1frgyhy5z7906rdvyzb0dqfmkbzpw";
- revision = "1";
- editedCabalFile = "1qm3zj7c8qkc0ncm9bl57zj5nj7jm8c4lg2wzjrgmz3vvfmsd11c";
+ version = "1.4.2.3";
+ sha256 = "18l5sqyxxx6xlxkky9yw6ld1r5xrkcnfaqm72f0kvqiwvinvr0hh";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson array async base base16-bytestring binary bytestring
- bytestring-encoding case-insensitive containers cryptohash-sha1
- data-default deepseq dependent-map dependent-sum Diff directory
- dlist extra filepath fingertree fuzzy ghc ghc-api-compat ghc-boot
- ghc-boot-th ghc-check ghc-exactprint ghc-paths ghc-trace-events
- Glob haddock-library hashable heapsize hie-bios hie-compat hiedb
- hls-graph hls-plugin-api hslogger implicit-hie-cradle lens lsp
- lsp-types mtl network-uri opentelemetry optparse-applicative
- parallel prettyprinter prettyprinter-ansi-terminal regex-tdfa
- retrie rope-utf16-splay safe safe-exceptions sorted-list
- sqlite-simple stm syb text time transformers unix unliftio
- unliftio-core unordered-containers utf8-string vector
+ aeson aeson-pretty array async base base16-bytestring binary
+ bytestring bytestring-encoding case-insensitive containers
+ cryptohash-sha1 data-default deepseq dependent-map dependent-sum
+ Diff directory dlist extra filepath fingertree fuzzy ghc
+ ghc-api-compat ghc-boot ghc-boot-th ghc-check ghc-exactprint
+ ghc-paths ghc-trace-events Glob haddock-library hashable heapsize
+ hie-bios hie-compat hiedb hls-graph hls-plugin-api hslogger
+ implicit-hie-cradle lens lsp lsp-types monoid-subclasses mtl
+ network-uri opentelemetry optparse-applicative parallel
+ prettyprinter prettyprinter-ansi-terminal regex-tdfa retrie
+ rope-utf16-splay safe safe-exceptions sorted-list sqlite-simple stm
+ syb text time transformers unix unliftio unliftio-core
+ unordered-containers utf8-string vector vector-algorithms
];
executableHaskellDepends = [
- aeson aeson-pretty base bytestring containers data-default
- directory extra filepath ghc gitrev hashable heapsize hie-bios
- hiedb hls-graph hls-plugin-api lens lsp lsp-test lsp-types
- optparse-applicative process safe-exceptions shake text
- unordered-containers
+ aeson base bytestring containers data-default directory extra
+ filepath ghc gitrev hashable heapsize hie-bios hiedb hls-graph
+ hls-plugin-api lens lsp lsp-test lsp-types optparse-applicative
+ process safe-exceptions shake text unordered-containers
];
testHaskellDepends = [
aeson async base binary bytestring containers data-default
@@ -103930,53 +105537,47 @@ self: {
"ghcup" = callPackage
({ mkDerivation, aeson, aeson-pretty, async, base
- , base16-bytestring, binary, bytestring, bz2, case-insensitive
- , casing, concurrent-output, containers, cryptohash-sha256
- , generic-arbitrary, generics-sop, haskus-utils-types
- , haskus-utils-variant, hpath, hpath-directory, hpath-filepath
- , hpath-io, hpath-posix, hspec, hspec-golden-aeson, libarchive
- , lzma-static, megaparsec, monad-logger, mtl, optics, optics-vl
- , optparse-applicative, os-release, parsec, pretty, pretty-terminal
- , QuickCheck, quickcheck-arbitrary-adt, regex-posix, resourcet
- , safe, safe-exceptions, split, streamly, streamly-bytestring
- , streamly-posix, strict-base, string-interpolate, template-haskell
- , text, time, transformers, unix, unix-bytestring
- , unordered-containers, uri-bytestring, utf8-string, vector
- , versions, vty, word8, yaml, zlib
+ , base16-bytestring, binary, bytestring, bz2, Cabal, cabal-plan
+ , case-insensitive, casing, containers, cryptohash-sha256, deepseq
+ , directory, disk-free-space, filepath, generic-arbitrary
+ , haskus-utils-types, haskus-utils-variant, hspec, hspec-discover
+ , hspec-golden-aeson, HsYAML-aeson, libarchive, lzma-static
+ , megaparsec, mtl, optics, optparse-applicative, os-release, pretty
+ , pretty-terminal, QuickCheck, quickcheck-arbitrary-adt
+ , regex-posix, resourcet, safe, safe-exceptions, split, strict-base
+ , template-haskell, temporary, text, time, transformers, unix
+ , unix-bytestring, unliftio-core, unordered-containers
+ , uri-bytestring, utf8-string, vector, versions, word8, zlib
}:
mkDerivation {
pname = "ghcup";
- version = "0.1.14.2";
- sha256 = "1k18ira2i2ja4hd65fdxk3ab21xzh4fvd982q2rfjshzkds1a3hv";
- revision = "1";
- editedCabalFile = "1vy71ly44jibq8bil0ns80m2zn9gcpnz8f9w2mn4j404gajpqagk";
+ version = "0.1.17.2";
+ sha256 = "0ggajcaxbr71npn6ihmlw954aj8lmdlwq3k22n9cnf23gg8s0yfv";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson async base base16-bytestring binary bytestring bz2
- case-insensitive casing concurrent-output containers
- cryptohash-sha256 generics-sop haskus-utils-types
- haskus-utils-variant hpath hpath-directory hpath-filepath hpath-io
- hpath-posix libarchive lzma-static megaparsec monad-logger mtl
- optics optics-vl os-release parsec pretty pretty-terminal
- regex-posix resourcet safe safe-exceptions split streamly
- streamly-bytestring streamly-posix strict-base string-interpolate
- template-haskell text time transformers unix unix-bytestring
- unordered-containers uri-bytestring utf8-string vector versions vty
- word8 yaml zlib
+ aeson async base base16-bytestring binary bytestring bz2 Cabal
+ case-insensitive casing containers cryptohash-sha256 deepseq
+ directory disk-free-space filepath haskus-utils-types
+ haskus-utils-variant HsYAML-aeson libarchive lzma-static megaparsec
+ mtl optics os-release pretty pretty-terminal regex-posix resourcet
+ safe safe-exceptions split strict-base template-haskell temporary
+ text time transformers unix unix-bytestring unliftio-core
+ unordered-containers uri-bytestring vector versions word8 zlib
];
executableHaskellDepends = [
- aeson aeson-pretty base bytestring containers haskus-utils-variant
- hpath hpath-filepath hpath-io libarchive megaparsec monad-logger
- mtl optics optparse-applicative pretty pretty-terminal regex-posix
- resourcet safe safe-exceptions string-interpolate template-haskell
- text transformers uri-bytestring utf8-string versions yaml
+ aeson aeson-pretty async base bytestring cabal-plan containers
+ deepseq filepath haskus-utils-variant HsYAML-aeson libarchive
+ megaparsec mtl optics optparse-applicative pretty pretty-terminal
+ regex-posix resourcet safe safe-exceptions template-haskell text
+ transformers uri-bytestring utf8-string versions
];
testHaskellDepends = [
- base bytestring containers generic-arbitrary hpath hspec
+ base bytestring containers generic-arbitrary hspec
hspec-golden-aeson QuickCheck quickcheck-arbitrary-adt text
uri-bytestring versions
];
+ testToolDepends = [ hspec-discover ];
description = "ghc toolchain installer";
license = lib.licenses.lgpl3Only;
maintainers = with lib.maintainers; [ maralorn ];
@@ -104652,8 +106253,8 @@ self: {
}:
mkDerivation {
pname = "gi-gtk-declarative";
- version = "0.7.0";
- sha256 = "0j6yk2qr88yrxs8vdwcqv6jzisjl0x1j932ssim8ay98z4r6y8gg";
+ version = "0.7.1";
+ sha256 = "0fc3y6p7adnwpz5zwv9sh0wy88nx1i3n7m8qx4awha9id59s0y1y";
libraryHaskellDepends = [
base containers data-default-class gi-glib gi-gobject gi-gtk
haskell-gi haskell-gi-base haskell-gi-overloading mtl text
@@ -104675,8 +106276,8 @@ self: {
}:
mkDerivation {
pname = "gi-gtk-declarative-app-simple";
- version = "0.7.0";
- sha256 = "0ygp70yfj530czfw6an3yp9y883q4lwky45rxdslyf1ifk8dn6rf";
+ version = "0.7.1";
+ sha256 = "0q5crb3jl8mlr474srqya3yqi90vklnldlb2qs167h60shzvf353";
libraryHaskellDepends = [
async base gi-gdk gi-glib gi-gobject gi-gtk gi-gtk-declarative
haskell-gi haskell-gi-base haskell-gi-overloading pipes
@@ -105078,7 +106679,7 @@ self: {
({ mkDerivation, base, bytestring, Cabal, containers, gi-atk
, gi-cairo, gi-gdk, gi-gdkpixbuf, gi-gio, gi-glib, gi-gobject
, gi-gtk, gi-javascriptcore, gi-soup, haskell-gi, haskell-gi-base
- , haskell-gi-overloading, text, transformers, webkitgtk24x-gtk3
+ , haskell-gi-overloading, text, transformers, webkitgtk
}:
mkDerivation {
pname = "gi-webkit";
@@ -105090,12 +106691,12 @@ self: {
gi-gio gi-glib gi-gobject gi-gtk gi-javascriptcore gi-soup
haskell-gi haskell-gi-base haskell-gi-overloading text transformers
];
- libraryPkgconfigDepends = [ webkitgtk24x-gtk3 ];
+ libraryPkgconfigDepends = [ webkitgtk ];
doHaddock = false;
description = "WebKit bindings";
license = lib.licenses.lgpl21Only;
hydraPlatforms = lib.platforms.none;
- }) {inherit (pkgs) webkitgtk24x-gtk3;};
+ }) {inherit (pkgs) webkitgtk;};
"gi-webkit2" = callPackage
({ mkDerivation, base, bytestring, Cabal, containers, gi-atk
@@ -105211,6 +106812,19 @@ self: {
broken = true;
}) {};
+ "gibbon" = callPackage
+ ({ mkDerivation, base }:
+ mkDerivation {
+ pname = "gibbon";
+ version = "0.1.1";
+ sha256 = "1fvb7fg4dh26xszbfcrjyd7h8pl0nxpxkls6lb1ibyw9z76h0shh";
+ isLibrary = false;
+ isExecutable = true;
+ executableHaskellDepends = [ base ];
+ description = "A compiler for operating on serialized trees";
+ license = lib.licenses.bsd3;
+ }) {};
+
"gimlh" = callPackage
({ mkDerivation, base, split }:
mkDerivation {
@@ -105491,8 +107105,8 @@ self: {
}:
mkDerivation {
pname = "git-annex";
- version = "8.20210803";
- sha256 = "0777q454bgkzr930wacn48xm6ygi4i6rxsizvxjm3a0dlffnv3b2";
+ version = "8.20211011";
+ sha256 = "02s7921ihmbndp7lk1nhhiljky02ikq4qax4mhg609qjhbl6piwd";
configureFlags = [
"-fassistant" "-f-benchmark" "-fdbus" "-f-debuglocks" "-fmagicmime"
"-fnetworkbsd" "-fpairing" "-fproduction" "-fs3" "-ftorrentparser"
@@ -105995,8 +107609,8 @@ self: {
}:
mkDerivation {
pname = "githash";
- version = "0.1.6.1";
- sha256 = "0g922g2l3xv795gvhri5ccrh751dnyckjs7mzv1d8pg2lffpj0bi";
+ version = "0.1.6.2";
+ sha256 = "1vkwc7j71vdrxy01vlm6xfp16kam7m9bnj9y3h217fzhq5mjywhz";
libraryHaskellDepends = [
base bytestring directory filepath process template-haskell
th-compat
@@ -106020,8 +107634,8 @@ self: {
}:
mkDerivation {
pname = "github";
- version = "0.26";
- sha256 = "1vbskrkhmz4d3fccn3w12zgz2dbl40b2gljsm7lyd5k8hz50kds8";
+ version = "0.27";
+ sha256 = "0vlqsdhhjnfw9wk5vn1i8cmwa45vgczhz4nn46mwgs600xfn94am";
libraryHaskellDepends = [
aeson base base-compat base16-bytestring binary binary-instances
bytestring containers cryptohash-sha1 deepseq deepseq-generics
@@ -106037,6 +107651,8 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Access to the GitHub API, v3";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"github-backup" = callPackage
@@ -106154,7 +107770,7 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "github-rest_1_1_0" = callPackage
+ "github-rest_1_1_1" = callPackage
({ mkDerivation, aeson, aeson-qq, base, bytestring, http-client
, http-client-tls, http-types, jwt, mtl, scientific, tasty
, tasty-golden, tasty-hunit, tasty-quickcheck, text, time
@@ -106162,8 +107778,8 @@ self: {
}:
mkDerivation {
pname = "github-rest";
- version = "1.1.0";
- sha256 = "0xyvmc8hj3rfglnhg6xcrdsd9gbii8yzh8qw5xjdyccmdsibckx3";
+ version = "1.1.1";
+ sha256 = "1wf4gs3324h4pfal5qcpb65lfpm4kpmxmv4fiv6asrvhas9cwglm";
libraryHaskellDepends = [
aeson base bytestring http-client http-client-tls http-types jwt
mtl scientific text time transformers unliftio unliftio-core
@@ -106215,7 +107831,6 @@ self: {
];
description = "Type definitions for objects used by the GitHub v3 API";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"github-utils" = callPackage
@@ -106409,20 +108024,19 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "gitlab-haskell_0_3_0_2" = callPackage
+ "gitlab-haskell_0_3_2_0" = callPackage
({ mkDerivation, aeson, base, bytestring, connection, http-client
, http-conduit, http-types, tasty, tasty-hunit, temporary, text
- , time, transformers, unix, unliftio, unliftio-core
+ , time, transformers, unix
}:
mkDerivation {
pname = "gitlab-haskell";
- version = "0.3.0.2";
- sha256 = "0ndwff0rk1b7vkggymb0ca0h0hg69kym7npcckv2x2pnw55lb5yz";
+ version = "0.3.2.0";
+ sha256 = "1ibsb4wyavyb6s64czy1pdbcskfwn96wcky4vlpr0r1f8gjpym5s";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson base bytestring connection http-client http-conduit
- http-types temporary text time transformers unix unliftio
- unliftio-core
+ http-types temporary text time transformers unix
];
testHaskellDepends = [ aeson base bytestring tasty tasty-hunit ];
description = "A Haskell library for the GitLab web API";
@@ -106856,6 +108470,7 @@ self: {
libraryHaskellDepends = [ base vector ];
description = "Graphical Lasso algorithm";
license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ dschrempf ];
}) {};
"glaze" = callPackage
@@ -107857,57 +109472,91 @@ self: {
}) {};
"goal-core" = callPackage
- ({ mkDerivation, base, cairo, Chart, Chart-cairo, Chart-gtk, colour
- , containers, data-default-class, gtk, lens
+ ({ mkDerivation, async, base, bytestring, cassava, containers
+ , criterion, deepseq, directory, finite-typelits
+ , ghc-typelits-knownnat, ghc-typelits-natnormalise, hmatrix
+ , hmatrix-gsl, math-functions, mwc-probability, mwc-random
+ , optparse-applicative, primitive, process, vector, vector-sized
}:
mkDerivation {
pname = "goal-core";
- version = "0.1";
- sha256 = "11k66j7by9lx0kra354p8c3h7ph1z33n632wiy8b7vim5pw35fc4";
- isLibrary = true;
- isExecutable = true;
+ version = "0.20";
+ sha256 = "0lqcyllfg0r2dxd6lwil1i4wbdlpxq4plyxamjwhi7s5k41q1k93";
libraryHaskellDepends = [
- base cairo Chart Chart-cairo Chart-gtk colour containers
- data-default-class gtk lens
+ async base bytestring cassava containers criterion deepseq
+ directory finite-typelits ghc-typelits-knownnat
+ ghc-typelits-natnormalise hmatrix hmatrix-gsl math-functions
+ optparse-applicative primitive process vector vector-sized
];
- executableHaskellDepends = [ base ];
- description = "Core imports for Geometric Optimization Libraries";
+ benchmarkHaskellDepends = [
+ base criterion hmatrix mwc-probability mwc-random
+ ];
+ description = "Common, non-geometric tools for use with Goal";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
broken = true;
}) {};
"goal-geometry" = callPackage
- ({ mkDerivation, base, goal-core, hmatrix, vector }:
+ ({ mkDerivation, ad, base, ghc-typelits-knownnat
+ , ghc-typelits-natnormalise, goal-core, indexed-list-literals
+ }:
mkDerivation {
pname = "goal-geometry";
- version = "0.1";
- sha256 = "0x6w7qvhs8mvzhf7ccyciznwq1jjpn337nq5jkns2zza72dm5gz0";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [ base goal-core hmatrix vector ];
- executableHaskellDepends = [ base goal-core ];
- description = "Scientific computing on geometric objects";
+ version = "0.20";
+ sha256 = "0bjyy0q7f4wmwna019wbaf7gfflpkng60f2rqxnffqcar9q127jk";
+ libraryHaskellDepends = [
+ ad base ghc-typelits-knownnat ghc-typelits-natnormalise goal-core
+ indexed-list-literals
+ ];
+ description = "The basic geometric type system of Goal";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
+ "goal-graphical" = callPackage
+ ({ mkDerivation, base, bytestring, cassava, containers, criterion
+ , ghc-typelits-knownnat, ghc-typelits-natnormalise, goal-core
+ , goal-geometry, goal-probability, hmatrix, hmatrix-special
+ , mwc-probability, mwc-random, parallel, statistics, vector
+ }:
+ mkDerivation {
+ pname = "goal-graphical";
+ version = "0.20";
+ sha256 = "1ckp0238wkdvsxpi7mc7vp0ymfhmpz4hh2nzgpfr09c9dz02cv61";
+ libraryHaskellDepends = [
+ base containers ghc-typelits-knownnat ghc-typelits-natnormalise
+ goal-core goal-geometry goal-probability hmatrix hmatrix-special
+ mwc-probability mwc-random parallel statistics vector
+ ];
+ benchmarkHaskellDepends = [
+ base bytestring cassava criterion goal-core goal-geometry
+ goal-probability
+ ];
+ description = "Optimization of latent variable and dynamical models with Goal";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
}) {};
"goal-probability" = callPackage
- ({ mkDerivation, base, goal-core, goal-geometry, hmatrix
- , math-functions, mwc-random, mwc-random-monad, statistics, vector
+ ({ mkDerivation, base, bytestring, cassava, containers, criterion
+ , ghc-typelits-knownnat, ghc-typelits-natnormalise, goal-core
+ , goal-geometry, hmatrix, hmatrix-special, mwc-random, parallel
+ , statistics, vector
}:
mkDerivation {
pname = "goal-probability";
- version = "0.1";
- sha256 = "0bch2lcq7crr7g96rz7m98wy8lc1cldxq0pl1kf0bsadxwc3b2nl";
- isLibrary = true;
- isExecutable = true;
+ version = "0.20";
+ sha256 = "14yfsazxrn8g3ygbwx8zs9xgjjzi5q1dw6sqbdkrixb8ffw7xszm";
libraryHaskellDepends = [
- base goal-core goal-geometry hmatrix math-functions mwc-random
- mwc-random-monad statistics vector
+ base containers ghc-typelits-knownnat ghc-typelits-natnormalise
+ goal-core goal-geometry hmatrix hmatrix-special mwc-random parallel
+ statistics vector
];
- executableHaskellDepends = [ base goal-core goal-geometry vector ];
- description = "Manifolds of probability distributions";
+ benchmarkHaskellDepends = [
+ base bytestring cassava criterion goal-core goal-geometry
+ ];
+ description = "Optimization on manifolds of probability distributions with Goal";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
}) {};
@@ -108867,6 +110516,8 @@ self: {
pname = "gogol-core";
version = "0.5.0";
sha256 = "0xvlrx4hzhwp3slinh8apnxlgxmispjjnmwsfbpi4p9n451ilx93";
+ revision = "1";
+ editedCabalFile = "0k6nhsl0jh233ym25fh03nc2gja6q27hcav1kbs9lfpyf0qdvvyl";
libraryHaskellDepends = [
aeson attoparsec base bifunctors bytestring case-insensitive
conduit dlist exceptions hashable http-api-data http-client
@@ -108877,6 +110528,7 @@ self: {
description = "Core data types and functionality for Gogol libraries";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"gogol-customsearch" = callPackage
@@ -110382,6 +112034,8 @@ self: {
pname = "google-drive";
version = "0.4.1";
sha256 = "1whpifspbvqgw9hg367fjhp14ci61nnirskivawpv2airzn45xzk";
+ revision = "1";
+ editedCabalFile = "02hpkgp1k5gsc6rrx6gzzskcrdjzqbcdcppxkmv36lq0r1sj5afw";
libraryHaskellDepends = [
aeson base bytestring conduit conduit-extra directory filepath
http-conduit http-types mtl random resourcet text time
@@ -111829,21 +113483,21 @@ self: {
"graphql" = callPackage
({ mkDerivation, aeson, base, conduit, containers, exceptions
, hspec, hspec-expectations, hspec-megaparsec, megaparsec
- , parser-combinators, QuickCheck, raw-strings-qq, scientific, text
- , transformers, unordered-containers, vector
+ , parser-combinators, QuickCheck, scientific, template-haskell
+ , text, transformers, unordered-containers, vector
}:
mkDerivation {
pname = "graphql";
- version = "1.0.0.0";
- sha256 = "09r2a444l18pzy0952hkpl98vkmldi8j94hr6qf16xg5y9nic3nd";
+ version = "1.0.1.0";
+ sha256 = "1kfrfmmapq5sjh0gs9g3hgr4s3780ihvzqw94h7mzngq2ikviqgh";
libraryHaskellDepends = [
aeson base conduit containers exceptions hspec-expectations
- megaparsec parser-combinators scientific text transformers
- unordered-containers vector
+ megaparsec parser-combinators scientific template-haskell text
+ transformers unordered-containers vector
];
testHaskellDepends = [
aeson base conduit exceptions hspec hspec-megaparsec megaparsec
- QuickCheck raw-strings-qq scientific text unordered-containers
+ QuickCheck scientific text unordered-containers
];
description = "Haskell GraphQL implementation";
license = "MPL-2.0 AND BSD-3-Clause";
@@ -112156,8 +113810,8 @@ self: {
}:
mkDerivation {
pname = "gray-extended";
- version = "1.5.8";
- sha256 = "1vli6dc0wc1an6vfhchai6s8xrg8rfds2k07x2xszaqg7r2njc9k";
+ version = "1.5.9";
+ sha256 = "0j2qw42fv5pg6v6bq51hk6lw3gcz35xd1gfvm8i74irv6bqialgy";
libraryHaskellDepends = [ base ];
testHaskellDepends = [
base QuickCheck test-framework test-framework-quickcheck2
@@ -112186,6 +113840,7 @@ self: {
description = "Support for graylog output";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"greencard" = callPackage
@@ -112323,6 +113978,7 @@ self: {
description = "Generalised replicate functions";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"greskell" = callPackage
@@ -112396,8 +114052,8 @@ self: {
}:
mkDerivation {
pname = "grid";
- version = "7.8.14";
- sha256 = "11rnsl6bs6qpx90p8jzdigncv6m5wbn6sav8gb3mlbm40fpi3p93";
+ version = "7.8.15";
+ sha256 = "0fsgax3xpdhnwn67s4wqqpvmm98j7b1fp6lrx98m15nxmjqb7rcc";
libraryHaskellDepends = [ base containers ];
testHaskellDepends = [
base QuickCheck test-framework test-framework-quickcheck2
@@ -112470,6 +114126,7 @@ self: {
description = "GridFS (MongoDB file storage) implementation";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"gridland" = callPackage
@@ -113330,7 +114987,7 @@ self: {
libraryPkgconfigDepends = [ gtk-mac-integration-gtk2 ];
description = "Bindings for the Gtk/OS X integration library";
license = lib.licenses.lgpl21Only;
- platforms = [ "x86_64-darwin" ];
+ platforms = [ "aarch64-darwin" "x86_64-darwin" ];
hydraPlatforms = lib.platforms.none;
broken = true;
}) {inherit (pkgs) gtk-mac-integration-gtk2;};
@@ -113450,8 +115107,8 @@ self: {
}:
mkDerivation {
pname = "gtk2hs-buildtools";
- version = "0.13.8.0";
- sha256 = "1645pgrs9cj6imhpdpkbkhr9mn9005wbqlvily5f8iaf02zpvfwd";
+ version = "0.13.8.1";
+ sha256 = "102x753jbc90lfm9s0ng5kvm0risqwpar331xwsd752as0bms142";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -113490,7 +115147,6 @@ self: {
libraryHaskellDepends = [ base glib ];
description = "A type class for cast functions of Gtk2hs: glib package";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"gtk2hs-cast-gnomevfs" = callPackage
@@ -113568,6 +115224,7 @@ self: {
description = "A type class for cast functions of Gtk2hs: TH package";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"gtk2hs-hello" = callPackage
@@ -113604,8 +115261,8 @@ self: {
}:
mkDerivation {
pname = "gtk3";
- version = "0.15.5";
- sha256 = "1y5wmxxpvhfw1ypli3f48k5bg3hfbx081d9xr5ks8sj3g7f7cf60";
+ version = "0.15.6";
+ sha256 = "008q6pbl0vq4c2cg94s5az67xdy5q3nzi8qgr7934q3cgdhzbb8w";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -115602,6 +117259,8 @@ self: {
pname = "haddock-library";
version = "1.10.0";
sha256 = "15ak06q8yp11xz1hwr0sg2jqi3r78p1n89ik05hicqvxl3awf1pq";
+ revision = "1";
+ editedCabalFile = "1r2mz68415wq3l194ryki8r8p7n7h21033m1ixrpd259s4yq6zdp";
libraryHaskellDepends = [
base bytestring containers parsec text transformers
];
@@ -115664,8 +117323,8 @@ self: {
}:
mkDerivation {
pname = "hadolint";
- version = "2.6.1";
- sha256 = "1h4bcgjf6kxhaxjhdmpxkgxamrg3ibw43hkr97iqk9h5skjcx6d9";
+ version = "2.7.0";
+ sha256 = "11jpqx6i7qbg4yjh8rbdz7zqjmp9r9ch9z299h72af48wrwr16fl";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -116163,29 +117822,29 @@ self: {
}) {};
"hakyll" = callPackage
- ({ mkDerivation, base, binary, blaze-html, blaze-markup, bytestring
- , containers, cryptonite, data-default, deepseq, directory
- , file-embed, filepath, fsnotify, http-conduit, http-types
- , lrucache, memory, mtl, network-uri, optparse-applicative, pandoc
- , parsec, process, QuickCheck, random, regex-tdfa, resourcet
- , scientific, tagsoup, tasty, tasty-golden, tasty-hunit
- , tasty-quickcheck, template-haskell, text, time
+ ({ mkDerivation, aeson, base, binary, blaze-html, blaze-markup
+ , bytestring, containers, data-default, deepseq, directory
+ , file-embed, filepath, fsnotify, hashable, http-conduit
+ , http-types, lifted-async, lrucache, mtl, network-uri
+ , optparse-applicative, pandoc, parsec, process, QuickCheck, random
+ , regex-tdfa, resourcet, scientific, tagsoup, tasty, tasty-golden
+ , tasty-hunit, tasty-quickcheck, template-haskell, text, time
, time-locale-compat, unordered-containers, util-linux, vector, wai
, wai-app-static, warp, yaml
}:
mkDerivation {
pname = "hakyll";
- version = "4.14.0.0";
- sha256 = "088df9vs5f2p5iiv7rbrisz4z4s38mkr9z41gy3hqdapg4m7mi1c";
+ version = "4.15.0.1";
+ sha256 = "09arikf44i4llffhi948fy2zdj76zym7z9swjx5p5axc7qvc4sqh";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
libraryHaskellDepends = [
- base binary blaze-html blaze-markup bytestring containers
- cryptonite data-default deepseq directory file-embed filepath
- fsnotify http-conduit http-types lrucache memory mtl network-uri
- optparse-applicative pandoc parsec process random regex-tdfa
- resourcet scientific tagsoup template-haskell text time
+ aeson base binary blaze-html blaze-markup bytestring containers
+ data-default deepseq directory file-embed filepath fsnotify
+ hashable http-conduit http-types lifted-async lrucache mtl
+ network-uri optparse-applicative pandoc parsec process random
+ regex-tdfa resourcet scientific tagsoup template-haskell text time
time-locale-compat unordered-containers vector wai wai-app-static
warp yaml
];
@@ -116353,6 +118012,7 @@ self: {
description = "A Hakyll library for internationalization";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hakyll-contrib-links" = callPackage
@@ -116492,8 +118152,8 @@ self: {
}:
mkDerivation {
pname = "hakyll-images";
- version = "1.1.0";
- sha256 = "0kafqdhzwj8cjsdwv395981j09yjbzy2k8m09ql90l968zlm3bic";
+ version = "1.1.1";
+ sha256 = "18jzj2dw7hzcwf37w4n64pnkxkypqwnnjp92kjn74w1xcg9lwj5k";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base binary bytestring hakyll JuicyPixels JuicyPixels-extra
@@ -116522,8 +118182,8 @@ self: {
({ mkDerivation, base, bytestring, hakyll, typed-process }:
mkDerivation {
pname = "hakyll-process";
- version = "0.0.2.0";
- sha256 = "03s51ql10g6vjsrzwxa2jwff4wckp7vf3sg9r6hdsbh30l4720il";
+ version = "0.0.3.0";
+ sha256 = "1ci7sw9r73h06kdrhqqbs0sar91z56scns3xljq2rpzhcpf3ppms";
libraryHaskellDepends = [ base bytestring hakyll typed-process ];
description = "Hakyll compiler for arbitrary external processes";
license = lib.licenses.bsd3;
@@ -117343,8 +119003,8 @@ self: {
}:
mkDerivation {
pname = "hanspell";
- version = "0.2.6.0";
- sha256 = "0qk7zxq43mjcxyzhiidk0zm4sb2ii5wwr4zqihky538s6mqf5ccz";
+ version = "0.2.6.1";
+ sha256 = "06a2jakdyrdnb0m4mdbsg7zvichp3r5na8v4di18v9rwmq1fx0ih";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -118146,6 +119806,22 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "happy_1_19_12" = callPackage
+ ({ mkDerivation, array, base, containers, mtl, process }:
+ mkDerivation {
+ pname = "happy";
+ version = "1.19.12";
+ sha256 = "03xlmq6qmdx4zvzw8bp33kd9g7yvcq5cz4wg50xilw812kj276pv";
+ isLibrary = false;
+ isExecutable = true;
+ enableSeparateDataOutput = true;
+ executableHaskellDepends = [ array base containers mtl ];
+ testHaskellDepends = [ base process ];
+ description = "Happy is a parser generator for Haskell";
+ license = lib.licenses.bsd2;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"happy" = callPackage
({ mkDerivation, array, base, containers, mtl, process }:
mkDerivation {
@@ -118583,8 +120259,8 @@ self: {
}:
mkDerivation {
pname = "hasbolt-extras";
- version = "0.0.1.7";
- sha256 = "1dnia4da5g9c8ckiap4wsacv6lccr69ai24i3n6mywdykhy159f1";
+ version = "0.0.1.8";
+ sha256 = "1qmj8dikn47qi47ic1zb7ahcsnmaamkrpbvica17fgyxcdbiilfl";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -118860,17 +120536,17 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "hashable_1_3_2_0" = callPackage
- ({ mkDerivation, base, bytestring, deepseq, ghc-prim, HUnit
- , integer-gmp, QuickCheck, random, test-framework
+ "hashable_1_3_4_1" = callPackage
+ ({ mkDerivation, base, bytestring, containers, deepseq, ghc-prim
+ , HUnit, integer-gmp, QuickCheck, random, test-framework
, test-framework-hunit, test-framework-quickcheck2, text, unix
}:
mkDerivation {
pname = "hashable";
- version = "1.3.2.0";
- sha256 = "0dyn343wdwbm1facpcjiyd8w0s0hk23jqh7mbj108az5dx5rdgar";
+ version = "1.3.4.1";
+ sha256 = "15iik80jdp3yn8azzc7kpjl0zwfc36v9m71cy018swal7bqgv99c";
libraryHaskellDepends = [
- base bytestring deepseq ghc-prim integer-gmp text
+ base bytestring containers deepseq ghc-prim integer-gmp text
];
testHaskellDepends = [
base bytestring ghc-prim HUnit QuickCheck random test-framework
@@ -119015,6 +120691,21 @@ self: {
broken = true;
}) {};
+ "hashes" = callPackage
+ ({ mkDerivation, base, bytestring, criterion, memory, QuickCheck }:
+ mkDerivation {
+ pname = "hashes";
+ version = "0.1.0.1";
+ sha256 = "0r686g8ksgl680s11m433z0d5b9hq8dz7k2as31qm2r2b6rvg7yd";
+ libraryHaskellDepends = [ base bytestring ];
+ testHaskellDepends = [ base bytestring QuickCheck ];
+ benchmarkHaskellDepends = [
+ base bytestring criterion memory QuickCheck
+ ];
+ description = "Hash functions";
+ license = lib.licenses.mit;
+ }) {};
+
"hashflare" = callPackage
({ mkDerivation, base, containers, simple-money }:
mkDerivation {
@@ -119260,6 +120951,7 @@ self: {
description = "Utility to generate bindings for BlackBerry Cascades";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"haskakafka" = callPackage
@@ -119304,6 +120996,7 @@ self: {
description = "A breakout game written in Yampa using SDL";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"haskarrow" = callPackage
@@ -120013,6 +121706,8 @@ self: {
pname = "haskell-gi-base";
version = "0.25.0";
sha256 = "140f6amq69r39vj54i1p4c9q0ysxkvb3yjcrlbrrayf66bhw8mqy";
+ revision = "1";
+ editedCabalFile = "1pl2mzjdsnvvkfmr622jvw2vk9gxdql5flck4vb60nr9d970zg9r";
libraryHaskellDepends = [ base bytestring containers text ];
libraryPkgconfigDepends = [ glib ];
description = "Foundation for libraries generated by haskell-gi";
@@ -120161,21 +121856,22 @@ self: {
, cryptohash-sha1, data-default, deepseq, directory, extra
, filepath, ghc, ghc-api-compat, ghc-boot-th, ghc-paths, ghcide
, gitrev, hashable, hie-bios, hiedb, hls-brittany-plugin
- , hls-class-plugin, hls-eval-plugin, hls-explicit-imports-plugin
- , hls-floskell-plugin, hls-fourmolu-plugin, hls-graph
- , hls-haddock-comments-plugin, hls-hlint-plugin
- , hls-module-name-plugin, hls-ormolu-plugin, hls-plugin-api
- , hls-pragmas-plugin, hls-refine-imports-plugin, hls-retrie-plugin
- , hls-splice-plugin, hls-stylish-haskell-plugin, hls-tactics-plugin
- , hls-test-utils, hslogger, hspec-expectations, lens, lsp, lsp-test
- , lsp-types, mtl, optparse-applicative, optparse-simple, process
- , regex-tdfa, safe-exceptions, sqlite-simple, temporary, text
- , transformers, unordered-containers
+ , hls-call-hierarchy-plugin, hls-class-plugin, hls-eval-plugin
+ , hls-explicit-imports-plugin, hls-floskell-plugin
+ , hls-fourmolu-plugin, hls-graph, hls-haddock-comments-plugin
+ , hls-hlint-plugin, hls-module-name-plugin, hls-ormolu-plugin
+ , hls-plugin-api, hls-pragmas-plugin, hls-refine-imports-plugin
+ , hls-retrie-plugin, hls-splice-plugin, hls-stylish-haskell-plugin
+ , hls-tactics-plugin, hls-test-utils, hslogger, hspec-expectations
+ , lens, lsp, lsp-test, lsp-types, mtl, optparse-applicative
+ , optparse-simple, process, regex-tdfa, safe-exceptions
+ , sqlite-simple, temporary, text, transformers
+ , unordered-containers
}:
mkDerivation {
pname = "haskell-language-server";
- version = "1.2.0.0";
- sha256 = "131l21r9ahnw54x5453j7pw728z6vp3gc2zmj6wrwzz51b9v64q8";
+ version = "1.4.0.0";
+ sha256 = "1zyvfh9lmr97i221kqkjilq1di3l5h2qk2d46rcl3gyfrdpc2cil";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -120189,15 +121885,16 @@ self: {
aeson async base base16-bytestring binary bytestring containers
cryptohash-sha1 data-default deepseq directory extra filepath ghc
ghc-api-compat ghc-boot-th ghc-paths ghcide gitrev hashable
- hie-bios hiedb hls-brittany-plugin hls-class-plugin hls-eval-plugin
- hls-explicit-imports-plugin hls-floskell-plugin hls-fourmolu-plugin
- hls-graph hls-haddock-comments-plugin hls-hlint-plugin
- hls-module-name-plugin hls-ormolu-plugin hls-plugin-api
- hls-pragmas-plugin hls-refine-imports-plugin hls-retrie-plugin
- hls-splice-plugin hls-stylish-haskell-plugin hls-tactics-plugin
- hslogger lens lsp mtl optparse-applicative optparse-simple process
- regex-tdfa safe-exceptions sqlite-simple temporary text
- transformers unordered-containers
+ hie-bios hiedb hls-brittany-plugin hls-call-hierarchy-plugin
+ hls-class-plugin hls-eval-plugin hls-explicit-imports-plugin
+ hls-floskell-plugin hls-fourmolu-plugin hls-graph
+ hls-haddock-comments-plugin hls-hlint-plugin hls-module-name-plugin
+ hls-ormolu-plugin hls-plugin-api hls-pragmas-plugin
+ hls-refine-imports-plugin hls-retrie-plugin hls-splice-plugin
+ hls-stylish-haskell-plugin hls-tactics-plugin hslogger lens lsp mtl
+ optparse-applicative optparse-simple process regex-tdfa
+ safe-exceptions sqlite-simple temporary text transformers
+ unordered-containers
];
testHaskellDepends = [
aeson base bytestring containers data-default directory extra
@@ -120232,6 +121929,8 @@ self: {
pname = "haskell-lsp";
version = "0.24.0.0";
sha256 = "0gw289wy91h0qv4filw3glw3rrjvmr5j591wrdiwc1bl3w56bpig";
+ revision = "1";
+ editedCabalFile = "0px7k5768rnxfqi9cf2g2f99kh2kwmyy2vkzszcp2kgxhb7qzcha";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -120281,6 +121980,8 @@ self: {
pname = "haskell-lsp-types";
version = "0.24.0.0";
sha256 = "1p7k2g2xs95ylsnnz2np0w8c7p5dzmlss41g0kzblaz5n3352kbn";
+ revision = "1";
+ editedCabalFile = "1wy26rv0zih8zgc4as5prf305qw2llcc1srx3rcnzfwlggkslsnr";
libraryHaskellDepends = [
aeson base binary bytestring data-default deepseq filepath hashable
lens network-uri scientific text unordered-containers
@@ -122165,27 +123866,33 @@ self: {
}) {};
"hasklepias" = callPackage
- ({ mkDerivation, aeson, base, bytestring, cmdargs, co-log
- , containers, flow, ghc-prim, hspec, interval-algebra, lens
- , lens-aeson, mtl, nonempty-containers, QuickCheck, safe
- , semiring-simple, tasty, tasty-hspec, tasty-hunit, text, time
+ ({ mkDerivation, aeson, amazonka, amazonka-s3, base, bytestring
+ , cmdargs, co-log, conduit, conduit-extra, containers
+ , contravariant, flow, ghc-prim, hspec, interval-algebra, lens
+ , lens-aeson, mtl, nonempty-containers, optparse-applicative
+ , QuickCheck, safe, semiring-simple, tasty, tasty-hspec
+ , tasty-hunit, tasty-silver, text, time, tuple
, unordered-containers, vector, witherable
}:
mkDerivation {
pname = "hasklepias";
- version = "0.15.1";
- sha256 = "00n9fan0g3xdl9k0f0rw32xgrwzxb8x85vrj98yyk1dk490pgfhm";
+ version = "0.20.0";
+ sha256 = "1fp7pd96mf91cf906lb1xd92ncm6fjpw4657fa47xba8yxcyy1gw";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson base bytestring cmdargs co-log containers flow ghc-prim
+ aeson amazonka amazonka-s3 base bytestring cmdargs co-log conduit
+ conduit-extra containers contravariant flow ghc-prim
interval-algebra lens lens-aeson mtl nonempty-containers QuickCheck
- safe semiring-simple tasty tasty-hunit text time
+ safe semiring-simple tasty tasty-hunit text time tuple
unordered-containers vector witherable
];
+ executableHaskellDepends = [
+ base bytestring optparse-applicative
+ ];
testHaskellDepends = [
aeson base bytestring containers flow hspec interval-algebra lens
- QuickCheck tasty tasty-hspec tasty-hunit text time
+ QuickCheck tasty tasty-hspec tasty-hunit tasty-silver text time
unordered-containers vector
];
description = "embedded DSL for defining epidemiologic cohorts";
@@ -122267,8 +123974,8 @@ self: {
}:
mkDerivation {
pname = "haskoin-core";
- version = "0.20.4";
- sha256 = "0mbq4ixnnjln0qjippmv57qz5p4qx3s0fyr2xj43q5gmis89m4cg";
+ version = "0.20.5";
+ sha256 = "1nx0m51nxm6m2nq6cdcsd8xiap7x6rr2z5ckbzga33fh73ivmkmp";
libraryHaskellDepends = [
aeson array base base16 binary bytes bytestring cereal conduit
containers cryptonite deepseq entropy hashable hspec memory mtl
@@ -122405,8 +124112,8 @@ self: {
}:
mkDerivation {
pname = "haskoin-store";
- version = "0.53.10";
- sha256 = "0a20808l907wvgcdvbv7jvkpphpfj64x9cm7a07hpldsi2r3c26p";
+ version = "0.53.11";
+ sha256 = "0b6q74zk58chz1b9pv6rm1ipx2ss08ks4qwlyhzqgwfy5npn1x6p";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -122451,8 +124158,8 @@ self: {
}:
mkDerivation {
pname = "haskoin-store-data";
- version = "0.53.10";
- sha256 = "0rnqa294j909s06nadg58vdblfvswb6si04m6gyf4k3ihmd1nj39";
+ version = "0.53.11";
+ sha256 = "0x75vm28j8gpwan2kdy3di14myhk6gfk8wa70iys8cj43c7ds83l";
libraryHaskellDepends = [
aeson base binary bytes bytestring cereal containers data-default
deepseq hashable haskoin-core http-client http-types lens mtl
@@ -122721,10 +124428,10 @@ self: {
}:
mkDerivation {
pname = "hasktags";
- version = "0.71.2";
- sha256 = "1s2k9qrgy1jily96img2pmn7g35mwnnfiw6si3aw32jfhg5zsh1c";
- revision = "2";
- editedCabalFile = "0jidvbmmj4piaxb6apwsd7jypsyjq1a1h2ziz82pc8w13yzascj3";
+ version = "0.72.0";
+ sha256 = "09p79w16fgpqi6bwq162769xdrnyb7wnmz56k00nz6dj1a0bbbdd";
+ revision = "1";
+ editedCabalFile = "0q39ssdgm6lcmqj92frjvr53i34divx53zli0qar39mx8ka1l8ml";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -123298,8 +125005,8 @@ self: {
}:
mkDerivation {
pname = "hasql";
- version = "1.4.5.1";
- sha256 = "0y23qk29bq419rjdzpvlr6hkml8fzk3sgl7dzvkvjpdycrzphlzl";
+ version = "1.4.5.2";
+ sha256 = "0kliby1gigmy1z856wnnlrn70hacqj2350yypdxkm7sfh717n4rj";
libraryHaskellDepends = [
attoparsec base bytestring bytestring-strict-builder contravariant
dlist hashable hashtables mtl postgresql-binary postgresql-libpq
@@ -123696,17 +125403,18 @@ self: {
"hasqlator-mysql" = callPackage
({ mkDerivation, aeson, base, binary, bytestring, containers, dlist
- , io-streams, megaparsec, mtl, mysql-haskell, pretty-simple
- , prettyprinter, scientific, template-haskell, text, time
+ , io-streams, megaparsec, mtl, mysql-haskell, optics-core
+ , pretty-simple, prettyprinter, scientific, template-haskell, text
+ , time
}:
mkDerivation {
pname = "hasqlator-mysql";
- version = "0.0.8";
- sha256 = "1ns8ckpvib53s4gvdd3pa5c0ypqw2qw2fwvxakkkd1h66xx8as08";
+ version = "0.1.0";
+ sha256 = "0pkgnx54f4487rc9i5286g3xm9kb6g8fhgbqn4p2yddl8mwzpdby";
libraryHaskellDepends = [
aeson base binary bytestring containers dlist io-streams megaparsec
- mtl mysql-haskell pretty-simple prettyprinter scientific
- template-haskell text time
+ mtl mysql-haskell optics-core pretty-simple prettyprinter
+ scientific template-haskell text time
];
description = "composable SQL generation";
license = lib.licenses.bsd3;
@@ -123738,8 +125446,8 @@ self: {
pname = "hastache";
version = "0.6.1";
sha256 = "0r5l8k157pgvz1ck4lfid5x05f2s0nlmwf33f4fj09b1kmk8k3wc";
- revision = "5";
- editedCabalFile = "0fwd1jd6sqkscmy2yq1w3dcl4va4w9n8mhs6ldrilh1cj6b54r3f";
+ revision = "6";
+ editedCabalFile = "1d9a6algvdyhjl94ikbz6ccnpbh0f68x8dp6bmx6lljq4b2srx51";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -123978,6 +125686,7 @@ self: {
description = "The Haskell tracer, generating and viewing Haskell execution traces";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hatex-guide" = callPackage
@@ -124319,6 +126028,8 @@ self: {
pname = "haxr";
version = "3000.11.4.1";
sha256 = "12f3acc253x88pk20b60z1qzyhbngvg7zzb9j6azbii0hx8yxxhy";
+ revision = "1";
+ editedCabalFile = "0k6nymfmsvblsi3gh8xwiq744w7ifigd3i91w3gz220n9a32j1ia";
libraryHaskellDepends = [
array base base-compat base64-bytestring blaze-builder bytestring
HaXml HsOpenSSL http-streams http-types io-streams mtl mtl-compat
@@ -124624,7 +126335,6 @@ self: {
executableHaskellDepends = [ base ];
description = "Minimal extensible web-browser";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"hbro-contrib" = callPackage
@@ -124657,7 +126367,6 @@ self: {
];
description = "Third-party extensions to hbro";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"hburg" = callPackage
@@ -125896,6 +127605,8 @@ self: {
pname = "hedgehog";
version = "1.0.5";
sha256 = "1qsqs8lmxa3wmw228cwi98vvvh9hqbc9d43i1sy2c9igw9xlhfi6";
+ revision = "1";
+ editedCabalFile = "0vqjjjvnbb601f6lwm90x80wb6rxhnvi4p8g04g15bfs3kxqw44z";
libraryHaskellDepends = [
ansi-terminal async base bytestring concurrent-output containers
deepseq directory erf exceptions lifted-async mmorph monad-control
@@ -126146,6 +127857,32 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "hedis_0_15_0" = callPackage
+ ({ mkDerivation, async, base, bytestring, bytestring-lexing
+ , containers, deepseq, doctest, errors, exceptions, HTTP, HUnit
+ , mtl, network, network-uri, resource-pool, scanner, stm
+ , test-framework, test-framework-hunit, text, time, tls
+ , unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "hedis";
+ version = "0.15.0";
+ sha256 = "1wcsjvya9hnvgjl9vnimi3928fx2gy0glgdc30slfwnjpijs97n0";
+ libraryHaskellDepends = [
+ async base bytestring bytestring-lexing containers deepseq errors
+ exceptions HTTP mtl network network-uri resource-pool scanner stm
+ text time tls unordered-containers vector
+ ];
+ testHaskellDepends = [
+ async base bytestring doctest HUnit mtl stm test-framework
+ test-framework-hunit text time
+ ];
+ benchmarkHaskellDepends = [ base mtl time ];
+ description = "Client library for the Redis datastore: supports full command set, pipelining";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"hedis-config" = callPackage
({ mkDerivation, aeson, base, bytestring, hedis, scientific, text
, time
@@ -126269,6 +128006,8 @@ self: {
pname = "hedn";
version = "0.3.0.3";
sha256 = "0amfsmnly9yxzv1j34ya8kq9fqd067kgklx7rswy5g7aflj3bpwl";
+ revision = "1";
+ editedCabalFile = "0b7574wgav4xkk4ykazvh2dpl3z5dyln2n55m6z288rbw56diylb";
libraryHaskellDepends = [
base containers deepseq deriving-compat megaparsec
parser-combinators prettyprinter scientific template-haskell text
@@ -126871,8 +128610,8 @@ self: {
}:
mkDerivation {
pname = "hercules-ci-agent";
- version = "0.8.2";
- sha256 = "0zz0s9xpi5gdma1ljfbspfysn8vw05859k159nx8lxqbivpf6bxn";
+ version = "0.8.3";
+ sha256 = "0gwbks6yrjjrys39043wdyx1v0fg8ailv3149b2xi4d49p4jin40";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -126921,8 +128660,8 @@ self: {
}:
mkDerivation {
pname = "hercules-ci-api";
- version = "0.7.0.0";
- sha256 = "0c8mhmhz89fw0s65a669cpcldqv0g01z9s2343ksv2ykaqz5whi9";
+ version = "0.7.1.0";
+ sha256 = "1vlkfxkd7arb4qaqyvk4cc2w3b37xzhiqmz6smmpjdddpa39lh8c";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -126953,8 +128692,8 @@ self: {
}:
mkDerivation {
pname = "hercules-ci-api-agent";
- version = "0.4.0.0";
- sha256 = "05jdxlr5afr9chayc6frbi9hhagb9qknfdnd2k25cimd7h2syi7r";
+ version = "0.4.1.0";
+ sha256 = "0cv4cd74049b7j2hy1p3fdr94p0f4fnjzwj78hf1f4lw7migi2vi";
libraryHaskellDepends = [
aeson base base64-bytestring-type bytestring containers cookie
deepseq exceptions hashable hercules-ci-api-core http-api-data
@@ -127002,15 +128741,16 @@ self: {
, hercules-ci-api-core, hercules-ci-cnix-expr
, hercules-ci-cnix-store, hercules-ci-optparse-applicative
, hostname, hspec, http-client, http-client-tls, http-types, katip
- , lifted-base, monad-control, network-uri, process, protolude
- , QuickCheck, rio, safe-exceptions, servant, servant-auth-client
- , servant-client, servant-client-core, servant-conduit, temporary
- , text, transformers-base, unix, unliftio, unordered-containers
+ , lens, lens-aeson, lifted-base, monad-control, network-uri
+ , process, protolude, QuickCheck, retry, rio, safe-exceptions
+ , servant, servant-auth-client, servant-client, servant-client-core
+ , servant-conduit, temporary, text, transformers, transformers-base
+ , unix, unliftio, unliftio-core, unordered-containers, uuid
}:
mkDerivation {
pname = "hercules-ci-cli";
- version = "0.2.1";
- sha256 = "1lbvc2n1mjrnvnpnqvgjd1zbsa96zdpvjhbawfd8rw63ih8l451z";
+ version = "0.2.3";
+ sha256 = "10scykaf8kadvgvc5pxjdyn8zvxqmp5gkdy0n82p4mmf2chmdzqz";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -127018,11 +128758,12 @@ self: {
containers data-has directory exceptions filepath hercules-ci-agent
hercules-ci-api hercules-ci-api-core hercules-ci-cnix-expr
hercules-ci-cnix-store hercules-ci-optparse-applicative hostname
- http-client http-client-tls http-types katip lifted-base
- monad-control network-uri process protolude rio safe-exceptions
- servant servant-auth-client servant-client servant-client-core
- servant-conduit temporary text transformers-base unix unliftio
- unordered-containers
+ http-client http-client-tls http-types katip lens lens-aeson
+ lifted-base monad-control network-uri process protolude retry rio
+ safe-exceptions servant servant-auth-client servant-client
+ servant-client-core servant-conduit temporary text transformers
+ transformers-base unix unliftio unliftio-core unordered-containers
+ uuid
];
executableHaskellDepends = [ base ];
testHaskellDepends = [
@@ -127060,16 +128801,16 @@ self: {
"hercules-ci-cnix-store" = callPackage
({ mkDerivation, base, boost, bytestring, conduit, containers
, exceptions, hspec, hspec-discover, inline-c, inline-c-cpp, nix
- , protolude, template-haskell, temporary, text, unliftio-core
+ , protolude, template-haskell, temporary, text, unix, unliftio-core
, vector
}:
mkDerivation {
pname = "hercules-ci-cnix-store";
- version = "0.2.0.1";
- sha256 = "1vd7wy23glgxs823c8c1754miwpmrfscsfdn74nyx31gci4jhqgx";
+ version = "0.2.1.0";
+ sha256 = "18165kwcklp6hg9sh5rmqprnc0ixiq8l9w4y87c9m54dbpwdp4na";
libraryHaskellDepends = [
base bytestring conduit containers inline-c inline-c-cpp protolude
- template-haskell unliftio-core vector
+ template-haskell unix unliftio-core vector
];
librarySystemDepends = [ boost ];
libraryPkgconfigDepends = [ nix ];
@@ -127511,7 +129252,8 @@ self: {
description = "Ethereum virtual machine evaluator";
license = lib.licenses.agpl3Only;
platforms = [
- "armv7l-linux" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ "aarch64-darwin" "armv7l-linux" "i686-linux" "x86_64-darwin"
+ "x86_64-linux"
];
}) {inherit (pkgs) libff; inherit (pkgs) secp256k1;};
@@ -128042,8 +129784,8 @@ self: {
}:
mkDerivation {
pname = "hfmt";
- version = "0.2.3.1";
- sha256 = "178nr4k4jgl3xxlvds7cqg18qfmsak1zhwlkks6syviypbg5wb07";
+ version = "0.3.0.0";
+ sha256 = "0cda45hj3y21ji8xq0mnf727narbgjgcy3wck9aiy5qnjxa1vfl3";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -128052,11 +129794,16 @@ self: {
path-io pretty stylish-haskell text transformers yaml
];
executableHaskellDepends = [
- ansi-wl-pprint base conduit conduit-combinators directory
- optparse-applicative
+ ansi-wl-pprint base bytestring Cabal conduit conduit-combinators
+ Diff directory exceptions filepath haskell-src-exts hindent hlint
+ HUnit optparse-applicative path path-io pretty stylish-haskell text
+ transformers yaml
];
testHaskellDepends = [
- base HUnit test-framework test-framework-hunit
+ base bytestring Cabal conduit conduit-combinators Diff directory
+ exceptions filepath haskell-src-exts hindent hlint HUnit path
+ path-io pretty stylish-haskell test-framework test-framework-hunit
+ text transformers yaml
];
description = "Haskell source code formatter";
license = lib.licenses.mit;
@@ -128147,7 +129894,7 @@ self: {
libraryToolDepends = [ CoreServices ];
description = "File/folder watching for OS X";
license = lib.licenses.bsd3;
- platforms = [ "x86_64-darwin" ];
+ platforms = [ "aarch64-darwin" "x86_64-darwin" ];
}) {inherit (pkgs.darwin.apple_sdk.frameworks) Cocoa;
inherit (pkgs.darwin.apple_sdk.frameworks) CoreServices;};
@@ -128547,6 +130294,25 @@ self: {
broken = true;
}) {};
+ "hgraph" = callPackage
+ ({ mkDerivation, array, base, clock, containers, happy-dot, HUnit
+ , linear, mtl, random, transformers
+ }:
+ mkDerivation {
+ pname = "hgraph";
+ version = "1.2.0.1";
+ sha256 = "0zdjnkisk1m5z8yz6r3sdprxxbikqffjx4aqw3qarafqb46kr9mv";
+ libraryHaskellDepends = [
+ array base containers happy-dot linear mtl random transformers
+ ];
+ testHaskellDepends = [ base containers HUnit transformers ];
+ benchmarkHaskellDepends = [
+ base clock containers random transformers
+ ];
+ description = "Tools for working on (di)graphs";
+ license = lib.licenses.gpl3Only;
+ }) {};
+
"hgrep" = callPackage
({ mkDerivation, ansi-terminal, base, bytestring, ghc
, ghc-exactprint, hscolour, lens, optparse-applicative, pcre-heavy
@@ -128854,22 +130620,22 @@ self: {
"hie-bios" = callPackage
({ mkDerivation, aeson, base, base16-bytestring, bytestring
, conduit, conduit-extra, containers, cryptohash-sha1, deepseq
- , directory, extra, file-embed, filepath, ghc, hslogger
+ , directory, exceptions, extra, file-embed, filepath, ghc, hslogger
, hspec-expectations, optparse-applicative, process, tasty
, tasty-expected-failure, tasty-hunit, temporary, text, time
, transformers, unix-compat, unordered-containers, vector, yaml
}:
mkDerivation {
pname = "hie-bios";
- version = "0.7.5";
- sha256 = "0k8g1qkxqqa0ld15s82mvkgsm4icm65dkabsv8sd2mkrhck0lfw8";
+ version = "0.7.6";
+ sha256 = "13x8m7hg5ahmh96xq703ygx7f2zk69gbrgmrbrrwzvbc9h0ci02r";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
aeson base base16-bytestring bytestring conduit conduit-extra
- containers cryptohash-sha1 deepseq directory extra file-embed
- filepath ghc hslogger process temporary text time transformers
- unix-compat unordered-containers vector yaml
+ containers cryptohash-sha1 deepseq directory exceptions extra
+ file-embed filepath ghc hslogger process temporary text time
+ transformers unix-compat unordered-containers vector yaml
];
executableHaskellDepends = [
base directory filepath ghc optparse-applicative
@@ -128889,8 +130655,8 @@ self: {
}:
mkDerivation {
pname = "hie-compat";
- version = "0.2.0.0";
- sha256 = "029h465wfknjdjk4yqq10b9krya9qplh8zzvmnwp9hf5rlr9awc3";
+ version = "0.2.1.0";
+ sha256 = "0dl48y5ijr73dc1lrarvfz6bivxg42ll4y339saw1y5xmgw1c5w7";
libraryHaskellDepends = [
array base bytestring containers directory filepath ghc ghc-boot
transformers
@@ -128962,6 +130728,32 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "hiedb_0_4_1_0" = callPackage
+ ({ mkDerivation, algebraic-graphs, ansi-terminal, array, base
+ , bytestring, containers, directory, extra, filepath, ghc
+ , ghc-paths, hie-compat, hspec, lucid, mtl, optparse-applicative
+ , process, sqlite-simple, temporary, terminal-size, text
+ }:
+ mkDerivation {
+ pname = "hiedb";
+ version = "0.4.1.0";
+ sha256 = "1389qmlga5rq8has02rn35pzag5wnfpx3w77r60mzl3b4pkpzi7i";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ algebraic-graphs ansi-terminal array base bytestring containers
+ directory extra filepath ghc hie-compat lucid mtl
+ optparse-applicative sqlite-simple terminal-size text
+ ];
+ executableHaskellDepends = [ base ghc-paths ];
+ testHaskellDepends = [
+ base directory filepath ghc ghc-paths hspec process temporary
+ ];
+ description = "Generates a references DB from .hie files";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"hieraclus" = callPackage
({ mkDerivation, base, containers, HUnit, mtl, multiset }:
mkDerivation {
@@ -129387,8 +131179,8 @@ self: {
pname = "hills";
version = "0.1.2.7";
sha256 = "0zq402ycyxaw9rpxlgj0307xz80qw1159albzw1q0sr4lxfxykcv";
- revision = "1";
- editedCabalFile = "1wjln7r8q8dhvq4i5svlhk4zfypibi1cjx75jffc1aq54xy0qq3s";
+ revision = "2";
+ editedCabalFile = "18a6b08lac0cfc0b2aqwg21brq9qnm93cb973papyyraspwar2iv";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -129711,6 +131503,28 @@ self: {
];
}) {};
+ "hinotify-conduit" = callPackage
+ ({ mkDerivation, async, base, bytestring, conduit, containers
+ , directory, filepath, filepath-bytestring, hinotify, hspec
+ , resourcet, stm, stm-chans, stm-conduit, temporary, unix
+ }:
+ mkDerivation {
+ pname = "hinotify-conduit";
+ version = "0.1.0.1";
+ sha256 = "1377ws4rmafkjrnyn9x0a5wj98v5ysc85yzq6frg12aslc1bmvx9";
+ libraryHaskellDepends = [
+ base bytestring conduit containers directory filepath
+ filepath-bytestring hinotify resourcet stm stm-chans stm-conduit
+ ];
+ testHaskellDepends = [
+ async base bytestring conduit containers directory filepath
+ filepath-bytestring hinotify hspec resourcet stm stm-chans
+ stm-conduit temporary unix
+ ];
+ description = "inotify conduit sources";
+ license = lib.licenses.bsd3;
+ }) {};
+
"hinquire" = callPackage
({ mkDerivation, base, bifunctors, QuickCheck, test-framework
, test-framework-quickcheck2, test-framework-th
@@ -130779,33 +132593,35 @@ self: {
maintainers = with lib.maintainers; [ peti ];
}) {};
- "hledger_1_22_2" = callPackage
+ "hledger_1_23" = callPackage
({ mkDerivation, aeson, ansi-terminal, base, base-compat-batteries
, bytestring, cmdargs, containers, data-default, Decimal, Diff
, directory, extra, filepath, githash, hashable, haskeline
- , hledger-lib, lucid, math-functions, megaparsec, mtl, old-time
+ , hledger-lib, lucid, math-functions, megaparsec, microlens, mtl
, process, regex-tdfa, safe, shakespeare, split, tabular, tasty
, temporary, terminfo, text, time, timeit, transformers
, unordered-containers, utf8-string, utility-ht, wizards
}:
mkDerivation {
pname = "hledger";
- version = "1.22.2";
- sha256 = "1g1v56fxgs7ya8yl22brwgrs49a50kd77k8ad8m8l5cnlnviqb3g";
+ version = "1.23";
+ sha256 = "0s7dbizgx6x6p5phn61ljnhjwm7alp3vgbakbd51m30asnzxm98b";
+ revision = "1";
+ editedCabalFile = "1mpl3scnif7p51clbdhak1z7ja7bky73c3a223fv1q4n8y9zxpk6";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
aeson ansi-terminal base base-compat-batteries bytestring cmdargs
containers data-default Decimal Diff directory extra filepath
githash hashable haskeline hledger-lib lucid math-functions
- megaparsec mtl old-time process regex-tdfa safe shakespeare split
+ megaparsec microlens mtl process regex-tdfa safe shakespeare split
tabular tasty temporary terminfo text time timeit transformers
unordered-containers utf8-string utility-ht wizards
];
executableHaskellDepends = [
aeson ansi-terminal base base-compat-batteries bytestring cmdargs
containers data-default Decimal directory extra filepath githash
- haskeline hledger-lib math-functions megaparsec mtl old-time
+ haskeline hledger-lib math-functions megaparsec microlens mtl
process regex-tdfa safe shakespeare split tabular tasty temporary
terminfo text time timeit transformers unordered-containers
utf8-string utility-ht wizards
@@ -130813,7 +132629,7 @@ self: {
testHaskellDepends = [
aeson ansi-terminal base base-compat-batteries bytestring cmdargs
containers data-default Decimal directory extra filepath githash
- haskeline hledger-lib math-functions megaparsec mtl old-time
+ haskeline hledger-lib math-functions megaparsec microlens mtl
process regex-tdfa safe shakespeare split tabular tasty temporary
terminfo text time timeit transformers unordered-containers
utf8-string utility-ht wizards
@@ -130942,7 +132758,7 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "hledger-iadd_1_3_15" = callPackage
+ "hledger-iadd_1_3_16" = callPackage
({ mkDerivation, base, brick, containers, directory, free
, hledger-lib, hspec, hspec-discover, megaparsec, microlens
, microlens-th, optparse-applicative, QuickCheck, text, text-zipper
@@ -130951,10 +132767,10 @@ self: {
}:
mkDerivation {
pname = "hledger-iadd";
- version = "1.3.15";
- sha256 = "1jnym3jfixyrldl83jjy3syx6n411gd8dr2jh69lx5wbq8qddpfm";
+ version = "1.3.16";
+ sha256 = "09b8519s8f3ckh1ghcj8zn0s4dnagbpaf0hyinvmy5vjnjvnyf1f";
revision = "1";
- editedCabalFile = "1vyvnk64397kmlhflq1vp9rswhxbcywdw20738z3wq1pig2hqf7b";
+ editedCabalFile = "1d26wsa0dyifvw3j8yhw6j7idb1rcsmb3k8dmvrvyginwc4hl3ay";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -130994,13 +132810,13 @@ self: {
maintainers = with lib.maintainers; [ peti ];
}) {};
- "hledger-interest_1_6_2" = callPackage
+ "hledger-interest_1_6_3" = callPackage
({ mkDerivation, base, Cabal, Decimal, hledger-lib, mtl, text, time
}:
mkDerivation {
pname = "hledger-interest";
- version = "1.6.2";
- sha256 = "06gx19vld2lqq5w15hj7amcn1yi7rx8dxrb32hb05aari8b9qdzz";
+ version = "1.6.3";
+ sha256 = "1r8iydl66k2j7xv1b465alf3h8mqwjfz13ffwsd50h0kscxjmxhg";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -131068,37 +132884,39 @@ self: {
license = lib.licenses.gpl3Only;
}) {};
- "hledger-lib_1_22_2" = callPackage
+ "hledger-lib_1_23" = callPackage
({ mkDerivation, aeson, aeson-pretty, ansi-terminal, array, base
, base-compat-batteries, blaze-markup, bytestring, call-stack
, cassava, cassava-megaparsec, cmdargs, containers, data-default
, Decimal, directory, doctest, extra, file-embed, filepath, Glob
- , hashtables, megaparsec, mtl, old-time, parser-combinators
- , pretty-simple, regex-tdfa, safe, tabular, tasty, tasty-hunit
- , template-haskell, text, time, timeit, transformers, uglymemo
- , unordered-containers, utf8-string
+ , hashtables, megaparsec, microlens, microlens-th, mtl
+ , parser-combinators, pretty-simple, regex-tdfa, safe, tabular
+ , tasty, tasty-hunit, template-haskell, text, time, timeit
+ , transformers, uglymemo, unordered-containers, utf8-string
}:
mkDerivation {
pname = "hledger-lib";
- version = "1.22.2";
- sha256 = "0xv8g6xyqjlqqlgq4qc0r1nskj4r53q000q5075hzp7gww8lzidl";
+ version = "1.23";
+ sha256 = "182pa9f4paqbyrqqnn8vhgwys0sk9lrkvf972d9hbvr339iysm1c";
+ revision = "1";
+ editedCabalFile = "0xrzix8fw4dyyga7pqqqdsz6bdljf5sx3l6g81iyqg5y4a9grv9h";
libraryHaskellDepends = [
aeson aeson-pretty ansi-terminal array base base-compat-batteries
blaze-markup bytestring call-stack cassava cassava-megaparsec
cmdargs containers data-default Decimal directory extra file-embed
- filepath Glob hashtables megaparsec mtl old-time parser-combinators
- pretty-simple regex-tdfa safe tabular tasty tasty-hunit
- template-haskell text time timeit transformers uglymemo
+ filepath Glob hashtables megaparsec microlens microlens-th mtl
+ parser-combinators pretty-simple regex-tdfa safe tabular tasty
+ tasty-hunit template-haskell text time timeit transformers uglymemo
unordered-containers utf8-string
];
testHaskellDepends = [
aeson aeson-pretty ansi-terminal array base base-compat-batteries
blaze-markup bytestring call-stack cassava cassava-megaparsec
cmdargs containers data-default Decimal directory doctest extra
- file-embed filepath Glob hashtables megaparsec mtl old-time
- parser-combinators pretty-simple regex-tdfa safe tabular tasty
- tasty-hunit template-haskell text time timeit transformers uglymemo
- unordered-containers utf8-string
+ file-embed filepath Glob hashtables megaparsec microlens
+ microlens-th mtl parser-combinators pretty-simple regex-tdfa safe
+ tabular tasty tasty-hunit template-haskell text time timeit
+ transformers uglymemo unordered-containers utf8-string
];
description = "A reusable library providing the core functionality of hledger";
license = lib.licenses.gpl3Only;
@@ -131136,6 +132954,8 @@ self: {
pname = "hledger-stockquotes";
version = "0.1.2.0";
sha256 = "064q6qz6rrahk9ri5hr1y6n17nmd4l124xb3l8q5rvm81x3hzp3x";
+ revision = "1";
+ editedCabalFile = "1dbcvypi8kcrcy38wbvhaadhs2fwjw1kh3xzzq07ss30a7gg3k8y";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -131177,7 +132997,7 @@ self: {
maintainers = with lib.maintainers; [ peti ];
}) {};
- "hledger-ui_1_22_2" = callPackage
+ "hledger-ui_1_23" = callPackage
({ mkDerivation, ansi-terminal, async, base, base-compat-batteries
, brick, cmdargs, containers, data-default, directory, extra
, filepath, fsnotify, hledger, hledger-lib, megaparsec, microlens
@@ -131186,8 +133006,10 @@ self: {
}:
mkDerivation {
pname = "hledger-ui";
- version = "1.22.2";
- sha256 = "07mal5ql3yvx0m38kkfh7zyjqn34m5a07jmhm23mwv4a4pdck4rw";
+ version = "1.23";
+ sha256 = "04wsp0jlrv5lmlaw38644q66mg8ga6l2ij32pqa585713zcx2frs";
+ revision = "1";
+ editedCabalFile = "1199c443hfy8pdag6h218kwi237g51b7ljy5vvswmslkc9xa37x8";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -131259,12 +133081,12 @@ self: {
maintainers = with lib.maintainers; [ peti ];
}) {};
- "hledger-web_1_22_2" = callPackage
- ({ mkDerivation, aeson, base, blaze-html, blaze-markup, bytestring
- , case-insensitive, clientsession, cmdargs, conduit, conduit-extra
- , containers, data-default, Decimal, directory, extra, filepath
- , hjsmin, hledger, hledger-lib, hspec, http-client, http-conduit
- , http-types, megaparsec, mtl, network, shakespeare
+ "hledger-web_1_23" = callPackage
+ ({ mkDerivation, aeson, base, base64, blaze-html, blaze-markup
+ , bytestring, case-insensitive, clientsession, cmdargs, conduit
+ , conduit-extra, containers, data-default, Decimal, directory
+ , extra, filepath, hjsmin, hledger, hledger-lib, hspec, http-client
+ , http-conduit, http-types, megaparsec, mtl, network, shakespeare
, template-haskell, text, time, transformers, unix-compat
, unordered-containers, utf8-string, wai, wai-cors, wai-extra
, wai-handler-launch, warp, yaml, yesod, yesod-core, yesod-form
@@ -131272,19 +133094,21 @@ self: {
}:
mkDerivation {
pname = "hledger-web";
- version = "1.22.2";
- sha256 = "1ia11h2r6cl1985lij598qighxfhqfcv4am0nyfpvfihik14fq4c";
+ version = "1.23";
+ sha256 = "0sphhmh43d2lifvx8xbvgdmfs0f8cd5zpnpzhv8dp6mzd72g44wi";
+ revision = "1";
+ editedCabalFile = "1ck8jv7hx8kzzimg9hm39h5la8im2kn4f21g7nqmzl4s7bqmmkrw";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson base blaze-html blaze-markup bytestring case-insensitive
- clientsession cmdargs conduit conduit-extra containers data-default
- Decimal directory extra filepath hjsmin hledger hledger-lib hspec
- http-client http-conduit http-types megaparsec mtl network
- shakespeare template-haskell text time transformers unix-compat
- unordered-containers utf8-string wai wai-cors wai-extra
- wai-handler-launch warp yaml yesod yesod-core yesod-form
- yesod-static yesod-test
+ aeson base base64 blaze-html blaze-markup bytestring
+ case-insensitive clientsession cmdargs conduit conduit-extra
+ containers data-default Decimal directory extra filepath hjsmin
+ hledger hledger-lib hspec http-client http-conduit http-types
+ megaparsec mtl network shakespeare template-haskell text time
+ transformers unix-compat unordered-containers utf8-string wai
+ wai-cors wai-extra wai-handler-launch warp yaml yesod yesod-core
+ yesod-form yesod-static yesod-test
];
executableHaskellDepends = [ base ];
testHaskellDepends = [
@@ -131395,6 +133219,8 @@ self: {
pname = "hlint";
version = "3.2.7";
sha256 = "0z6gxndrh7blzapkdn6fq1pkbkjlmbgjbq9ydnvy2wm00fb3v73g";
+ revision = "2";
+ editedCabalFile = "0p2d3pi268jkb3hz750apjn917kr40hhamc2apgiv08chqyk82bj";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -131410,7 +133236,7 @@ self: {
maintainers = with lib.maintainers; [ maralorn ];
}) {};
- "hlint_3_3_1" = callPackage
+ "hlint_3_3_4" = callPackage
({ mkDerivation, aeson, ansi-terminal, base, bytestring, cmdargs
, containers, cpphs, data-default, directory, extra, file-embed
, filepath, filepattern, ghc-lib-parser, ghc-lib-parser-ex
@@ -131419,8 +133245,10 @@ self: {
}:
mkDerivation {
pname = "hlint";
- version = "3.3.1";
- sha256 = "12l2p5pbgh1wcn2bh0ax36sclwaiky8hf09ivgz453pb5ss0jghc";
+ version = "3.3.4";
+ sha256 = "030hvf0hmnf5pamrcqvr97zmm185b1vs0y28nq6vzlyyg15ap6qq";
+ revision = "1";
+ editedCabalFile = "10h1asqbk2qqmxac34amwjz9ybz2vahpa0dsrdljg3zw1r1yzkb8";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -131570,22 +133398,44 @@ self: {
}) {};
"hls-brittany-plugin" = callPackage
- ({ mkDerivation, base, brittany, bytestring, filepath, ghc
- , ghc-boot-th, ghcide, hls-plugin-api, hls-test-utils, lens
- , lsp-types, text, transformers
+ ({ mkDerivation, base, brittany, czipwith, extra, filepath, ghc
+ , ghc-boot-th, ghc-exactprint, ghcide, hls-plugin-api
+ , hls-test-utils, lens, lsp-types, text, transformers
}:
mkDerivation {
pname = "hls-brittany-plugin";
- version = "1.0.0.1";
- sha256 = "1lfhgvxs0bvs67raxalvj8pr4qln1yvi7i7wlp33gpk2x89bwaqy";
- revision = "3";
- editedCabalFile = "0d7pn634gpksl49hp3bfds52zhc80943bb2l5cvlbaf3phlsfskc";
+ version = "1.0.1.0";
+ sha256 = "0wkarbbq3nq923d169k8g6z8svnqp8ghikh2q7nbrdg8anhrbgqz";
libraryHaskellDepends = [
- base brittany filepath ghc ghc-boot-th ghcide hls-plugin-api lens
- lsp-types text transformers
+ base brittany czipwith extra filepath ghc ghc-boot-th
+ ghc-exactprint ghcide hls-plugin-api lens lsp-types text
+ transformers
];
- testHaskellDepends = [ base bytestring hls-test-utils text ];
+ testHaskellDepends = [ base filepath hls-test-utils ];
description = "Integration with the Brittany code formatter";
+ license = lib.licenses.agpl3Only;
+ }) {};
+
+ "hls-call-hierarchy-plugin" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, containers, extra
+ , filepath, ghc, ghc-api-compat, ghcide, hiedb, hls-plugin-api
+ , hls-test-utils, lens, lsp, lsp-test, sqlite-simple, text
+ , unordered-containers
+ }:
+ mkDerivation {
+ pname = "hls-call-hierarchy-plugin";
+ version = "1.0.1.0";
+ sha256 = "1vzxiwxj14kmabcggp9dnq8jw9kcqknlg4xyv9cp69djz5ssrnzr";
+ libraryHaskellDepends = [
+ aeson base bytestring containers extra ghc ghc-api-compat ghcide
+ hiedb hls-plugin-api lens lsp sqlite-simple text
+ unordered-containers
+ ];
+ testHaskellDepends = [
+ aeson base containers extra filepath hls-test-utils lens lsp
+ lsp-test text
+ ];
+ description = "Call hierarchy plugin for Haskell Language Server";
license = lib.licenses.asl20;
}) {};
@@ -131596,8 +133446,8 @@ self: {
}:
mkDerivation {
pname = "hls-class-plugin";
- version = "1.0.0.2";
- sha256 = "0z6774vmfafgr3z5h98ls5sssax15psa2dq5sf8bcqm29y3g3zvi";
+ version = "1.0.1.0";
+ sha256 = "0m1yifv7pfb4gll0zajdzxy0v0a7kwivfvbamvh9g3lf7iiy0vd0";
libraryHaskellDepends = [
aeson base containers ghc ghc-api-compat ghc-exactprint ghcide
hls-plugin-api lens lsp text transformers
@@ -131619,8 +133469,8 @@ self: {
}:
mkDerivation {
pname = "hls-eval-plugin";
- version = "1.1.1.0";
- sha256 = "167vmz7jl09lkayjf6g0inn2q5i1h3gs5vl6xpdg4cbvd8srdvbs";
+ version = "1.1.2.0";
+ sha256 = "11h017jy9g21ziiql61hr0q9g3wfvckyf1nfkg8vf9wnrmlzjqid";
libraryHaskellDepends = [
aeson base containers deepseq Diff directory dlist extra filepath
ghc ghc-api-compat ghc-boot-th ghc-paths ghcide hashable
@@ -131630,6 +133480,7 @@ self: {
];
testHaskellDepends = [
aeson base directory extra filepath hls-test-utils lens lsp-types
+ text
];
description = "Eval plugin for Haskell Language Server";
license = lib.licenses.asl20;
@@ -131661,8 +133512,8 @@ self: {
}:
mkDerivation {
pname = "hls-explicit-imports-plugin";
- version = "1.0.0.3";
- sha256 = "0sh4mimx76n6nzlz0nxa1z5q9ga8g268lkncqz4p0nbc54y070hh";
+ version = "1.0.1.0";
+ sha256 = "0frk2id6k3r58799qvppryapayvkim969xhh89i8ak5vs4a8ygpy";
libraryHaskellDepends = [
aeson base containers deepseq ghc ghc-api-compat ghcide hls-graph
hls-plugin-api lsp text unordered-containers
@@ -131672,19 +133523,17 @@ self: {
}) {};
"hls-floskell-plugin" = callPackage
- ({ mkDerivation, base, floskell, ghcide, hls-plugin-api
+ ({ mkDerivation, base, filepath, floskell, ghcide, hls-plugin-api
, hls-test-utils, lsp-types, text, transformers
}:
mkDerivation {
pname = "hls-floskell-plugin";
- version = "1.0.0.0";
- sha256 = "0wf4483a4xhvynqqgj6gf1qg5nv9rv4gv9rm2hwsbjq123bs0wy8";
- revision = "1";
- editedCabalFile = "120ai8hswj310sv098j1b8dzpkzmjyphyxqnc1gszqds3qx588fj";
+ version = "1.0.0.1";
+ sha256 = "0d68fa83f5r1mn0pgsi6ff3q75z83gdivmfj0pkzp1m4acy2nx7z";
libraryHaskellDepends = [
base floskell ghcide hls-plugin-api lsp-types text transformers
];
- testHaskellDepends = [ base hls-test-utils text ];
+ testHaskellDepends = [ base filepath hls-test-utils ];
description = "Integration with the Floskell code formatter";
license = lib.licenses.asl20;
}) {};
@@ -131695,8 +133544,8 @@ self: {
}:
mkDerivation {
pname = "hls-fourmolu-plugin";
- version = "1.0.0.1";
- sha256 = "039vvbzj583kxf04d9wjam6x7pjs7hv13ysa1rapsj5san0r73y7";
+ version = "1.0.0.2";
+ sha256 = "1m56xpzf5dqmwl2jryh1lv6pghngkzr7lsda1gf0j4ydajkm5app";
libraryHaskellDepends = [
base filepath fourmolu ghc ghc-boot-th ghcide hls-plugin-api lens
lsp text
@@ -131726,8 +133575,8 @@ self: {
}:
mkDerivation {
pname = "hls-haddock-comments-plugin";
- version = "1.0.0.2";
- sha256 = "09gfvzz56vz0qzn1md2p0i6rdzf7v9nwm78lcw66asblq485jac6";
+ version = "1.0.0.3";
+ sha256 = "1gvzzm1m6n69126z3b7mb57n0hmnj5zmn1agj927zvjvs7m1hgpx";
libraryHaskellDepends = [
base containers ghc ghc-exactprint ghcide hls-plugin-api lsp-types
text unordered-containers
@@ -131746,8 +133595,8 @@ self: {
}:
mkDerivation {
pname = "hls-hlint-plugin";
- version = "1.0.1.0";
- sha256 = "0wy6mw3i5mssjg9bf0gn5411yvqzlxd63s0amrg0yci6nx78nb8p";
+ version = "1.0.1.1";
+ sha256 = "0yvl7lxb5cw71nl8pzrplhws8k8khjjqxivyzs50f9yn6msr0w3z";
libraryHaskellDepends = [
aeson apply-refact base binary bytestring containers data-default
deepseq Diff directory extra filepath ghc ghc-exactprint ghc-lib
@@ -131766,8 +133615,8 @@ self: {
}:
mkDerivation {
pname = "hls-module-name-plugin";
- version = "1.0.0.0";
- sha256 = "1a41zn1k4xga9v8xqly2jbi2i11zy05cgs60b7j9fasmm991vkxb";
+ version = "1.0.0.1";
+ sha256 = "06lf7wsci6yfmlm8slv8bwmkac9086pc7lxm38ivwffrsz3ninxx";
libraryHaskellDepends = [
aeson base directory filepath ghcide hls-plugin-api lsp text
transformers unordered-containers
@@ -131778,17 +133627,19 @@ self: {
}) {};
"hls-ormolu-plugin" = callPackage
- ({ mkDerivation, base, filepath, ghc, ghc-boot-th, ghcide
- , hls-plugin-api, hls-test-utils, lens, lsp, lsp-types, ormolu
- , text
+ ({ mkDerivation, base, filepath, ghc, ghc-api-compat, ghc-boot-th
+ , ghcide, hls-plugin-api, hls-test-utils, lens, lsp, lsp-types
+ , ormolu, text
}:
mkDerivation {
pname = "hls-ormolu-plugin";
- version = "1.0.0.0";
- sha256 = "1iiq1m69jqrv28nzcrp9j70qvi21gsl9v9kjx0nkcdlbqzybfrfr";
+ version = "1.0.1.0";
+ sha256 = "0s7hynj50vldxgzii4gb0mml9gyizy3vaan1scpmhrj7kh44w746";
+ revision = "1";
+ editedCabalFile = "01g0csnjygylg0a0zmyz66rm7xvhnys40hgclm13g5rakh2jmfak";
libraryHaskellDepends = [
- base filepath ghc ghc-boot-th ghcide hls-plugin-api lens lsp ormolu
- text
+ base filepath ghc ghc-api-compat ghc-boot-th ghcide hls-plugin-api
+ lens lsp ormolu text
];
testHaskellDepends = [ base filepath hls-test-utils lsp-types ];
description = "Integration with the Ormolu code formatter";
@@ -131798,19 +133649,19 @@ self: {
"hls-plugin-api" = callPackage
({ mkDerivation, aeson, base, containers, data-default
, dependent-map, dependent-sum, Diff, dlist, ghc, ghc-api-compat
- , hashable, hls-graph, hslogger, lens, lsp, opentelemetry, process
- , regex-tdfa, text, unix, unordered-containers
+ , hashable, hls-graph, hslogger, lens, lsp, opentelemetry
+ , optparse-applicative, process, regex-tdfa, text, unix
+ , unordered-containers
}:
mkDerivation {
pname = "hls-plugin-api";
- version = "1.1.0.2";
- sha256 = "1x49h8087x3fynagm4na72lyqyy58bb33kcrzkfcpbr3lsb8k455";
- revision = "1";
- editedCabalFile = "0pgicyph7zz2c7pbcair4wp4x5vvkmigbxxzrsxsgmvavki8r904";
+ version = "1.2.0.1";
+ sha256 = "0hixalca3lznzgcdzk7aix0nkhdlwds83kvz7bxjgvfs3ml7gw01";
libraryHaskellDepends = [
aeson base containers data-default dependent-map dependent-sum Diff
dlist ghc ghc-api-compat hashable hls-graph hslogger lens lsp
- opentelemetry process regex-tdfa text unix unordered-containers
+ opentelemetry optparse-applicative process regex-tdfa text unix
+ unordered-containers
];
description = "Haskell Language Server API for plugin communication";
license = lib.licenses.asl20;
@@ -131818,21 +133669,19 @@ self: {
"hls-pragmas-plugin" = callPackage
({ mkDerivation, base, extra, filepath, fuzzy, ghcide
- , hls-plugin-api, hls-test-utils, lens, lsp, lsp-test, lsp-types
- , text, transformers, unordered-containers
+ , hls-plugin-api, hls-test-utils, lens, lsp, lsp-types, text
+ , transformers, unordered-containers
}:
mkDerivation {
pname = "hls-pragmas-plugin";
- version = "1.0.0.0";
- sha256 = "1zkq95dklc6sdhczgr6l2hdkkrbrjy3zwp0qfz3qvf55gpxspzzs";
- revision = "1";
- editedCabalFile = "0xkq857i68s58101x8dc8ynvnkhjp2f8lfddg2cjkycbwhfj42cc";
+ version = "1.0.1.0";
+ sha256 = "0w4q1mkpqbl27wqa06l7709y1qfdlfvavfcqvyjs1vwqf1c4q5ag";
libraryHaskellDepends = [
base extra fuzzy ghcide hls-plugin-api lens lsp text transformers
unordered-containers
];
testHaskellDepends = [
- base filepath hls-test-utils lens lsp-test lsp-types text
+ base filepath hls-test-utils lens lsp-types text
];
description = "Pragmas plugin for Haskell Language Server";
license = lib.licenses.asl20;
@@ -131845,8 +133694,8 @@ self: {
}:
mkDerivation {
pname = "hls-refine-imports-plugin";
- version = "1.0.0.0";
- sha256 = "16dn7lnsam10rvazkslrw81qahn2i2yghdbi9pdjdzd3l3nrcyl9";
+ version = "1.0.0.1";
+ sha256 = "1gc899yiqic4sbv9q70xasv96s1l7ypgxjrafqlbvw6gyyn4sarj";
libraryHaskellDepends = [
aeson base containers deepseq ghc ghcide
hls-explicit-imports-plugin hls-graph hls-plugin-api lsp text
@@ -131857,6 +133706,25 @@ self: {
license = lib.licenses.asl20;
}) {};
+ "hls-rename-plugin" = callPackage
+ ({ mkDerivation, base, containers, extra, filepath, ghc
+ , ghc-exactprint, ghcide, hiedb, hls-plugin-api, hls-retrie-plugin
+ , hls-test-utils, lsp, lsp-types, syb, text, transformers
+ }:
+ mkDerivation {
+ pname = "hls-rename-plugin";
+ version = "1.0.0.0";
+ sha256 = "0j13nh3fvvmj1sd11fiq9fccq23s6p7jz3m96b49kprkayx65zhh";
+ libraryHaskellDepends = [
+ base containers extra ghc ghc-exactprint ghcide hiedb
+ hls-plugin-api hls-retrie-plugin lsp lsp-types syb text
+ transformers
+ ];
+ testHaskellDepends = [ base filepath hls-test-utils ];
+ description = "Rename plugin for Haskell Language Server";
+ license = lib.licenses.asl20;
+ }) {};
+
"hls-retrie-plugin" = callPackage
({ mkDerivation, aeson, base, containers, deepseq, directory, extra
, ghc, ghc-api-compat, ghcide, hashable, hls-plugin-api, lsp
@@ -131865,8 +133733,8 @@ self: {
}:
mkDerivation {
pname = "hls-retrie-plugin";
- version = "1.0.1.0";
- sha256 = "0kfip2fqjbr9qxg749ikdj42zgnchwjrp5ch84xr5z8k7hmlnw4z";
+ version = "1.0.1.2";
+ sha256 = "0pvz8vgzpaljlpfpwzhsfj5yyd3m5hvhy8b17q87ripbffpb58dr";
libraryHaskellDepends = [
aeson base containers deepseq directory extra ghc ghc-api-compat
ghcide hashable hls-plugin-api lsp lsp-types retrie safe-exceptions
@@ -131884,8 +133752,8 @@ self: {
}:
mkDerivation {
pname = "hls-splice-plugin";
- version = "1.0.0.3";
- sha256 = "0v5m6h4pb2piihjyldy0nm6zl8iaw34gp6yqicbqkryinz0mb4pg";
+ version = "1.0.0.4";
+ sha256 = "0l929w9f6ay4ih1yi70lhn60zy79wq2mhmmhfyv0944x44dxjk8n";
libraryHaskellDepends = [
aeson base containers dlist extra foldl ghc ghc-exactprint ghcide
hls-plugin-api lens lsp retrie syb text transformers unliftio-core
@@ -131903,8 +133771,10 @@ self: {
}:
mkDerivation {
pname = "hls-stylish-haskell-plugin";
- version = "1.0.0.1";
- sha256 = "1dkgvh169mf0s0vnyfgb965k393hm622cd2ssl6clgbaq2q20qlf";
+ version = "1.0.0.2";
+ sha256 = "0i8kjxqwg8mkk2imbc36ic2n59c09zc79g12c64vrjb7pgxpxrid";
+ revision = "1";
+ editedCabalFile = "0hwjh5b71hj6gwr73r9imlggkzv4j3z116va3y4v3h7zcjs11c4k";
libraryHaskellDepends = [
base directory filepath ghc ghc-boot-th ghcide hls-plugin-api
lsp-types stylish-haskell text
@@ -131921,21 +133791,19 @@ self: {
, hls-test-utils, hspec, hspec-discover, hspec-expectations
, hyphenation, lens, lsp, lsp-types, megaparsec, mtl
, parser-combinators, prettyprinter, QuickCheck, refinery, retrie
- , syb, tasty-hspec, tasty-hunit, text, transformers
+ , syb, tasty-hspec, tasty-hunit, text, transformers, unagi-chan
, unordered-containers
}:
mkDerivation {
pname = "hls-tactics-plugin";
- version = "1.2.0.0";
- sha256 = "0djyskzsv1hz92p6x62nf78j1f0x9nl55fl5mzc29lncqib8g8ak";
- revision = "2";
- editedCabalFile = "0ywqrd6wxbmblmf7m58cj5yarcl4869fdzrlnm0gia6qc1qa0y8j";
+ version = "1.4.0.0";
+ sha256 = "189d43vpf3sky9qh5mswmr4i0qxmjaayg20x21swaf7sglgw6lw8";
libraryHaskellDepends = [
aeson base containers deepseq directory extra filepath fingertree
generic-lens ghc ghc-boot-th ghc-exactprint ghc-source-gen ghcide
hls-graph hls-plugin-api hyphenation lens lsp megaparsec mtl
parser-combinators prettyprinter refinery retrie syb text
- transformers unordered-containers
+ transformers unagi-chan unordered-containers
];
testHaskellDepends = [
aeson base containers deepseq directory filepath ghc ghcide
@@ -131956,8 +133824,8 @@ self: {
}:
mkDerivation {
pname = "hls-test-utils";
- version = "1.0.1.0";
- sha256 = "0pqypxqwh8dm4xl7bpfqdvzaxw98v7bwbzkssgx5zgczhzs2y8mi";
+ version = "1.1.0.0";
+ sha256 = "10sjizl6bxmcf90ksrgzvxmrka41g3pa2ciwcxfpkzgx3wnf1855";
libraryHaskellDepends = [
aeson async base blaze-markup bytestring containers data-default
directory extra filepath ghcide hls-graph hls-plugin-api hspec
@@ -132874,6 +134742,7 @@ self: {
executableHaskellDepends = [ base ];
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hnormalise" = callPackage
@@ -133170,6 +135039,7 @@ self: {
description = "defining @mtl@-ready monads as * -> * fixed-points";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hog" = callPackage
@@ -133540,6 +135410,32 @@ self: {
license = lib.licenses.mit;
}) {};
+ "honeycomb" = callPackage
+ ({ mkDerivation, aeson, async, auto-update, base, bytestring
+ , chronos, http-client, http-client-tls, http-conduit, http-types
+ , microlens, mmorph, monad-control, mtl, mwc-random, profunctors
+ , random, resource-pool, stm, text, unliftio, unordered-containers
+ , uuid, vector, zlib
+ }:
+ mkDerivation {
+ pname = "honeycomb";
+ version = "0.0.0.3";
+ sha256 = "0y1z9lcf7b1dzvp20flcq75jmh2jxx2dmynfw00dwsy6s2jabhx0";
+ libraryHaskellDepends = [
+ aeson async auto-update base bytestring chronos http-client
+ http-client-tls http-conduit http-types microlens mmorph
+ monad-control mtl mwc-random profunctors random resource-pool stm
+ text unliftio unordered-containers uuid vector zlib
+ ];
+ testHaskellDepends = [
+ aeson async auto-update base bytestring chronos http-client
+ http-client-tls http-conduit http-types microlens mmorph
+ monad-control mtl mwc-random profunctors random resource-pool stm
+ text unliftio unordered-containers uuid vector zlib
+ ];
+ license = lib.licenses.bsd3;
+ }) {};
+
"honi" = callPackage
({ mkDerivation, base, bytestring, freenect, hspec, HUnit, OpenNI2
, text
@@ -133842,8 +135738,10 @@ self: {
}:
mkDerivation {
pname = "hoogle";
- version = "5.0.18.1";
- sha256 = "15ia0l96yjdnam5vljcsslcavsjwfq0kxldwdcr3zq9c0w6q6i3w";
+ version = "5.0.18.2";
+ sha256 = "1xacx2f33x1a4qlv25f8rlmb4wi0cjfzrj22nlnkrd0knghik3m7";
+ revision = "1";
+ editedCabalFile = "11ig5z81h27ify5sx2dyrx4kiv61gzd96k9qi8a11hvnk2w51a9y";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -134665,6 +136563,46 @@ self: {
pname = "hpack";
version = "0.34.4";
sha256 = "1xszy00al5zzga64gh7nvgqc93242f61kqy8lb09jkm98a8fs4bl";
+ revision = "1";
+ editedCabalFile = "0gq6ax8a7yc5lp0n24kd60kq0dgpgsgcgl970jrf1hqnzf53pspk";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base bifunctors bytestring Cabal containers cryptonite
+ deepseq directory filepath Glob http-client http-client-tls
+ http-types infer-license pretty scientific text transformers
+ unordered-containers vector yaml
+ ];
+ executableHaskellDepends = [
+ aeson base bifunctors bytestring Cabal containers cryptonite
+ deepseq directory filepath Glob http-client http-client-tls
+ http-types infer-license pretty scientific text transformers
+ unordered-containers vector yaml
+ ];
+ testHaskellDepends = [
+ aeson base bifunctors bytestring Cabal containers cryptonite
+ deepseq directory filepath Glob hspec http-client http-client-tls
+ http-types HUnit infer-license interpolate mockery pretty
+ QuickCheck scientific template-haskell temporary text transformers
+ unordered-containers vector yaml
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "A modern format for Haskell packages";
+ license = lib.licenses.mit;
+ }) {};
+
+ "hpack_0_34_5" = callPackage
+ ({ mkDerivation, aeson, base, bifunctors, bytestring, Cabal
+ , containers, cryptonite, deepseq, directory, filepath, Glob, hspec
+ , hspec-discover, http-client, http-client-tls, http-types, HUnit
+ , infer-license, interpolate, mockery, pretty, QuickCheck
+ , scientific, template-haskell, temporary, text, transformers
+ , unordered-containers, vector, yaml
+ }:
+ mkDerivation {
+ pname = "hpack";
+ version = "0.34.5";
+ sha256 = "0gmm6jgi1sgyilphww6apq1x04grqznm7xhyb7g1rj5j7my40ws2";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -134689,6 +136627,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "A modern format for Haskell packages";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"hpack-convert" = callPackage
@@ -134725,36 +136664,6 @@ self: {
}) {};
"hpack-dhall" = callPackage
- ({ mkDerivation, aeson, aeson-pretty, base, bytestring, Cabal
- , dhall, dhall-json, Diff, directory, filepath, hpack, megaparsec
- , microlens, optparse-applicative, prettyprinter, tasty
- , tasty-golden, text, transformers, utf8-string, yaml
- }:
- mkDerivation {
- pname = "hpack-dhall";
- version = "0.5.2";
- sha256 = "16mnh9hwp0224cn3rlpbjgqklgvbaffbzjskyksakpgxc0phk1zi";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson aeson-pretty base bytestring dhall dhall-json filepath hpack
- megaparsec microlens prettyprinter text transformers yaml
- ];
- executableHaskellDepends = [
- aeson aeson-pretty base bytestring dhall dhall-json filepath hpack
- megaparsec microlens optparse-applicative prettyprinter text
- transformers yaml
- ];
- testHaskellDepends = [
- aeson aeson-pretty base bytestring Cabal dhall dhall-json Diff
- directory filepath hpack megaparsec microlens prettyprinter tasty
- tasty-golden text transformers utf8-string yaml
- ];
- description = "hpack's dhalling";
- license = lib.licenses.bsd3;
- }) {};
-
- "hpack-dhall_0_5_3" = callPackage
({ mkDerivation, aeson, aeson-pretty, base, bytestring, Cabal
, dhall, dhall-json, Diff, directory, filepath, hlint, hpack
, megaparsec, microlens, optparse-applicative, prettyprinter, tasty
@@ -134782,7 +136691,6 @@ self: {
];
description = "hpack's dhalling";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"hpaco" = callPackage
@@ -135278,8 +137186,8 @@ self: {
}:
mkDerivation {
pname = "hpqtypes";
- version = "1.9.1.2";
- sha256 = "1dvyvsj5f5fpqs6jgqxhrf1dfq2nwb75rf797zkyy3m4a278d58q";
+ version = "1.9.2.0";
+ sha256 = "0agdii93xl6hn5a9szl2qazpjn2j6vwkcr2pg7jp5mdsswwkvd3l";
setupHaskellDepends = [ base Cabal directory filepath ];
libraryHaskellDepends = [
aeson async base bytestring containers exceptions lifted-base
@@ -135308,10 +137216,10 @@ self: {
}:
mkDerivation {
pname = "hpqtypes-extras";
- version = "1.11.0.0";
- sha256 = "0574ma8b149rhpdk9mdg5sawhl3db4d0qxs5az31g83i93hf4mwq";
- revision = "2";
- editedCabalFile = "1n98wpppwd0gwchwfis525qac3808j1vnvb3vxziq1d9x088gqf6";
+ version = "1.12.0.1";
+ sha256 = "0qpydy2hg6fmwhwg0azgraxhjijfarns3syrv2an4ynfqcxrds9k";
+ revision = "1";
+ editedCabalFile = "1brrz8ddm0hw3c5w1mf1f8a14qk5c9wiav5a0l5fi6p1k86pfv4j";
libraryHaskellDepends = [
base base16-bytestring bytestring containers cryptohash exceptions
extra fields-json hpqtypes lifted-base log-base monad-control mtl
@@ -135461,7 +137369,6 @@ self: {
libraryToolDepends = [ c2hs ];
description = "Haskell bindings for libpuz";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"hpygments" = callPackage
@@ -136633,16 +138540,17 @@ self: {
"hs-tags" = callPackage
({ mkDerivation, base, Cabal, containers, directory, filepath, ghc
- , mtl, process, strict
+ , ghc-paths, mtl, process, strict
}:
mkDerivation {
pname = "hs-tags";
- version = "0.1.5";
- sha256 = "0gy894sr2557a6pmvi99dkn03990r43ycxknryxym62z54bz1q8f";
+ version = "0.1.5.2";
+ sha256 = "0xvrar39682z4jiggf260ypxhzk2z180zlh3i3rw19cbq1xdw5hw";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- base Cabal containers directory filepath ghc mtl process strict
+ base Cabal containers directory filepath ghc ghc-paths mtl process
+ strict
];
description = "Create tag files (ctags and etags) for Haskell code";
license = lib.licenses.mit;
@@ -137745,8 +139653,8 @@ self: {
}:
mkDerivation {
pname = "hscim";
- version = "0.3.5";
- sha256 = "16qkrw1a5la2x26d3q1bixxlnf1giqcc8bx4gn4swbynkyrsihr5";
+ version = "0.3.6";
+ sha256 = "1zd18l4afknhkjqizwhjzyrdh03p5940kvwz5jdrap1bnpszgv3p";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -138515,8 +140423,8 @@ self: {
pname = "hslogger";
version = "1.3.1.0";
sha256 = "0nyar9xcblx5jwks85y8f4jfy9k1h4ss6rvj4mdbiidrq3v688vz";
- revision = "3";
- editedCabalFile = "04mda3bwr2a00f5nbkqc84d46lmqfsk3gibzg3amdh74ngb451xq";
+ revision = "4";
+ editedCabalFile = "0249qf58s5dvqf98xqbqqigav055dgj5cx4dmz4ssl8ckk2dizdk";
libraryHaskellDepends = [
base bytestring containers deepseq network network-bsd old-locale
time unix
@@ -138620,6 +140528,29 @@ self: {
license = lib.licenses.mit;
}) {inherit (pkgs) lua5_3;};
+ "hslua_1_3_0_2" = callPackage
+ ({ mkDerivation, base, bytestring, containers, exceptions, lua5_3
+ , mtl, QuickCheck, quickcheck-instances, tasty, tasty-hunit
+ , tasty-quickcheck, text
+ }:
+ mkDerivation {
+ pname = "hslua";
+ version = "1.3.0.2";
+ sha256 = "0p39xm0mmxzs5x6aim11qkb7npn0d9h7li2kwfhry0dijd1vm18i";
+ configureFlags = [ "-fsystem-lua" "-f-use-pkgconfig" ];
+ libraryHaskellDepends = [
+ base bytestring containers exceptions mtl text
+ ];
+ librarySystemDepends = [ lua5_3 ];
+ testHaskellDepends = [
+ base bytestring containers exceptions mtl QuickCheck
+ quickcheck-instances tasty tasty-hunit tasty-quickcheck text
+ ];
+ description = "Bindings to Lua, an embeddable scripting language";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {inherit (pkgs) lua5_3;};
+
"hslua-aeson" = callPackage
({ mkDerivation, aeson, base, bytestring, hashable, hslua, hspec
, HUnit, ieee754, QuickCheck, quickcheck-instances, scientific
@@ -138721,6 +140652,8 @@ self: {
pname = "hslua-module-text";
version = "0.3.0.1";
sha256 = "1vmd15n905i2pcsx748hz3h9kv5nnv74y663rj57q8mp0b40cbfl";
+ revision = "1";
+ editedCabalFile = "04y4rjfgzsz3q3m2d7ph97ligxlld74v6vhhmncj0riyxdfvy6p9";
libraryHaskellDepends = [ base bytestring hslua text ];
testHaskellDepends = [
base hslua tasty tasty-hunit tasty-lua text
@@ -139257,6 +141190,7 @@ self: {
testToolDepends = [ hspec-meta ];
description = "Automatically discover and run Hspec tests";
license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ maralorn ];
}) {};
"hspec-discover_2_8_3" = callPackage
@@ -139278,6 +141212,7 @@ self: {
description = "Automatically discover and run Hspec tests";
license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ maralorn ];
}) {};
"hspec-expectations" = callPackage
@@ -139689,17 +141624,20 @@ self: {
}) {};
"hspec-pg-transact" = callPackage
- ({ mkDerivation, base, bytestring, hspec, pg-transact
+ ({ mkDerivation, base, bytestring, hspec, hspec-core, pg-transact
, postgresql-simple, resource-pool, text, tmp-postgres
}:
mkDerivation {
pname = "hspec-pg-transact";
- version = "0.1.0.2";
- sha256 = "030wy3ajlfd7pi6gwfn6xcsl2yi0gvznxl8m7kq001bkiabjmv55";
+ version = "0.1.0.3";
+ sha256 = "0laxy8sl5gci8nwal1y3rvddw3mf571sk0mv5j4rzqgqzirdmyps";
libraryHaskellDepends = [
base bytestring hspec pg-transact postgresql-simple resource-pool
text tmp-postgres
];
+ testHaskellDepends = [
+ base hspec hspec-core pg-transact postgresql-simple tmp-postgres
+ ];
description = "Helpers for creating database tests with hspec and pg-transact";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -139906,6 +141844,17 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "hspec-tmp-proc" = callPackage
+ ({ mkDerivation, base, hspec, tmp-proc }:
+ mkDerivation {
+ pname = "hspec-tmp-proc";
+ version = "0.5.0.1";
+ sha256 = "0zn0q3cvszpnb0lqlnizfh8v0z2kasjl414ny4pzni6yf13m2jfh";
+ libraryHaskellDepends = [ base hspec tmp-proc ];
+ description = "Simplify use of tmp-proc from hspec tests";
+ license = lib.licenses.bsd3;
+ }) {};
+
"hspec-wai" = callPackage
({ mkDerivation, base, base-compat, bytestring, case-insensitive
, hspec, hspec-core, hspec-expectations, http-types, QuickCheck
@@ -140087,8 +142036,8 @@ self: {
}:
mkDerivation {
pname = "hspretty";
- version = "0.1.0.0";
- sha256 = "11mbrr785j6pa02zil705sy67cdvjhwq9l927mm74barf9ph776r";
+ version = "0.2.0.0";
+ sha256 = "1nxsw25a8g8xhfbcybsamn64bzrr7gl2q6ydd62zj073bkh413iq";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -140965,6 +142914,32 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "htalkat" = callPackage
+ ({ mkDerivation, array, asn1-encoding, asn1-types, base, bytestring
+ , containers, cryptonite, data-default-class, data-hash, directory
+ , exceptions, filelock, filepath, hourglass, hscurses, memory, mtl
+ , ncurses, network, network-simple, pem, process, rset, safe
+ , temporary, text, time, tls, transformers, unix, x509
+ , x509-validation
+ }:
+ mkDerivation {
+ pname = "htalkat";
+ version = "0.1.1";
+ sha256 = "0hczxal05wy42shmrjqw4mhqscr58b6jfv8vm2ll05smvwnrwxji";
+ isLibrary = false;
+ isExecutable = true;
+ executableHaskellDepends = [
+ array asn1-encoding asn1-types base bytestring containers
+ cryptonite data-default-class data-hash directory exceptions
+ filelock filepath hourglass hscurses memory mtl network
+ network-simple pem process rset safe temporary text time tls
+ transformers unix x509 x509-validation
+ ];
+ executablePkgconfigDepends = [ ncurses ];
+ description = "Talk across TLS";
+ license = lib.licenses.gpl3Only;
+ }) {inherit (pkgs) ncurses;};
+
"htar" = callPackage
({ mkDerivation, base, bytestring, bzlib, directory, filepath
, old-locale, tar, time, zlib
@@ -141001,8 +142976,8 @@ self: {
}:
mkDerivation {
pname = "htdp-image";
- version = "1.1.0.0";
- sha256 = "17123nqkg8yk0pssmshdza0ipc42rx818q9gidig1d1camiyrfl4";
+ version = "1.1.0.1";
+ sha256 = "1xyz896dikva5pf8ng2brfj5ckrzp1dmqhsnz3pdmi1n0iwa2fcd";
libraryHaskellDepends = [ AC-Angle base gloss ];
testHaskellDepends = [
base gloss HUnit test-framework test-framework-hunit
@@ -141128,26 +143103,6 @@ self: {
}) {};
"html-conduit" = callPackage
- ({ mkDerivation, attoparsec, base, bytestring, conduit
- , conduit-extra, containers, deepseq, hspec, HUnit, resourcet, text
- , transformers, xml-conduit, xml-types
- }:
- mkDerivation {
- pname = "html-conduit";
- version = "1.3.2.1";
- sha256 = "196c8zcnjp1pc5qvqxd8arx3xkw0a90rvg9mmiw2l4zwnx65709n";
- libraryHaskellDepends = [
- attoparsec base bytestring conduit conduit-extra containers
- resourcet text transformers xml-conduit xml-types
- ];
- testHaskellDepends = [
- base bytestring containers deepseq hspec HUnit text xml-conduit
- ];
- description = "Parse HTML documents using xml-conduit datatypes";
- license = lib.licenses.mit;
- }) {};
-
- "html-conduit_1_3_2_2" = callPackage
({ mkDerivation, attoparsec, base, bytestring, conduit
, conduit-extra, containers, deepseq, hspec, HUnit, resourcet, text
, transformers, xml-conduit, xml-types
@@ -141165,7 +143120,6 @@ self: {
];
description = "Parse HTML documents using xml-conduit datatypes";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"html-email-validate" = callPackage
@@ -141582,7 +143536,6 @@ self: {
libraryHaskellDepends = [ base bytestring ];
description = "Functions for working with HTTP Accept headers";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"http-api-data" = callPackage
@@ -141620,8 +143573,8 @@ self: {
pname = "http-api-data";
version = "0.4.3";
sha256 = "171bw2a44pg50d3y77gw2y9vmx72laky7hnn5hw6r93pnjmlf9yz";
- revision = "2";
- editedCabalFile = "1ihz467bn26cszgdk82l49mz2428r7y11693fj2x75fp2h2ml01i";
+ revision = "3";
+ editedCabalFile = "0hmi3jbk53pa58k86nl07m133x20bx3ls3vyvn4sjxfapdyh81wn";
libraryHaskellDepends = [
attoparsec attoparsec-iso8601 base base-compat bytestring
containers cookie hashable http-types tagged text time-compat
@@ -141678,7 +143631,7 @@ self: {
license = lib.licenses.mit;
}) {};
- "http-client_0_7_8" = callPackage
+ "http-client_0_7_9" = callPackage
({ mkDerivation, array, async, base, base64-bytestring
, blaze-builder, bytestring, case-insensitive, containers, cookie
, deepseq, directory, exceptions, filepath, ghc-prim, hspec
@@ -141688,8 +143641,8 @@ self: {
}:
mkDerivation {
pname = "http-client";
- version = "0.7.8";
- sha256 = "043ydfakl02cghmphzz9hj08hrfszqw96vjrb4cal7c7801szz0q";
+ version = "0.7.9";
+ sha256 = "1yg8sx50bs2q1si2f2783w1iy3235h8mxzif2g498ixpx6syzrmy";
libraryHaskellDepends = [
array base base64-bytestring blaze-builder bytestring
case-insensitive containers cookie deepseq exceptions filepath
@@ -141964,16 +143917,16 @@ self: {
"http-common" = callPackage
({ mkDerivation, base, base64-bytestring, blaze-builder, bytestring
- , case-insensitive, directory, mtl, network, text, transformers
- , unordered-containers
+ , case-insensitive, directory, mtl, network, random, text
+ , transformers, unordered-containers
}:
mkDerivation {
pname = "http-common";
- version = "0.8.2.1";
- sha256 = "1pzi1h9qb6mpzkmv1bfa54vfzrp5jcdlbwj1i7qiricrwhqxh3dk";
+ version = "0.8.3.4";
+ sha256 = "1xpbnfac0fqa5r670ggwm4kq3cmz9jpaw9bx40j9w9qiw6xi4i28";
libraryHaskellDepends = [
base base64-bytestring blaze-builder bytestring case-insensitive
- directory mtl network text transformers unordered-containers
+ directory mtl network random text transformers unordered-containers
];
description = "Common types for HTTP clients and servers";
license = lib.licenses.bsd3;
@@ -142278,6 +144231,31 @@ self: {
license = lib.licenses.publicDomain;
}) {};
+ "http-link-header_1_2_1" = callPackage
+ ({ mkDerivation, attoparsec, base, bytestring, criterion, directory
+ , errors, hspec, hspec-attoparsec, http-api-data, network-uri
+ , QuickCheck, text, transformers
+ }:
+ mkDerivation {
+ pname = "http-link-header";
+ version = "1.2.1";
+ sha256 = "15pcav5k7j4pvqwkyyrqgcm7yxqippx4yiprsg9fpml4kywcr2ca";
+ libraryHaskellDepends = [
+ attoparsec base bytestring errors http-api-data network-uri text
+ ];
+ testHaskellDepends = [
+ attoparsec base bytestring errors hspec hspec-attoparsec
+ http-api-data network-uri QuickCheck text
+ ];
+ benchmarkHaskellDepends = [
+ attoparsec base bytestring criterion directory errors http-api-data
+ network-uri text transformers
+ ];
+ description = "A parser and writer for the HTTP Link header per RFC 5988";
+ license = lib.licenses.publicDomain;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"http-listen" = callPackage
({ mkDerivation, base, bytestring, exceptions, HTTP, network
, transformers
@@ -142600,27 +144578,27 @@ self: {
"http-streams" = callPackage
({ mkDerivation, aeson, aeson-pretty, attoparsec, base
, base64-bytestring, blaze-builder, bytestring, case-insensitive
- , directory, ghc-prim, HsOpenSSL, hspec, hspec-expectations
- , http-common, HUnit, io-streams, lifted-base, mtl, network
- , network-uri, openssl-streams, snap-core, snap-server
- , system-fileio, system-filepath, text, transformers
+ , directory, filepath, ghc-prim, HsOpenSSL, hspec
+ , hspec-expectations, http-common, HUnit, io-streams, lifted-base
+ , mtl, network, network-uri, openssl-streams, random, snap-core
+ , snap-server, system-fileio, system-filepath, text, transformers
, unordered-containers
}:
mkDerivation {
pname = "http-streams";
- version = "0.8.8.1";
- sha256 = "0jh7ps2hi72pjzrjwkmq8sq0djwjv9nf9cbxhjb121grg0gzzrbh";
+ version = "0.8.9.4";
+ sha256 = "03xdcb0v735xdrkjlm1w56mskh3x08cbsjrcd7wn4li65ixc20xa";
libraryHaskellDepends = [
aeson attoparsec base base64-bytestring blaze-builder bytestring
- case-insensitive directory HsOpenSSL http-common io-streams mtl
- network network-uri openssl-streams text transformers
- unordered-containers
+ case-insensitive directory filepath HsOpenSSL http-common
+ io-streams mtl network network-uri openssl-streams text
+ transformers unordered-containers
];
testHaskellDepends = [
aeson aeson-pretty attoparsec base base64-bytestring blaze-builder
bytestring case-insensitive directory ghc-prim HsOpenSSL hspec
hspec-expectations http-common HUnit io-streams lifted-base mtl
- network network-uri openssl-streams snap-core snap-server
+ network network-uri openssl-streams random snap-core snap-server
system-fileio system-filepath text transformers
unordered-containers
];
@@ -142841,6 +144819,35 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "http3" = callPackage
+ ({ mkDerivation, array, attoparsec, base, base16-bytestring
+ , bytestring, case-insensitive, conduit, conduit-extra, containers
+ , cryptonite, doctest, hspec, hspec-discover, http-types, http2
+ , iproute, network, network-byte-order, quic, QuickCheck, sockaddr
+ , stm, time-manager, tls, unliftio
+ }:
+ mkDerivation {
+ pname = "http3";
+ version = "0.0.0";
+ sha256 = "12mkxhqhaxcmg2b8finpm5zlkzc614k004jzbacl6jrla3wvzmhw";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ array base bytestring case-insensitive containers http-types http2
+ network network-byte-order quic sockaddr stm time-manager unliftio
+ ];
+ testHaskellDepends = [
+ attoparsec base base16-bytestring bytestring conduit conduit-extra
+ cryptonite doctest hspec http-types http2 iproute network quic
+ QuickCheck stm tls unliftio
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "HTTP/3 library";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"httpd-shed" = callPackage
({ mkDerivation, base, network, network-bsd, network-uri }:
mkDerivation {
@@ -143273,17 +145280,6 @@ self: {
}) {};
"hunit-dejafu" = callPackage
- ({ mkDerivation, base, dejafu, exceptions, HUnit }:
- mkDerivation {
- pname = "hunit-dejafu";
- version = "2.0.0.4";
- sha256 = "11d52blw31mcsg7c3w1f7khy3vk2p03h4c5z6ja6wb9k5bg4d004";
- libraryHaskellDepends = [ base dejafu exceptions HUnit ];
- description = "Deja Fu support for the HUnit test framework";
- license = lib.licenses.mit;
- }) {};
-
- "hunit-dejafu_2_0_0_5" = callPackage
({ mkDerivation, base, dejafu, exceptions, HUnit }:
mkDerivation {
pname = "hunit-dejafu";
@@ -143292,7 +145288,6 @@ self: {
libraryHaskellDepends = [ base dejafu exceptions HUnit ];
description = "Deja Fu support for the HUnit test framework";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"hunit-gui" = callPackage
@@ -143767,8 +145762,8 @@ self: {
pname = "hw-balancedparens";
version = "0.4.1.1";
sha256 = "16v36fj5aawnx6glarzljl3yb93zkn06ij5cg40zba5rp8jhpg7z";
- revision = "3";
- editedCabalFile = "1myzy3wjwjaqlm31pa90msr8rl26vczd5yqd29mx0gy7p4x2dmgi";
+ revision = "4";
+ editedCabalFile = "0hw0qqkabv0i4zmr7436pl1xn9izxcm4p9flv2k697zyhqdaccik";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -143913,8 +145908,8 @@ self: {
pname = "hw-dsv";
version = "0.4.1.0";
sha256 = "1wv0yg662c3bq4kpgfqfjks59v17i5h3v3mils1qpxn4c57jr3s8";
- revision = "5";
- editedCabalFile = "0dzysj8fzyfg4ggda5ramq1zad8jb810rg2nncnzv95xmnlwakgl";
+ revision = "7";
+ editedCabalFile = "1x7f6k3ih3270xapfc9fnm4d51fhnha71fz0r3l2l6xx4mghcby2";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -143953,8 +145948,8 @@ self: {
pname = "hw-dump";
version = "0.1.1.0";
sha256 = "14ya18i3xvay5xn8j20b06msqyd49h34w526k1x1fxdp0i2l3rwr";
- revision = "5";
- editedCabalFile = "1rkz578hcn7s9i08n5jc557vph7k017m8vbk6ijf5psa189w1dkh";
+ revision = "6";
+ editedCabalFile = "0aizgpq9cxhhnzczi39nf6whcxnwqiszrbax0mzb3fqjwi1sida1";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -143990,8 +145985,8 @@ self: {
pname = "hw-eliasfano";
version = "0.1.2.0";
sha256 = "1wqpzznmz6bl88wzhrfcbgi49dw7w7i0p92hyc0m58nanqm1zgnj";
- revision = "5";
- editedCabalFile = "0w8kikrrkv8v1drnrjfabzflbgs768qbrfv8n17y4id76aqazml5";
+ revision = "6";
+ editedCabalFile = "0svym7gnvsd9aa2wabrpfqv5661s2fg1jsqibyyblcrjy0cicdrl";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -144027,8 +146022,8 @@ self: {
pname = "hw-excess";
version = "0.2.3.0";
sha256 = "0xiyf3xyg6f4kgkils9ycx6q0qcsbd6rw4m9lizw9295mnp05s3g";
- revision = "1";
- editedCabalFile = "0qq8svkn9365vdbb0y3y4m2pdklsrf6z3a1m0kyfmbr0vphza369";
+ revision = "2";
+ editedCabalFile = "03xn63rydgflzpyqshi7kd18llkzd8ma15ml846mw95ww97d4i9i";
libraryHaskellDepends = [
base hw-bits hw-prim hw-rankselect-base safe vector
];
@@ -144110,8 +146105,8 @@ self: {
pname = "hw-hspec-hedgehog";
version = "0.1.1.0";
sha256 = "04r30hb4664yciwfl3kyx0xn6sqc6abwhavb4wxiaas8b4px9kyn";
- revision = "2";
- editedCabalFile = "16v3dcpm51m8g2va85jfnbxqyc6dds2nazyd31080fa4804a90wz";
+ revision = "3";
+ editedCabalFile = "0byjlgisygdak9pf9dfnpbj576mrjd7knx4kyfm12l6l5qhcw8n1";
libraryHaskellDepends = [
base call-stack hedgehog hspec HUnit transformers
];
@@ -144153,8 +146148,8 @@ self: {
pname = "hw-ip";
version = "2.4.2.0";
sha256 = "1bvh4fkg1ffr3y8wink62rgkynlcgjhmra7a4w01h1dmw1vb2vfx";
- revision = "4";
- editedCabalFile = "0pjry2xjnhfl3jii8j9dqmqz88hw7g8wkwy4fqnajnchrxb8f06w";
+ revision = "5";
+ editedCabalFile = "18fr2r6bhcz1a78di6g2vb7k74xaxamw4azxrjyb1bkx234laj2m";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -144188,8 +146183,8 @@ self: {
pname = "hw-json";
version = "1.3.2.2";
sha256 = "03h5zv94ndsz4vh0jql8rg8pl95rbf8xkyzvr3r55i3kpmb85sbg";
- revision = "4";
- editedCabalFile = "0ys0xlmw2xdrrjjdjx1gwlh0qpig8b4ljqwrp2yhp3aihzsb5304";
+ revision = "5";
+ editedCabalFile = "0pln3fcdbsd2gzvpa29gc2krsqk5ndkgpygcskwakj25cw3irh76";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -144232,8 +146227,8 @@ self: {
pname = "hw-json-lens";
version = "0.2.1.0";
sha256 = "1v3ws69pyrw5ja00r326kqlq6hd7r5np119fk2la7f74aqhajjf6";
- revision = "3";
- editedCabalFile = "0svnn3wdm8adcyw1phk0k9ddzlk3ni1dar681vpq61xwd1xmgjgb";
+ revision = "4";
+ editedCabalFile = "0ajl6xqy7wyvwidpv07842wslrw9yc6n48n8gm14b1l3iiwj2kiz";
libraryHaskellDepends = [
aeson base bytestring containers hw-json lens scientific text word8
];
@@ -144259,8 +146254,8 @@ self: {
pname = "hw-json-simd";
version = "0.1.1.0";
sha256 = "0bpfyx2bd7pcr8y8bfahcdm30bznqixfawraq3xzy476vy9ppa9n";
- revision = "3";
- editedCabalFile = "0f7y8kaj2bv3l1fscwxdnqj7378mrls1mcnsm23cpb5dizy3p2nf";
+ revision = "4";
+ editedCabalFile = "0ragyq509nxy5ax58h84b6984lwnhklkk8nfafmxh5fxq66214cy";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base bytestring hw-prim lens vector ];
@@ -144290,8 +146285,8 @@ self: {
pname = "hw-json-simple-cursor";
version = "0.1.1.0";
sha256 = "1kwxnqsa2mkw5sa8rc9rixjm6f75lyjdaz7f67yyhwls5v4315bl";
- revision = "6";
- editedCabalFile = "1ws3mcyvba05s0wvwzbig54wxkw37pp55c5jwbsc96inic8cfq3y";
+ revision = "7";
+ editedCabalFile = "169aqi2vjzg38cljfipxaw7kzav5z3n9b68f32mjsk1drh1c5hpd";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -144329,8 +146324,8 @@ self: {
pname = "hw-json-standard-cursor";
version = "0.2.3.1";
sha256 = "1mpsspp6ba2zqv38a0rcv93mbwb1rb8snmxklf32g02djj8b4vir";
- revision = "4";
- editedCabalFile = "18x3vinc6j5nnq3j5x7zdcy3ys6b2clmb7lhz6qg1wklnfcyjxsb";
+ revision = "5";
+ editedCabalFile = "029hrckhsm0g1j2zijs3ff14qsk2cdw9m57l3jhjy0cw3ynwisds";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -144442,8 +146437,8 @@ self: {
pname = "hw-mquery";
version = "0.2.1.0";
sha256 = "1qhd8jcwffr57mjraw0g3xj9kb0jd75ybqaj1sbxw31lc2hr9w9j";
- revision = "2";
- editedCabalFile = "1996bn28l3s2bgjgll17gpryvp61vxjz0d3zi5py6kk40hsb4y6z";
+ revision = "3";
+ editedCabalFile = "0mnra701p169xzibag8mvb2mrk5gdp42dwlhqr07b6dz2cly88sn";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ ansi-wl-pprint base dlist lens ];
@@ -144469,8 +146464,8 @@ self: {
pname = "hw-packed-vector";
version = "0.2.1.0";
sha256 = "13hly2yzx6kx4j56iksgj4i3wmvg7rmxq57d0g87lmybzhha9q38";
- revision = "5";
- editedCabalFile = "0pnrjx4sbbxpr1fvib5z95cxjgfif2iay1j6hk5ysavwn6i2qxqx";
+ revision = "6";
+ editedCabalFile = "1ryh9nmpg3925lrr5a4wfsdv3f4a6rshrqn5pzbkqchh4mx39cpf";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -144501,8 +146496,8 @@ self: {
pname = "hw-parser";
version = "0.1.1.0";
sha256 = "1zsbw725mw3fn4814qricqanbvx1kgbnqvgwijqgfv8jz7yf5gxa";
- revision = "2";
- editedCabalFile = "15r5ydza7dawa5b7y3xi80016pa3s5sb706hvsqvn82fhqp5dziw";
+ revision = "3";
+ editedCabalFile = "1rc0swmmnckp99qzmhl1acxykyhdyw1lvy73mn7c4dlv751gnlhk";
libraryHaskellDepends = [
attoparsec base bytestring hw-prim text
];
@@ -144559,20 +146554,23 @@ self: {
}) {};
"hw-prim-bits" = callPackage
- ({ mkDerivation, base, criterion, hedgehog, hspec, hw-hedgehog
- , hw-hspec-hedgehog, QuickCheck, vector
+ ({ mkDerivation, base, criterion, doctest, doctest-discover
+ , hedgehog, hspec, hspec-discover, hw-hedgehog, hw-hspec-hedgehog
+ , QuickCheck, vector
}:
mkDerivation {
pname = "hw-prim-bits";
- version = "0.1.0.4";
- sha256 = "1k2fqsa4msd156ar5cx57r0gj5ppwp1929yv56spv6n7xar1ich4";
+ version = "0.1.0.5";
+ sha256 = "1km4gj6znva4yz99sg1imf1k820m4kdhpzn51alj6gv92x127kih";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base ];
executableHaskellDepends = [ base ];
testHaskellDepends = [
- base hedgehog hspec hw-hedgehog hw-hspec-hedgehog QuickCheck
+ base doctest doctest-discover hedgehog hspec hw-hedgehog
+ hw-hspec-hedgehog QuickCheck
];
+ testToolDepends = [ doctest-discover hspec-discover ];
benchmarkHaskellDepends = [ base criterion vector ];
description = "Primitive support for bit manipulation";
license = lib.licenses.bsd3;
@@ -144592,8 +146590,8 @@ self: {
pname = "hw-rankselect";
version = "0.13.4.0";
sha256 = "0chk3n4vb55px943w0l3q7pxhgbvqm64vn7lkhi7k0l2dpybycp7";
- revision = "5";
- editedCabalFile = "1jbfanh0028sxj0arx92w753dwgpazs8j2flqjq9svc91rpk82px";
+ revision = "6";
+ editedCabalFile = "1j287ynfgiz56bn0hqqppa03zn2gcllnmiz2azzvfx7xkq3nkdh1";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -144658,8 +146656,8 @@ self: {
pname = "hw-simd";
version = "0.1.2.0";
sha256 = "1r202xzqprb1v8ajd9n6ixckjfdy17mn8jibx4j2xgknx595v24f";
- revision = "2";
- editedCabalFile = "05rax91afykkmwnxnyi6bmmjh0n9ryw006k9k3klwnvy8h2yaf4m";
+ revision = "3";
+ editedCabalFile = "1dl2zqyc3rcrlda6apy5afgvax5cah37n44hzlm81y9p1nbpd205";
libraryHaskellDepends = [
base bits-extra bytestring deepseq hw-bits hw-prim hw-rankselect
hw-rankselect-base transformers vector
@@ -144693,6 +146691,8 @@ self: {
pname = "hw-simd-cli";
version = "0.0.0.1";
sha256 = "0fqkrhjrflkiacq1qfnfiy4rk6pg47j72d0ni0jwfdn6ajx22y90";
+ revision = "1";
+ editedCabalFile = "1djqcz745rwf6jx3r4gs6cnvnk5pacllral5yk85lixvl80dyb1b";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -144722,8 +146722,8 @@ self: {
pname = "hw-streams";
version = "0.0.1.0";
sha256 = "0hzpx1j06h98y0zcmysklzn3s3mvpbb1nkwg4zkbdxvzzqs5hnm5";
- revision = "1";
- editedCabalFile = "0fib78604y6cjchah7zhjsfli820ks51qq7yjv81wwbckjjkpw5v";
+ revision = "2";
+ editedCabalFile = "1c9vll8i0pl4x3b3xpy3zxc581f7n7m6mvpgz7pfhcpikw426s9y";
libraryHaskellDepends = [
base bytestring ghc-prim hw-bits hw-prim mmap primitive
transformers vector
@@ -144855,8 +146855,8 @@ self: {
pname = "hw-xml";
version = "0.5.1.0";
sha256 = "0g81kknllbc6v5wx7kgzhh78409njfzr3h7lfdx7ip0nkhhnpmw4";
- revision = "7";
- editedCabalFile = "1rikq6wxjg4h5pfg9miw14np7b1h2vf036gawyazq5c4d6l2wfzv";
+ revision = "8";
+ editedCabalFile = "049vaf01iw694kpgaaqk2wpg2bpd8s9f2xq39sc3wh7kz7c848fv";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -145252,7 +147252,6 @@ self: {
libraryHaskellDepends = [ base bytestring curl hxt parsec ];
description = "LibCurl interface for HXT";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"hxt-expat" = callPackage
@@ -145264,7 +147263,6 @@ self: {
libraryHaskellDepends = [ base bytestring hexpat hxt ];
description = "Expat parser for HXT";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"hxt-extras" = callPackage
@@ -145373,7 +147371,6 @@ self: {
];
description = "TagSoup parser for HXT";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"hxt-unicode" = callPackage
@@ -145399,7 +147396,6 @@ self: {
];
description = "The XPath modules for HXT";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"hxt-xslt" = callPackage
@@ -145415,7 +147411,6 @@ self: {
];
description = "The XSLT modules for HXT";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"hxthelper" = callPackage
@@ -146159,6 +148154,8 @@ self: {
pname = "hzenity";
version = "0.4";
sha256 = "1zyj7wnjcmv5pmgzn6cgly2zalys5i9waik17b4n46kk38f2pv1i";
+ revision = "1";
+ editedCabalFile = "11b7zavg3d84w8iypikvp8n4yy0d084j9qvifjh9yny2m64w5xav";
libraryHaskellDepends = [
base containers data-default process process-extras text time
];
@@ -146965,6 +148962,20 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "if-instance" = callPackage
+ ({ mkDerivation, base, ghc, ghc-tcplugin-api }:
+ mkDerivation {
+ pname = "if-instance";
+ version = "0.3.0.0";
+ sha256 = "0d64h9ai0zmyzb9nnxfmr66chxbgdyy6vw2xhqybh4x7ga3ys4r9";
+ libraryHaskellDepends = [ base ghc ghc-tcplugin-api ];
+ testHaskellDepends = [ base ghc ];
+ doHaddock = false;
+ description = "Branch on whether a constraint is satisfied";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"ifcxt" = callPackage
({ mkDerivation, base, QuickCheck, tasty, tasty-quickcheck
, template-haskell
@@ -147519,6 +149530,7 @@ self: {
description = "bindings to imagemagick library";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) imagemagick;};
"imagepaste" = callPackage
@@ -147883,7 +149895,7 @@ self: {
}) {};
"imperative-edsl" = callPackage
- ({ mkDerivation, array, base, BoundedChan, constraints, containers
+ ({ mkDerivation, array, base, BoundedChan, containers
, data-default-class, deepseq, directory, exception-transformers
, filepath, ghc-prim, language-c-quote, mainland-pretty, microlens
, microlens-mtl, microlens-th, mtl, operational-alacarte, process
@@ -147891,11 +149903,11 @@ self: {
}:
mkDerivation {
pname = "imperative-edsl";
- version = "0.8.2";
- sha256 = "1m8ynjzi97ps9x9sf03zg7y2vq15kzch9fdnzmh9wsmmkfpqljzs";
+ version = "0.9";
+ sha256 = "0qzk3kjmjv3357dlc4fa43k4xn7xhyavmbnni0cd86zrilgxha6h";
libraryHaskellDepends = [
- array base BoundedChan constraints containers data-default-class
- deepseq directory exception-transformers ghc-prim language-c-quote
+ array base BoundedChan containers data-default-class deepseq
+ directory exception-transformers ghc-prim language-c-quote
mainland-pretty microlens microlens-mtl microlens-th mtl
operational-alacarte process srcloc stm syntactic time
];
@@ -148313,8 +150325,8 @@ self: {
({ mkDerivation, base, template-haskell }:
mkDerivation {
pname = "include-env";
- version = "0.1.3.0";
- sha256 = "0yj7gbsxdjihf243c0xym5yxdkyr1s8qs8dvxhf7xf5pw77y03qg";
+ version = "0.3.0.0";
+ sha256 = "00wgyka74w6i4w2k673cahp2nmsvhgdfdc3dp5nqb1hgks51n5lc";
libraryHaskellDepends = [ base template-haskell ];
description = "Include the value of an environment variable at compile time";
license = lib.licenses.bsd3;
@@ -148994,8 +151006,8 @@ self: {
}:
mkDerivation {
pname = "influxdb";
- version = "1.9.1.2";
- sha256 = "0adrfaimjfrhfx2542wynjpd810yqxnjr3q4hhw8gz75v70f44nn";
+ version = "1.9.2";
+ sha256 = "1dmj2gg47wav9qk22a9p4pclxmxnw3czyfj19nbb09911vq1ng5n";
isLibrary = true;
isExecutable = true;
setupHaskellDepends = [ base Cabal cabal-doctest ];
@@ -149161,29 +151173,41 @@ self: {
broken = true;
}) {};
+ "injections" = callPackage
+ ({ mkDerivation, base, containers, hspec, QuickCheck
+ , quickcheck-instances, text
+ }:
+ mkDerivation {
+ pname = "injections";
+ version = "0.1.0.0";
+ sha256 = "0xvsnggwgm4fc41jgkz3mss9w957663rmkcx6kwlwqa8k37dgmgq";
+ libraryHaskellDepends = [ base containers text ];
+ testHaskellDepends = [
+ base containers hspec QuickCheck quickcheck-instances text
+ ];
+ description = "Canonical categorical conversions (injections and projections)";
+ license = lib.licenses.bsd3;
+ }) {};
+
"inline-asm" = callPackage
({ mkDerivation, base, bytestring, containers, either, ghc-prim
- , hspec, hspec-core, megaparsec, mtl, parser-combinators
+ , hspec, hspec-core, megaparsec, mtl, parser-combinators, primitive
, QuickCheck, template-haskell, uniplate
}:
mkDerivation {
pname = "inline-asm";
- version = "0.4.0.2";
- sha256 = "01npi02i8wf9b0pa18cgl78ma6r9xqz0i7dc3khkj1725w5wkhvp";
+ version = "0.5.0.0";
+ sha256 = "02zxgkaa4wgacgj6si4158cxgk3cdf0gkvl5avmyrivbcc18xkdi";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
base bytestring containers either ghc-prim megaparsec mtl
- parser-combinators template-haskell uniplate
- ];
- executableHaskellDepends = [
- base bytestring containers either ghc-prim megaparsec mtl
- parser-combinators template-haskell uniplate
+ parser-combinators primitive template-haskell uniplate
];
testHaskellDepends = [
base bytestring containers either ghc-prim hspec hspec-core
- megaparsec mtl parser-combinators QuickCheck template-haskell
- uniplate
+ megaparsec mtl parser-combinators primitive QuickCheck
+ template-haskell uniplate
];
description = "Inline some Assembly in ur Haskell!";
license = lib.licenses.bsd3;
@@ -149374,6 +151398,8 @@ self: {
pname = "insert-ordered-containers";
version = "0.2.5";
sha256 = "0bb3ggzic8z5zmvmzp1fsnb572c2v383740b0ddf1fwihpn52c1y";
+ revision = "2";
+ editedCabalFile = "1xjrd1sn3wkhv8f40wi5p53y8n74lkj5pnr4psjlbpqqlr4hy2ya";
libraryHaskellDepends = [
aeson base base-compat deepseq hashable indexed-traversable lens
optics-core optics-extra semigroupoids semigroups text transformers
@@ -149424,8 +151450,8 @@ self: {
}:
mkDerivation {
pname = "inspection-testing";
- version = "0.4.5.0";
- sha256 = "1d8bi60m97yw4vxmajclg66xhaap8nj4dli8bxni0mf4mcm0px01";
+ version = "0.4.6.0";
+ sha256 = "0qz1npyycj4bvyly9xmjbnhw569l52h38gx02rk0r7zhapw83aig";
libraryHaskellDepends = [
base containers ghc mtl template-haskell transformers
];
@@ -149785,18 +151811,17 @@ self: {
}) {};
"integer-roots" = callPackage
- ({ mkDerivation, base, integer-gmp, smallcheck, tasty, tasty-hunit
- , tasty-quickcheck, tasty-smallcheck
+ ({ mkDerivation, base, doctest, integer-gmp, smallcheck, tasty
+ , tasty-hunit, tasty-quickcheck, tasty-smallcheck
}:
mkDerivation {
pname = "integer-roots";
- version = "1.0";
- sha256 = "12570cr39jj5lk30ls5nnc0w6881l0kflzhmwpk35qc7m39pjgy1";
- revision = "1";
- editedCabalFile = "0h130qddg27234mhi5spkwcgcxpnmq07bppwig5vq8z70fh5f1qx";
+ version = "1.0.0.1";
+ sha256 = "1q0gmgxr5xm15y1id47851z2mcklzrwrv5a9jcjadkarx21knc7q";
libraryHaskellDepends = [ base integer-gmp ];
testHaskellDepends = [
- base smallcheck tasty tasty-hunit tasty-quickcheck tasty-smallcheck
+ base doctest smallcheck tasty tasty-hunit tasty-quickcheck
+ tasty-smallcheck
];
description = "Integer roots and perfect powers";
license = lib.licenses.mit;
@@ -149952,6 +151977,7 @@ self: {
description = "Generates a version of a module using InterleavableIO";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"interleavableIO" = callPackage
@@ -149964,6 +151990,7 @@ self: {
description = "Use other Monads in functions that asks for an IO Monad";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"interleave" = callPackage
@@ -150214,22 +152241,6 @@ self: {
}) {};
"interpolation" = callPackage
- ({ mkDerivation, array, base, containers, QuickCheck, utility-ht }:
- mkDerivation {
- pname = "interpolation";
- version = "0.1.1.1";
- sha256 = "081xlf59xp0j1svigkqfcz5an3yl3p8f5402i6492hz9rpv5qwy5";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [ base utility-ht ];
- testHaskellDepends = [
- array base containers QuickCheck utility-ht
- ];
- description = "piecewise linear and cubic Hermite interpolation";
- license = lib.licenses.bsd3;
- }) {};
-
- "interpolation_0_1_1_2" = callPackage
({ mkDerivation, array, base, containers, doctest-exitcode-stdio
, doctest-lib, QuickCheck, utility-ht
}:
@@ -150246,7 +152257,6 @@ self: {
];
description = "piecewise linear and cubic Hermite interpolation";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"interpolator" = callPackage
@@ -150337,8 +152347,8 @@ self: {
}:
mkDerivation {
pname = "interval-algebra";
- version = "0.10.0";
- sha256 = "1b1a78rssxaqiw42hbb1zqmlq4lmxkxxkhrm3ybqpmrqk492mckv";
+ version = "1.1.0";
+ sha256 = "16xx8fw7xf8rrji9n34r3xchjpd6sh3wnlbz70a3mhbdl3yp55vq";
libraryHaskellDepends = [
base containers foldl QuickCheck safe time witherable
];
@@ -150633,10 +152643,8 @@ self: {
}:
mkDerivation {
pname = "invertible-grammar";
- version = "0.1.3";
- sha256 = "160hw7p5mpajwmv8fps2gicqj3x3yr9w239pfnv9i5gsf4irnn9n";
- revision = "1";
- editedCabalFile = "021pq45sz1x819yksgyl8p4h7c659gb99798j791a3r8583cz2za";
+ version = "0.1.3.1";
+ sha256 = "0ibbf8nq81b533902wkh0ags4a1jydm5jq0gn6jp1pg465q6qn7j";
libraryHaskellDepends = [
base bifunctors containers mtl prettyprinter profunctors semigroups
tagged template-haskell text transformers
@@ -150645,6 +152653,24 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "invertible-grammar_0_1_3_2" = callPackage
+ ({ mkDerivation, base, bifunctors, containers, mtl, prettyprinter
+ , profunctors, semigroups, tagged, template-haskell, text
+ , transformers
+ }:
+ mkDerivation {
+ pname = "invertible-grammar";
+ version = "0.1.3.2";
+ sha256 = "14i0xf5j01j6ayvxix32qr2m0bz3818q26z3b4xyw41ikbhxmkp2";
+ libraryHaskellDepends = [
+ base bifunctors containers mtl prettyprinter profunctors semigroups
+ tagged template-haskell text transformers
+ ];
+ description = "Invertible parsing combinators framework";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"invertible-hlist" = callPackage
({ mkDerivation, base, HList, invertible }:
mkDerivation {
@@ -151049,12 +153075,17 @@ self: {
}) {};
"ip2proxy" = callPackage
- ({ mkDerivation, base, binary, bytestring, iproute }:
+ ({ mkDerivation, aeson, base, binary, bytestring, http-client
+ , http-client-tls, http-types, iproute, uri-encode
+ }:
mkDerivation {
pname = "ip2proxy";
- version = "3.1.0";
- sha256 = "03naiwlxzfmym6ms03z0a9ap2x9f40aqlssyjbb37krhlmmnf0l8";
- libraryHaskellDepends = [ base binary bytestring iproute ];
+ version = "3.2.0";
+ sha256 = "0m50z5a32m28lfq6g8chkakvcgd6iplmx2ik0nbi2zsgfc0l209y";
+ libraryHaskellDepends = [
+ aeson base binary bytestring http-client http-client-tls http-types
+ iproute uri-encode
+ ];
description = "IP2Proxy Haskell package for proxy detection";
license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
@@ -151146,25 +153177,24 @@ self: {
, doctest, envy, flow, Glob, http-media, lens, lens-aeson
, monad-logger, network-ip, QuickCheck, regex-compat, rio, servant
, servant-client, servant-multipart, servant-multipart-api
- , servant-multipart-client, servant-server, swagger2, text, vector
- , yaml
+ , servant-multipart-client, swagger2, text, vector, yaml
}:
mkDerivation {
pname = "ipfs";
- version = "1.3.1";
- sha256 = "0jf5wragwcqhal860s1i26dk32dmnhsyl4n85mr8sc8v626bkj76";
+ version = "1.4.0";
+ sha256 = "1lz5wbjlxd053805cc0l51hj69rwj4a2i4b5y72gmajjqrcw4hcd";
libraryHaskellDepends = [
aeson base bytestring envy flow Glob http-media lens monad-logger
network-ip regex-compat rio servant servant-client
servant-multipart servant-multipart-api servant-multipart-client
- servant-server swagger2 text vector
+ swagger2 text vector
];
testHaskellDepends = [
aeson base bytestring directory directory-tree doctest envy flow
Glob http-media lens lens-aeson monad-logger network-ip QuickCheck
regex-compat rio servant servant-client servant-multipart
- servant-multipart-api servant-multipart-client servant-server
- swagger2 text vector yaml
+ servant-multipart-api servant-multipart-client swagger2 text vector
+ yaml
];
description = "Access IPFS locally and remotely";
license = lib.licenses.asl20;
@@ -151382,27 +153412,6 @@ self: {
}) {};
"irc-client" = callPackage
- ({ mkDerivation, base, bytestring, conduit, connection, containers
- , contravariant, exceptions, irc-conduit, irc-ctcp, mtl
- , network-conduit-tls, old-locale, profunctors, stm, stm-chans
- , text, time, tls, transformers, x509, x509-store, x509-validation
- }:
- mkDerivation {
- pname = "irc-client";
- version = "1.1.2.1";
- sha256 = "1zaa8na730m96flgiyzcwq95v2ianvflsw3abvdavf7xpq4s71ld";
- libraryHaskellDepends = [
- base bytestring conduit connection containers contravariant
- exceptions irc-conduit irc-ctcp mtl network-conduit-tls old-locale
- profunctors stm stm-chans text time tls transformers x509
- x509-store x509-validation
- ];
- description = "An IRC client library";
- license = lib.licenses.mit;
- maintainers = with lib.maintainers; [ sternenseemann ];
- }) {};
-
- "irc-client_1_1_2_2" = callPackage
({ mkDerivation, base, bytestring, conduit, connection, containers
, contravariant, exceptions, irc-conduit, irc-ctcp, mtl
, network-conduit-tls, old-locale, profunctors, stm, stm-chans
@@ -151420,7 +153429,6 @@ self: {
];
description = "An IRC client library";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
maintainers = with lib.maintainers; [ sternenseemann ];
}) {};
@@ -151436,24 +153444,6 @@ self: {
}) {};
"irc-conduit" = callPackage
- ({ mkDerivation, async, base, bytestring, conduit, conduit-extra
- , connection, irc, irc-ctcp, network-conduit-tls, profunctors, text
- , time, tls, transformers, x509-validation
- }:
- mkDerivation {
- pname = "irc-conduit";
- version = "0.3.0.4";
- sha256 = "0asaddcbdcnbp0bbhvzaq5514nw2l1cp1gac3jbn4mh7brgfwjc1";
- libraryHaskellDepends = [
- async base bytestring conduit conduit-extra connection irc irc-ctcp
- network-conduit-tls profunctors text time tls transformers
- x509-validation
- ];
- description = "Streaming IRC message library using conduits";
- license = lib.licenses.mit;
- }) {};
-
- "irc-conduit_0_3_0_5" = callPackage
({ mkDerivation, async, base, bytestring, conduit, conduit-extra
, connection, irc, irc-ctcp, network-conduit-tls, profunctors, text
, time, tls, transformers, x509-validation
@@ -151469,7 +153459,6 @@ self: {
];
description = "Streaming IRC message library using conduits";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"irc-core" = callPackage
@@ -151491,17 +153480,6 @@ self: {
}) {};
"irc-ctcp" = callPackage
- ({ mkDerivation, base, bytestring, text }:
- mkDerivation {
- pname = "irc-ctcp";
- version = "0.1.3.0";
- sha256 = "16mp9dpp57id760zc932dszd5r1ncskwwxrp0djka5r1alddjz6n";
- libraryHaskellDepends = [ base bytestring text ];
- description = "A CTCP encoding and decoding library for IRC clients";
- license = lib.licenses.mit;
- }) {};
-
- "irc-ctcp_0_1_3_1" = callPackage
({ mkDerivation, base, bytestring, text }:
mkDerivation {
pname = "irc-ctcp";
@@ -151510,7 +153488,6 @@ self: {
libraryHaskellDepends = [ base bytestring text ];
description = "A CTCP encoding and decoding library for IRC clients";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"irc-dcc" = callPackage
@@ -152006,6 +153983,21 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "isocline" = callPackage
+ ({ mkDerivation, base, bytestring, text }:
+ mkDerivation {
+ pname = "isocline";
+ version = "1.0.5";
+ sha256 = "05amznscn43vscz3b9rnb2scryriq0gccjx5z5fk1wn47i3dz6vg";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [ base bytestring text ];
+ executableHaskellDepends = [ base bytestring text ];
+ testHaskellDepends = [ base bytestring text ];
+ description = "A portable alternative to GNU Readline";
+ license = lib.licenses.mit;
+ }) {};
+
"isohunt" = callPackage
({ mkDerivation, aeson, base, bytestring, data-default, ghc-prim
, http-conduit, text, unordered-containers, uri, vector
@@ -152764,6 +154756,21 @@ self: {
license = lib.licenses.mit;
}) {};
+ "ixset-typed-cassava" = callPackage
+ ({ mkDerivation, base, bytestring, cassava, ixset-typed, vector }:
+ mkDerivation {
+ pname = "ixset-typed-cassava";
+ version = "0.0.2.0";
+ sha256 = "0qr0j1pkq2jc0clwbrzwmj31i90n8frxb0gaki0sapmla8pfb5yc";
+ revision = "1";
+ editedCabalFile = "07qm52l00j4ghhc7bld99nnjkah9filzbkwcyzpdqhisp51q687q";
+ libraryHaskellDepends = [
+ base bytestring cassava ixset-typed vector
+ ];
+ description = "cassava encoding and decoding via ixset-typed";
+ license = lib.licenses.mit;
+ }) {};
+
"ixset-typed-conversions" = callPackage
({ mkDerivation, base, exceptions, free, hashable, ixset-typed
, unordered-containers, zipper-extra
@@ -153159,7 +155166,6 @@ self: {
executableToolDepends = [ alex happy ];
description = "Create immutable algebraic data structures for Java";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"java-bridge" = callPackage
@@ -153829,6 +155835,7 @@ self: {
description = "JP's own ray tracer";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"jose" = callPackage
@@ -153861,7 +155868,7 @@ self: {
license = lib.licenses.asl20;
}) {};
- "jose_0_8_4_1" = callPackage
+ "jose_0_8_5" = callPackage
({ mkDerivation, aeson, attoparsec, base, base64-bytestring
, bytestring, concise, containers, cryptonite, hspec, lens, memory
, monad-time, mtl, network-uri, pem, QuickCheck
@@ -153870,8 +155877,8 @@ self: {
}:
mkDerivation {
pname = "jose";
- version = "0.8.4.1";
- sha256 = "0zwac71gqxf2wz840gfwnpv0ax7c4wpiwkcxqwcfil7fn4bqjlpw";
+ version = "0.8.5";
+ sha256 = "0d3dgm12bjdmb806599amrxqkq1rz9bs5rkp8smllvrqyxc1qn9h";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -154700,21 +156707,23 @@ self: {
description = "Json Quasiquatation library for Haskell";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"json-query" = callPackage
({ mkDerivation, array-chunks, base, bytebuild, byteslice
- , bytestring, json-syntax, neat-interpolation, primitive
- , scientific-notation, tasty, tasty-hunit, text, text-short
- , transformers
+ , bytestring, contiguous, json-syntax, neat-interpolation
+ , primitive, primitive-unlifted, profunctors, scientific-notation
+ , tasty, tasty-hunit, text, text-short, transformers
}:
mkDerivation {
pname = "json-query";
- version = "0.1.0.0";
- sha256 = "0i1lw40j6qdfcj44mzp5g99plqwdwbh4ab3rfvv24v2c2fq20kqm";
+ version = "0.2.0.0";
+ sha256 = "1wlf8vl890lpvffl5f5aj8g6zdyzf5vq1fpcsl5cfrllws8jprln";
libraryHaskellDepends = [
- array-chunks base bytebuild bytestring json-syntax primitive
- scientific-notation text-short transformers
+ array-chunks base bytebuild bytestring contiguous json-syntax
+ primitive primitive-unlifted profunctors scientific-notation
+ text-short transformers
];
testHaskellDepends = [
array-chunks base bytebuild byteslice bytestring json-syntax
@@ -154787,15 +156796,15 @@ self: {
"json-rpc-generic" = callPackage
({ mkDerivation, aeson, aeson-generic-compat, base, containers
- , dlist, QuickCheck, quickcheck-simple, scientific, text
- , transformers, unordered-containers, vector
+ , QuickCheck, quickcheck-simple, scientific, text, transformers
+ , unordered-containers, vector
}:
mkDerivation {
pname = "json-rpc-generic";
- version = "0.2.1.5";
- sha256 = "1h1spyiq5xix3rbjdk37a28l6l46zygvxafdhaa466hyn2j7p4cz";
+ version = "0.2.1.6";
+ sha256 = "0qzqf4vnlpkj1gl48kds4lxmb0glf4k33bv6dq0hdyrv62aw52m4";
libraryHaskellDepends = [
- aeson aeson-generic-compat base containers dlist scientific text
+ aeson aeson-generic-compat base containers scientific text
transformers unordered-containers vector
];
testHaskellDepends = [
@@ -155186,6 +157195,27 @@ self: {
license = lib.licenses.mit;
}) {};
+ "jsonifier_0_1_2" = callPackage
+ ({ mkDerivation, aeson, base, buffer-builder, bytestring, gauge
+ , hedgehog, numeric-limits, ptr-poker, rerebase, scientific, text
+ , text-builder
+ }:
+ mkDerivation {
+ pname = "jsonifier";
+ version = "0.1.2";
+ sha256 = "14cgk8h7lasnm0z9qlddkn166vk557msnkrpahdzqpl7arwdddid";
+ libraryHaskellDepends = [
+ base bytestring ptr-poker scientific text
+ ];
+ testHaskellDepends = [ aeson hedgehog numeric-limits rerebase ];
+ benchmarkHaskellDepends = [
+ aeson buffer-builder gauge rerebase text-builder
+ ];
+ description = "Fast and simple JSON encoding toolkit";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"jsonnet" = callPackage
({ mkDerivation, aeson, ansi-wl-pprint, base, binary, bytestring
, containers, data-fix, deriving-compat, directory, exceptions
@@ -156101,10 +158131,8 @@ self: {
}:
mkDerivation {
pname = "kansas-comet";
- version = "0.4";
- sha256 = "1q9rffh6589a5am8mvfzxzwws34vg08rdjxggfabhmg9y9jla6hz";
- revision = "22";
- editedCabalFile = "0ii81jv62cbrvj8dpj86m2rs75jjjwnp9kka63y8bvdbacchycvj";
+ version = "0.4.1";
+ sha256 = "1j54rsqna8xrw1si8i74v0c9k4jjv8a2q001aa8sx4rxb7d1qbzy";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson base containers data-default-class scotty stm text time
@@ -157169,23 +159197,23 @@ self: {
"keid-core" = callPackage
({ mkDerivation, adjunctions, base, binary, bytestring
, cryptohash-md5, derive-storable, derive-storable-plugin
- , distributive, foldl, geomancy, GLFW-b, ktx-codec
+ , distributive, file-embed, foldl, geomancy, GLFW-b, ktx-codec
, neat-interpolation, optparse-applicative, optparse-simple
- , resourcet, rio, rio-app, StateVar, tagged, template-haskell, text
- , transformers, unagi-chan, unliftio, vector, vulkan, vulkan-utils
- , VulkanMemoryAllocator, zstd
+ , resourcet, rio, rio-app, serialise, StateVar, tagged
+ , template-haskell, text, transformers, unagi-chan, unliftio
+ , vector, vulkan, vulkan-utils, VulkanMemoryAllocator, zstd
}:
mkDerivation {
pname = "keid-core";
- version = "0.1.0.1";
- sha256 = "1hvrnyw1m03v36xyak514a4v0l2jrwz7mr5k3jqzdmab4srz887s";
+ version = "0.1.3.0";
+ sha256 = "0nvrspga2s0w8yydk3m3vn1c9dv40zk66bbsfmskxck950n5qw6k";
libraryHaskellDepends = [
adjunctions base binary bytestring cryptohash-md5 derive-storable
- derive-storable-plugin distributive foldl geomancy GLFW-b ktx-codec
- neat-interpolation optparse-applicative optparse-simple resourcet
- rio rio-app StateVar tagged template-haskell text transformers
- unagi-chan unliftio vector vulkan vulkan-utils
- VulkanMemoryAllocator zstd
+ derive-storable-plugin distributive file-embed foldl geomancy
+ GLFW-b ktx-codec neat-interpolation optparse-applicative
+ optparse-simple resourcet rio rio-app serialise StateVar tagged
+ template-haskell text transformers unagi-chan unliftio vector
+ vulkan vulkan-utils VulkanMemoryAllocator zstd
];
description = "Core parts of Keid engine";
license = lib.licenses.bsd3;
@@ -157193,15 +159221,15 @@ self: {
}) {};
"keid-geometry" = callPackage
- ({ mkDerivation, base, geomancy, keid-core, mtl, rio, rio-app
- , vector, vulkan
+ ({ mkDerivation, base, geomancy, keid-core, mtl, rio, vector
+ , vulkan
}:
mkDerivation {
pname = "keid-geometry";
- version = "0.1.0.1";
- sha256 = "0cnvgkqcc4nqvsbm9h6kn1l3sgs7dlhcb7iq6z18ynwry8z510w0";
+ version = "0.1.1.1";
+ sha256 = "1jicgdkxwmi16a7bqc3qh9qr2a691nlxk87b5kh674jnhsr59db2";
libraryHaskellDepends = [
- base geomancy keid-core mtl rio rio-app vector vulkan
+ base geomancy keid-core mtl rio vector vulkan
];
description = "Geometry primitives for Keid engine";
license = lib.licenses.bsd3;
@@ -157209,21 +159237,21 @@ self: {
}) {};
"keid-render-basic" = callPackage
- ({ mkDerivation, aeson, base, binary, bytestring, cryptohash-md5
- , derive-storable, derive-storable-plugin, foldl, geomancy, GLFW-b
- , keid-core, keid-geometry, neat-interpolation, resourcet, rio
- , rio-app, tagged, text, unliftio, vector, vulkan, vulkan-utils
- , VulkanMemoryAllocator, zstd
+ ({ mkDerivation, adjunctions, aeson, base, bytestring
+ , derive-storable, derive-storable-plugin, distributive, file-embed
+ , geomancy, keid-core, keid-geometry, neat-interpolation, resourcet
+ , rio, tagged, text, unliftio, vector, vulkan, vulkan-utils
}:
mkDerivation {
pname = "keid-render-basic";
- version = "0.1.1.0";
- sha256 = "0j8474chg9qbknj71nd288h8r5652rk55vax7y5nzm5qznbirg1b";
+ version = "0.1.3.0";
+ sha256 = "15cp34k0kmis9wf5r8x2pfihl263fjwmwfkpi9fn7p5snn36pc28";
+ enableSeparateDataOutput = true;
libraryHaskellDepends = [
- aeson base binary bytestring cryptohash-md5 derive-storable
- derive-storable-plugin foldl geomancy GLFW-b keid-core
- keid-geometry neat-interpolation resourcet rio rio-app tagged text
- unliftio vector vulkan vulkan-utils VulkanMemoryAllocator zstd
+ adjunctions aeson base bytestring derive-storable
+ derive-storable-plugin distributive file-embed geomancy keid-core
+ keid-geometry neat-interpolation resourcet rio tagged text unliftio
+ vector vulkan vulkan-utils
];
description = "Basic rendering programs for Keid engine";
license = lib.licenses.bsd3;
@@ -157249,15 +159277,14 @@ self: {
}) {};
"keid-sound-openal" = callPackage
- ({ mkDerivation, base, geomancy, keid-core, OpenAL, opusfile
- , resourcet, rio, unliftio
+ ({ mkDerivation, base, keid-core, OpenAL, opusfile, resourcet, rio
}:
mkDerivation {
pname = "keid-sound-openal";
- version = "0.1.0.0";
- sha256 = "17ml9xh8qrvyrcsi1ai6br286bf9c6j29wbmp5sp65spp2kwlyng";
+ version = "0.1.1.0";
+ sha256 = "1bzx73wm8xf9nbw0771dl6fsq31lg1nxqg20sr14cglbvmpjiscb";
libraryHaskellDepends = [
- base geomancy keid-core OpenAL opusfile resourcet rio unliftio
+ base keid-core OpenAL opusfile resourcet rio
];
description = "OpenAL sound system for Keid engine";
license = lib.licenses.bsd3;
@@ -157265,21 +159292,17 @@ self: {
}) {};
"keid-ui-dearimgui" = callPackage
- ({ mkDerivation, base, binary, bytestring, cryptohash-md5
- , dear-imgui, derive-storable, derive-storable-plugin, foldl
- , geomancy, GLFW-b, keid-core, neat-interpolation, resourcet, rio
- , rio-app, tagged, unliftio, vector, vulkan, vulkan-utils
- , VulkanMemoryAllocator, zstd
+ ({ mkDerivation, base, binary, bytestring, dear-imgui, GLFW-b
+ , keid-core, resourcet, rio, rio-app, unliftio, vector, vulkan
+ , vulkan-utils, VulkanMemoryAllocator
}:
mkDerivation {
pname = "keid-ui-dearimgui";
- version = "0.1.0.0";
- sha256 = "1650h7mbzmkpzs2wjvjh3zwmjkvbcg1h36yk6frlvvf80fhhihjc";
+ version = "0.1.0.1";
+ sha256 = "1b731r5q8bjpiy84kfzy30pn4wppak1m1zx2ssicdl86181wva1l";
libraryHaskellDepends = [
- base binary bytestring cryptohash-md5 dear-imgui derive-storable
- derive-storable-plugin foldl geomancy GLFW-b keid-core
- neat-interpolation resourcet rio rio-app tagged unliftio vector
- vulkan vulkan-utils VulkanMemoryAllocator zstd
+ base binary bytestring dear-imgui GLFW-b keid-core resourcet rio
+ rio-app unliftio vector vulkan vulkan-utils VulkanMemoryAllocator
];
description = "DearImGui elements for Keid engine";
license = lib.licenses.bsd3;
@@ -157306,6 +159329,7 @@ self: {
description = "Multi-process orchestration for development and integration testing";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"kempe" = callPackage
@@ -157316,8 +159340,8 @@ self: {
}:
mkDerivation {
pname = "kempe";
- version = "0.2.0.4";
- sha256 = "0rzpid5lnjnjgsip3fvm5d313hh8wb7gqla3dyf56l9q7y4r20js";
+ version = "0.2.0.7";
+ sha256 = "0x0915nnrm03fryvwp0rcwvvqsbs1n639yjv509iz2v7wdw5kas8";
isLibrary = false;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -157881,8 +159905,8 @@ self: {
pname = "kleene";
version = "0.1";
sha256 = "00w1gywdhqyy2k3y238gfjs9h2w4pjanmi45bna5lj215n0jb0hg";
- revision = "3";
- editedCabalFile = "1bx73d86qhki4bvqckhv7nrvn06rha6x231fqjms2a7a9zpv47bm";
+ revision = "4";
+ editedCabalFile = "1n7bf4l3wmm3qcwswjkw1d8n39a4b7pxqizpnpkjwq6lj8qxkmd2";
libraryHaskellDepends = [
attoparsec base base-compat bytestring containers lattices MemoTrie
QuickCheck range-set-list regex-applicative semigroupoids
@@ -158231,8 +160255,8 @@ self: {
({ mkDerivation, base, HUnit }:
mkDerivation {
pname = "kparams";
- version = "0.1.0.0";
- sha256 = "0q1ma3qm2anpr6w4xa78wh97b7pzy85ggjiiwbd0gb7b0vwbglx0";
+ version = "0.1.0.1";
+ sha256 = "1zb0xww3rgqcd7famh7cwf4igva60a8q8mv78a6mkdfffjg16q8s";
isLibrary = false;
isExecutable = true;
libraryHaskellDepends = [ base ];
@@ -158256,7 +160280,7 @@ self: {
libraryToolDepends = [ c2hs ];
description = "A binding to the kqueue event library";
license = lib.licenses.bsd3;
- platforms = [ "x86_64-darwin" ];
+ platforms = [ "aarch64-darwin" "x86_64-darwin" ];
}) {};
"kraken" = callPackage
@@ -158454,6 +160478,7 @@ self: {
description = "Client library for Kubernetes";
license = lib.licenses.asl20;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"kubernetes-client-core" = callPackage
@@ -158907,6 +160932,22 @@ self: {
broken = true;
}) {};
+ "lambda-cube" = callPackage
+ ({ mkDerivation, base, hspec, megaparsec, syb, tasty, tasty-hspec
+ , template-haskell, text
+ }:
+ mkDerivation {
+ pname = "lambda-cube";
+ version = "0.3.0.0";
+ sha256 = "0m4w9pvm87j421yqw5iwywbjpwdpywgliia0bdvnynsms1z8s2a4";
+ libraryHaskellDepends = [
+ base megaparsec syb template-haskell text
+ ];
+ testHaskellDepends = [ base hspec tasty tasty-hspec text ];
+ description = "Haskell implementation of (some of) lambda cube calculi";
+ license = lib.licenses.mit;
+ }) {};
+
"lambda-devs" = callPackage
({ mkDerivation, base, binary, containers, dimensional
, distributed-process, HUnit, numtype, QuickCheck, test-framework
@@ -159071,35 +161112,10 @@ self: {
];
description = "Lambdabot is a development tool and advanced IRC bot";
license = "GPL";
- hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ ncfavier ];
}) {};
"lambdabot-core" = callPackage
- ({ mkDerivation, base, binary, bytestring, containers
- , dependent-map, dependent-sum, dependent-sum-template, directory
- , edit-distance, exceptions, filepath, haskeline, hslogger, HTTP
- , lifted-base, monad-control, mtl, network, network-bsd, parsec
- , prim-uniq, random, random-fu, random-source, regex-tdfa
- , SafeSemaphore, split, syb, template-haskell, time, transformers
- , transformers-base, unix, utf8-string, zlib
- }:
- mkDerivation {
- pname = "lambdabot-core";
- version = "5.3.0.1";
- sha256 = "05xx68f3gpc7s1k8p81pwphmiznf7niradf52p39rss3nx8rr3ay";
- libraryHaskellDepends = [
- base binary bytestring containers dependent-map dependent-sum
- dependent-sum-template directory edit-distance exceptions filepath
- haskeline hslogger HTTP lifted-base monad-control mtl network
- network-bsd parsec prim-uniq random random-fu random-source
- regex-tdfa SafeSemaphore split syb template-haskell time
- transformers transformers-base unix utf8-string zlib
- ];
- description = "Lambdabot core functionality";
- license = "GPL";
- }) {};
-
- "lambdabot-core_5_3_0_2" = callPackage
({ mkDerivation, base, binary, bytestring, containers
, dependent-map, dependent-sum, dependent-sum-template, directory
, edit-distance, exceptions, filepath, haskeline, hslogger, HTTP
@@ -159122,7 +161138,6 @@ self: {
];
description = "Lambdabot core functionality";
license = "GPL";
- hydraPlatforms = lib.platforms.none;
}) {};
"lambdabot-haskell-plugins" = callPackage
@@ -159235,8 +161250,6 @@ self: {
];
description = "Social plugins for Lambdabot";
license = "GPL";
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"lambdabot-trusted" = callPackage
@@ -159296,6 +161309,7 @@ self: {
description = "Lambdabot plugin for XMPP (Jabber) protocol";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"lambdabot-zulip" = callPackage
@@ -159931,8 +161945,8 @@ self: {
({ mkDerivation, base, pretty }:
mkDerivation {
pname = "language-c99";
- version = "0.1.2";
- sha256 = "0k4a1chca328sa3w7aghhi446kqfrbp6h5jaj2rddd8f8qjz5pag";
+ version = "0.1.3";
+ sha256 = "159cy0vrnzs8kdraclia3i693kkik33dnhx5279d7l685jf3a8fz";
libraryHaskellDepends = [ base pretty ];
description = "An implementation of the C99 AST that strictly follows the standard";
license = lib.licenses.mit;
@@ -160080,34 +162094,35 @@ self: {
"language-docker" = callPackage
({ mkDerivation, base, bytestring, containers, data-default-class
- , hspec, HUnit, megaparsec, prettyprinter, QuickCheck, split, text
- , time
+ , hspec, hspec-megaparsec, HUnit, megaparsec, prettyprinter
+ , QuickCheck, split, text, time
}:
mkDerivation {
pname = "language-docker";
- version = "10.0.1";
- sha256 = "19pyms0ik37wpzjnlplj2vwikbjdjaw78llpfjp0a0467wlk7na6";
+ version = "10.0.2";
+ sha256 = "0x8lwc28j2f4rdk1yfhb4jkkrlvvhj46m0d42yv2f94y6v8adkr8";
libraryHaskellDepends = [
base bytestring containers data-default-class megaparsec
prettyprinter split text time
];
testHaskellDepends = [
- base bytestring containers data-default-class hspec HUnit
- megaparsec prettyprinter QuickCheck split text time
+ base bytestring containers data-default-class hspec
+ hspec-megaparsec HUnit megaparsec prettyprinter QuickCheck split
+ text time
];
description = "Dockerfile parser, pretty-printer and embedded DSL";
license = lib.licenses.gpl3Only;
}) {};
- "language-docker_10_0_2" = callPackage
+ "language-docker_10_2_0" = callPackage
({ mkDerivation, base, bytestring, containers, data-default-class
, hspec, hspec-megaparsec, HUnit, megaparsec, prettyprinter
, QuickCheck, split, text, time
}:
mkDerivation {
pname = "language-docker";
- version = "10.0.2";
- sha256 = "0x8lwc28j2f4rdk1yfhb4jkkrlvvhj46m0d42yv2f94y6v8adkr8";
+ version = "10.2.0";
+ sha256 = "193hi4ls55pzybal7q4dlzdz1a8da2155a501h02j1w4nb25glrq";
libraryHaskellDepends = [
base bytestring containers data-default-class megaparsec
prettyprinter split text time
@@ -160787,6 +162802,8 @@ self: {
pname = "language-python";
version = "0.5.8";
sha256 = "1mf3czvnh9582klv0c9g7pcn1wx4qjwpvhv8la6afaifv6y5lki2";
+ revision = "1";
+ editedCabalFile = "13lc4d2b7id49yczxlqgs3zlms1g6gv0i8amk56g1jvswli6nsn9";
libraryHaskellDepends = [
array base containers monads-tf pretty transformers utf8-string
];
@@ -161154,23 +163171,6 @@ self: {
}) {};
"lapack-comfort-array" = callPackage
- ({ mkDerivation, base, comfort-array, lapack-ffi
- , netlib-comfort-array, netlib-ffi, storable-complex, transformers
- }:
- mkDerivation {
- pname = "lapack-comfort-array";
- version = "0.0.0.1";
- sha256 = "0kn9bb3q772sbzm54rdqbqrmp21kck2gvc0xagi974dq7b1wilh1";
- libraryHaskellDepends = [
- base comfort-array lapack-ffi netlib-comfort-array netlib-ffi
- storable-complex transformers
- ];
- description = "Auto-generated interface to Fortran LAPACK via comfort-array";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
- "lapack-comfort-array_0_0_1" = callPackage
({ mkDerivation, base, comfort-array, lapack-ffi
, netlib-comfort-array, netlib-ffi, storable-complex, transformers
}:
@@ -161271,11 +163271,42 @@ self: {
description = "Efficiently hash (large) Haskell values";
license = lib.licenses.bsd3;
platforms = [
- "armv7l-linux" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ "aarch64-darwin" "armv7l-linux" "i686-linux" "x86_64-darwin"
+ "x86_64-linux"
];
maintainers = with lib.maintainers; [ sternenseemann ];
}) {};
+ "large-records" = callPackage
+ ({ mkDerivation, aeson, base, containers, generic-deriving
+ , generics-sop, ghc-dump-core, haskell-src-exts, haskell-src-meta
+ , json-sop, microlens, mtl, newtype, QuickCheck
+ , record-dot-preprocessor, record-hasfield, sop-core, syb, tasty
+ , tasty-hunit, tasty-quickcheck, template-haskell, text
+ , transformers, vector
+ }:
+ mkDerivation {
+ pname = "large-records";
+ version = "0.1.0.0";
+ sha256 = "1l4j7vb3lckqn1cvyvcg6g3bnvi8x69nsjmkmmi7666025bxfw61";
+ revision = "1";
+ editedCabalFile = "1j89aypcp97vwb7qyg4n2ffilsp064z1w7kck1wh2rp69wk5r51n";
+ libraryHaskellDepends = [
+ aeson base containers generics-sop haskell-src-exts
+ haskell-src-meta microlens mtl record-hasfield sop-core syb
+ template-haskell text vector
+ ];
+ testHaskellDepends = [
+ aeson base generic-deriving generics-sop ghc-dump-core json-sop
+ microlens mtl newtype QuickCheck record-dot-preprocessor
+ record-hasfield sop-core tasty tasty-hunit tasty-quickcheck
+ template-haskell transformers vector
+ ];
+ testToolDepends = [ record-dot-preprocessor ];
+ description = "Efficient compilation for large records, linear in the size of the record";
+ license = lib.licenses.bsd3;
+ }) {};
+
"largeword" = callPackage
({ mkDerivation, base, binary, bytestring, HUnit, QuickCheck
, test-framework, test-framework-hunit, test-framework-quickcheck2
@@ -161519,8 +163550,8 @@ self: {
pname = "lattices";
version = "2.0.2";
sha256 = "108rhpax72j6xdl0yqdmg7n32l1j805861f3q9wd3jh8nc67avix";
- revision = "3";
- editedCabalFile = "1n1sv7477v88ibcwb5rh4p1r9r4hj0jj7s0vh6r0y2w4hbhpslvr";
+ revision = "4";
+ editedCabalFile = "1kqxhrbj0kd9l4fn7qryg9a2k7ad4f7mj4nsaz6lxa90lvi3ynj7";
libraryHaskellDepends = [
base base-compat containers deepseq hashable integer-logarithms
QuickCheck semigroupoids tagged transformers universe-base
@@ -161751,6 +163782,20 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "lazify" = callPackage
+ ({ mkDerivation, base, containers, tagged, transformers }:
+ mkDerivation {
+ pname = "lazify";
+ version = "0.1.0.1";
+ sha256 = "14ar766spifs3acdki8namldgy77fjjd2gxli16k08gnl65bpk1y";
+ libraryHaskellDepends = [ base containers tagged transformers ];
+ testHaskellDepends = [ base ];
+ description = "A simple utility for lazy record matching";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"lazy" = callPackage
({ mkDerivation, base, comonad }:
mkDerivation {
@@ -161987,7 +164032,6 @@ self: {
libraryHaskellDepends = [ array base vector ];
description = "L-BFGS optimization";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"lca" = callPackage
@@ -162011,6 +164055,7 @@ self: {
description = "Find longest common sublist of two lists";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"ld-intervals" = callPackage
@@ -162050,8 +164095,8 @@ self: {
}:
mkDerivation {
pname = "ldap-client";
- version = "0.4.1";
- sha256 = "0m253jicjn8rqdrkcqd75bcrdg7bdq313crx2b54yv5s3mz6cxi2";
+ version = "0.4.2";
+ sha256 = "025k631wlg6g6fcsxq403k7wn7cnqbk77w7vpmm87sngvk0i4q0v";
libraryHaskellDepends = [
asn1-encoding asn1-types async base bytestring connection
containers fail network semigroups stm text
@@ -162061,6 +164106,36 @@ self: {
license = lib.licenses.bsd2;
}) {};
+ "ldap-scim-bridge" = callPackage
+ ({ mkDerivation, aeson, aeson-pretty, base, bytestring, containers
+ , email-validate, hscim, http-client, http-client-tls, http-types
+ , ldap-client, network, relude, servant, servant-client
+ , servant-client-core, string-conversions, text, tinylog
+ , unordered-containers, yaml
+ }:
+ mkDerivation {
+ pname = "ldap-scim-bridge";
+ version = "0.4";
+ sha256 = "1xjnph3ndqwzyng0227jp6dw5rfyiqy9nraya05nnic69526hj7h";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson aeson-pretty base bytestring containers email-validate hscim
+ http-client http-client-tls http-types ldap-client network relude
+ servant servant-client servant-client-core string-conversions text
+ tinylog unordered-containers yaml
+ ];
+ executableHaskellDepends = [
+ aeson aeson-pretty base bytestring containers email-validate hscim
+ http-client http-client-tls http-types ldap-client network relude
+ servant servant-client servant-client-core string-conversions text
+ tinylog unordered-containers yaml
+ ];
+ description = "See README for synopsis";
+ license = lib.licenses.agpl3Plus;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"ldapply" = callPackage
({ mkDerivation, base, bytestring, docopt, interpolatedstring-perl6
, LDAP, ldif, unordered-containers
@@ -162392,6 +164467,7 @@ self: {
];
description = "LEB128 and SLEB128 encoding";
license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ nomeata ];
}) {};
"leetify" = callPackage
@@ -162664,8 +164740,8 @@ self: {
pname = "lens";
version = "5.0.1";
sha256 = "0gzwx4b758phm51hz5i4bbkbvjw1ka7qj04zd9l9sh9n6s9ksm7c";
- revision = "1";
- editedCabalFile = "0lk83zwnl91yyhzkq6zx18plkk85pdvdf8x0y5rivqkgmr1vwzy9";
+ revision = "2";
+ editedCabalFile = "1h3jcadrms3xqd0887ckf9190xc3dblmlz9xhb0imlw1rkvj62dw";
libraryHaskellDepends = [
array assoc base base-orphans bifunctors bytestring call-stack
comonad containers contravariant distributive exceptions filepath
@@ -162734,6 +164810,23 @@ self: {
license = lib.licenses.mit;
}) {};
+ "lens-aeson_1_1_2" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, bytestring, lens
+ , scientific, text, unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "lens-aeson";
+ version = "1.1.2";
+ sha256 = "0pdjjyjwlavcgm2wrv1fiz09l41hisl2xj6y67xbdix1h6h07hxz";
+ libraryHaskellDepends = [
+ aeson attoparsec base bytestring lens scientific text
+ unordered-containers vector
+ ];
+ description = "Law-abiding lenses for aeson";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"lens-core" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -163027,6 +165120,17 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "lens-tell" = callPackage
+ ({ mkDerivation, base, lens, tell }:
+ mkDerivation {
+ pname = "lens-tell";
+ version = "0.1";
+ sha256 = "1d0rjlvai6gsavbfw7zxn8m79vxn61pa9fq9d8v5l707mlm9hsz6";
+ libraryHaskellDepends = [ base lens tell ];
+ description = "MonadTell-based lens combinators";
+ license = lib.licenses.bsd3;
+ }) {};
+
"lens-text-encoding" = callPackage
({ mkDerivation, base, bytestring, lens, text }:
mkDerivation {
@@ -163230,7 +165334,6 @@ self: {
];
description = "mtl operations with Van Laarhoven lenses";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"lenz-template" = callPackage
@@ -163248,7 +165351,6 @@ self: {
];
description = "Van Laarhoven lens templates";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"less-arbitrary" = callPackage
@@ -163632,8 +165734,10 @@ self: {
}:
mkDerivation {
pname = "libarchive";
- version = "3.0.2.2";
- sha256 = "1i3zrby1pmlm7dwv1xra9xmlv4a30cgmbwz5zygdyw1mwy4y9wnh";
+ version = "3.0.3.1";
+ sha256 = "1rkm6n2p41i6lxdfsrvpv5sbz2p3mgyp3xx6g437fcgjjbdsyx3q";
+ revision = "1";
+ editedCabalFile = "0r48nmczidz00l19cbmq05f766wipc9dwkqb3xsvbwwcazapbjkz";
setupHaskellDepends = [ base Cabal chs-cabal ];
libraryHaskellDepends = [
base bytestring composition-prelude deepseq dlist filepath mtl
@@ -163642,8 +165746,8 @@ self: {
libraryPkgconfigDepends = [ libarchive ];
libraryToolDepends = [ c2hs cpphs ];
testHaskellDepends = [
- base bytestring composition-prelude dir-traverse directory filepath
- hspec hspec-core mtl pathological-bytestrings temporary
+ base bytestring composition-prelude deepseq dir-traverse directory
+ filepath hspec hspec-core mtl pathological-bytestrings temporary
];
testToolDepends = [ cpphs ];
benchmarkHaskellDepends = [
@@ -164020,17 +166124,29 @@ self: {
}) {};
"libmdbx" = callPackage
- ({ mkDerivation, base, bytestring, c2hs, mtl, store, text }:
+ ({ mkDerivation, base, binary, bytestring, c2hs, data-default
+ , directory, hspec, HUnit, mtl, store, store-core, text
+ , transformers
+ }:
mkDerivation {
pname = "libmdbx";
- version = "0.1.0.4";
- sha256 = "0gkpj2chxmq9kb6mg9r78x4w4lspr2sq3462xy1m9y2frcbbkf8p";
+ version = "0.2.1.0";
+ sha256 = "1v5gjp1hr4c1r5nbf4r2j3pd2kxl36b9xpphmmxqin7jfmpj5fjj";
isLibrary = true;
isExecutable = true;
- libraryHaskellDepends = [ base mtl text ];
+ libraryHaskellDepends = [
+ base binary bytestring data-default mtl store store-core text
+ transformers
+ ];
libraryToolDepends = [ c2hs ];
- executableHaskellDepends = [ base bytestring mtl store text ];
- testHaskellDepends = [ base mtl text ];
+ executableHaskellDepends = [
+ base binary bytestring data-default mtl store store-core text
+ transformers
+ ];
+ testHaskellDepends = [
+ base binary bytestring data-default directory hspec HUnit mtl store
+ store-core text transformers
+ ];
description = "Bindings for libmdbx, an embedded key/value store";
license = lib.licenses.bsd3;
}) {};
@@ -164513,8 +166629,8 @@ self: {
pname = "libtelnet";
version = "0.1.0.1";
sha256 = "13g7wpibjncj9h6yva8gj9fqs8j806r1vnina78wgv8f980dqxks";
- revision = "1";
- editedCabalFile = "13lg79nlwmhd5qqyr31bk7wpfl0mvr37q4ha3q83gxya03f34v5h";
+ revision = "2";
+ editedCabalFile = "1f05qj982h6kkr3mdhxqaycxm39ngw2ljcdx4qr4ydyh5ix6mjw8";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base bytestring ];
@@ -164763,21 +166879,20 @@ self: {
}) {};
"lift-generics" = callPackage
- ({ mkDerivation, base, base-compat, generic-deriving, ghc-prim
- , hspec, hspec-discover, mtl, template-haskell, th-compat
+ ({ mkDerivation, base, base-compat, containers, generic-deriving
+ , ghc-prim, hspec, hspec-discover, mtl, template-haskell, th-compat
+ , th-lift-instances
}:
mkDerivation {
pname = "lift-generics";
- version = "0.2";
- sha256 = "1m5pnf0vgbhkjzgkzfzzvd5jq1ilxpkh9mwjl06rs2kl1af66nna";
- revision = "1";
- editedCabalFile = "0jxqzzspwyv92ji8331r2lqh6igxyh9p70ci90068f3qy9zrgrr4";
+ version = "0.2.1";
+ sha256 = "1qkzq8hcb6j15cslv577bmhjcxmljzsrryysdgd7r99kr3q445b4";
libraryHaskellDepends = [
base generic-deriving ghc-prim template-haskell th-compat
];
testHaskellDepends = [
- base base-compat generic-deriving hspec mtl template-haskell
- th-compat
+ base base-compat containers generic-deriving hspec mtl
+ template-haskell th-compat th-lift-instances
];
testToolDepends = [ hspec-discover ];
description = "GHC.Generics-based Language.Haskell.TH.Syntax.lift implementation";
@@ -165235,24 +167350,18 @@ self: {
, bytestring, cryptohash-sha256, deepseq, free, hspec
, hspec-discover, hspec-expectations, hspec-wai, http-api-data
, http-client, http-client-tls, http-media, http-types, mtl
- , scientific, servant, servant-client, servant-client-core
- , servant-server, string-conversions, text, time, transformers, wai
- , wai-extra, warp
+ , servant, servant-client, servant-client-core, servant-server
+ , string-conversions, text, time, transformers, wai, warp
}:
mkDerivation {
pname = "line-bot-sdk";
- version = "0.7.1";
- sha256 = "0q7nzycmd3adckpzrskjfjw72bcxia278qb9z72sa991riyawscz";
- isLibrary = true;
- isExecutable = true;
+ version = "0.7.2";
+ sha256 = "0nz4c5r06lkjrmg16nvfg9b6qgiskflrqb14cw69mj74szflqkaw";
libraryHaskellDepends = [
aeson base base64-bytestring bytestring cryptohash-sha256 deepseq
http-api-data http-client http-client-tls http-media http-types mtl
- scientific servant servant-client servant-client-core
- servant-server string-conversions text time transformers wai
- ];
- executableHaskellDepends = [
- base servant servant-server transformers wai wai-extra warp
+ servant servant-client servant-client-core servant-server
+ string-conversions text time wai
];
testHaskellDepends = [
aeson aeson-qq base base64-bytestring bytestring cryptohash-sha256
@@ -165332,6 +167441,35 @@ self: {
pname = "linear";
version = "1.21.6";
sha256 = "0ax6prmc7b53w0lz5ddc40wrjj9bm7wldpp57283gx9hdf8qrb35";
+ revision = "1";
+ editedCabalFile = "13pv3k0yayib0l6wq09bz54r44lxjhvvpc49sgnlc8p9959cs8q9";
+ libraryHaskellDepends = [
+ adjunctions base base-orphans binary bytes cereal containers
+ deepseq distributive ghc-prim hashable indexed-traversable lens
+ random reflection semigroupoids semigroups tagged template-haskell
+ transformers transformers-compat unordered-containers vector void
+ ];
+ testHaskellDepends = [
+ base binary bytestring deepseq HUnit reflection simple-reflect
+ test-framework test-framework-hunit vector
+ ];
+ description = "Linear Algebra";
+ license = lib.licenses.bsd3;
+ }) {};
+
+ "linear_1_21_7" = callPackage
+ ({ mkDerivation, adjunctions, base, base-orphans, binary, bytes
+ , bytestring, cereal, containers, deepseq, distributive, ghc-prim
+ , hashable, HUnit, indexed-traversable, lens, random, reflection
+ , semigroupoids, semigroups, simple-reflect, tagged
+ , template-haskell, test-framework, test-framework-hunit
+ , transformers, transformers-compat, unordered-containers, vector
+ , void
+ }:
+ mkDerivation {
+ pname = "linear";
+ version = "1.21.7";
+ sha256 = "0k5vpd5rmxwnrax3zl305h941kxqz0kg6qpscdxf0brmxamjlx4i";
libraryHaskellDepends = [
adjunctions base base-orphans binary bytes cereal containers
deepseq distributive ghc-prim hashable indexed-traversable lens
@@ -165344,6 +167482,7 @@ self: {
];
description = "Linear Algebra";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"linear-accelerate" = callPackage
@@ -165486,6 +167625,25 @@ self: {
broken = true;
}) {};
+ "linear-generics" = callPackage
+ ({ mkDerivation, base, containers, ghc-prim, hspec, hspec-discover
+ , template-haskell, th-abstraction
+ }:
+ mkDerivation {
+ pname = "linear-generics";
+ version = "0.1.0.1";
+ sha256 = "0ck7gx1chrxyyn7lwbv4gn15zxgfgg7ai0i6404jprvvh72j7b8r";
+ libraryHaskellDepends = [
+ base containers ghc-prim template-haskell th-abstraction
+ ];
+ testHaskellDepends = [ base hspec template-haskell ];
+ testToolDepends = [ hspec-discover ];
+ description = "Generic programming library for generalised deriving";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"linear-grammar" = callPackage
({ mkDerivation, base, containers, hspec, QuickCheck }:
mkDerivation {
@@ -165595,7 +167753,8 @@ self: {
description = "Use SMT solvers to solve linear systems over integers and rationals";
license = lib.licenses.bsd3;
platforms = [
- "armv7l-linux" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ "aarch64-darwin" "armv7l-linux" "i686-linux" "x86_64-darwin"
+ "x86_64-linux"
];
}) {};
@@ -165662,12 +167821,13 @@ self: {
}) {};
"linebreak" = callPackage
- ({ mkDerivation, base, hyphenation }:
+ ({ mkDerivation, base, hspec, hyphenation }:
mkDerivation {
pname = "linebreak";
- version = "1.0.0.3";
- sha256 = "1fds2mgsijfsc96dq95skn562iv2r341zr7v0qsz48y9fh97s3p7";
+ version = "1.1.0.0";
+ sha256 = "1a1yzpdr1h9a1gnf1iwsqxzpv3w13vd7yb6c0djdc1yq25q0jg7d";
libraryHaskellDepends = [ base hyphenation ];
+ testHaskellDepends = [ base hspec hyphenation ];
description = "breaks strings to fit width";
license = lib.licenses.bsd3;
}) {};
@@ -166037,7 +168197,6 @@ self: {
libraryHaskellDepends = [ base bindings-DSL unix ];
description = "Linux fbdev (framebuffer device, /dev/fbX) utility functions";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"linux-inotify" = callPackage
@@ -166694,15 +168853,17 @@ self: {
}) {};
"list-t" = callPackage
- ({ mkDerivation, base, base-prelude, foldl, HTF, mmorph
- , monad-control, mtl, mtl-prelude, transformers, transformers-base
+ ({ mkDerivation, base, base-prelude, foldl, HTF, logict, mmorph
+ , monad-control, mtl, mtl-prelude, semigroups, transformers
+ , transformers-base
}:
mkDerivation {
pname = "list-t";
- version = "1.0.4";
- sha256 = "0xsmq4rhp91k4az1d0hnpiy2b3d3nqqdywjrrryrjiway55q8qrq";
+ version = "1.0.5";
+ sha256 = "1gyn25ra5y8bv1hxlsjg6l1dmzp6wj9g81v1nxz1p545cbl3g9my";
libraryHaskellDepends = [
- base foldl mmorph monad-control mtl transformers transformers-base
+ base foldl logict mmorph monad-control mtl semigroups transformers
+ transformers-base
];
testHaskellDepends = [ base-prelude HTF mmorph mtl-prelude ];
description = "ListT done right";
@@ -168235,8 +170396,8 @@ self: {
}:
mkDerivation {
pname = "log-base";
- version = "0.10.0.1";
- sha256 = "0h4b7hjxcc75swj43wx0axcp5znsndkrnhn9c8fm7f7a2gmvb8l1";
+ version = "0.11.0.0";
+ sha256 = "155lzi9x33rhiymfy1271k0dz7c4qm1r48cz6kc7gcwxvrfh8dxi";
libraryHaskellDepends = [
aeson aeson-pretty base bytestring deepseq exceptions mmorph
monad-control mtl semigroups stm text time transformers-base
@@ -168310,8 +170471,8 @@ self: {
}:
mkDerivation {
pname = "log-elasticsearch";
- version = "0.12.1.0";
- sha256 = "07z0p5jcd5gjhk4dyf9ny74l68ja58ffa80mbfsyaz66ff6k4y6s";
+ version = "0.12.1.1";
+ sha256 = "0jrfrqydbg549d7gh38mq852kzd0nsaaaq6l5bi4ldfpj443kz8a";
libraryHaskellDepends = [
aeson aeson-pretty base base64-bytestring bytestring deepseq
http-client http-client-tls http-types log-base network-uri
@@ -168331,8 +170492,8 @@ self: {
}:
mkDerivation {
pname = "log-postgres";
- version = "0.8.0.1";
- sha256 = "0bc63v9w023xw1fq0pkfnk4ac336hgliayy21ny0zaz9xf39a24l";
+ version = "0.8.0.2";
+ sha256 = "167asvp7a5v8621sbr6r4j25byg18d125gqd2i4jrq57g5f2mivf";
libraryHaskellDepends = [
aeson aeson-pretty base base64-bytestring bytestring deepseq
hpqtypes http-client lifted-base log-base mtl semigroups split text
@@ -169665,6 +171826,8 @@ self: {
pname = "lsp";
version = "1.2.0.1";
sha256 = "1bdgbxakdyhkrddj58f0al2wrx1mckp6hia7hk2wqjix20my8v49";
+ revision = "1";
+ editedCabalFile = "193y4b3l6agm83ng2c0ngvd0j9a71q237g9i5v57p502lhzfaag2";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -169871,7 +172034,6 @@ self: {
];
description = "Core functionality for LTI 1.3.";
license = lib.licenses.lgpl3Only;
- hydraPlatforms = lib.platforms.none;
}) {};
"ltiv1p1" = callPackage
@@ -170020,8 +172182,8 @@ self: {
({ mkDerivation, base, unamb }:
mkDerivation {
pname = "lub";
- version = "0.1.7";
- sha256 = "1dsm7cg0i930r5dn8591aabkl0p8b5l348pccdvi7p0g7asx451h";
+ version = "0.1.8";
+ sha256 = "0b3p70sw88a66c0gzj0h5mn3ki72ya5zyx70934mkzh1y4lcwicc";
libraryHaskellDepends = [ base unamb ];
description = "information operators: least upper bound (lub) and greatest lower bound (glb)";
license = lib.licenses.bsd3;
@@ -170639,8 +172801,8 @@ self: {
}:
mkDerivation {
pname = "lzma-conduit";
- version = "1.2.1";
- sha256 = "0hm72da7xk9l3zxjh274yg444vf405djxqbkf3q3p2qhicmxlmg9";
+ version = "1.2.2";
+ sha256 = "1z6q16hzp2r5a4gdbg9akky5l9bfarzzhzswrgvh0v28ax400whb";
libraryHaskellDepends = [
base bytestring conduit lzma resourcet transformers
];
@@ -172137,8 +174299,8 @@ self: {
}:
mkDerivation {
pname = "mandrill";
- version = "0.5.4.0";
- sha256 = "0cp0xd4by5ml1526lybqvxr1g5ccgskmj9ibl3xnrcmkbi9a14y6";
+ version = "0.5.5.0";
+ sha256 = "1zq7kfs513zh7v5y4hafh5d6ly4jhmxsl3rfjavh2faw4i19fy3n";
libraryHaskellDepends = [
aeson base base64-bytestring blaze-html bytestring containers
email-validate http-client http-client-tls http-types microlens-th
@@ -172333,8 +174495,8 @@ self: {
}:
mkDerivation {
pname = "map-reduce-folds";
- version = "0.1.0.7";
- sha256 = "0khwcxw5cxx3y9rryak7qb65q055lg6b7gsbj20rvskq300asbk0";
+ version = "0.1.1.1";
+ sha256 = "1x00hnvj9fwzja451hx9395c7jxwyz995mx63m0ljxvnxq2lgilk";
libraryHaskellDepends = [
base containers discrimination foldl hashable hashtables parallel
profunctors split streaming streamly text unordered-containers
@@ -172484,8 +174646,8 @@ self: {
}:
mkDerivation {
pname = "markdown";
- version = "0.1.17.4";
- sha256 = "1m7xf3r7wvpzdj2zic90w5b6adnjb6mjq9mycbnzcjibpr1fgqy2";
+ version = "0.1.17.5";
+ sha256 = "0aglxvgpp6f8gvlvkdx0d5vik552wjiv0xys8b1jlh7zdbwwghcq";
libraryHaskellDepends = [
attoparsec base blaze-html blaze-markup conduit conduit-extra
containers data-default text transformers xml-conduit xml-types
@@ -172493,7 +174655,7 @@ self: {
];
testHaskellDepends = [
base blaze-html bytestring call-stack conduit conduit-extra
- containers directory filepath hspec text transformers
+ containers directory filepath hspec text transformers xss-sanitize
];
description = "Convert Markdown to HTML, with XSS protection";
license = lib.licenses.bsd3;
@@ -172931,23 +175093,19 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "massiv_1_0_0_0" = callPackage
+ "massiv_1_0_1_0" = callPackage
({ mkDerivation, base, bytestring, deepseq, doctest, exceptions
- , mersenne-random-pure64, mwc-random, primitive, QuickCheck, random
- , scheduler, splitmix, template-haskell, unliftio-core, vector
+ , primitive, random, scheduler, unliftio-core, vector
}:
mkDerivation {
pname = "massiv";
- version = "1.0.0.0";
- sha256 = "0cb9riab486gz9xxx44sx5pagfjc8kv8936avywxpwpn3dhbxg6a";
+ version = "1.0.1.0";
+ sha256 = "1s47x3cya73mp4gxqn271yp8z23pfgw170pnlxbka61ap4kykmkk";
libraryHaskellDepends = [
base bytestring deepseq exceptions primitive random scheduler
unliftio-core vector
];
- testHaskellDepends = [
- base doctest mersenne-random-pure64 mwc-random QuickCheck random
- splitmix template-haskell
- ];
+ testHaskellDepends = [ base doctest ];
description = "Massiv (Массив) is an Array Library";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -173025,15 +175183,15 @@ self: {
broken = true;
}) {};
- "massiv-persist_1_0_0_0" = callPackage
+ "massiv-persist_1_0_0_1" = callPackage
({ mkDerivation, base, bytestring, deepseq, doctest, hspec
, hspec-discover, massiv, massiv-test, persist, primitive
, QuickCheck
}:
mkDerivation {
pname = "massiv-persist";
- version = "1.0.0.0";
- sha256 = "0kgw4ac6ywgx44mqyfyhhxpaxzyph9pgz27nvr625j4hg84mx552";
+ version = "1.0.0.1";
+ sha256 = "1j5vzk5m2r1cs5v5pzmf1i7p8zdlf29g0gdklf6snl9llk755pgy";
libraryHaskellDepends = [
base bytestring deepseq massiv persist primitive
];
@@ -173088,14 +175246,14 @@ self: {
broken = true;
}) {};
- "massiv-serialise_1_0_0_0" = callPackage
+ "massiv-serialise_1_0_0_1" = callPackage
({ mkDerivation, base, deepseq, doctest, hspec, hspec-discover
, massiv, massiv-test, QuickCheck, serialise, vector
}:
mkDerivation {
pname = "massiv-serialise";
- version = "1.0.0.0";
- sha256 = "18ahbfq54mggar7wknghdjybd4pbqjzgfaghv5lp5daccbxahgyd";
+ version = "1.0.0.1";
+ sha256 = "0zmikmfjjshf9p0fawcg05832hxdzj04kqqh11bz1kqcc1yc7yk0";
libraryHaskellDepends = [ base deepseq massiv serialise vector ];
testHaskellDepends = [
base doctest hspec massiv massiv-test QuickCheck serialise
@@ -173218,8 +175376,10 @@ self: {
}:
mkDerivation {
pname = "matchable-th";
- version = "0.1.1.1";
- sha256 = "0q6bvdfmdil68van4cmhy6kj2w0x1kf2kgs2f3wzz6m723ach30v";
+ version = "0.1.2.0";
+ sha256 = "007ngl7c5sl57pjg40kl6iwz0bwb93ky4vd7z2x4qsjw4p6qgc0j";
+ revision = "1";
+ editedCabalFile = "11q83hcj3a58y76r12yfj29yi6inrgcnjq770f86c8dq28ibzbiw";
libraryHaskellDepends = [
base matchable template-haskell th-abstraction
];
@@ -173614,23 +175774,24 @@ self: {
}) {};
"matrix-client" = callPackage
- ({ mkDerivation, aeson, aeson-pretty, base, base64, bytestring
- , doctest, exceptions, hashable, hspec, http-client
+ ({ mkDerivation, aeson, aeson-casing, aeson-pretty, base, base64
+ , bytestring, containers, exceptions, hashable, hspec, http-client
, http-client-tls, http-types, retry, SHA, text, time
, unordered-containers
}:
mkDerivation {
pname = "matrix-client";
- version = "0.1.1.0";
- sha256 = "13ncklhrwb10g6pki1zsli1gnja01ic9scil4f5nky8hdmaw9jq2";
+ version = "0.1.2.0";
+ sha256 = "18n5il56p3hr6iax2d1m0b7vximc0z4jzj0dav0rhv3yrwy8p5w2";
libraryHaskellDepends = [
- aeson base base64 bytestring exceptions hashable http-client
- http-client-tls http-types retry SHA text time unordered-containers
+ aeson aeson-casing base base64 bytestring containers exceptions
+ hashable http-client http-client-tls http-types retry SHA text time
+ unordered-containers
];
testHaskellDepends = [
- aeson aeson-pretty base base64 bytestring doctest exceptions
- hashable hspec http-client http-client-tls http-types retry SHA
- text time unordered-containers
+ aeson aeson-casing aeson-pretty base base64 bytestring containers
+ exceptions hashable hspec http-client http-client-tls http-types
+ retry SHA text time unordered-containers
];
description = "A matrix client library";
license = lib.licenses.asl20;
@@ -174208,7 +176369,35 @@ self: {
];
description = "Sample from a posterior using Markov chain Monte Carlo";
license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ dschrempf ];
+ }) {};
+
+ "mcmc_0_6_1_0" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, circular, containers
+ , covariance, criterion, data-default, deepseq, directory
+ , dirichlet, double-conversion, hmatrix, hspec, log-domain
+ , math-functions, microlens, monad-parallel, mwc-random
+ , pretty-show, primitive, statistics, time, transformers, vector
+ , zlib
+ }:
+ mkDerivation {
+ pname = "mcmc";
+ version = "0.6.1.0";
+ sha256 = "0wln2fin522mg8ql4ypyxhm93rgq985bcqq61gsvzqrkwrp7n33a";
+ libraryHaskellDepends = [
+ aeson base bytestring circular containers covariance data-default
+ deepseq directory dirichlet double-conversion hmatrix log-domain
+ math-functions microlens monad-parallel mwc-random pretty-show
+ primitive statistics time transformers vector zlib
+ ];
+ testHaskellDepends = [
+ base hspec log-domain mwc-random statistics
+ ];
+ benchmarkHaskellDepends = [ base criterion microlens mwc-random ];
+ description = "Sample from a posterior using Markov chain Monte Carlo";
+ license = lib.licenses.gpl3Plus;
hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ dschrempf ];
}) {};
"mcmc-samplers" = callPackage
@@ -174366,22 +176555,20 @@ self: {
}) {};
"mealy" = callPackage
- ({ mkDerivation, adjunctions, base, containers, doctest, folds
- , generic-lens, lens, matrix, mwc-probability, numhask
- , numhask-array, primitive, profunctors, tdigest, text, vector
- , vector-algorithms
+ ({ mkDerivation, adjunctions, base, containers, folds, generic-lens
+ , lens, matrix, mwc-probability, numhask, numhask-array, primitive
+ , profunctors, tdigest, text, vector, vector-algorithms
}:
mkDerivation {
pname = "mealy";
- version = "0.0.3";
- sha256 = "0gv4vi8ppbrhi8j2xwhnw96sybs2ci2ja6s37ggv4g0lxbxin17m";
+ version = "0.1.0";
+ sha256 = "14xdhb39aa548sswbkasx546pzpgyl9msabi2w5gd7qwvlhxsmg3";
libraryHaskellDepends = [
adjunctions base containers folds generic-lens lens matrix
mwc-probability numhask numhask-array primitive profunctors tdigest
text vector vector-algorithms
];
- testHaskellDepends = [ base doctest numhask ];
- description = "See readme.md";
+ description = "Mealy machines for processing time-series and ordered data";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
}) {};
@@ -174744,23 +176931,21 @@ self: {
license = lib.licenses.bsd2;
}) {};
- "megaparsec_9_1_0" = callPackage
+ "megaparsec_9_2_0" = callPackage
({ mkDerivation, base, bytestring, case-insensitive, containers
, criterion, deepseq, mtl, parser-combinators, scientific, text
, transformers, weigh
}:
mkDerivation {
pname = "megaparsec";
- version = "9.1.0";
- sha256 = "0rbs0nwr3ffhn10gl9sxqd2q8n6pn96ggf0dyz23myfskzar1fn1";
- revision = "1";
- editedCabalFile = "0aw0kvx744730h232rw23yh8ds07irc2ywv5i5iacgqyrh48mvzj";
+ version = "9.2.0";
+ sha256 = "1whjn3n14h2q3ja1v7zllzmj28ai7lqwfbif22c08rl00wpwmwhd";
libraryHaskellDepends = [
base bytestring case-insensitive containers deepseq mtl
parser-combinators scientific text transformers
];
benchmarkHaskellDepends = [
- base containers criterion deepseq text weigh
+ base bytestring containers criterion deepseq text weigh
];
description = "Monadic parser combinators";
license = lib.licenses.bsd2;
@@ -174793,7 +176978,7 @@ self: {
license = lib.licenses.bsd2;
}) {};
- "megaparsec-tests_9_1_0" = callPackage
+ "megaparsec-tests_9_2_0" = callPackage
({ mkDerivation, base, bytestring, case-insensitive, containers
, hspec, hspec-discover, hspec-expectations, hspec-megaparsec
, megaparsec, mtl, parser-combinators, QuickCheck, scientific, text
@@ -174801,8 +176986,8 @@ self: {
}:
mkDerivation {
pname = "megaparsec-tests";
- version = "9.1.0";
- sha256 = "0hz76bszcxk4p548nvalkh1vyrkwkp3scv2bhdmpb1d853whdskp";
+ version = "9.2.0";
+ sha256 = "09vcdywyy3h79fwq7l6aig3b52ygwv55d61maxdw06d1jw04fxr3";
libraryHaskellDepends = [
base bytestring containers hspec hspec-expectations
hspec-megaparsec megaparsec mtl QuickCheck text transformers
@@ -175037,6 +177222,7 @@ self: {
description = "haskell bindings for memcached";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"memcached-binary" = callPackage
@@ -175355,6 +177541,18 @@ self: {
license = lib.licenses.mit;
}) {};
+ "merge" = callPackage
+ ({ mkDerivation, base, profunctors }:
+ mkDerivation {
+ pname = "merge";
+ version = "0.3.1.1";
+ sha256 = "1b03xp953d4kwz7n3p16djsmzzd935x111ngm53gzg1n5dfyqfn5";
+ libraryHaskellDepends = [ base profunctors ];
+ testHaskellDepends = [ base ];
+ description = "A functor for consistent merging of information";
+ license = lib.licenses.mit;
+ }) {};
+
"merge-bash-history" = callPackage
({ mkDerivation, attoparsec, base, data-ordlist, errors
, optparse-applicative, text
@@ -175688,7 +177886,6 @@ self: {
libraryHaskellDepends = [ base ];
description = "metamorphisms: ana . cata or understanding folds and unfolds";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"metaplug" = callPackage
@@ -176418,6 +178615,27 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "microstache_1_0_2" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, containers, deepseq
+ , directory, filepath, hspec, parsec, text, transformers
+ , unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "microstache";
+ version = "1.0.2";
+ sha256 = "0pirywb9304j2ylasskwq20k6d2srk616sh41l4s37yajsjggx5i";
+ libraryHaskellDepends = [
+ aeson base containers deepseq directory filepath parsec text
+ transformers unordered-containers vector
+ ];
+ testHaskellDepends = [
+ aeson base bytestring containers hspec parsec text
+ ];
+ description = "Mustache templates for Haskell";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"microtimer" = callPackage
({ mkDerivation, base, time }:
mkDerivation {
@@ -176649,28 +178867,29 @@ self: {
({ mkDerivation, array, async, auto-update, base, byteorder
, bytestring, case-insensitive, conduit, conduit-extra, directory
, filepath, hspec, http-client, http-date, http-types, network
- , old-locale, parsec, resourcet, streaming-commons, time
- , transformers, unix, unix-time, unordered-containers, wai
- , wai-app-file-cgi, wai-http2-extra, wai-logger, warp
+ , old-locale, parsec, resourcet, split, streaming-commons, text
+ , time, time-manager, transformers, unix, unix-time, unliftio
+ , unordered-containers, wai, wai-app-file-cgi, wai-http2-extra
+ , wai-logger, warp
}:
mkDerivation {
pname = "mighttpd2";
- version = "3.4.6";
- sha256 = "0wg4cbgpsr997ag1vba0cpqq151l5fnhq0w63icq2lp4l172c57y";
+ version = "4.0.0";
+ sha256 = "0hmcshm81rfmwrxalfxdp2ck60g560172jwn37f031169v5qmz2s";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
libraryHaskellDepends = [
array async auto-update base byteorder bytestring case-insensitive
conduit conduit-extra directory filepath http-date http-types
- network parsec resourcet streaming-commons unix unix-time
- unordered-containers wai wai-app-file-cgi warp
+ network parsec resourcet split streaming-commons text unix
+ unix-time unliftio unordered-containers wai wai-app-file-cgi warp
];
executableHaskellDepends = [
base bytestring conduit-extra directory filepath http-client
http-date http-types network old-locale streaming-commons time
- transformers unix wai wai-app-file-cgi wai-http2-extra wai-logger
- warp
+ time-manager transformers unix wai wai-app-file-cgi wai-http2-extra
+ wai-logger warp
];
testHaskellDepends = [ base hspec http-client ];
description = "High performance web server on WAI/warp";
@@ -176947,7 +179166,6 @@ self: {
];
description = "MIME implementation for String's";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"mime-types" = callPackage
@@ -177020,7 +179238,6 @@ self: {
executableHaskellDepends = [ base directory mtl random ];
description = "Minesweeper simulation using neural networks";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"minesweeper" = callPackage
@@ -177179,7 +179396,6 @@ self: {
libraryHaskellDepends = [ base containers directory filepath ];
description = "Minimal ini like configuration library with a few extras";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"minimorph" = callPackage
@@ -177630,19 +179846,20 @@ self: {
}) {};
"miso" = callPackage
- ({ mkDerivation, aeson, base, bytestring, containers, http-api-data
- , http-types, lucid, network-uri, servant, servant-lucid, text
- , transformers, vector
+ ({ mkDerivation, aeson, base, bytestring, containers, file-embed
+ , http-api-data, http-types, jsaddle, lucid, network-uri, servant
+ , servant-lucid, tagsoup, text, transformers, vector
}:
mkDerivation {
pname = "miso";
- version = "1.7.1.0";
- sha256 = "1hkfcinwymrff8mmvywhnlzbj5804hwkk4rhzxzhzsycdf4v7a41";
+ version = "1.8.0.0";
+ sha256 = "02j6z7l8016cccm9i699b0ggp3l6hxhk0j7m8kiw5d7ik4wciphv";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson base bytestring containers http-api-data http-types lucid
- network-uri servant servant-lucid text transformers vector
+ aeson base bytestring containers file-embed http-api-data
+ http-types jsaddle lucid network-uri servant servant-lucid tagsoup
+ text transformers vector
];
description = "A tasty Haskell front-end framework";
license = lib.licenses.bsd3;
@@ -177665,8 +179882,8 @@ self: {
({ mkDerivation }:
mkDerivation {
pname = "miso-examples";
- version = "1.7.1.0";
- sha256 = "1z6zcydai6k9hj1phws1axdcbvdplhxv833is7pzfv8sq9mfyfsc";
+ version = "1.8.0.0";
+ sha256 = "1dr967y1ffp1lw6jiclrgqvfvfi68d88l5qbsyl8bidfzvm7sbk1";
isLibrary = false;
isExecutable = true;
description = "A tasty Haskell front-end framework";
@@ -177769,27 +179986,28 @@ self: {
}) {};
"mit-3qvpPyAi6mH" = callPackage
- ({ mkDerivation, base, base64, clock, directory, free, process
- , record-dot-preprocessor, record-hasfield, temporary, text
- , text-ansi, unix
+ ({ mkDerivation, base, base64, clock, containers, directory, free
+ , ki, process, record-dot-preprocessor, record-hasfield, temporary
+ , text, text-ansi, unix
}:
mkDerivation {
pname = "mit-3qvpPyAi6mH";
- version = "3";
- sha256 = "0yy7j1ddnny5wq8ywkkmdas9qlfsi26pk0adklmh2c0kqfss4s3f";
+ version = "4";
+ sha256 = "14sfzb7ii0ldwkfx05r4jk4rc0nqxzi7nw81v8kgsyi0saa1ig0i";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- base base64 clock directory process record-dot-preprocessor
- record-hasfield text text-ansi unix
+ base base64 clock containers directory ki process
+ record-dot-preprocessor record-hasfield text text-ansi unix
];
executableHaskellDepends = [
- base base64 clock directory process record-dot-preprocessor
- record-hasfield text text-ansi unix
+ base base64 clock containers directory ki process
+ record-dot-preprocessor record-hasfield text text-ansi unix
];
testHaskellDepends = [
- base base64 clock directory free process record-dot-preprocessor
- record-hasfield temporary text text-ansi unix
+ base base64 clock containers directory free ki process
+ record-dot-preprocessor record-hasfield temporary text text-ansi
+ unix
];
description = "A git wrapper with a streamlined UX";
license = lib.licenses.mit;
@@ -177854,25 +180072,6 @@ self: {
}) {};
"mixed-types-num" = callPackage
- ({ mkDerivation, base, collect-errors, hspec, hspec-smallcheck, mtl
- , QuickCheck, smallcheck, template-haskell
- }:
- mkDerivation {
- pname = "mixed-types-num";
- version = "0.5.8.0";
- sha256 = "1i40g6kf4my91i7xg54wfi6n2s8ivmx5k08ccqz3iycn6gha8pr7";
- libraryHaskellDepends = [
- base collect-errors hspec hspec-smallcheck mtl QuickCheck
- smallcheck template-haskell
- ];
- testHaskellDepends = [
- base collect-errors hspec hspec-smallcheck QuickCheck smallcheck
- ];
- description = "Alternative Prelude with numeric and logic expressions typed bottom-up";
- license = lib.licenses.bsd3;
- }) {};
-
- "mixed-types-num_0_5_9_1" = callPackage
({ mkDerivation, base, collect-errors, hspec, hspec-smallcheck, mtl
, QuickCheck, smallcheck, template-haskell
}:
@@ -177889,7 +180088,6 @@ self: {
];
description = "Alternative Prelude with numeric and logic expressions typed bottom-up";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"mixpanel-client" = callPackage
@@ -178073,8 +180271,8 @@ self: {
pname = "mmark";
version = "0.0.7.3";
sha256 = "1gfl9jhqm1jaqxi0yxd8r4z3ai5c3f1wv53vjs0ln84qjpcqp30s";
- revision = "1";
- editedCabalFile = "19yg41grkliim428x9cqwcynmjvkh83mqfyxiv2dc6fvid6fmcrk";
+ revision = "2";
+ editedCabalFile = "01cd2k4jz3f2ryhxdya8biypxdl44236mnavfqx7fm7bkjz4j5x0";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson base case-insensitive containers deepseq dlist email-validate
@@ -178117,6 +180315,26 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "mmark-cli_0_0_5_1" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, directory
+ , ghc-syntax-highlighter, gitrev, lucid, megaparsec, mmark
+ , mmark-ext, optparse-applicative, stache, text
+ }:
+ mkDerivation {
+ pname = "mmark-cli";
+ version = "0.0.5.1";
+ sha256 = "1an1rc7gdl2209d3agxx1dfl61zsc2wg5nx9cwdf50spmlgs3cr0";
+ isLibrary = false;
+ isExecutable = true;
+ executableHaskellDepends = [
+ aeson base bytestring directory ghc-syntax-highlighter gitrev lucid
+ megaparsec mmark mmark-ext optparse-applicative stache text
+ ];
+ description = "Command line interface to the MMark markdown processor";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"mmark-ext" = callPackage
({ mkDerivation, base, foldl, ghc-syntax-highlighter, hspec
, hspec-discover, lucid, microlens, mmark, modern-uri, skylighting
@@ -178126,8 +180344,8 @@ self: {
pname = "mmark-ext";
version = "0.2.1.3";
sha256 = "1hc95gvw4dyjlf2y4nli68zavjd0aj9br55n7417r7g70si1m82s";
- revision = "1";
- editedCabalFile = "0wkmi06n57ppm5n4x0l4mc6any21q70pb1v01ssv386hrc67bxwv";
+ revision = "2";
+ editedCabalFile = "1jnx5g34k7l5vxds8f7amsjn9cqpvwy1g6hvfq8kjrdnxv6rzyfs";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base foldl ghc-syntax-highlighter lucid microlens mmark modern-uri
@@ -178635,8 +180853,8 @@ self: {
}:
mkDerivation {
pname = "modern-uri";
- version = "0.3.4.1";
- sha256 = "09yzn5lim3wv0120lfdwlc8ynx15z3p6p0js2r6ij3rzx26nchqd";
+ version = "0.3.4.2";
+ sha256 = "018hiiqx6n272mwbmhd5j9wlzyz0x7ppa9jsrv4zx1nb6n7shkh5";
libraryHaskellDepends = [
base bytestring containers contravariant deepseq exceptions
megaparsec mtl profunctors QuickCheck reflection tagged
@@ -179175,21 +181393,6 @@ self: {
}) {};
"monad-control" = callPackage
- ({ mkDerivation, base, stm, transformers, transformers-base
- , transformers-compat
- }:
- mkDerivation {
- pname = "monad-control";
- version = "1.0.3";
- sha256 = "16rdv4s85ni1xdbd8nzarm4sh331198jnmakrn5wxla9hrfwg2fi";
- libraryHaskellDepends = [
- base stm transformers transformers-base transformers-compat
- ];
- description = "Lift control operations, like exception catching, through monad transformers";
- license = lib.licenses.bsd3;
- }) {};
-
- "monad-control_1_0_3_1" = callPackage
({ mkDerivation, base, stm, transformers, transformers-base
, transformers-compat
}:
@@ -179202,7 +181405,6 @@ self: {
];
description = "Lift control operations, like exception catching, through monad transformers";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"monad-control-aligned" = callPackage
@@ -179236,21 +181438,6 @@ self: {
}) {};
"monad-coroutine" = callPackage
- ({ mkDerivation, base, monad-parallel, transformers
- , transformers-compat
- }:
- mkDerivation {
- pname = "monad-coroutine";
- version = "0.9.1.2";
- sha256 = "1s4975q1k23b2skyq9mwkkda08f2zxkv96x0yplzhz9n64kchhf3";
- libraryHaskellDepends = [
- base monad-parallel transformers transformers-compat
- ];
- description = "Coroutine monad transformer for suspending and resuming monadic computations";
- license = "GPL";
- }) {};
-
- "monad-coroutine_0_9_1_3" = callPackage
({ mkDerivation, base, monad-parallel, transformers
, transformers-compat
}:
@@ -179263,7 +181450,6 @@ self: {
];
description = "Coroutine monad transformer for suspending and resuming monadic computations";
license = "GPL";
- hydraPlatforms = lib.platforms.none;
}) {};
"monad-dijkstra" = callPackage
@@ -179834,20 +182020,6 @@ self: {
}) {};
"monad-parallel" = callPackage
- ({ mkDerivation, base, parallel, transformers, transformers-compat
- }:
- mkDerivation {
- pname = "monad-parallel";
- version = "0.7.2.4";
- sha256 = "1h36hwbk800v0cq2x8kxf7v3gkr8maws7ijxckvsqp480xr4r5xx";
- libraryHaskellDepends = [
- base parallel transformers transformers-compat
- ];
- description = "Parallel execution of monadic computations";
- license = lib.licenses.bsd3;
- }) {};
-
- "monad-parallel_0_7_2_5" = callPackage
({ mkDerivation, base, parallel, transformers, transformers-compat
}:
mkDerivation {
@@ -179859,7 +182031,6 @@ self: {
];
description = "Parallel execution of monadic computations";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"monad-parallel-progressbar" = callPackage
@@ -180116,7 +182287,6 @@ self: {
libraryHaskellDepends = [ base mtl transformers ];
description = "Stateful supply monad";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"monad-task" = callPackage
@@ -180163,6 +182333,17 @@ self: {
broken = true;
}) {};
+ "monad-tree" = callPackage
+ ({ mkDerivation, base }:
+ mkDerivation {
+ pname = "monad-tree";
+ version = "0.1.0";
+ sha256 = "1rjrcai2wqz3qkn3sk1fj6c0vvzk58gmghzwp9w9xvr09kd7zyd2";
+ libraryHaskellDepends = [ base ];
+ description = "Tree data structure for nondeterministic computations";
+ license = lib.licenses.mit;
+ }) {};
+
"monad-tx" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -180760,23 +182941,20 @@ self: {
"mono-traversable" = callPackage
({ mkDerivation, base, bytestring, containers, foldl, gauge
- , hashable, hspec, HUnit, mwc-random, QuickCheck, semigroups, split
- , text, transformers, unordered-containers, vector
- , vector-algorithms
+ , hashable, hspec, HUnit, mwc-random, QuickCheck, split, text
+ , transformers, unordered-containers, vector, vector-algorithms
}:
mkDerivation {
pname = "mono-traversable";
- version = "1.0.15.1";
- sha256 = "1psxhfjmpv3y54wy8f8dwa43finlj7aw2mry67pg521gxmwmppy2";
- revision = "1";
- editedCabalFile = "1bzzfyn8q4v9d7nnaxa2vx81xxii4n9596cb2gph9sml1wk3i9ly";
+ version = "1.0.15.3";
+ sha256 = "1dvlp7r7r1lc3fxkwaz68f1nffg83240q8a989x24x1x67rj1clq";
libraryHaskellDepends = [
base bytestring containers hashable split text transformers
unordered-containers vector vector-algorithms
];
testHaskellDepends = [
- base bytestring containers foldl hspec HUnit QuickCheck semigroups
- text transformers unordered-containers vector
+ base bytestring containers foldl hspec HUnit QuickCheck text
+ transformers unordered-containers vector
];
benchmarkHaskellDepends = [ base gauge mwc-random vector ];
description = "Type classes for mapping, folding, and traversing monomorphic containers";
@@ -180977,6 +183155,21 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "monoidal-functors" = callPackage
+ ({ mkDerivation, base, bifunctors, comonad, contravariant
+ , profunctors, semigroupoids, tagged, these
+ }:
+ mkDerivation {
+ pname = "monoidal-functors";
+ version = "0.1.0.0";
+ sha256 = "0k590a0hmdzg9zwq697v73xdr0xh03yalr5pzxqkbx59grg31dw3";
+ libraryHaskellDepends = [
+ base bifunctors comonad contravariant profunctors semigroupoids
+ tagged these
+ ];
+ license = lib.licenses.mit;
+ }) {};
+
"monoidplus" = callPackage
({ mkDerivation, base, contravariant, semigroups, transformers }:
mkDerivation {
@@ -181013,15 +183206,15 @@ self: {
({ mkDerivation, aeson, async, attoparsec, base, bytestring
, bytestring-to-vector, c2hs, containers, data-default, directory
, exceptions, extra, formatting, glew, hspec, http-client, HUnit
- , JuicyPixels, lens, mtl, nanovg, OpenGL, process, random, safe
- , scientific, sdl2, silently, stm, text, text-show, time
- , transformers, unordered-containers, vector, websockets, wreq
- , wuss
+ , JuicyPixels, lens, mtl, nanovg, OpenGL, OpenGLRaw, process
+ , random, safe, scientific, sdl2, silently, stm, text, text-show
+ , time, transformers, unordered-containers, vector, websockets
+ , wreq, wuss
}:
mkDerivation {
pname = "monomer";
- version = "1.0.0.1";
- sha256 = "1ns4zm1vny8r49k0iq77b75s17gqmzxjv3kj70a4k5j4jx5s70fr";
+ version = "1.1.1.0";
+ sha256 = "0jv7yc3jclqkh6z5hq47ws6pia0bhqsig06r392k95y96kal07xr";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -181035,9 +183228,9 @@ self: {
executableHaskellDepends = [
aeson async attoparsec base bytestring bytestring-to-vector
containers data-default exceptions extra formatting http-client
- JuicyPixels lens mtl nanovg OpenGL process random safe scientific
- sdl2 stm text text-show time transformers unordered-containers
- vector websockets wreq wuss
+ JuicyPixels lens mtl nanovg OpenGL OpenGLRaw process random safe
+ scientific sdl2 stm text text-show time transformers
+ unordered-containers vector websockets wreq wuss
];
testHaskellDepends = [
async attoparsec base bytestring bytestring-to-vector containers
@@ -181048,7 +183241,6 @@ self: {
];
description = "A GUI library for writing native Haskell applications";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs) glew;};
"monomorphic" = callPackage
@@ -181154,8 +183346,8 @@ self: {
pname = "months";
version = "0.2";
sha256 = "054dag7806850hdii7s5rxg8gx2spdp33pnx4s4ckni9ayvspija";
- revision = "1";
- editedCabalFile = "0hg0qa1bja05ls9l0aascqxx65nxvm1rwyvgis93ajwrbqpbi9j5";
+ revision = "3";
+ editedCabalFile = "1j57vvb2vs0jd5jsq2dh0q5wpvxibwn43dwkg1l3ysl46k5sv58i";
libraryHaskellDepends = [
aeson attoparsec base base-compat deepseq hashable intervals
QuickCheck text time-compat
@@ -181184,6 +183376,27 @@ self: {
broken = true;
}) {};
+ "monus-weighted-search" = callPackage
+ ({ mkDerivation, array, base, containers, criterion, deepseq, mtl
+ , QuickCheck, random, tasty, tasty-quickcheck, transformers
+ }:
+ mkDerivation {
+ pname = "monus-weighted-search";
+ version = "0.1.0.0";
+ sha256 = "121pmhk45kq290xxqnj9d74p2y9lyml3m9b3321j6943fshfx772";
+ libraryHaskellDepends = [
+ array base containers deepseq mtl QuickCheck random transformers
+ ];
+ testHaskellDepends = [
+ array base mtl QuickCheck tasty tasty-quickcheck
+ ];
+ benchmarkHaskellDepends = [ base criterion random ];
+ description = "Efficient search weighted by an ordered monoid with monus";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"monzo" = callPackage
({ mkDerivation, aeson, authenticate-oauth, base, bytestring
, containers, hspec, http-client, http-client-tls, mtl, network
@@ -181454,18 +183667,18 @@ self: {
}) {};
"morph" = callPackage
- ({ mkDerivation, aeson, base, bytestring, directory, filepath
- , optparse-applicative, postgresql-simple, text, yaml
+ ({ mkDerivation, base, bytestring, directory, filepath
+ , optparse-applicative, postgresql-simple, text
}:
mkDerivation {
pname = "morph";
- version = "0.1.1.3";
- sha256 = "0dbqw6bk5wnmbbn494qzfrh55cxwb80d0kc2vn4j5y043iznswgm";
+ version = "0.2.0.0";
+ sha256 = "0yc6b5gmr8px2vcrdg09l9xs77la3dwxd3ay0hix89g28wrrfv6p";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson base bytestring directory filepath optparse-applicative
- postgresql-simple text yaml
+ base bytestring directory filepath optparse-applicative
+ postgresql-simple text
];
executableHaskellDepends = [ base ];
description = "A simple database migrator for PostgreSQL";
@@ -182086,7 +184299,8 @@ self: {
description = "Save your spot when watching movies with @mplayer@";
license = lib.licenses.bsd3;
platforms = [
- "armv7l-linux" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ "aarch64-darwin" "armv7l-linux" "i686-linux" "x86_64-darwin"
+ "x86_64-linux"
];
}) {};
@@ -182601,18 +184815,21 @@ self: {
}) {};
"msu" = callPackage
- ({ mkDerivation, base, directory, filepath, mtl, parsec, process
- , xdg-basedir
+ ({ mkDerivation, aeson, aeson-casing, base, bytestring, directory
+ , errors, filepath, hspec, parsec, process, unliftio, yaml
}:
mkDerivation {
pname = "msu";
- version = "0.0.2";
- sha256 = "0bqzzk7y3dj60r02xn3cjlq955jzsrvcbq63pvav0w952bvxvx5c";
- isLibrary = false;
+ version = "0.2.0.0";
+ sha256 = "15a0i7jwcqzl3ajw369xp0d9ixf0hcy9dblhywjrbalnml890sx4";
+ isLibrary = true;
isExecutable = true;
- executableHaskellDepends = [
- base directory filepath mtl parsec process xdg-basedir
+ libraryHaskellDepends = [
+ aeson aeson-casing base bytestring directory parsec process
+ unliftio yaml
];
+ executableHaskellDepends = [ base directory filepath process ];
+ testHaskellDepends = [ base bytestring errors hspec ];
description = "Monitor Setup Utility";
license = lib.licenses.mit;
}) {};
@@ -183183,6 +185400,7 @@ self: {
description = "Continuous deployment server for use with GitHub";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"muesli" = callPackage
@@ -184032,22 +186250,20 @@ self: {
}) {};
"murmur3" = callPackage
- ({ mkDerivation, base, base16-bytestring, bytestring, cereal, HUnit
+ ({ mkDerivation, base, base16, bytestring, cereal, HUnit
, QuickCheck, test-framework, test-framework-hunit
, test-framework-quickcheck2
}:
mkDerivation {
pname = "murmur3";
- version = "1.0.4";
- sha256 = "022sadxhnywbzx8crwkgky7kndxwpaddc89nq3ya4a4ikq3qvbhm";
- revision = "1";
- editedCabalFile = "130ign0n566nsrzfp4ipb2sy5hq1ymxdlmqb80zbpdc0rdkqh0x0";
+ version = "1.0.5";
+ sha256 = "0ldmhprzldcxdbv5cd7nm7dfaavns4iv4z6mi1prnx1yn41lp6d0";
libraryHaskellDepends = [ base bytestring cereal ];
testHaskellDepends = [
- base base16-bytestring bytestring HUnit QuickCheck test-framework
+ base base16 bytestring cereal HUnit QuickCheck test-framework
test-framework-hunit test-framework-quickcheck2
];
- description = "Pure Haskell implementation of the MurmurHash3 x86_32 algorithm";
+ description = "Pure Haskell implementation of the MurmurHash3 x86 algorithm";
license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
broken = true;
@@ -184449,6 +186665,8 @@ self: {
pname = "mustache";
version = "2.3.1";
sha256 = "0j5kzlirirnj2lscxgc6r9j0if8s3pvxswjblma6yxpw6qyzk2xc";
+ revision = "1";
+ editedCabalFile = "05qsxxpbqacfbvdzmz2y2yh3rpf2f0n2rvhvmhn33gsvydxvadbv";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -184510,6 +186728,7 @@ self: {
description = "Utility to generate Haskell code from Mustache templates";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"mutable" = callPackage
@@ -184704,32 +186923,6 @@ self: {
}) {};
"mwc-random" = callPackage
- ({ mkDerivation, base, bytestring, doctest, gauge, math-functions
- , mersenne-random, primitive, QuickCheck, random, tasty
- , tasty-hunit, tasty-quickcheck, time, vector
- }:
- mkDerivation {
- pname = "mwc-random";
- version = "0.15.0.1";
- sha256 = "1p8c5g4hb72k90ai39rgpn6cr942i6636l1y0zfp9xgjb3v0a2q3";
- revision = "2";
- editedCabalFile = "0si7d23ycyg1072w10v06zh1xx4yy5jxwmrrs65inrs7fhdb1r28";
- libraryHaskellDepends = [
- base math-functions primitive random time vector
- ];
- testHaskellDepends = [
- base bytestring doctest primitive QuickCheck random tasty
- tasty-hunit tasty-quickcheck vector
- ];
- benchmarkHaskellDepends = [
- base gauge mersenne-random random vector
- ];
- doCheck = false;
- description = "Fast, high quality pseudo random number generation";
- license = lib.licenses.bsd3;
- }) {};
-
- "mwc-random_0_15_0_2" = callPackage
({ mkDerivation, base, bytestring, doctest, gauge, math-functions
, mersenne-random, primitive, QuickCheck, random, tasty
, tasty-hunit, tasty-quickcheck, time, vector
@@ -184751,7 +186944,6 @@ self: {
doCheck = false;
description = "Fast, high quality pseudo random number generation";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"mwc-random-accelerate" = callPackage
@@ -184786,6 +186978,8 @@ self: {
pname = "mx-state-codes";
version = "1.0.0.0";
sha256 = "1jxw7hh24rqs1c5y4b7bmllvcwq3gsrrn0rixq9lzhn2915ykaq6";
+ revision = "1";
+ editedCabalFile = "1fl0953329z4an76287q1ic4hygzg3xzv2w0zv7dqgkpdz3qbjx7";
libraryHaskellDepends = [ aeson base text ];
testHaskellDepends = [ aeson base hspec QuickCheck text ];
description = "ISO 3166-2:MX State Codes and Names";
@@ -185317,7 +187511,6 @@ self: {
executableHaskellDepends = [ base HSH mtl process ];
description = "Utility to call iwconfig";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"n-tuple" = callPackage
@@ -185760,6 +187953,7 @@ self: {
description = "A toy dependently-typed language";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"nanocurses" = callPackage
@@ -185847,19 +188041,17 @@ self: {
}:
mkDerivation {
pname = "nanovg";
- version = "0.7.0.0";
- sha256 = "1mrn5dy05nl5kkxw5vfgf57wifllq7jnv0akd1wi9wnlgvvqjnqz";
+ version = "0.8.0.0";
+ sha256 = "1il4305wv7f53225jv5s04nf7gf5rw6cfxm8j3v1di2xvhdingz5";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base bytestring containers text vector ];
- librarySystemDepends = [ freetype glew libGL libGLU libX11 ];
- libraryPkgconfigDepends = [ glew ];
+ librarySystemDepends = [ glew libGL libGLU libX11 ];
+ libraryPkgconfigDepends = [ freetype glew ];
libraryToolDepends = [ c2hs ];
testHaskellDepends = [ base containers hspec inline-c QuickCheck ];
description = "Haskell bindings for nanovg";
license = lib.licenses.isc;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {inherit (pkgs) freetype; inherit (pkgs) glew;
inherit (pkgs) libGL; inherit (pkgs) libGLU;
inherit (pkgs.xorg) libX11;};
@@ -185880,6 +188072,7 @@ self: {
description = "Simple interface to rendering with NanoVG";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"nanq" = callPackage
@@ -186756,7 +188949,7 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "net-mqtt_0_8_0_2" = callPackage
+ "net-mqtt_0_8_1_0" = callPackage
({ mkDerivation, async, attoparsec, attoparsec-binary, base, binary
, bytestring, checkers, conduit, conduit-extra, connection
, containers, deepseq, HUnit, network-conduit-tls, network-uri
@@ -186765,8 +188958,8 @@ self: {
}:
mkDerivation {
pname = "net-mqtt";
- version = "0.8.0.2";
- sha256 = "0rvsyb9msp1dkba941094d07apdinlda0hg4pb32jxs17wwnj0a7";
+ version = "0.8.1.0";
+ sha256 = "1cy17mv8ld3aifh1nr5sggm4x08h58vaa6q1s7nd7nhnkj1icajk";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -186973,6 +189166,21 @@ self: {
license = lib.licenses.bsd3;
}) {inherit (pkgs) libsodium;};
+ "netcode-io_0_0_3" = callPackage
+ ({ mkDerivation, base, bindings-DSL, libsodium }:
+ mkDerivation {
+ pname = "netcode-io";
+ version = "0.0.3";
+ sha256 = "132rih1fd2qdshnpb8q3glhwgc8790pm8xpm3786hnrl3di1384z";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [ base bindings-DSL ];
+ librarySystemDepends = [ libsodium ];
+ description = "Bindings to the low-level netcode.io library.";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {inherit (pkgs) libsodium;};
+
"netcore" = callPackage
({ mkDerivation, ansi-wl-pprint, base, bimap, binary, binary-strict
, bytestring, containers, fgl, HList, hslogger, HUnit, mtl
@@ -187049,19 +189257,6 @@ self: {
}) {};
"netlib-comfort-array" = callPackage
- ({ mkDerivation, base, comfort-array, netlib-ffi, transformers }:
- mkDerivation {
- pname = "netlib-comfort-array";
- version = "0.0.0.1";
- sha256 = "0v4p1l8gjqkxncjrp6bv664x6xs3y6n5h76pvgccsja5rammwbp3";
- libraryHaskellDepends = [
- base comfort-array netlib-ffi transformers
- ];
- description = "Helper modules for comfort-array wrappers to BLAS and LAPACK";
- license = lib.licenses.bsd3;
- }) {};
-
- "netlib-comfort-array_0_0_0_2" = callPackage
({ mkDerivation, base, comfort-array, netlib-ffi, transformers }:
mkDerivation {
pname = "netlib-comfort-array";
@@ -187072,7 +189267,6 @@ self: {
];
description = "Helper modules for comfort-array wrappers to BLAS and LAPACK";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"netlib-ffi" = callPackage
@@ -187434,14 +189628,14 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "network_3_1_2_2" = callPackage
+ "network_3_1_2_5" = callPackage
({ mkDerivation, base, bytestring, deepseq, directory, hspec
, hspec-discover, HUnit, QuickCheck, temporary
}:
mkDerivation {
pname = "network";
- version = "3.1.2.2";
- sha256 = "1dhflq7im3sjc6ascf12z73mhw7cqv1aqfvv3y5m9izr485jq0am";
+ version = "3.1.2.5";
+ sha256 = "0l8qn0m1pza4iv0x14izgpggisbk91yfipwmwpjbmcb73j7c08zj";
libraryHaskellDepends = [ base bytestring deepseq directory ];
testHaskellDepends = [
base bytestring directory hspec HUnit QuickCheck temporary
@@ -187766,6 +189960,7 @@ self: {
description = "D-Bus";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"network-dns" = callPackage
@@ -189107,18 +191302,19 @@ self: {
({ mkDerivation, aeson, array, base, base64, binary, bytestring
, case-insensitive, containers, ede, enclosed-exceptions
, http-client, http-types, network, ngx-export, ngx-export-tools
- , prettyprinter, safe, snap-core, snap-server, template-haskell
- , text, time, trifecta, unordered-containers
+ , pcre-heavy, pcre-light, prettyprinter, safe, snap-core
+ , snap-server, template-haskell, text, time, trifecta
+ , unordered-containers
}:
mkDerivation {
pname = "ngx-export-tools-extra";
- version = "0.7.0.0";
- sha256 = "0d7p227s33sg5g1ck5s9pmcwnwvvpanbzyq2qc54bzpawpvn5kwi";
+ version = "0.8.0.0";
+ sha256 = "03s47hzw82w2wgyffdqvgcf4i0nz1vmaim7f3j8pniaa2b3xj3gv";
libraryHaskellDepends = [
aeson array base base64 binary bytestring case-insensitive
containers ede enclosed-exceptions http-client http-types network
- ngx-export ngx-export-tools prettyprinter safe snap-core
- snap-server template-haskell text time trifecta
+ ngx-export ngx-export-tools pcre-heavy pcre-light prettyprinter
+ safe snap-core snap-server template-haskell text time trifecta
unordered-containers
];
description = "More extra tools for Nginx haskell module";
@@ -189621,20 +191817,21 @@ self: {
}) {};
"nix-tree" = callPackage
- ({ mkDerivation, aeson, base, brick, bytestring, clock, containers
- , deepseq, directory, filepath, hashable, hedgehog, hrfsize, relude
- , text, transformers, typed-process, unordered-containers, vty
+ ({ mkDerivation, aeson, async, base, brick, bytestring, clock
+ , containers, deepseq, directory, filepath, hashable, hedgehog
+ , hrfsize, relude, terminal-progress-bar, text, transformers
+ , typed-process, unordered-containers, vty
}:
mkDerivation {
pname = "nix-tree";
- version = "0.1.7";
- sha256 = "17w0lcfr2rxyfzrdhbw9lci9k5xs1566jypip910r78373c72nbj";
+ version = "0.1.8";
+ sha256 = "0bbisb0n7jg5ng17qyh92rbx1qphvd8w4gr6v773j8m72j24vj0c";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- aeson base brick bytestring clock containers deepseq directory
- filepath hashable hrfsize relude text transformers typed-process
- unordered-containers vty
+ aeson async base brick bytestring clock containers deepseq
+ directory filepath hashable hrfsize relude terminal-progress-bar
+ text transformers typed-process unordered-containers vty
];
testHaskellDepends = [
aeson base brick bytestring clock containers deepseq directory
@@ -189728,27 +191925,56 @@ self: {
}) {};
"nixpkgs-update" = callPackage
- ({ mkDerivation, base, directory, doctest, errors, filepath, github
- , mtl, neat-interpolation, optparse-applicative, regex-applicative
- , shelly, text, time, unix, vector
+ ({ mkDerivation, aeson, base, bytestring, conduit, containers
+ , cryptohash-sha256, directory, doctest, errors, filepath, github
+ , hspec, hspec-discover, http-client, http-client-tls, http-conduit
+ , http-types, iso8601-time, lifted-base, mtl, neat-interpolation
+ , optparse-applicative, parsec, parsers, partial-order, polysemy
+ , polysemy-plugin, regex-applicative-text, servant, servant-client
+ , sqlite-simple, template-haskell, temporary, text, th-env, time
+ , transformers, typed-process, unix, unordered-containers, vector
+ , versions, xdg-basedir, zlib
}:
mkDerivation {
pname = "nixpkgs-update";
- version = "0.2.0";
- sha256 = "1vlvkyvvykzcss5w4snmwa9lrd50rss8d2gsv36a69w4y0k2ms5z";
- isLibrary = false;
+ version = "0.3.0";
+ sha256 = "1lgy6m3s4qr2kgjhvly55f05y32aljdpzrd45r4fprmycf5zj2h7";
+ isLibrary = true;
isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base bytestring conduit containers cryptohash-sha256
+ directory errors filepath github http-client http-client-tls
+ http-conduit http-types iso8601-time lifted-base mtl
+ neat-interpolation optparse-applicative parsec parsers
+ partial-order polysemy polysemy-plugin regex-applicative-text
+ servant servant-client sqlite-simple template-haskell temporary
+ text th-env time transformers typed-process unix
+ unordered-containers vector versions xdg-basedir zlib
+ ];
executableHaskellDepends = [
- base directory errors filepath github mtl neat-interpolation
- optparse-applicative regex-applicative shelly text time unix vector
+ aeson base bytestring conduit containers cryptohash-sha256
+ directory errors filepath github http-client http-client-tls
+ http-conduit http-types iso8601-time lifted-base mtl
+ neat-interpolation optparse-applicative parsec parsers
+ partial-order polysemy polysemy-plugin regex-applicative-text
+ servant servant-client sqlite-simple template-haskell temporary
+ text th-env time transformers typed-process unix
+ unordered-containers vector versions xdg-basedir zlib
];
testHaskellDepends = [
- base directory doctest errors filepath github mtl
- neat-interpolation optparse-applicative regex-applicative shelly
- text time unix vector
+ aeson base bytestring conduit containers cryptohash-sha256
+ directory doctest errors filepath github hspec hspec-discover
+ http-client http-client-tls http-conduit http-types iso8601-time
+ lifted-base mtl neat-interpolation optparse-applicative parsec
+ parsers partial-order polysemy polysemy-plugin
+ regex-applicative-text servant servant-client sqlite-simple
+ template-haskell temporary text th-env time transformers
+ typed-process unix unordered-containers vector versions xdg-basedir
+ zlib
];
+ testToolDepends = [ hspec-discover ];
description = "Tool for semi-automatic updating of nixpkgs repository";
- license = lib.licenses.publicDomain;
+ license = lib.licenses.cc0;
hydraPlatforms = lib.platforms.none;
broken = true;
}) {};
@@ -189844,6 +192070,7 @@ self: {
description = "Bindings to the Nyctergatis Markup Engine";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"nmis-parser" = callPackage
@@ -190245,7 +192472,6 @@ self: {
testHaskellDepends = [ base doctest Glob hspec QuickCheck text ];
description = "Non empty Data.Text type";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"non-empty-zipper" = callPackage
@@ -190317,20 +192543,21 @@ self: {
"nonempty-containers" = callPackage
({ mkDerivation, aeson, base, comonad, containers, deepseq
- , hedgehog, hedgehog-fn, nonempty-vector, semigroupoids, tasty
- , tasty-hedgehog, text, these, vector
+ , hedgehog, hedgehog-fn, invariant, nonempty-vector, semigroupoids
+ , tasty, tasty-hedgehog, text, these, vector
}:
mkDerivation {
pname = "nonempty-containers";
- version = "0.3.4.1";
- sha256 = "0cpn0f0gnir9w366hw2906316qx5yc06rrrlv67xba1p66507m83";
+ version = "0.3.4.4";
+ sha256 = "12p40gzhmggbvh466s50d6xqaz9y7d32px3yv911wdwkcs3xxkch";
libraryHaskellDepends = [
- aeson base comonad containers deepseq nonempty-vector semigroupoids
- these vector
+ aeson base comonad containers deepseq invariant nonempty-vector
+ semigroupoids these vector
];
testHaskellDepends = [
- base comonad containers hedgehog hedgehog-fn nonempty-vector
- semigroupoids tasty tasty-hedgehog text these vector
+ base comonad containers hedgehog hedgehog-fn invariant
+ nonempty-vector semigroupoids tasty tasty-hedgehog text these
+ vector
];
description = "Non-empty variants of containers data types, with full API";
license = lib.licenses.bsd3;
@@ -190462,6 +192689,7 @@ self: {
description = "the noodle programming language";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"normaldistribution" = callPackage
@@ -190575,7 +192803,22 @@ self: {
libraryHaskellDepends = [ base ];
description = "Useful utility functions that only depend on base";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
+ }) {};
+
+ "not-prelude" = callPackage
+ ({ mkDerivation, base-noprelude, data-default, exceptions, failable
+ , mtl, text, transformers
+ }:
+ mkDerivation {
+ pname = "not-prelude";
+ version = "0.1.0.0";
+ sha256 = "0hb3ri6g1v21wi3saaai56b1a2r76g2dgaa7xqqchi31lh004131";
+ libraryHaskellDepends = [
+ base-noprelude data-default exceptions failable mtl text
+ transformers
+ ];
+ description = "An opinionated Prelude replacement library";
+ license = lib.licenses.mit;
}) {};
"notcpp" = callPackage
@@ -190636,6 +192879,7 @@ self: {
platforms = [
"aarch64-linux" "armv7l-linux" "i686-linux" "x86_64-linux"
];
+ hydraPlatforms = lib.platforms.none;
}) {};
"notmuch" = callPackage
@@ -190876,8 +193120,8 @@ self: {
}:
mkDerivation {
pname = "nri-http";
- version = "0.1.0.1";
- sha256 = "11zrqfljnq922frbsyiwap8ayp8faq7cwbrmk0npg4qgv4gv2pz2";
+ version = "0.1.0.3";
+ sha256 = "1km4jv0g79455m3687cpihzz14pxg3wxw4r5b21mby7c8knzd1vl";
libraryHaskellDepends = [
aeson base bytestring conduit http-client http-client-tls
http-types mime-types network-uri nri-observability nri-prelude
@@ -190899,8 +193143,8 @@ self: {
}:
mkDerivation {
pname = "nri-kafka";
- version = "0.1.0.0";
- sha256 = "0ifdmli7arrlpmh1l4q974rv0bw3xirfk96xrahfab3zp36r4x3y";
+ version = "0.1.0.1";
+ sha256 = "0sybcf7lx0gqhi79h6d1rqx0ckyz38m86h87imrh4grgbikxaic5";
libraryHaskellDepends = [
aeson async base bytestring conduit containers hw-kafka-client
nri-env-parser nri-observability nri-prelude safe-exceptions stm
@@ -190943,23 +193187,50 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "nri-observability_0_1_1_2" = callPackage
+ ({ mkDerivation, aeson, aeson-pretty, async, base, bugsnag-hs
+ , bytestring, conduit, directory, hostname, http-client
+ , http-client-tls, nri-env-parser, nri-prelude, random
+ , safe-exceptions, stm, text, time, unordered-containers, uuid
+ }:
+ mkDerivation {
+ pname = "nri-observability";
+ version = "0.1.1.2";
+ sha256 = "0n4ap88ll5q86addjgfv8pf86zbnw1ic6wh7wc929fn4ygc6r2x5";
+ libraryHaskellDepends = [
+ aeson aeson-pretty async base bugsnag-hs bytestring conduit
+ directory hostname http-client http-client-tls nri-env-parser
+ nri-prelude random safe-exceptions stm text time
+ unordered-containers uuid
+ ];
+ testHaskellDepends = [
+ aeson aeson-pretty async base bugsnag-hs bytestring conduit
+ directory hostname http-client http-client-tls nri-env-parser
+ nri-prelude random safe-exceptions stm text time
+ unordered-containers uuid
+ ];
+ description = "Report log spans collected by nri-prelude";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"nri-postgresql" = callPackage
- ({ mkDerivation, aeson, attoparsec, base, bytestring, filepath
- , network, nri-env-parser, nri-observability, nri-prelude
- , postgresql-typed, resource-pool, resourcet, safe-exceptions
- , template-haskell, text, time
+ ({ mkDerivation, attoparsec, base, bytestring, filepath, network
+ , nri-env-parser, nri-observability, nri-prelude, postgresql-typed
+ , resource-pool, resourcet, safe-exceptions, template-haskell, text
+ , time
}:
mkDerivation {
pname = "nri-postgresql";
- version = "0.1.0.1";
- sha256 = "1kl5wriqdshhc2fjaicj60hrwrw2c6y8vrq2pv4fagn0gxa78fvc";
+ version = "0.1.0.2";
+ sha256 = "0rm76z57zvvsswd0dyqmq7m1mrvamiff5kawkcwy4k4xkn4yl3py";
libraryHaskellDepends = [
- aeson attoparsec base bytestring filepath network nri-env-parser
+ attoparsec base bytestring filepath network nri-env-parser
nri-observability nri-prelude postgresql-typed resource-pool
resourcet safe-exceptions template-haskell text time
];
testHaskellDepends = [
- aeson attoparsec base bytestring filepath network nri-env-parser
+ attoparsec base bytestring filepath network nri-env-parser
nri-observability nri-prelude postgresql-typed resource-pool
resourcet safe-exceptions template-haskell text time
];
@@ -190996,6 +193267,34 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "nri-prelude_0_6_0_4" = callPackage
+ ({ mkDerivation, aeson, aeson-pretty, async, auto-update, base
+ , bytestring, containers, directory, exceptions, filepath, ghc
+ , hedgehog, junit-xml, pretty-diff, pretty-show, safe-coloured-text
+ , safe-coloured-text-terminfo, safe-exceptions, terminal-size, text
+ , time, unix, vector
+ }:
+ mkDerivation {
+ pname = "nri-prelude";
+ version = "0.6.0.4";
+ sha256 = "0r2jgjrbjbkkvsk8ypg0d7r840qkadwinq0qyhng9bkjqrsrn78c";
+ libraryHaskellDepends = [
+ aeson aeson-pretty async auto-update base bytestring containers
+ directory exceptions filepath ghc hedgehog junit-xml pretty-diff
+ pretty-show safe-coloured-text safe-coloured-text-terminfo
+ safe-exceptions terminal-size text time unix vector
+ ];
+ testHaskellDepends = [
+ aeson aeson-pretty async auto-update base bytestring containers
+ directory exceptions filepath ghc hedgehog junit-xml pretty-diff
+ pretty-show safe-coloured-text safe-coloured-text-terminfo
+ safe-exceptions terminal-size text time unix vector
+ ];
+ description = "A Prelude inspired by the Elm programming language";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"nri-redis" = callPackage
({ mkDerivation, aeson, async, base, bytestring, conduit, hedis
, nri-env-parser, nri-observability, nri-prelude, resourcet
@@ -191003,8 +193302,8 @@ self: {
}:
mkDerivation {
pname = "nri-redis";
- version = "0.1.0.1";
- sha256 = "0nvkq4h7fdc9jifk3dd2sac6bwk4ks4bda8slln8dqwgxbh31ln2";
+ version = "0.1.0.3";
+ sha256 = "1mdzqvnx7gzr8j99c8nza40y518jg8ajxfrvdvf90gvbglknimb0";
libraryHaskellDepends = [
aeson async base bytestring conduit hedis nri-env-parser
nri-observability nri-prelude resourcet safe-exceptions text
@@ -191026,8 +193325,8 @@ self: {
}:
mkDerivation {
pname = "nri-test-encoding";
- version = "0.1.1.0";
- sha256 = "0k21hyd0kbgdg3kmfwy20vf21r6963ygybz1v84wjmfzqbc5fcq5";
+ version = "0.1.1.1";
+ sha256 = "07hrkjbyp07p0yryx92q0bjqkkjji4d952sxcm9j2w5mdvlc08mq";
libraryHaskellDepends = [
aeson aeson-pretty base bytestring filepath nri-prelude nri-redis
servant servant-auth-server servant-server text
@@ -191379,6 +193678,19 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "numeric-kinds" = callPackage
+ ({ mkDerivation, base }:
+ mkDerivation {
+ pname = "numeric-kinds";
+ version = "0.1.0.0";
+ sha256 = "0rdx39wa7kklx9a7i5rdwf541fxpz9v3n32rvy2fa6i7n4hr64s4";
+ revision = "1";
+ editedCabalFile = "0zbn5yxga0sknpa83a6v2gx3dhi1rgxlxh0p3d1gd37x2zyd8lxf";
+ libraryHaskellDepends = [ base ];
+ description = "Type-level numeric types and classes";
+ license = lib.licenses.asl20;
+ }) {};
+
"numeric-limits" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -191390,6 +193702,25 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "numeric-logarithms" = callPackage
+ ({ mkDerivation, base, integer-gmp, QuickCheck, test-framework
+ , test-framework-quickcheck2
+ }:
+ mkDerivation {
+ pname = "numeric-logarithms";
+ version = "0.1.0.0";
+ sha256 = "1izd7gc9xdrs7a1wbzmhhkv8s9rw2mcq77agvr351dc5jyzdnwiy";
+ revision = "2";
+ editedCabalFile = "11lxh2lz3adwdb1hgxgqh2p2igqzbclpwal072fhdk1hcz987acq";
+ libraryHaskellDepends = [ base integer-gmp ];
+ testHaskellDepends = [
+ base integer-gmp QuickCheck test-framework
+ test-framework-quickcheck2
+ ];
+ description = "Integral and rational log2 algorithms";
+ license = lib.licenses.asl20;
+ }) {};
+
"numeric-ode" = callPackage
({ mkDerivation, ad, base, Chart, Chart-cairo, colour
, data-accessor, data-default-class, diagrams-cairo, diagrams-lib
@@ -191552,14 +193883,13 @@ self: {
broken = true;
}) {};
- "numhask_0_8_0_0" = callPackage
- ({ mkDerivation, base, doctest, QuickCheck }:
+ "numhask_0_8_1_0" = callPackage
+ ({ mkDerivation, base }:
mkDerivation {
pname = "numhask";
- version = "0.8.0.0";
- sha256 = "104vc3b43lbck6r39kv5rscvqx3hxxf8nyvzsw8shb9xifmnijkh";
+ version = "0.8.1.0";
+ sha256 = "0qq9fr4nm5swarc266mnz5xly296db4nwzm7k5cwsn2pjijmyknk";
libraryHaskellDepends = [ base ];
- testHaskellDepends = [ base doctest QuickCheck ];
description = "A numeric class hierarchy";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -191567,18 +193897,16 @@ self: {
}) {};
"numhask-array" = callPackage
- ({ mkDerivation, adjunctions, base, distributive, doctest, numhask
- , vector
+ ({ mkDerivation, adjunctions, base, distributive, numhask, vector
}:
mkDerivation {
pname = "numhask-array";
- version = "0.9.0";
- sha256 = "09l0cm2f66c5bagrwby8bj22b6lklbi8mbsgxxh0gw5zvb9bhgmr";
+ version = "0.9.1";
+ sha256 = "02xklvpk21h97005xs1ywll83qn3h6845zxp9baljk12b9j4ls1r";
libraryHaskellDepends = [
adjunctions base distributive numhask vector
];
- testHaskellDepends = [ base doctest ];
- description = "Multi-dimensional array interface for numhask";
+ description = "Multi-dimensional arrays";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
broken = true;
@@ -191673,18 +194001,16 @@ self: {
"numhask-space" = callPackage
({ mkDerivation, adjunctions, base, containers, distributive
- , doctest, numhask, random, semigroupoids, tdigest, text, time
- , vector
+ , numhask, random, semigroupoids, tdigest, text, time, vector
}:
mkDerivation {
pname = "numhask-space";
- version = "0.8.0.0";
- sha256 = "1ya4fcr0mxj4blijl2k2sdfkkywjmr616qcaddl7zqphjvrc4gd0";
+ version = "0.8.1.0";
+ sha256 = "1j117m1qfnl5h286x6c8kbm06xpm8rqqkipv1qbw0sp7a0cc022z";
libraryHaskellDepends = [
adjunctions base containers distributive numhask random
semigroupoids tdigest text time vector
];
- testHaskellDepends = [ base doctest ];
description = "Numerical spaces";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -191792,15 +194118,16 @@ self: {
}) {};
"nvfetcher" = callPackage
- ({ mkDerivation, aeson, base, binary, binary-instances, bytestring
- , containers, data-default, extra, free, microlens, microlens-th
- , neat-interpolation, optparse-simple, parsec, shake, text, tomland
- , transformers, unordered-containers, validation-selective
+ ({ mkDerivation, aeson, async, base, binary, binary-instances
+ , bytestring, containers, data-default, extra, free, hspec
+ , hspec-discover, microlens, microlens-th, neat-interpolation
+ , optparse-simple, parsec, shake, stm, text, tomland, transformers
+ , unliftio, unordered-containers, validation-selective
}:
mkDerivation {
pname = "nvfetcher";
- version = "0.3.0.0";
- sha256 = "1b6kb7qlnrg74ymhj74ikqs80hmg013vv4rc7sdkb0pfs3l6f6mh";
+ version = "0.4.0.0";
+ sha256 = "1mj2vmll0zpzx1f0j445h800lxvma30f9ainbnm54x3d4n6yvw7n";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -191815,6 +194142,13 @@ self: {
optparse-simple parsec shake text tomland transformers
unordered-containers validation-selective
];
+ testHaskellDepends = [
+ aeson async base binary binary-instances bytestring containers
+ data-default extra free hspec microlens microlens-th
+ neat-interpolation optparse-simple parsec shake stm text tomland
+ transformers unliftio unordered-containers
+ ];
+ testToolDepends = [ hspec-discover ];
description = "Generate nix sources expr for the latest version of packages";
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ berberman ];
@@ -191859,37 +194193,39 @@ self: {
license = lib.licenses.asl20;
}) {};
- "nvim-hs_2_1_0_5" = callPackage
+ "nvim-hs_2_1_0_7" = callPackage
({ mkDerivation, base, bytestring, cereal, cereal-conduit, conduit
, containers, data-default, deepseq, foreign-store, hslogger, hspec
, hspec-discover, HUnit, megaparsec, messagepack, mtl, network
, optparse-applicative, path, path-io, prettyprinter
, prettyprinter-ansi-terminal, QuickCheck, resourcet, stm
- , streaming-commons, template-haskell, text, time
- , time-locale-compat, transformers, transformers-base
- , typed-process, unliftio, unliftio-core, utf8-string, vector, void
+ , streaming-commons, template-haskell
+ , template-haskell-compat-v0208, text, time, time-locale-compat
+ , transformers, transformers-base, typed-process, unliftio
+ , unliftio-core, utf8-string, vector, void
}:
mkDerivation {
pname = "nvim-hs";
- version = "2.1.0.5";
- sha256 = "11ld5bgrica3ma54f7x37hcbcl0ms3x6gi0326by3jsnskxplz0z";
+ version = "2.1.0.7";
+ sha256 = "0vbqlrjwfg5pl4f9xymdlx0k01jziqrmqf8m0vm7iiy0vnjzx19j";
libraryHaskellDepends = [
base bytestring cereal cereal-conduit conduit containers
data-default deepseq foreign-store hslogger megaparsec messagepack
mtl network optparse-applicative path path-io prettyprinter
prettyprinter-ansi-terminal resourcet stm streaming-commons
- template-haskell text time time-locale-compat transformers
- transformers-base typed-process unliftio unliftio-core utf8-string
- vector void
+ template-haskell template-haskell-compat-v0208 text time
+ time-locale-compat transformers transformers-base typed-process
+ unliftio unliftio-core utf8-string vector void
];
testHaskellDepends = [
base bytestring cereal cereal-conduit conduit containers
data-default foreign-store hslogger hspec hspec-discover HUnit
megaparsec messagepack mtl network optparse-applicative path
path-io prettyprinter prettyprinter-ansi-terminal QuickCheck
- resourcet stm streaming-commons template-haskell text time
- time-locale-compat transformers transformers-base typed-process
- unliftio unliftio-core utf8-string vector
+ resourcet stm streaming-commons template-haskell
+ template-haskell-compat-v0208 text time time-locale-compat
+ transformers transformers-base typed-process unliftio unliftio-core
+ utf8-string vector
];
testToolDepends = [ hspec-discover ];
description = "Haskell plugin backend for neovim";
@@ -192847,6 +195183,7 @@ self: {
description = "Basic versioning library";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"olwrapper" = callPackage
@@ -193033,7 +195370,6 @@ self: {
];
description = "Data encoding and decoding command line utilities";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"omnifmt" = callPackage
@@ -193358,8 +195694,8 @@ self: {
}:
mkDerivation {
pname = "opaleye";
- version = "0.7.3.0";
- sha256 = "0ls8hk8iy47hna1y7kbakzv9ihp61lv605f1ap4di95fv03wy288";
+ version = "0.7.4.0";
+ sha256 = "1v4gxqnjacyj7npcvl70dzksgl12qrgwscv4l47kvzmf76i1x6rd";
libraryHaskellDepends = [
aeson base base16-bytestring bytestring case-insensitive
contravariant postgresql-simple pretty product-profunctors
@@ -193376,28 +195712,29 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "opaleye_0_7_4_0" = callPackage
+ "opaleye_0_7_5_0" = callPackage
({ mkDerivation, aeson, base, base16-bytestring, bytestring
, case-insensitive, containers, contravariant, dotenv, hspec
, hspec-discover, multiset, postgresql-simple, pretty
, product-profunctors, profunctors, QuickCheck, scientific
- , semigroups, text, time, time-locale-compat, transformers, uuid
- , void
+ , semigroups, text, time, time-compat, time-locale-compat
+ , transformers, uuid, void
}:
mkDerivation {
pname = "opaleye";
- version = "0.7.4.0";
- sha256 = "1v4gxqnjacyj7npcvl70dzksgl12qrgwscv4l47kvzmf76i1x6rd";
+ version = "0.7.5.0";
+ sha256 = "19y6a4qkzr8bm8fxwrlvlcjqvc12kagp0wrfqrr4gxvzi200plvr";
libraryHaskellDepends = [
aeson base base16-bytestring bytestring case-insensitive
contravariant postgresql-simple pretty product-profunctors
- profunctors scientific semigroups text time time-locale-compat
- transformers uuid void
+ profunctors scientific semigroups text time-compat
+ time-locale-compat transformers uuid void
];
testHaskellDepends = [
aeson base bytestring containers contravariant dotenv hspec
hspec-discover multiset postgresql-simple product-profunctors
- profunctors QuickCheck semigroups text time transformers uuid
+ profunctors QuickCheck semigroups text time time-compat
+ transformers uuid
];
testToolDepends = [ hspec-discover ];
description = "An SQL-generating DSL targeting PostgreSQL";
@@ -193472,6 +195809,37 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "opc-xml-da-client" = callPackage
+ ({ mkDerivation, acc, attoparsec, attoparsec-data, base, base64
+ , binary, bytestring, caerbannog, containers, data-default, domain
+ , domain-optics, hashable, hashable-time, http-client, QuickCheck
+ , quickcheck-instances, rerebase, scientific, tasty, tasty-hunit
+ , tasty-quickcheck, text, text-builder, time, transformers
+ , unordered-containers, vector, vector-instances, xml-conduit
+ , xml-parser
+ }:
+ mkDerivation {
+ pname = "opc-xml-da-client";
+ version = "0.1";
+ sha256 = "0wi2qv4594fz3z6jqdmqnxv17w1yp5ds8xwflnxawb6lpadprskp";
+ libraryHaskellDepends = [
+ acc attoparsec attoparsec-data base base64 bytestring containers
+ data-default domain domain-optics hashable hashable-time
+ http-client QuickCheck rerebase scientific text text-builder time
+ transformers unordered-containers vector vector-instances
+ xml-conduit xml-parser
+ ];
+ testHaskellDepends = [
+ attoparsec binary caerbannog data-default http-client QuickCheck
+ quickcheck-instances rerebase tasty tasty-hunit tasty-quickcheck
+ text-builder xml-conduit xml-parser
+ ];
+ doHaddock = false;
+ description = "OPC XML-DA Client";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"open-adt" = callPackage
({ mkDerivation, base, constraints, recursion-schemes, row-types
, template-haskell
@@ -193841,6 +196209,7 @@ self: {
description = "Haskell Bindings for the AtomSpace";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {atomspace-cwrapper = null;};
"opencv" = callPackage
@@ -193939,6 +196308,7 @@ self: {
description = "Fetch exchange rates from OpenExchangeRates.org";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"openexr-write" = callPackage
@@ -193973,6 +196343,7 @@ self: {
description = "OpenFlow";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"opengl-dlp-stereo" = callPackage
@@ -194100,6 +196471,7 @@ self: {
description = "Implementation of the OpenPGP message format";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"openpgp-Crypto" = callPackage
@@ -194792,8 +197164,10 @@ self: {
({ mkDerivation, base, mtl, random }:
mkDerivation {
pname = "operational";
- version = "0.2.3.5";
- sha256 = "1x2abg2q9d26h1vzj40r6k7k3gqgappbs4g9d853vvg77837km4i";
+ version = "0.2.4.0";
+ sha256 = "1hwmwbsxzwv68b39rv4gn3da6irv8zm89gqrkc3rdsgwi5ziyn3i";
+ revision = "1";
+ editedCabalFile = "1b5vjp87lh34lpp9i4mrwcmr6rs45r6azdamwinlhrxynn91n8ri";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base mtl ];
@@ -195357,10 +197731,8 @@ self: {
}:
mkDerivation {
pname = "optparse-generic";
- version = "1.4.4";
- sha256 = "0xy0kc8qximsiqpnc1fmh5zlsh6n26s7scrixin5bwnylg056j74";
- revision = "3";
- editedCabalFile = "1y5m84d72z2fhnzznlyq4hj4hfg04hgszng3ps4dz4s1wd565m1s";
+ version = "1.4.5";
+ sha256 = "06lyx1im1a5sxj2i6v3lzc16q8pk6lafqzqvdzg9aiximm3idy1a";
libraryHaskellDepends = [
base bytestring Only optparse-applicative system-filepath text time
transformers void
@@ -195370,6 +197742,25 @@ self: {
maintainers = with lib.maintainers; [ Gabriel439 ];
}) {};
+ "optparse-generic_1_4_6" = callPackage
+ ({ mkDerivation, base, bytestring, Only, optparse-applicative
+ , system-filepath, text, time, transformers, transformers-compat
+ , void
+ }:
+ mkDerivation {
+ pname = "optparse-generic";
+ version = "1.4.6";
+ sha256 = "1ihr5ly5xkhhds7frifgy1djay1d7yvdc617qqb7h61h4930kl3k";
+ libraryHaskellDepends = [
+ base bytestring Only optparse-applicative system-filepath text time
+ transformers transformers-compat void
+ ];
+ description = "Auto-generate a command-line parser for your datatype";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ Gabriel439 ];
+ }) {};
+
"optparse-helper" = callPackage
({ mkDerivation, base, optparse-applicative }:
mkDerivation {
@@ -195430,8 +197821,8 @@ self: {
({ mkDerivation, base, bytestring, opusfile }:
mkDerivation {
pname = "opusfile";
- version = "0.1.0.0";
- sha256 = "12mjkmsffnid48sjc6j1wrikw4pl1yz5jk6bgnarv86wcs30w54a";
+ version = "0.1.0.1";
+ sha256 = "159qx2z2q56f42yi3smj9fx7gbpqxxnsyap09hdnpy8rzv4gl904";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base bytestring ];
@@ -195943,27 +198334,28 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "ormolu_0_2_0_0" = callPackage
- ({ mkDerivation, ansi-terminal, base, bytestring, containers, Diff
- , dlist, exceptions, filepath, ghc-lib-parser, gitrev, hspec
- , hspec-discover, mtl, optparse-applicative, path, path-io, syb
- , text
+ "ormolu_0_3_1_0" = callPackage
+ ({ mkDerivation, ansi-terminal, base, bytestring, Cabal, containers
+ , Diff, directory, dlist, exceptions, filepath, ghc-lib-parser
+ , gitrev, hspec, hspec-discover, mtl, optparse-applicative, path
+ , path-io, syb, temporary, text
}:
mkDerivation {
pname = "ormolu";
- version = "0.2.0.0";
- sha256 = "0zivz7vcl4m1rjay5md6cdqac9cnfwz9c844l20byiz5h49bwfhb";
+ version = "0.3.1.0";
+ sha256 = "1517z6bi8ifzdmfclmqdiipi6zcnxagymf1sxr43sj2ipkglg2rs";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- ansi-terminal base bytestring containers Diff dlist exceptions
- ghc-lib-parser mtl syb text
+ ansi-terminal base bytestring Cabal containers Diff directory dlist
+ exceptions filepath ghc-lib-parser mtl syb text
];
executableHaskellDepends = [
base filepath ghc-lib-parser gitrev optparse-applicative text
];
testHaskellDepends = [
- base containers filepath hspec path path-io text
+ base containers directory filepath hspec path path-io temporary
+ text
];
testToolDepends = [ hspec-discover ];
description = "A formatter for Haskell source code";
@@ -196051,8 +198443,8 @@ self: {
}:
mkDerivation {
pname = "os-release";
- version = "1.0.2";
- sha256 = "0wjf1z76pqfv091b88zc3w0hmqv8i2i6qsx21cfcgaym4r3zqpjf";
+ version = "1.0.2.1";
+ sha256 = "0fyf6mjk4lmxvjgkvsz7ypx2ir67ry816wa6j7s27a1754cz6cw3";
libraryHaskellDepends = [
aeson base megaparsec safe-exceptions text unordered-containers
];
@@ -196854,6 +199246,7 @@ self: {
description = "Client library for PagerDuty Integration and REST APIs";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pagerduty-hs" = callPackage
@@ -196932,7 +199325,6 @@ self: {
executableHaskellDepends = [ base ];
description = "Colorization of text for command-line output";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"pairing" = callPackage
@@ -197108,7 +199500,7 @@ self: {
maintainers = with lib.maintainers; [ peti ];
}) {};
- "pandoc_2_14_1" = callPackage
+ "pandoc_2_14_2" = callPackage
({ mkDerivation, aeson, aeson-pretty, array, attoparsec, base
, base64-bytestring, binary, blaze-html, blaze-markup, bytestring
, case-insensitive, citeproc, commonmark, commonmark-extensions
@@ -197116,19 +199508,19 @@ self: {
, Diff, directory, doclayout, doctemplates, emojis, exceptions
, file-embed, filepath, Glob, haddock-library, hslua
, hslua-module-path, hslua-module-system, hslua-module-text, HsYAML
- , HTTP, http-client, http-client-tls, http-types, ipynb
- , jira-wiki-markup, JuicyPixels, mtl, network, network-uri
- , pandoc-types, parsec, process, QuickCheck, random, safe
- , scientific, SHA, skylighting, skylighting-core, split, syb
- , tagsoup, tasty, tasty-bench, tasty-golden, tasty-hunit, tasty-lua
- , tasty-quickcheck, temporary, texmath, text, text-conversions
- , time, unicode-collation, unicode-transforms, unix
- , unordered-containers, xml, xml-conduit, zip-archive, zlib
+ , http-client, http-client-tls, http-types, ipynb, jira-wiki-markup
+ , JuicyPixels, mtl, network, network-uri, pandoc-types, parsec
+ , process, QuickCheck, random, safe, scientific, SHA, skylighting
+ , skylighting-core, split, syb, tagsoup, tasty, tasty-bench
+ , tasty-golden, tasty-hunit, tasty-lua, tasty-quickcheck, temporary
+ , texmath, text, text-conversions, time, unicode-collation
+ , unicode-transforms, unix, unordered-containers, xml, xml-conduit
+ , zip-archive, zlib
}:
mkDerivation {
pname = "pandoc";
- version = "2.14.1";
- sha256 = "1jj011az45zpd201f3dwb90m22p60nv5bmpbh39li885xwv46qyq";
+ version = "2.14.2";
+ sha256 = "1bjw5di5dwfpnxgkj2qmri8f1nv6yin23cd8s38chwan29vd7xrc";
configureFlags = [ "-fhttps" "-f-trypandoc" ];
isLibrary = true;
isExecutable = true;
@@ -197139,7 +199531,7 @@ self: {
commonmark commonmark-extensions commonmark-pandoc connection
containers data-default deepseq directory doclayout doctemplates
emojis exceptions file-embed filepath Glob haddock-library hslua
- hslua-module-path hslua-module-system hslua-module-text HsYAML HTTP
+ hslua-module-path hslua-module-system hslua-module-text HsYAML
http-client http-client-tls http-types ipynb jira-wiki-markup
JuicyPixels mtl network network-uri pandoc-types parsec process
random safe scientific SHA skylighting skylighting-core split syb
@@ -197336,8 +199728,8 @@ self: {
}:
mkDerivation {
pname = "pandoc-filter-indent";
- version = "0.3.1.0";
- sha256 = "1ys7v9ygy07c4jxraqmbb1fqswhh0fydcgd5zcfjln2sjb637947";
+ version = "0.3.2.0";
+ sha256 = "0nhv38vpkjsy6fbidrfwh8n2pzs4ipb8l4dq9is0rjb36fahjmvg";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -197459,8 +199851,8 @@ self: {
({ mkDerivation, base, containers, pandoc-types, relude, text }:
mkDerivation {
pname = "pandoc-link-context";
- version = "1.0.0.0";
- sha256 = "0pl232p0cdn810jyp3xjdhf3zfj6ryjmb1f462l4jivawffyjfd4";
+ version = "1.2.0.0";
+ sha256 = "06yd4wp7v8p1z9jrg4rzcinkkdng94v2gpcs039brb7cb9qi4gpl";
libraryHaskellDepends = [
base containers pandoc-types relude text
];
@@ -197575,6 +199967,41 @@ self: {
license = lib.licenses.gpl2Plus;
}) {};
+ "pandoc-plot_1_3_0" = callPackage
+ ({ mkDerivation, base, bytestring, containers, criterion
+ , data-default, directory, filepath, gitrev, hashable, hspec
+ , hspec-expectations, lifted-async, lifted-base, mtl
+ , optparse-applicative, pandoc, pandoc-types, shakespeare, tagsoup
+ , tasty, tasty-hspec, tasty-hunit, template-haskell, text
+ , typed-process, unix, yaml
+ }:
+ mkDerivation {
+ pname = "pandoc-plot";
+ version = "1.3.0";
+ sha256 = "0d33cbw0ygsdwh718q7q5gw2s6565dbrjwi3rz0qdf78q14wiayi";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring containers data-default directory filepath hashable
+ lifted-async lifted-base mtl pandoc pandoc-types shakespeare
+ tagsoup template-haskell text typed-process unix yaml
+ ];
+ executableHaskellDepends = [
+ base containers directory filepath gitrev optparse-applicative
+ pandoc pandoc-types template-haskell text typed-process
+ ];
+ testHaskellDepends = [
+ base containers directory filepath hspec hspec-expectations
+ pandoc-types tasty tasty-hspec tasty-hunit text
+ ];
+ benchmarkHaskellDepends = [
+ base criterion pandoc-types template-haskell text
+ ];
+ description = "A Pandoc filter to include figures generated from code blocks using your plotting toolkit of choice";
+ license = lib.licenses.gpl2Plus;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"pandoc-pyplot" = callPackage
({ mkDerivation, base, containers, data-default-class, deepseq
, directory, filepath, hashable, hspec, hspec-expectations, mtl
@@ -197659,6 +200086,8 @@ self: {
pname = "pandoc-types";
version = "1.22";
sha256 = "0kr5n9yw59513pw2rjc65qs55iq0prn16prk4781arqdh7g7a09q";
+ revision = "1";
+ editedCabalFile = "11gfc2syn2c0x3hyyw3c6z9hxmhs8jgv2xnzr43ql00r76ka9s95";
libraryHaskellDepends = [
aeson base bytestring containers deepseq ghc-prim QuickCheck syb
text transformers
@@ -197728,8 +200157,8 @@ self: {
({ mkDerivation }:
mkDerivation {
pname = "pandora";
- version = "0.4.5";
- sha256 = "0r8pw2zy6yckizy9hrwg3kpg6f9v0dkj0fxw873sxpc4ccz5nkl0";
+ version = "0.4.7";
+ sha256 = "1kda661i18kzrfj38si48n1shbqxh30p1sz97cb871ni2hlqsarj";
description = "A box of patterns and paradigms";
license = lib.licenses.mit;
}) {};
@@ -197921,6 +200350,48 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "pantry_0_5_3" = callPackage
+ ({ mkDerivation, aeson, ansi-terminal, base, bytestring, Cabal
+ , casa-client, casa-types, conduit, conduit-extra, containers
+ , cryptonite, cryptonite-conduit, digest, exceptions, filelock
+ , generic-deriving, hackage-security, hedgehog, hpack, hspec
+ , http-client, http-client-tls, http-conduit, http-download
+ , http-types, memory, mtl, network-uri, path, path-io, persistent
+ , persistent-sqlite, persistent-template, primitive, QuickCheck
+ , raw-strings-qq, resourcet, rio, rio-orphans, rio-prettyprint
+ , tar-conduit, text, text-metrics, time, transformers, unix-compat
+ , unliftio, unordered-containers, vector, yaml, zip-archive
+ }:
+ mkDerivation {
+ pname = "pantry";
+ version = "0.5.3";
+ sha256 = "1pb9vr615rhh0lyi392ghxdnxq5pr15y0w8f372xh046i9dinj39";
+ libraryHaskellDepends = [
+ aeson ansi-terminal base bytestring Cabal casa-client casa-types
+ conduit conduit-extra containers cryptonite cryptonite-conduit
+ digest filelock generic-deriving hackage-security hpack http-client
+ http-client-tls http-conduit http-download http-types memory mtl
+ network-uri path path-io persistent persistent-sqlite
+ persistent-template primitive resourcet rio rio-orphans
+ rio-prettyprint tar-conduit text text-metrics time transformers
+ unix-compat unliftio unordered-containers vector yaml zip-archive
+ ];
+ testHaskellDepends = [
+ aeson ansi-terminal base bytestring Cabal casa-client casa-types
+ conduit conduit-extra containers cryptonite cryptonite-conduit
+ digest exceptions filelock generic-deriving hackage-security
+ hedgehog hpack hspec http-client http-client-tls http-conduit
+ http-download http-types memory mtl network-uri path path-io
+ persistent persistent-sqlite persistent-template primitive
+ QuickCheck raw-strings-qq resourcet rio rio-orphans rio-prettyprint
+ tar-conduit text text-metrics time transformers unix-compat
+ unliftio unordered-containers vector yaml zip-archive
+ ];
+ description = "Content addressable Haskell package management";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"pantry-tmp" = callPackage
({ mkDerivation, aeson, ansi-terminal, array, base, base-orphans
, base64-bytestring, bytestring, Cabal, conduit, conduit-extra
@@ -198565,21 +201036,24 @@ self: {
"parameterized-utils" = callPackage
({ mkDerivation, base, base-orphans, constraints, containers
- , deepseq, ghc-prim, hashable, hashtables, hedgehog, lens, mtl
+ , deepseq, ghc-prim, hashable, hashtables, hedgehog
+ , hedgehog-classes, indexed-traversable, lens, mtl, profunctors
, tasty, tasty-ant-xml, tasty-hedgehog, tasty-hunit
, template-haskell, text, th-abstraction, vector
}:
mkDerivation {
pname = "parameterized-utils";
- version = "2.1.3.0";
- sha256 = "1222lsdf4jbxwinv88g0sdnmbfyyxjmhfiinmasi5qbgkay4907l";
+ version = "2.1.4.0";
+ sha256 = "16hdmlpyjg9gbal195wpglb11i9qbaw8khp3c1433kgdlqz56hj7";
libraryHaskellDepends = [
base base-orphans constraints containers deepseq ghc-prim hashable
- hashtables lens mtl template-haskell text th-abstraction vector
+ hashtables indexed-traversable lens mtl profunctors
+ template-haskell text th-abstraction vector
];
testHaskellDepends = [
- base ghc-prim hashable hashtables hedgehog lens mtl tasty
- tasty-ant-xml tasty-hedgehog tasty-hunit
+ base ghc-prim hashable hashtables hedgehog hedgehog-classes
+ indexed-traversable lens mtl tasty tasty-ant-xml tasty-hedgehog
+ tasty-hunit
];
description = "Classes and data structures for working with data-kind indexed types";
license = lib.licenses.bsd3;
@@ -198963,7 +201437,6 @@ self: {
];
description = "Parsec combinators for parsing Haskell numeric types";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"parsec-parsers" = callPackage
@@ -199521,6 +201994,18 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "partial-isomorphisms_0_2_3_0" = callPackage
+ ({ mkDerivation, base, template-haskell }:
+ mkDerivation {
+ pname = "partial-isomorphisms";
+ version = "0.2.3.0";
+ sha256 = "08390b7vj02kbx0s5q3irxljr1p8w4rvm6kf33ivv04cal3r2q39";
+ libraryHaskellDepends = [ base template-haskell ];
+ description = "Partial isomorphisms";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"partial-lens" = callPackage
({ mkDerivation, base, comonad-transformers, data-lens
, transformers
@@ -199611,7 +202096,6 @@ self: {
libraryHaskellDepends = [ base network-uri ];
description = "Datatype for passing around unresolved URIs";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"partly" = callPackage
@@ -199759,7 +202243,9 @@ self: {
];
description = "Hashing and checking of passwords";
license = lib.licenses.bsd3;
- platforms = [ "i686-linux" "x86_64-darwin" "x86_64-linux" ];
+ platforms = [
+ "aarch64-darwin" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ ];
maintainers = with lib.maintainers; [ cdepillabout ];
}) {};
@@ -199784,7 +202270,9 @@ self: {
];
description = "typeclass instances for password package";
license = lib.licenses.bsd3;
- platforms = [ "i686-linux" "x86_64-darwin" "x86_64-linux" ];
+ platforms = [
+ "aarch64-darwin" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ ];
maintainers = with lib.maintainers; [ cdepillabout ];
}) {};
@@ -200217,6 +202705,7 @@ self: {
];
description = "Library for representing and manipulating type-safe file paths";
license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ maralorn ];
}) {};
"pathtype" = callPackage
@@ -200361,13 +202850,14 @@ self: {
({ mkDerivation, base, criterion, hspec, mwc-random, vector }:
mkDerivation {
pname = "pava";
- version = "0.1.1.1";
- sha256 = "11jlhc1cqsn0r82rbwnf323s0w1ir3vf4ija0r39j58y19blc8zv";
+ version = "0.1.1.2";
+ sha256 = "0qvyia9iy8f9s16v2khgzm74z9r7mks98xz1g1qhrdkw950mjlga";
libraryHaskellDepends = [ base vector ];
testHaskellDepends = [ base hspec vector ];
benchmarkHaskellDepends = [ base criterion mwc-random vector ];
description = "Greatest convex majorants and least concave minorants";
license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ dschrempf ];
}) {};
"paymill" = callPackage
@@ -200787,24 +203277,25 @@ self: {
license = lib.licenses.asl20;
}) {};
- "pcre2_2_0_0" = callPackage
- ({ mkDerivation, base, containers, criterion, hspec
+ "pcre2_2_0_2" = callPackage
+ ({ mkDerivation, base, containers, criterion, hspec, microlens
, microlens-platform, mtl, pcre-light, regex-pcre-builtin
, template-haskell, text
}:
mkDerivation {
pname = "pcre2";
- version = "2.0.0";
- sha256 = "1jkyc2s3x5n7zrw9b78gk8jj262xfmg8cva2gr7mlzzl0hd9r11y";
+ version = "2.0.2";
+ sha256 = "0v96cxkx1c9x9n5z2fh1xawgrnaz00pf7ip76my8r92rzayzy0kw";
libraryHaskellDepends = [
- base containers mtl template-haskell text
+ base containers microlens mtl template-haskell text
];
testHaskellDepends = [
- base containers hspec microlens-platform mtl template-haskell text
+ base containers hspec microlens microlens-platform mtl
+ template-haskell text
];
benchmarkHaskellDepends = [
- base containers criterion microlens-platform mtl pcre-light
- regex-pcre-builtin template-haskell text
+ base containers criterion microlens microlens-platform mtl
+ pcre-light regex-pcre-builtin template-haskell text
];
description = "Regular expressions via the PCRE2 C library (included)";
license = lib.licenses.asl20;
@@ -201114,7 +203605,6 @@ self: {
libraryHaskellDepends = [ base ];
description = "Peano numbers";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"peano-inf" = callPackage
@@ -201576,17 +204066,16 @@ self: {
}) {};
"perf" = callPackage
- ({ mkDerivation, base, containers, deepseq, doctest, foldl, rdtsc
- , text, time, transformers
+ ({ mkDerivation, base, containers, foldl, mtl, rdtsc, text, time
+ , transformers
}:
mkDerivation {
pname = "perf";
- version = "0.7.0";
- sha256 = "140fy74sq3b1k6px008yz2pr9ikikd68llzc411qs3nclwzasxgx";
+ version = "0.9.0";
+ sha256 = "116j1dygya9226q52vw6l6w64raldjpz7z22kmcm38v36i696lik";
libraryHaskellDepends = [
- base containers deepseq foldl rdtsc text time transformers
+ base containers foldl mtl rdtsc text time transformers
];
- testHaskellDepends = [ base deepseq doctest rdtsc ];
description = "Low-level run time measurement";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -201959,7 +204448,9 @@ self: {
];
description = "Serialization library with state and leb128 encoding";
license = lib.licenses.bsd3;
- platforms = [ "i686-linux" "x86_64-darwin" "x86_64-linux" ];
+ platforms = [
+ "aarch64-darwin" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ ];
}) {};
"persist2er" = callPackage
@@ -202030,8 +204521,48 @@ self: {
}:
mkDerivation {
pname = "persistent";
- version = "2.13.1.1";
- sha256 = "0sg51psmpjsz9hiva0gn3xcnd74a6dwbzx1bzi918idcfkpbn496";
+ version = "2.13.1.2";
+ sha256 = "09si4h64i9drqr80a2sxpxhmsinacqx9bvsc3jah5zlm915q092y";
+ revision = "1";
+ editedCabalFile = "0xasbm1m5az7anp1wqfr69j0b7jycg82qdcq4kd97lqdn7rqvhsp";
+ libraryHaskellDepends = [
+ aeson attoparsec base base64-bytestring blaze-html bytestring
+ conduit containers fast-logger http-api-data lift-type monad-logger
+ mtl path-pieces resource-pool resourcet scientific silently
+ template-haskell text th-lift-instances time transformers unliftio
+ unliftio-core unordered-containers vector
+ ];
+ testHaskellDepends = [
+ aeson attoparsec base base64-bytestring blaze-html bytestring
+ conduit containers fast-logger hspec http-api-data monad-logger mtl
+ path-pieces QuickCheck quickcheck-instances resource-pool resourcet
+ scientific shakespeare silently template-haskell text
+ th-lift-instances time transformers unliftio unliftio-core
+ unordered-containers vector
+ ];
+ benchmarkHaskellDepends = [
+ base criterion deepseq file-embed template-haskell text
+ ];
+ description = "Type-safe, multi-backend data serialization";
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ psibi ];
+ }) {};
+
+ "persistent_2_13_2_1" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, base64-bytestring
+ , blaze-html, bytestring, conduit, containers, criterion, deepseq
+ , fast-logger, file-embed, hspec, http-api-data, lift-type
+ , monad-logger, mtl, path-pieces, QuickCheck, quickcheck-instances
+ , resource-pool, resourcet, scientific, shakespeare, silently
+ , template-haskell, text, th-lift-instances, time, transformers
+ , unliftio, unliftio-core, unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "persistent";
+ version = "2.13.2.1";
+ sha256 = "13lp9i94f57qhifdmr1vnsrra34526f7kqa1sybcaj2jh2v3q85k";
+ revision = "1";
+ editedCabalFile = "15lx2kd8ijn91h65nhzxmd50hmmybhs6x6qfg5wnl3ylcmgi6glg";
libraryHaskellDepends = [
aeson attoparsec base base64-bytestring blaze-html bytestring
conduit containers fast-logger http-api-data lift-type monad-logger
@@ -202052,6 +204583,7 @@ self: {
];
description = "Type-safe, multi-backend data serialization";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
maintainers = with lib.maintainers; [ psibi ];
}) {};
@@ -202264,8 +204796,8 @@ self: {
}:
mkDerivation {
pname = "persistent-migration";
- version = "0.2.1";
- sha256 = "0jxhd9bkzcak48nz02g1s8rmbc9fkylf13p4vxkn3x26g2qlig7i";
+ version = "0.3.0";
+ sha256 = "1jm3qizi1l0wdsmmb87lk7i35lp8ip935vbwzwnd7ybb6s8js1pn";
libraryHaskellDepends = [
base containers fgl mtl persistent text time unordered-containers
];
@@ -202368,8 +204900,8 @@ self: {
}:
mkDerivation {
pname = "persistent-mysql";
- version = "2.13.0.1";
- sha256 = "1gl48xcsczpzipg9v107x970rzi66vr26772ml9z8szxygamgsdb";
+ version = "2.13.0.2";
+ sha256 = "18ji7a7lb1mjgqvi2mv2cg4vlgjkyzg2hgp09s7c9v071p3ll732";
libraryHaskellDepends = [
aeson base blaze-builder bytestring conduit containers monad-logger
mysql mysql-simple persistent resource-pool resourcet text
@@ -202486,8 +205018,41 @@ self: {
}:
mkDerivation {
pname = "persistent-postgresql";
- version = "2.13.0.3";
- sha256 = "06f5yyv8bj3m4zpjwr1k66hkmh1gfy624rnq2g12sjrpz8nrax6j";
+ version = "2.13.1.0";
+ sha256 = "05bj3b7kdwaba3szrrsmafxr6vcnvdhq20jk5xx348jnf2flkw0i";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson attoparsec base blaze-builder bytestring conduit containers
+ monad-logger mtl persistent postgresql-libpq postgresql-simple
+ resource-pool resourcet string-conversions text time transformers
+ unliftio-core
+ ];
+ testHaskellDepends = [
+ aeson base bytestring containers fast-logger hspec
+ hspec-expectations hspec-expectations-lifted http-api-data HUnit
+ monad-logger path-pieces persistent persistent-qq persistent-test
+ QuickCheck quickcheck-instances resourcet text time transformers
+ unliftio unliftio-core unordered-containers vector
+ ];
+ description = "Backend for the persistent library using postgresql";
+ license = lib.licenses.mit;
+ }) {};
+
+ "persistent-postgresql_2_13_2_1" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, blaze-builder, bytestring
+ , conduit, containers, fast-logger, hspec, hspec-expectations
+ , hspec-expectations-lifted, http-api-data, HUnit, monad-logger
+ , mtl, path-pieces, persistent, persistent-qq, persistent-test
+ , postgresql-libpq, postgresql-simple, QuickCheck
+ , quickcheck-instances, resource-pool, resourcet
+ , string-conversions, text, time, transformers, unliftio
+ , unliftio-core, unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "persistent-postgresql";
+ version = "2.13.2.1";
+ sha256 = "07pnr8m0nk43jaz6l293lzx4ivyqgnw94fjypazzm008b4irh7ir";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -202505,6 +205070,7 @@ self: {
];
description = "Backend for the persistent library using postgresql";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"persistent-protobuf" = callPackage
@@ -203324,6 +205890,7 @@ self: {
description = "Phonenumber Metadata - NOTE: this is now deprecated!";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"phone-numbers" = callPackage
@@ -203475,6 +206042,7 @@ self: {
];
description = "A generalization of the uniqueness-periods-vector-general functionality";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"phonetic-languages-permutations" = callPackage
@@ -203492,8 +206060,8 @@ self: {
({ mkDerivation, base, subG }:
mkDerivation {
pname = "phonetic-languages-permutations-array";
- version = "0.1.0.0";
- sha256 = "1r8fwdphn3h9zpbrdbbgmqjwv6gwcl205ahr3kqwz6sfg78bflj4";
+ version = "0.2.0.0";
+ sha256 = "0czrkhiplkblgsf6gq17m4hrwas4j4gj1hlq9zab8dcak39qkmc8";
libraryHaskellDepends = [ base subG ];
description = "Permutations and universal set related functions for the phonetic-languages series";
license = lib.licenses.mit;
@@ -203505,8 +206073,8 @@ self: {
}:
mkDerivation {
pname = "phonetic-languages-phonetics-basics";
- version = "0.8.1.0";
- sha256 = "1y67w8ywcmv8d86b52vhiqxsgk31pglf8hcjnmml2q5kh8cpjwmp";
+ version = "0.8.4.0";
+ sha256 = "1sxc7qsp93qdf11lp09www1ynrzpnxnc0k00pidz1bpka2hqcjh1";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -203525,17 +206093,22 @@ self: {
}:
mkDerivation {
pname = "phonetic-languages-plus";
- version = "0.2.0.0";
- sha256 = "05xzmkzx3lc070ln6q2ynbqfh6rb70rx1n845gy0i59h6zpsl9ai";
+ version = "0.4.1.0";
+ sha256 = "08qshrwh19wvav0j5h05x49m8i7j1p4lgzwpv86n5y34gx0bbfg2";
isLibrary = true;
isExecutable = true;
- libraryHaskellDepends = [ base lists-flines ];
+ libraryHaskellDepends = [
+ base bytestring lists-flines parallel
+ uniqueness-periods-vector-stats
+ ];
executableHaskellDepends = [
base bytestring lists-flines parallel
uniqueness-periods-vector-stats
];
description = "Some common shared between different packages functions";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"phonetic-languages-properties" = callPackage
@@ -203561,8 +206134,8 @@ self: {
({ mkDerivation, base }:
mkDerivation {
pname = "phonetic-languages-rhythmicity";
- version = "0.9.0.0";
- sha256 = "1xymd8r5lp4jn0qb4p1dyzbhdyb3nsnvphx7f9nvf46kjbz18670";
+ version = "0.9.1.0";
+ sha256 = "1j2fr1hf6k9b7838sqyv5lq5cx75a44d2adk78ljyc0qx9hh9537";
libraryHaskellDepends = [ base ];
description = "Allows to estimate the rhythmicity properties for the text";
license = lib.licenses.mit;
@@ -203573,12 +206146,12 @@ self: {
}:
mkDerivation {
pname = "phonetic-languages-simplified-base";
- version = "0.2.0.0";
- sha256 = "1382i77ci70ax7lvbkqqvg1wr2pp5irl8wxvypngr15czqgj7sca";
+ version = "0.3.0.0";
+ sha256 = "03wgw1fcfx3agznibfjqppcvh0c1rm87rprnwzx4id69sqb195ps";
libraryHaskellDepends = [
base phonetic-languages-permutations-array subG
];
- description = "A simplified version of the phonetic-languages functionality common for some different realizations";
+ description = "A basics of the phonetic-languages functionality";
license = lib.licenses.mit;
}) {};
@@ -203611,8 +206184,8 @@ self: {
}:
mkDerivation {
pname = "phonetic-languages-simplified-examples-array";
- version = "0.10.0.0";
- sha256 = "0m7p4iddilaf0v81kjya41m6rczplhw8cl3gq4axwq5lw0x5nppf";
+ version = "0.13.0.0";
+ sha256 = "1zpbcp3ij8j2vmss511kvrzwzymnjmzqs628hv0n9nnzvgma5nn7";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -203639,25 +206212,25 @@ self: {
];
description = "Helps to create Ukrainian texts with the given phonetic properties";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"phonetic-languages-simplified-examples-common" = callPackage
({ mkDerivation, base, heaps, mmsyn2-array
, phonetic-languages-constraints-array
- , phonetic-languages-ukrainian-array, subG
- , ukrainian-phonetics-basic-array
+ , phonetic-languages-ukrainian-array
}:
mkDerivation {
pname = "phonetic-languages-simplified-examples-common";
- version = "0.1.1.0";
- sha256 = "09h63czjpab863gi7806k1yw4q9mykszvvnb3zwbv9i97nfbvnfa";
+ version = "0.2.0.0";
+ sha256 = "1v2v571rjmfxqzdnm7z2v3dygknlk5nyvfyv7dkgzf7apmlmnpd2";
libraryHaskellDepends = [
base heaps mmsyn2-array phonetic-languages-constraints-array
- phonetic-languages-ukrainian-array subG
- ukrainian-phonetics-basic-array
+ phonetic-languages-ukrainian-array
];
description = "Some commonly used by phonetic-languages-simplified* series functions";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"phonetic-languages-simplified-generalized-examples-array" = callPackage
@@ -203673,8 +206246,8 @@ self: {
}:
mkDerivation {
pname = "phonetic-languages-simplified-generalized-examples-array";
- version = "0.10.0.0";
- sha256 = "169ln5g5gz4lshsk2qfmj6h25x3xch0ar4mm0i9wn07wa7g1yyvj";
+ version = "0.12.1.0";
+ sha256 = "0wp5gpshmq5kr39glvfmc0b5jg8p1i146svjxh6flgkfn7yyr6rf";
libraryHaskellDepends = [
base heaps mmsyn2-array mmsyn3 parallel
phonetic-languages-constraints-array
@@ -203688,18 +206261,18 @@ self: {
];
description = "Helps to create texts with the given phonetic properties (e. g. poetic).";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"phonetic-languages-simplified-generalized-examples-common" = callPackage
({ mkDerivation, base, heaps, phonetic-languages-phonetics-basics
- , subG
}:
mkDerivation {
pname = "phonetic-languages-simplified-generalized-examples-common";
- version = "0.2.0.0";
- sha256 = "15ngw29ffsyp7j71rpyllfyifvqybgpb5mh2cfgi1vscl8c6zydl";
+ version = "0.3.0.0";
+ sha256 = "1ajgp4wyfdzvvnj5272r0cpl9jykps5bwzn93asmfbilzfdjaynz";
libraryHaskellDepends = [
- base heaps phonetic-languages-phonetics-basics subG
+ base heaps phonetic-languages-phonetics-basics
];
description = "Some common code for phonetic languages generalized functionality";
license = lib.licenses.mit;
@@ -203712,8 +206285,8 @@ self: {
}:
mkDerivation {
pname = "phonetic-languages-simplified-generalized-properties-array";
- version = "0.8.0.0";
- sha256 = "0fi76agkx6i55121pcj3wxrfw4ymqyqb5l8sa8vm78nvx5r54nsd";
+ version = "0.8.2.0";
+ sha256 = "0mlzmsal1phg2r7mwdgxbc55ybziqys6avzkv7pw3il1vy7kyzyx";
libraryHaskellDepends = [
base phonetic-languages-phonetics-basics
phonetic-languages-rhythmicity phonetic-languages-simplified-base
@@ -203764,13 +206337,13 @@ self: {
}:
mkDerivation {
pname = "phonetic-languages-simplified-properties-array";
- version = "0.8.0.0";
- sha256 = "1h32g5cqib72j2ib26ch6b1r50j506arx0pz6zfxl968095vmcan";
+ version = "0.9.2.0";
+ sha256 = "1w5y1pw71yhm5zayrsp5qh4p6qldg79kh4ipcqzs25s8rbgksy7a";
libraryHaskellDepends = [
base phonetic-languages-rhythmicity
phonetic-languages-simplified-base ukrainian-phonetics-basic-array
];
- description = "A generalization of the uniqueness-periods-vector-properties package";
+ description = "Some properties of the data related to rhythmicity";
license = lib.licenses.mit;
}) {};
@@ -203829,11 +206402,16 @@ self: {
({ mkDerivation, base, mmsyn2-array, mmsyn5 }:
mkDerivation {
pname = "phonetic-languages-ukrainian-array";
- version = "0.2.1.0";
- sha256 = "17gyg64hwk5cj9drpdsadyn3l94g2n6m859ghfplr665id2pgzlg";
+ version = "0.6.1.0";
+ sha256 = "1ggwhfgfk1vrl5dw1yzd2xnmnk9r33fnfcydm6zskxairbgx7zkr";
+ isLibrary = true;
+ isExecutable = true;
libraryHaskellDepends = [ base mmsyn2-array mmsyn5 ];
+ executableHaskellDepends = [ base mmsyn2-array mmsyn5 ];
description = "Prepares Ukrainian text to be used as a phonetic language text";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"phonetic-languages-vector" = callPackage
@@ -204215,6 +206793,21 @@ self: {
license = lib.licenses.mit;
}) {};
+ "pid1_0_1_3_0" = callPackage
+ ({ mkDerivation, base, directory, process, unix }:
+ mkDerivation {
+ pname = "pid1";
+ version = "0.1.3.0";
+ sha256 = "1m2i03ncgn1y6h2352pnvhcqzif45505vlnxh11xngvjx47f85a1";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [ base directory process unix ];
+ executableHaskellDepends = [ base ];
+ description = "Do signal handling and orphan reaping for Unix PID1 init processes";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"pidfile" = callPackage
({ mkDerivation, base, unix }:
mkDerivation {
@@ -204517,8 +207110,8 @@ self: {
}:
mkDerivation {
pname = "pinned-warnings";
- version = "0.1.0.6";
- sha256 = "1n0h2v71x3j0wn0g2f3zq3xw681s16hl7ffywi83z50hacd8x6kx";
+ version = "0.1.0.8";
+ sha256 = "0ky5lqvf1bfbjzblqgnj47jhkxw4fb7gz7k268hnf41gfnbsy3i4";
libraryHaskellDepends = [
base bytestring containers directory ghc time transformers
];
@@ -206063,7 +208656,6 @@ self: {
libraryHaskellDepends = [ base containers ];
description = "Implementation of the PKTree spatial index data structure";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"place-cursor-at" = callPackage
@@ -206464,6 +209056,7 @@ self: {
description = "Plivo API wrapper for Haskell";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"plocketed" = callPackage
@@ -207041,7 +209634,6 @@ self: {
];
description = "Tool for refactoring expressions into pointfree form";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"pointfree-fancy" = callPackage
@@ -207052,8 +209644,8 @@ self: {
pname = "pointfree-fancy";
version = "1.1.1.15";
sha256 = "1jbxgn4raa5zzy5riflvx1sch6ar78fi84yf0ag86yxda3lh70qd";
- revision = "1";
- editedCabalFile = "1hk3558yviij4d4x93h253x7rpqmnjj7imgydgllgi7xa0jzwknc";
+ revision = "2";
+ editedCabalFile = "1m23ll2r9aizgp21jssyxxqa20lg93carpn7gwdfzafakwjzdg26";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -207524,6 +210116,7 @@ self: {
description = "Polynomial types and operations";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"polynomial" = callPackage
@@ -207618,8 +210211,6 @@ self: {
];
description = "Higher-order, low-boilerplate free monads";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"polysemy_1_6_0_0" = callPackage
@@ -207652,7 +210243,6 @@ self: {
description = "Higher-order, low-boilerplate free monads";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"polysemy-RandomFu" = callPackage
@@ -207662,8 +210252,8 @@ self: {
}:
mkDerivation {
pname = "polysemy-RandomFu";
- version = "0.4.2.1";
- sha256 = "16r167cx87y9l36psa3ffrcfjyhm4ngzbbsmm9c5dh3gvq53vw58";
+ version = "0.4.3.0";
+ sha256 = "054v54kwkrg13nx9kznkclnmjnynh9j48bk2fjylwd9xqrj1r63a";
libraryHaskellDepends = [
base polysemy polysemy-plugin polysemy-zoo random-fu random-source
];
@@ -207677,6 +210267,28 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "polysemy-check" = callPackage
+ ({ mkDerivation, base, containers, hspec, hspec-discover
+ , kind-generics, kind-generics-th, polysemy, polysemy-plugin
+ , QuickCheck
+ }:
+ mkDerivation {
+ pname = "polysemy-check";
+ version = "0.5.0.0";
+ sha256 = "0ch8dzzap6f6bfdyy8i9xdna9l7d3yvx9m7z3pz9pjb19vjxa3f6";
+ libraryHaskellDepends = [
+ base containers kind-generics kind-generics-th polysemy QuickCheck
+ ];
+ testHaskellDepends = [
+ base containers hspec kind-generics kind-generics-th polysemy
+ polysemy-plugin QuickCheck
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "QuickCheck for Polysemy";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"polysemy-chronos" = callPackage
({ mkDerivation, aeson, base, chronos, containers, hedgehog
, polysemy, polysemy-test, polysemy-time, relude, tasty
@@ -207684,8 +210296,8 @@ self: {
}:
mkDerivation {
pname = "polysemy-chronos";
- version = "0.1.3.2";
- sha256 = "0h3fla28m0y9fgw5pxrirz3bhm7baf12z70a5s8rmpi8q5h8v841";
+ version = "0.1.4.0";
+ sha256 = "1rkk87rnvs58hlcm46l8hqd8zf27madk8yr5p8zs0iliy0j1zsi0";
libraryHaskellDepends = [
aeson base chronos containers polysemy polysemy-time relude text
];
@@ -207695,31 +210307,27 @@ self: {
];
description = "Polysemy-time Interpreters for Chronos";
license = "BSD-2-Clause-Patent";
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-conc" = callPackage
- ({ mkDerivation, async, base, containers, hedgehog, polysemy
- , polysemy-test, polysemy-time, relude, stm, stm-chans
- , string-interpolate, tasty, tasty-hedgehog, template-haskell, text
- , time, unagi-chan, unix
+ ({ mkDerivation, async, base, containers, polysemy, polysemy-test
+ , polysemy-time, relude, stm, stm-chans, string-interpolate, tasty
+ , template-haskell, text, time, unagi-chan, unix
}:
mkDerivation {
pname = "polysemy-conc";
- version = "0.1.1.0";
- sha256 = "0mhhywk0iziw33j8i47k8fbdk8xrzr382afkk5wlwac7gqr4hxkf";
+ version = "0.4.0.1";
+ sha256 = "1wf24837p5bk6p6p2d3bqwyrj93ls7kndvzr9qa8w8g46fv1ryp4";
libraryHaskellDepends = [
async base containers polysemy polysemy-time relude stm stm-chans
string-interpolate template-haskell text time unagi-chan unix
];
testHaskellDepends = [
- async base containers hedgehog polysemy polysemy-test polysemy-time
- relude stm stm-chans string-interpolate tasty tasty-hedgehog
- template-haskell text time unagi-chan unix
+ base polysemy polysemy-test polysemy-time stm tasty time unagi-chan
+ unix
];
description = "Polysemy Effects for Concurrency";
license = "BSD-2-Clause-Patent";
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-extra" = callPackage
@@ -207735,7 +210343,6 @@ self: {
];
description = "Extra Input and Output functions for polysemy";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-fs" = callPackage
@@ -207753,7 +210360,6 @@ self: {
];
description = "Low level filesystem operations for polysemy";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-fskvstore" = callPackage
@@ -207769,7 +210375,6 @@ self: {
];
description = "Run a KVStore as a filesystem in polysemy";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-http" = callPackage
@@ -207820,7 +210425,6 @@ self: {
];
description = "Effect for a set of stateful values indexed by a type of keys";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-kvstore" = callPackage
@@ -207832,7 +210436,6 @@ self: {
libraryHaskellDepends = [ base containers polysemy ];
description = "KVStore effect for polysemy";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-kvstore-jsonfile" = callPackage
@@ -207851,7 +210454,6 @@ self: {
];
description = "Run a KVStore as a single json file in polysemy";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-log" = callPackage
@@ -207862,8 +210464,8 @@ self: {
}:
mkDerivation {
pname = "polysemy-log";
- version = "0.2.2.2";
- sha256 = "16xr9ym9ahc4452v5rdna8i5xsm7z50zjkjxa6kl6ql3vxrqfj2m";
+ version = "0.2.2.4";
+ sha256 = "17jzmiqqwq44zvg1m6w0m3ishkwfcz66gagijwkqbrk1rcn3bmc0";
libraryHaskellDepends = [
ansi-terminal base polysemy polysemy-conc polysemy-time relude
string-interpolate template-haskell text time
@@ -207875,7 +210477,6 @@ self: {
];
description = "Polysemy Effects for Logging";
license = "BSD-2-Clause-Patent";
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-log-co" = callPackage
@@ -207885,8 +210486,8 @@ self: {
}:
mkDerivation {
pname = "polysemy-log-co";
- version = "0.2.2.2";
- sha256 = "1w3jyl8qb491v2a0lbkffpg7yx04mwhxsv1zqk7894145rryxkpn";
+ version = "0.2.2.4";
+ sha256 = "0ph24p6b7m4icq65kc6ws8ih9p1arpq9zx3abwzsq2f4dcgmibhx";
libraryHaskellDepends = [
base co-log co-log-core co-log-polysemy polysemy polysemy-conc
polysemy-log polysemy-time relude text time
@@ -207908,8 +210509,8 @@ self: {
}:
mkDerivation {
pname = "polysemy-log-di";
- version = "0.2.2.2";
- sha256 = "0p1sz7w247fqvxjmz0bjh34nbvb8p9pc4wimklcmkvghqzny5qkz";
+ version = "0.2.2.4";
+ sha256 = "1m2zssg54lx0drc8vw0jjhdl74pks6752am8467xv3qawndm71kg";
libraryHaskellDepends = [
base di-polysemy polysemy polysemy-conc polysemy-log polysemy-time
relude text time
@@ -207920,7 +210521,6 @@ self: {
];
description = "Di Adapters for Polysemy-Log";
license = "BSD-2-Clause-Patent";
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-methodology" = callPackage
@@ -207937,7 +210537,6 @@ self: {
];
description = "Domain modelling algebra for polysemy";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-methodology-co-log" = callPackage
@@ -207974,7 +210573,6 @@ self: {
];
description = "Functions for using polysemy-methodology with composite";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-mocks" = callPackage
@@ -207990,7 +210588,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Mocking framework for polysemy effects";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-optics" = callPackage
@@ -208016,7 +210613,6 @@ self: {
libraryHaskellDepends = [ base path polysemy polysemy-extra ];
description = "Polysemy versions of Path functions";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-plugin" = callPackage
@@ -208041,6 +210637,7 @@ self: {
description = "Disambiguate obvious uses of effects";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"polysemy-plugin_0_4_0_0" = callPackage
@@ -208065,6 +210662,7 @@ self: {
description = "Disambiguate obvious uses of effects";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"polysemy-readline" = callPackage
@@ -208100,7 +210698,6 @@ self: {
libraryHaskellDepends = [ base polysemy req ];
description = "Polysemy effect for req";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-resume" = callPackage
@@ -208109,12 +210706,12 @@ self: {
}:
mkDerivation {
pname = "polysemy-resume";
- version = "0.1.0.4";
- sha256 = "0z7d40vimdl5dr05cxr9c88fayg6cx2km537z81c67sxdv79mgzp";
+ version = "0.2.0.0";
+ sha256 = "08m9h9yfi0wasyaxjs27km41q648p8qna8imc4dhcp75q6bwc65g";
libraryHaskellDepends = [ base polysemy relude transformers ];
testHaskellDepends = [
- base hedgehog polysemy polysemy-plugin polysemy-test relude tasty
- tasty-hedgehog text transformers
+ base hedgehog polysemy polysemy-plugin polysemy-test tasty
+ tasty-hedgehog text
];
description = "Polysemy error tracking";
license = "BSD-2-Clause-Patent";
@@ -208132,7 +210729,6 @@ self: {
libraryHaskellDepends = [ base polysemy ];
description = "Run several effects at once, taken from the polysemy-zoo";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-socket" = callPackage
@@ -208146,7 +210742,6 @@ self: {
libraryHaskellDepends = [ base bytestring polysemy socket ];
description = "Socket effect for polysemy";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-test" = callPackage
@@ -208171,7 +210766,6 @@ self: {
];
description = "Polysemy effects for testing";
license = "BSD-2-Clause-Patent";
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-time" = callPackage
@@ -208182,8 +210776,8 @@ self: {
}:
mkDerivation {
pname = "polysemy-time";
- version = "0.1.3.2";
- sha256 = "0h0fds1qz2k9w24v6kng8hb5zr32r6y6r8jm2jaj2krn9s58pv7b";
+ version = "0.1.4.0";
+ sha256 = "1j6qm8nribp876z4h8jgms0790qmm37f32k5aw883c8716nfavjq";
libraryHaskellDepends = [
aeson base composition containers data-default either polysemy
relude string-interpolate template-haskell text time torsor
@@ -208195,7 +210789,6 @@ self: {
];
description = "Polysemy Effect for Time";
license = "BSD-2-Clause-Patent";
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-uncontrolled" = callPackage
@@ -208209,7 +210802,6 @@ self: {
libraryHaskellDepends = [ base polysemy polysemy-methodology ];
description = "Uncontrolled toy effect for polysemy";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-video" = callPackage
@@ -208230,7 +210822,6 @@ self: {
];
description = "Experimental video processing DSL for polysemy";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-vinyl" = callPackage
@@ -208248,7 +210839,6 @@ self: {
];
description = "Functions for mapping vinyl records in polysemy";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-webserver" = callPackage
@@ -208258,8 +210848,8 @@ self: {
}:
mkDerivation {
pname = "polysemy-webserver";
- version = "0.2.1.0";
- sha256 = "1kzswc20c2a720r46krphwckp6bcgkinw59immjpwvixxdfd0bma";
+ version = "0.2.1.1";
+ sha256 = "126c4bw0gj9knvqn67yldzy90cp08hmc70ip85vsfl3njd0ayj33";
libraryHaskellDepends = [
base bytestring http-types polysemy polysemy-plugin wai
wai-websockets warp websockets
@@ -208554,7 +211144,6 @@ self: {
];
description = "XEPs implementation on top of pontarius-xmpp";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"pontarius-xpmn" = callPackage
@@ -208571,6 +211160,7 @@ self: {
description = "Extended Personal Media Network (XPMN) library";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pony" = callPackage
@@ -208908,7 +211498,6 @@ self: {
librarySystemDepends = [ portaudio ];
description = "Haskell bindings for the PortAudio library";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs) portaudio;};
"porte" = callPackage
@@ -208941,6 +211530,114 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "portray" = callPackage
+ ({ mkDerivation, base, containers, HUnit, test-framework
+ , test-framework-hunit, text, wrapped
+ }:
+ mkDerivation {
+ pname = "portray";
+ version = "0.2.0";
+ sha256 = "1kzzvwqphlg1dmd486ijkv6vsqmxnp8h05mwc8590yjxdln5vzdw";
+ revision = "1";
+ editedCabalFile = "0bl0kagjn1k58pq6zbdj2zyzhpdpzs7ra1vrr8a1qdkb11gmp4n2";
+ libraryHaskellDepends = [ base containers text wrapped ];
+ testHaskellDepends = [
+ base containers HUnit test-framework test-framework-hunit text
+ wrapped
+ ];
+ description = "Rendering to pseudo-Haskell syntax";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "portray-diff" = callPackage
+ ({ mkDerivation, base, containers, dlist, portray, text, wrapped }:
+ mkDerivation {
+ pname = "portray-diff";
+ version = "0.1.0.1";
+ sha256 = "1da884cj865q6g1bd1fhcazyl1nzxb0pk2nvhcpp4iqkjvhyd8hw";
+ revision = "1";
+ editedCabalFile = "1dfl488jq79l0k8d8s2q1wxdibvnrrl64sz8gdy9mp0nkd6vaszl";
+ libraryHaskellDepends = [
+ base containers dlist portray text wrapped
+ ];
+ description = "Pretty structural diffs between two values";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "portray-diff-hunit" = callPackage
+ ({ mkDerivation, base, HUnit, portray-diff, portray-pretty, pretty
+ }:
+ mkDerivation {
+ pname = "portray-diff-hunit";
+ version = "0.1.0.0";
+ sha256 = "0gig1gvw0s7cl4jbffqh53r7lfs08clkcjpdypjjbpk0815pk34h";
+ revision = "1";
+ editedCabalFile = "023p7j386zbcmzsbdk7xk85ygi8qq4llh6zp6811grsyq3hfnsa1";
+ libraryHaskellDepends = [
+ base HUnit portray-diff portray-pretty pretty
+ ];
+ description = "HUnit assertions based on portray-diff";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "portray-diff-quickcheck" = callPackage
+ ({ mkDerivation, base, portray-diff, portray-pretty, QuickCheck }:
+ mkDerivation {
+ pname = "portray-diff-quickcheck";
+ version = "0.1.0.0";
+ sha256 = "1kif82y8bapf5d3awkfv7wp3ih89q3p14djanyz6jfapryhccm12";
+ revision = "1";
+ editedCabalFile = "0nf5wxwvs9sad3bphb8dci5d3nr982nr05y99fmsy3vdifi366l2";
+ libraryHaskellDepends = [
+ base portray-diff portray-pretty QuickCheck
+ ];
+ description = "QuickCheck tests with portray-diff";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "portray-pretty" = callPackage
+ ({ mkDerivation, base, HUnit, portray, portray-diff, pretty
+ , test-framework, test-framework-hunit, text
+ }:
+ mkDerivation {
+ pname = "portray-pretty";
+ version = "0.1.0.2";
+ sha256 = "1gh50r77yz1l8qkhdz96bds2l0d5zi75fkir27x3si406h7sdic9";
+ revision = "1";
+ editedCabalFile = "0v30gdwjb0339q5phkbnwxj687w33rgivy772j37vp51zpiylnak";
+ libraryHaskellDepends = [ base portray portray-diff pretty text ];
+ testHaskellDepends = [
+ base HUnit portray portray-diff pretty test-framework
+ test-framework-hunit text
+ ];
+ description = "Portray backend for pretty";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "portray-prettyprinter" = callPackage
+ ({ mkDerivation, base, HUnit, portray, portray-diff, prettyprinter
+ , prettyprinter-ansi-terminal, QuickCheck, test-framework
+ , test-framework-hunit, test-framework-quickcheck2, text
+ }:
+ mkDerivation {
+ pname = "portray-prettyprinter";
+ version = "0.2.0";
+ sha256 = "16g55vjcfawx1jxmgy3zgl6bqv67h831z00912fbfh878s1s24ic";
+ revision = "1";
+ editedCabalFile = "1w7y8j2sx9wjyv5iknxjyq3r02l1kym85k1gq6carr49lf05s567";
+ libraryHaskellDepends = [
+ base portray portray-diff prettyprinter prettyprinter-ansi-terminal
+ text
+ ];
+ testHaskellDepends = [
+ base HUnit portray portray-diff prettyprinter
+ prettyprinter-ansi-terminal QuickCheck test-framework
+ test-framework-hunit test-framework-quickcheck2 text
+ ];
+ description = "Portray backend for prettyprinter";
+ license = lib.licenses.asl20;
+ }) {};
+
"ports" = callPackage
({ mkDerivation, base, haskell98, unix }:
mkDerivation {
@@ -208962,7 +211659,6 @@ self: {
libraryHaskellDepends = [ base directory process ];
description = "Library to interact with port tools on FreeBSD";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"poseidon" = callPackage
@@ -209115,7 +211811,6 @@ self: {
libraryHaskellDepends = [ base transformers unix ];
description = "Nice wrapper around POSIX fcntl advisory locks";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"posix-paths" = callPackage
@@ -209363,8 +212058,35 @@ self: {
}:
mkDerivation {
pname = "postgresql-binary";
- version = "0.12.4";
- sha256 = "1im0wfssg8f31rdis86qxhz0cqra1bdgiyxgsbqxf78qi3w05f4c";
+ version = "0.12.4.1";
+ sha256 = "1pldd0fx60bl2xfdlyygjdk5p415lgh94km6l48nfib6sxqwlks4";
+ libraryHaskellDepends = [
+ aeson base binary-parser bytestring bytestring-strict-builder
+ containers network-ip scientific text time transformers
+ unordered-containers uuid vector
+ ];
+ testHaskellDepends = [
+ aeson conversion conversion-bytestring conversion-text json-ast
+ network-ip postgresql-libpq QuickCheck quickcheck-instances
+ rerebase tasty tasty-hunit tasty-quickcheck
+ ];
+ benchmarkHaskellDepends = [ criterion rerebase ];
+ description = "Encoders and decoders for the PostgreSQL's binary format";
+ license = lib.licenses.mit;
+ }) {};
+
+ "postgresql-binary_0_12_4_2" = callPackage
+ ({ mkDerivation, aeson, base, binary-parser, bytestring
+ , bytestring-strict-builder, containers, conversion
+ , conversion-bytestring, conversion-text, criterion, json-ast
+ , network-ip, postgresql-libpq, QuickCheck, quickcheck-instances
+ , rerebase, scientific, tasty, tasty-hunit, tasty-quickcheck, text
+ , time, transformers, unordered-containers, uuid, vector
+ }:
+ mkDerivation {
+ pname = "postgresql-binary";
+ version = "0.12.4.2";
+ sha256 = "1bklkkf0r5dimdxgmgcviircv87ahv0g4nmkl34kc13pwn6l7xjm";
libraryHaskellDepends = [
aeson base binary-parser bytestring bytestring-strict-builder
containers network-ip scientific text time transformers
@@ -209378,6 +212100,7 @@ self: {
benchmarkHaskellDepends = [ criterion rerebase ];
description = "Encoders and decoders for the PostgreSQL's binary format";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"postgresql-common" = callPackage
@@ -209649,8 +212372,8 @@ self: {
}:
mkDerivation {
pname = "postgresql-query";
- version = "3.8.2";
- sha256 = "1vcfs5yg9ab0axdm661kjpsfxii7h3s8rrq38kgc68vhr280m110";
+ version = "3.9.0";
+ sha256 = "1520crprhdnan7w5qm9h42r6cxz4v6zffwwfvybzwpczj2g3laa6";
libraryHaskellDepends = [
aeson attoparsec base blaze-builder bytestring containers
data-default exceptions file-embed haskell-src-meta hreader hset
@@ -209738,8 +212461,8 @@ self: {
pname = "postgresql-simple";
version = "0.6.4";
sha256 = "0rz2bklxp4pvbxb2w49h5p6pbwabn6d5d4j4mrya4fpa0d13k43d";
- revision = "2";
- editedCabalFile = "1kwjlj0bsc1yd4dgfc0ydawq9acfjlf0bymwc830dryp16wpj9zv";
+ revision = "3";
+ editedCabalFile = "1gx4vjk99lr10bcvdismr84i6rpl5ny0j08f7f7rq9j8hivj0frp";
libraryHaskellDepends = [
aeson attoparsec base bytestring bytestring-builder
case-insensitive containers hashable Only postgresql-libpq
@@ -209754,6 +212477,7 @@ self: {
benchmarkHaskellDepends = [ base vector ];
description = "Mid-Level PostgreSQL client library";
license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ maralorn ];
}) {};
"postgresql-simple-bind" = callPackage
@@ -210813,6 +213537,7 @@ self: {
description = "Diff Cabal packages";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"precursor" = callPackage
@@ -211688,8 +214413,8 @@ self: {
}:
mkDerivation {
pname = "prettyprinter";
- version = "1.7.0";
- sha256 = "19z04sn0kqxgwcyfn5igjmbxw13xsb3mdhdidkb3kzswib78f6sr";
+ version = "1.7.1";
+ sha256 = "0i8b3wjjpdvp5b857j065jwyrpgcnzgk75imrj7i3yhl668acvjy";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base text ];
@@ -211711,8 +214436,8 @@ self: {
}:
mkDerivation {
pname = "prettyprinter-ansi-terminal";
- version = "1.1.2";
- sha256 = "168p5b7fzqs0g8ld26d3k78afgdx4r21dv0hw8ka2c08p4w76sz2";
+ version = "1.1.3";
+ sha256 = "1cqxbcmy9ykk4pssq5hp6h51g2h547zfz549awh0c1fni8q3jdw1";
libraryHaskellDepends = [ ansi-terminal base prettyprinter text ];
testHaskellDepends = [ base doctest ];
benchmarkHaskellDepends = [
@@ -211740,10 +214465,8 @@ self: {
}:
mkDerivation {
pname = "prettyprinter-compat-ansi-wl-pprint";
- version = "1.0.1";
- sha256 = "0gzpjddnxl4z8pvb0lyal13jbr94dk900k8g4qwcq9fs26vnnb81";
- revision = "1";
- editedCabalFile = "0rzvap56ygygzs95091ipmcdc7n76sczc2dk88g4nr7zskb2nf1k";
+ version = "1.0.2";
+ sha256 = "0mcy0621lx0zmc2csdq348r21f932f2w51y62jzyz4cby58p5ch5";
libraryHaskellDepends = [
base prettyprinter prettyprinter-ansi-terminal text
];
@@ -211755,12 +214478,10 @@ self: {
({ mkDerivation, base, prettyprinter, text }:
mkDerivation {
pname = "prettyprinter-compat-wl-pprint";
- version = "1.0.0.1";
- sha256 = "17jj8m9s3cp1s1szpy67g7wni9ssid78jqksh3aym7p6ci81y8km";
- revision = "3";
- editedCabalFile = "0cb1i1hmr6wl8lacy3w822h273lapqhp537snxgbmhf9xvfckbpr";
+ version = "1.0.1";
+ sha256 = "0ffrbh79da9ihn3lbk9vq9329sdhddf6ccnag1k148z3ividxc63";
libraryHaskellDepends = [ base prettyprinter text ];
- description = "Prettyprinter compatibility module for previous users of the wl-pprint package";
+ description = "Drop-in compatibility package to migrate from »wl-pprint« to »prettyprinter«";
license = lib.licenses.bsd2;
}) {};
@@ -211770,8 +214491,8 @@ self: {
}:
mkDerivation {
pname = "prettyprinter-convert-ansi-wl-pprint";
- version = "1.1.1";
- sha256 = "0bgf2np1ymy6zsd1qacndgyipcf0bamw1wkkikaq57npbb7psc41";
+ version = "1.1.2";
+ sha256 = "0kfrwnaldx0cyr3mwx3ys14bl58nfjpxkzrfi6152gvfh8ly44c6";
libraryHaskellDepends = [
ansi-terminal ansi-wl-pprint base prettyprinter
prettyprinter-ansi-terminal text
@@ -212007,27 +214728,6 @@ self: {
}) {inherit (pkgs) primesieve;};
"primitive" = callPackage
- ({ mkDerivation, base, base-orphans, deepseq, ghc-prim, QuickCheck
- , quickcheck-classes-base, semigroups, tagged, tasty
- , tasty-quickcheck, transformers, transformers-compat
- }:
- mkDerivation {
- pname = "primitive";
- version = "0.7.1.0";
- sha256 = "1w53i4mk248g58xrffmksznr4nmn2bbbycajzpcqfxx5ybyyrsvb";
- revision = "3";
- editedCabalFile = "03vgkhib8w3g0m0zwpz74hsixrf0pvgh6ql0xcy05fpq1kynppi9";
- libraryHaskellDepends = [ base deepseq transformers ];
- testHaskellDepends = [
- base base-orphans ghc-prim QuickCheck quickcheck-classes-base
- semigroups tagged tasty tasty-quickcheck transformers
- transformers-compat
- ];
- description = "Primitive memory-related operations";
- license = lib.licenses.bsd3;
- }) {};
-
- "primitive_0_7_2_0" = callPackage
({ mkDerivation, base, base-orphans, deepseq, ghc-prim, QuickCheck
, quickcheck-classes-base, tagged, tasty, tasty-quickcheck
, transformers, transformers-compat
@@ -212043,7 +214743,6 @@ self: {
];
description = "Primitive memory-related operations";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"primitive-addr" = callPackage
@@ -212894,16 +215593,14 @@ self: {
}:
mkDerivation {
pname = "procex";
- version = "0.3.0";
- sha256 = "1s4p6150ps17pb1wzq1qysw92nivy1pxqpwacqyyclkrg07rql9b";
+ version = "0.3.1";
+ sha256 = "16f91ic12wldf59dabdca76bdcvq5r1alf05bai060dby5qqj2qj";
libraryHaskellDepends = [
async base bytestring containers deepseq unix utf8-string
];
testHaskellDepends = [ async base bytestring hspec unix ];
description = "Ergonomic process launching with extreme flexibility and speed";
- license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
- broken = true;
+ license = lib.licenses.mit;
}) {};
"procrastinating-structure" = callPackage
@@ -213547,8 +216244,8 @@ self: {
}:
mkDerivation {
pname = "prolude";
- version = "0.0.0.17";
- sha256 = "1c98ybwv8jdswkx80q2wlxr7jqll6kyy07lyk2rc27phxb153slk";
+ version = "0.0.0.18";
+ sha256 = "0pjw02zr3gvwfq8raibqq4dwmnkf8ybqdg1jv74q9gjgqi5y08pp";
libraryHaskellDepends = [
aeson amazonka base bytestring cassava containers generic-random
lens mongoDB mtl network-uri persistent persistent-mongoDB
@@ -213603,6 +216300,36 @@ self: {
license = lib.licenses.asl20;
}) {};
+ "prometheus-client_1_1_0" = callPackage
+ ({ mkDerivation, atomic-primops, base, bytestring, clock
+ , containers, criterion, data-sketches, deepseq, doctest
+ , exceptions, hspec, mtl, primitive, QuickCheck, random
+ , random-shuffle, stm, text, transformers, transformers-compat
+ , utf8-string
+ }:
+ mkDerivation {
+ pname = "prometheus-client";
+ version = "1.1.0";
+ sha256 = "1f9csz40asdkmmh6kp8sc8gkbxvkrvv8v2byxn4jp67lg7s3g9bx";
+ libraryHaskellDepends = [
+ atomic-primops base bytestring clock containers data-sketches
+ deepseq exceptions mtl primitive stm text transformers
+ transformers-compat utf8-string
+ ];
+ testHaskellDepends = [
+ atomic-primops base bytestring clock containers data-sketches
+ deepseq doctest exceptions hspec mtl primitive QuickCheck
+ random-shuffle stm text transformers transformers-compat
+ utf8-string
+ ];
+ benchmarkHaskellDepends = [
+ base bytestring criterion random text utf8-string
+ ];
+ description = "Haskell client library for http://prometheus.io.";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"prometheus-effect" = callPackage
({ mkDerivation, base, bytestring, clock, criterion, hashable
, http-types, mtl, random, retry, safe-exceptions, streaming
@@ -213637,8 +216364,8 @@ self: {
}:
mkDerivation {
pname = "prometheus-metrics-ghc";
- version = "1.0.1.1";
- sha256 = "0afa29ym9jvagm8n99axj2qy6m4ps6qd07k1wlyb64078yc2nqn9";
+ version = "1.0.1.2";
+ sha256 = "06pah4wn9yj65shpgg6lb5pwfmx46gk2nbrs1d6bqiqni05s9pzk";
libraryHaskellDepends = [
base prometheus-client text utf8-string
];
@@ -214040,8 +216767,8 @@ self: {
}:
mkDerivation {
pname = "proto-lens";
- version = "0.7.0.0";
- sha256 = "1dg73jwc9mis7igxdj3chkb8fz9a25wxw3d6nz11r98z3ambd8rs";
+ version = "0.7.1.0";
+ sha256 = "0b5wn89d23p87swjbafndrbmklix360amcq5jsl73zaqlh3vy4sy";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base bytestring containers deepseq ghc-prim lens-family parsec
@@ -214060,8 +216787,8 @@ self: {
}:
mkDerivation {
pname = "proto-lens-arbitrary";
- version = "0.1.2.9";
- sha256 = "0ndh8jr9aybjpf1p6a6zs2qjci0z7h3c3v3i5hf28ls8w2g8zr4x";
+ version = "0.1.2.10";
+ sha256 = "1dx82fx4q2q58xavkw62ws34vikpg5g6p0w3mpvn0pigwykjnzda";
libraryHaskellDepends = [
base bytestring containers lens-family proto-lens QuickCheck text
];
@@ -214131,8 +216858,8 @@ self: {
({ mkDerivation, base, optparse-applicative, proto-lens, text }:
mkDerivation {
pname = "proto-lens-optparse";
- version = "0.1.1.7";
- sha256 = "0fskg0y66qp81z2x2r6jyvrisn7asmbynnq1zq2j97dn7003nqpa";
+ version = "0.1.1.8";
+ sha256 = "1y5ygqzwyvphxyj6qr5cnknjk06rs6h0xlbwa864p6hjwlayapcn";
libraryHaskellDepends = [
base optparse-applicative proto-lens text
];
@@ -214149,8 +216876,8 @@ self: {
}:
mkDerivation {
pname = "proto-lens-protobuf-types";
- version = "0.7.0.0";
- sha256 = "1db0z3394g1fzw80ilxldbvy7m3a4piks0fk2wmlaw5k6bza82c7";
+ version = "0.7.1.0";
+ sha256 = "0yrwif600lr2pmqfninwap348k4xg5mvzx9cqp99gdgkknfb88hn";
setupHaskellDepends = [ base Cabal proto-lens-setup ];
libraryHaskellDepends = [
base lens-family proto-lens proto-lens-runtime text
@@ -214167,8 +216894,8 @@ self: {
}:
mkDerivation {
pname = "proto-lens-protoc";
- version = "0.7.0.0";
- sha256 = "1k060lr5d54mzj6c7d2k19vg2432mfnp66wr7gs1qcgpj19q9yvs";
+ version = "0.7.1.0";
+ sha256 = "14lbal80mrwla51h3yax8v1hsd05mh5xyipc051n0jkxdifs1cv5";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base filepath ];
@@ -214187,8 +216914,8 @@ self: {
}:
mkDerivation {
pname = "proto-lens-runtime";
- version = "0.7.0.0";
- sha256 = "0qxangmbldzdvm9qdvhw1cnjakx0zrxrq0nbscqvih2m2yzaxhad";
+ version = "0.7.0.1";
+ sha256 = "03dxnawrsz22s52qzfwgnzs18r7mdb1jvirsck2g62bh5incg80a";
libraryHaskellDepends = [
base bytestring containers deepseq filepath lens-family proto-lens
text vector
@@ -214203,8 +216930,8 @@ self: {
}:
mkDerivation {
pname = "proto-lens-setup";
- version = "0.4.0.4";
- sha256 = "09ka0x4ril0lw3ppx2q26zw2r9g2cszsyqrbwy0amw78g1kxma8v";
+ version = "0.4.0.5";
+ sha256 = "1zl6srfsa4nizrrrbm7b69200w42rfmxmyzdzgb3cl0675ks2r28";
libraryHaskellDepends = [
base bytestring Cabal containers deepseq directory filepath process
proto-lens-protoc temporary text
@@ -214514,7 +217241,6 @@ self: {
transformers
];
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"proton-haskell" = callPackage
@@ -214668,6 +217394,8 @@ self: {
];
description = "Prune unused Haskell dependencies";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"psc-ide" = callPackage
@@ -214964,10 +217692,8 @@ self: {
}:
mkDerivation {
pname = "publish";
- version = "2.2.2";
- sha256 = "19bvdldggklzq6wqgcbvnf4jjlbdbh1l80dm92aj0405a9rhsa28";
- revision = "2";
- editedCabalFile = "19ajwrsd1l9p4cm9ckii5i6nb5w28sxl7kr129xz8kwkpj4z6lfq";
+ version = "2.2.3";
+ sha256 = "150zvz40r7lwmrqv7hvn07wb0gs9rcyn37ivcdv0m0h96bzy84w3";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -215124,6 +217850,7 @@ self: {
description = "Fast, lightweight YAML loader and dumper";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pugs-compat" = callPackage
@@ -215344,25 +218071,26 @@ self: {
"pure-zlib" = callPackage
({ mkDerivation, array, base, base-compat, bytestring
- , bytestring-builder, containers, filepath, fingertree, HUnit
+ , bytestring-builder, containers, criterion, filepath, HUnit
, QuickCheck, tasty, tasty-hunit, tasty-quickcheck, time
}:
mkDerivation {
pname = "pure-zlib";
- version = "0.6.7";
- sha256 = "1ddj88zk94gqqhxiyvkachvhwi5n2la4pfaf5vppkc9ma7sjhyhn";
+ version = "0.6.8";
+ sha256 = "10rlgzq6p93irnhlh8hzj040xbf8gn8swszbkrmaln0b2kk1mncb";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
array base base-compat bytestring bytestring-builder containers
- fingertree
];
executableHaskellDepends = [ base base-compat bytestring ];
testHaskellDepends = [
base base-compat bytestring filepath HUnit QuickCheck tasty
tasty-hunit tasty-quickcheck
];
- benchmarkHaskellDepends = [ base base-compat bytestring time ];
+ benchmarkHaskellDepends = [
+ base base-compat bytestring criterion time
+ ];
description = "A Haskell-only implementation of zlib / DEFLATE";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -215389,6 +218117,27 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "pureMD5_2_1_4" = callPackage
+ ({ mkDerivation, base, binary, bytestring, cereal, crypto-api
+ , crypto-api-tests, pretty-hex, QuickCheck, tagged, test-framework
+ , test-framework-quickcheck2
+ }:
+ mkDerivation {
+ pname = "pureMD5";
+ version = "2.1.4";
+ sha256 = "0qwkvxwi9wh6knn69rg2hvc8ngmv1if77kmpcnp0xqr0l30fwavq";
+ libraryHaskellDepends = [
+ base binary bytestring cereal crypto-api tagged
+ ];
+ testHaskellDepends = [
+ base binary bytestring cereal crypto-api-tests pretty-hex
+ QuickCheck test-framework test-framework-quickcheck2
+ ];
+ description = "A Haskell-only implementation of the MD5 digest (hash) algorithm";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"purebred-email" = callPackage
({ mkDerivation, attoparsec, base, base64-bytestring, bytestring
, case-insensitive, concise, deepseq, hedgehog, lens, QuickCheck
@@ -215457,8 +218206,8 @@ self: {
}:
mkDerivation {
pname = "purescript";
- version = "0.14.3";
- sha256 = "0g0zly5wh75w8p09zq6sy25phbb432vb0allmcbx34vd84nm70ia";
+ version = "0.14.4";
+ sha256 = "0qda90yycv2yyjdpfqvmsnxbyxpx55b53cfp9rgnbhbrskr0w2vk";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -215571,8 +218320,8 @@ self: {
}:
mkDerivation {
pname = "purescript-cst";
- version = "0.3.0.0";
- sha256 = "15gf3fxpqngnx75w7g8nyvmj452y3x9p8ymwwk4mkzql0zps2fy2";
+ version = "0.4.0.0";
+ sha256 = "0r3f5lr9lrv9wpgkwj6nyl42lvxryj2lvr1w7ld4gki8ylq24n8g";
libraryHaskellDepends = [
aeson array base base-compat bytestring containers deepseq dlist
filepath microlens mtl protolude scientific semigroups serialise
@@ -215707,8 +218456,8 @@ self: {
}:
mkDerivation {
pname = "push-notify-apn";
- version = "0.3.0.0";
- sha256 = "1bvdndyvrggvjc6y2dkhx570g8l9y58cr88kinbv4sg65kxnxsy0";
+ version = "0.3.0.2";
+ sha256 = "1iirjbqzgxh6skdpkk2w600kk6y0z6a11jcnzyayi81akfqm4jmn";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -216391,10 +219140,8 @@ self: {
({ mkDerivation, base, network-uri, template-haskell }:
mkDerivation {
pname = "qq-literals";
- version = "0.1.0.1";
- sha256 = "00a0lhjpv7vn90ah5s7qzpzq21x1r7wv24mkffiinj75bc8acnas";
- revision = "1";
- editedCabalFile = "0x81c0injndvlx5adrgk85yrf8p07mr1glcdd1x444mm3035zjvy";
+ version = "0.1.1.0";
+ sha256 = "1xiix8nd83vil303w5fikhwk213bd9b7dwsklw7cq5qlkh1pkvbq";
libraryHaskellDepends = [ base template-haskell ];
testHaskellDepends = [ base network-uri template-haskell ];
description = "Compile-time checked literal values via QuasiQuoters";
@@ -216532,6 +219279,7 @@ self: {
description = "Qt bindings";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {qtc_core = null; qtc_gui = null; qtc_network = null;
qtc_opengl = null; qtc_script = null; qtc_tools = null;};
@@ -216947,6 +219695,7 @@ self: {
description = "Picklers for de/serialising Generic data types to and from query strings";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"questioner" = callPackage
@@ -216989,6 +219738,34 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "quic" = callPackage
+ ({ mkDerivation, array, async, base, base16-bytestring, bytestring
+ , containers, crypto-token, cryptonite, data-default-class, doctest
+ , fast-logger, filepath, hspec, hspec-discover, iproute, memory
+ , network, network-byte-order, psqueues, QuickCheck, random, stm
+ , tls, unix-time, unliftio, unliftio-core, x509
+ }:
+ mkDerivation {
+ pname = "quic";
+ version = "0.0.0";
+ sha256 = "0hiyw9qpx7h42ay9jna8xr7vr16jlr62v0nfnq4y29gki38221a4";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ array base base16-bytestring bytestring containers crypto-token
+ cryptonite data-default-class fast-logger filepath iproute memory
+ network network-byte-order psqueues random stm tls unix-time
+ unliftio unliftio-core x509
+ ];
+ testHaskellDepends = [
+ async base base16-bytestring bytestring containers cryptonite
+ doctest hspec network QuickCheck tls unix-time unliftio
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "QUIC";
+ license = lib.licenses.bsd3;
+ }) {};
+
"quick-generator" = callPackage
({ mkDerivation, base, QuickCheck }:
mkDerivation {
@@ -217027,8 +219804,8 @@ self: {
}:
mkDerivation {
pname = "quickbench";
- version = "1.0";
- sha256 = "09mrhwvz0jnjrxgj3as7d4jl739gakli5crxfmp390x6a0p2bzlb";
+ version = "1.0.1";
+ sha256 = "16bkhk5fskhhjqzklqwv51s1k7cxgcyr4p1vifmrd6smxvidb5rn";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -218216,8 +220993,8 @@ self: {
}:
mkDerivation {
pname = "raaz";
- version = "0.3.0";
- sha256 = "0a322ji29s537kz1mazbl7grbg0s1wkz2cxg74zlvqa47xbk20k1";
+ version = "0.3.5";
+ sha256 = "1b1xwsh01d5p685r85w0mlnf6ldqzy57vrbcsnr9lgdn6bjhvlih";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -218672,8 +221449,8 @@ self: {
}:
mkDerivation {
pname = "ralist";
- version = "0.3.0.0";
- sha256 = "1qy6y7fcylbp6lym7y1k0bg28imhrfxrkvlfrm9mxg3lhvl71mrf";
+ version = "0.4.0.0";
+ sha256 = "1axn2mh1jiz5d39ygf0hg7a0bkywnld4j8jjkflycks1yr7mxha1";
libraryHaskellDepends = [
base deepseq indexed-traversable transformers
];
@@ -218814,6 +221591,29 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "random_1_2_1" = callPackage
+ ({ mkDerivation, base, bytestring, containers, deepseq, doctest
+ , mtl, primitive, rdtsc, smallcheck, split, splitmix, stm, tasty
+ , tasty-bench, tasty-hunit, tasty-inspection-testing
+ , tasty-smallcheck, time, transformers
+ }:
+ mkDerivation {
+ pname = "random";
+ version = "1.2.1";
+ sha256 = "0mqlcr9l9wh3q4rykv6yqdsd9jj88imp0zm8wv6m7jpjqn7pcp16";
+ libraryHaskellDepends = [ base bytestring deepseq mtl splitmix ];
+ testHaskellDepends = [
+ base bytestring containers doctest smallcheck stm tasty tasty-hunit
+ tasty-inspection-testing tasty-smallcheck transformers
+ ];
+ benchmarkHaskellDepends = [
+ base mtl primitive rdtsc split splitmix tasty-bench time
+ ];
+ description = "Pseudo-random number generation";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"random-access-file" = callPackage
({ mkDerivation, base, bytestring, concurrent-extra, containers
, criterion, directory, lrucaching, mwc-random, random, stm, unix
@@ -219275,8 +222075,8 @@ self: {
}:
mkDerivation {
pname = "rank2classes";
- version = "1.4.2";
- sha256 = "0b32mgqzwj9hzz29fhvzidw40iygkbra5ng7z7l9kpp15pbak0pp";
+ version = "1.4.3";
+ sha256 = "03sla9gsg23ma8xxm3mndc9wrh715lsgksxc34rxkmjbp9vxlccj";
setupHaskellDepends = [ base Cabal cabal-doctest ];
libraryHaskellDepends = [
base distributive template-haskell transformers
@@ -219832,8 +222632,8 @@ self: {
}:
mkDerivation {
pname = "raw-feldspar";
- version = "0.3.1";
- sha256 = "1kn86izm2wpqj59nnpxw34n37bh1w5y7h9rd59c1pcymhp29n6qd";
+ version = "0.4";
+ sha256 = "1bx98zsykvfc72jaas3qzjm614dliij2bdvbm44fj0npd3zvbq0r";
libraryHaskellDepends = [
array base constraints containers data-default-class data-hash
imperative-edsl language-c-quote mtl operational-alacarte
@@ -220312,20 +223112,20 @@ self: {
"reactive-banana" = callPackage
({ mkDerivation, base, containers, hashable, HUnit, pqueue
- , psqueues, semigroups, test-framework, test-framework-hunit
+ , psqueues, semigroups, test-framework, test-framework-hunit, these
, transformers, unordered-containers, vault
}:
mkDerivation {
pname = "reactive-banana";
- version = "1.2.1.0";
- sha256 = "18vm9zxr59s8n5bmqx3pg8jbaay6vlz1icnf9p1vnq8bvsb6svyc";
+ version = "1.2.2.0";
+ sha256 = "0zqvswqgisfj1hvwp9r53b91h4062d2afrw4ybcdr7d047ba9icp";
libraryHaskellDepends = [
- base containers hashable pqueue semigroups transformers
+ base containers hashable pqueue semigroups these transformers
unordered-containers vault
];
testHaskellDepends = [
base containers hashable HUnit pqueue psqueues semigroups
- test-framework test-framework-hunit transformers
+ test-framework test-framework-hunit these transformers
unordered-containers vault
];
description = "Library for functional reactive programming (FRP)";
@@ -220903,6 +223703,8 @@ self: {
pname = "reanimate-svg";
version = "0.13.0.1";
sha256 = "1h31r0lrslxqfayh06955p1kv35g42g3drmqp4miydk6zibyn091";
+ revision = "1";
+ editedCabalFile = "1g8cqw8a4vy7pp9ic02d49564vd61px2kld1pin0la9f3vk5f296";
libraryHaskellDepends = [
attoparsec base bytestring containers double-conversion hashable
JuicyPixels lens linear mtl scientific text transformers vector xml
@@ -221063,8 +223865,8 @@ self: {
}:
mkDerivation {
pname = "record-dot-preprocessor";
- version = "0.2.11";
- sha256 = "1hsk7n8hrskj30wcil4rvjhng3zcj7f9a0dn69371iay4x8d75md";
+ version = "0.2.12";
+ sha256 = "02vyfcfanf09nd33q37jmnq0wbncvkfjn4hx4yzr62dkmh47bkkf";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base extra ghc uniplate ];
@@ -221269,8 +224071,8 @@ self: {
({ mkDerivation, base, composition-prelude }:
mkDerivation {
pname = "recursion";
- version = "2.2.4.4";
- sha256 = "09zssx2yqz22hm678ik5zz2zkanzfazcyfqmwlxc9mk6gxxdy6ia";
+ version = "2.2.5.0";
+ sha256 = "08b72mbg187v27i5pq89zgn63ldnh47nq0hyg2xyh6j58d9f7g4v";
libraryHaskellDepends = [ base composition-prelude ];
description = "A recursion schemes library for Haskell";
license = lib.licenses.bsd3;
@@ -221868,23 +224670,6 @@ self: {
broken = true;
}) {};
- "refinery_0_3_0_0" = callPackage
- ({ mkDerivation, base, checkers, exceptions, hspec, logict, mmorph
- , mtl, QuickCheck
- }:
- mkDerivation {
- pname = "refinery";
- version = "0.3.0.0";
- sha256 = "1bsbnxf75prw153c3k02jk84h3sravdi1c1sl75c7sx4xq81qhlp";
- libraryHaskellDepends = [ base exceptions logict mmorph mtl ];
- testHaskellDepends = [
- base checkers exceptions hspec logict mmorph mtl QuickCheck
- ];
- description = "Toolkit for building proof automation systems";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"refinery" = callPackage
({ mkDerivation, base, checkers, exceptions, hspec, mmorph, mtl
, QuickCheck
@@ -221957,10 +224742,8 @@ self: {
}:
mkDerivation {
pname = "reflex";
- version = "0.8.1.0";
- sha256 = "0p27dj7fckkvw9li69whcfrv1cd59kkp6qbvfmndzx8fbh44ggbp";
- revision = "1";
- editedCabalFile = "07bvrcfbnz1pv15cmk4f780aiw72gbhsji20kqyk8kk7d2jwpcab";
+ version = "0.8.1.1";
+ sha256 = "0fxvlvh6k2h7p76nhjbjl6jqs4iqixq5p93fywn6jj37g00lxnhy";
libraryHaskellDepends = [
base bifunctors comonad constraints-extras containers data-default
dependent-map dependent-sum exception-transformers haskell-src-exts
@@ -222160,8 +224943,8 @@ self: {
}:
mkDerivation {
pname = "reflex-dom-core";
- version = "0.6.2.0";
- sha256 = "067m8ifgkknafy0nxzmns89dqjzpsc983pm3gaq7dg618jp1dzy4";
+ version = "0.6.2.1";
+ sha256 = "1xqb0m1p2x8s2x98j9nlq707p92gdjby9k925l13bly3rh1kk4y4";
libraryHaskellDepends = [
aeson base bifunctors bimap blaze-builder bytestring
case-insensitive constraints containers contravariant data-default
@@ -222241,6 +225024,25 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "reflex-dom-pandoc_1_0_0_0" = callPackage
+ ({ mkDerivation, aeson, base, binary, bytestring, constraints
+ , containers, data-default, lens, lens-aeson, mtl, pandoc-types
+ , ref-tf, reflex, reflex-dom-core, safe, skylighting, text, time
+ }:
+ mkDerivation {
+ pname = "reflex-dom-pandoc";
+ version = "1.0.0.0";
+ sha256 = "1xfz8r61y6kgh0s79406dm816ndvakfpslzblf03y7x2gkzx0fvy";
+ libraryHaskellDepends = [
+ aeson base binary bytestring constraints containers data-default
+ lens lens-aeson mtl pandoc-types ref-tf reflex reflex-dom-core safe
+ skylighting text time
+ ];
+ description = "Render Pandoc documents to HTML using reflex-dom";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"reflex-dom-retractable" = callPackage
({ mkDerivation, base, containers, jsaddle, mtl, ref-tf, reflex
, reflex-dom
@@ -222363,8 +225165,8 @@ self: {
}:
mkDerivation {
pname = "reflex-ghci";
- version = "0.1.5.1";
- sha256 = "1m4sphj2qhj9ckjxjy6m4yzb2d6lq68a0fynv5q9ibc6550bm1sx";
+ version = "0.1.5.2";
+ sha256 = "18w9n6sm9b0ykjxqa800228b7s3y6gg8ckl6jnms3860p0cskpqq";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -222566,10 +225368,8 @@ self: {
}:
mkDerivation {
pname = "reflex-process";
- version = "0.3.1.0";
- sha256 = "1z86h7wkzg69d6kw0y37pzr4ldpg9qkl8wx5mw7r1h82isb9wgbl";
- revision = "1";
- editedCabalFile = "16vwrz7amccy8j2wn9146j8lif35f7ycpssd0p649ha746s7hrf4";
+ version = "0.3.1.1";
+ sha256 = "15f9qn5rx6dwjnkhg5szhagxsj7vnh3bh4ad7j4jsi87m627mlj2";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -222647,24 +225447,25 @@ self: {
"reflex-vty" = callPackage
({ mkDerivation, base, bimap, containers, data-default
- , dependent-map, dependent-sum, exception-transformers, mtl
- , primitive, ref-tf, reflex, stm, text, text-icu, time
- , transformers, vty
+ , dependent-map, dependent-sum, exception-transformers, extra
+ , hspec, mmorph, mtl, ordered-containers, primitive, ref-tf, reflex
+ , stm, text, time, transformers, vty
}:
mkDerivation {
pname = "reflex-vty";
- version = "0.1.4.2";
- sha256 = "07fjw95w8ahllbs1zp215apck01abcrv4sshid8z6972g7n7392r";
+ version = "0.2.0.0";
+ sha256 = "1l7ksf11352llcy6fzap3hsq9vgv99gs948ha5i1vvz9bjvn2qwg";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
base bimap containers data-default dependent-map dependent-sum
- exception-transformers mtl primitive ref-tf reflex stm text
- text-icu time transformers vty
+ exception-transformers mmorph mtl ordered-containers primitive
+ ref-tf reflex stm text time transformers vty
];
executableHaskellDepends = [
base containers reflex text time transformers vty
];
+ testHaskellDepends = [ base containers extra hspec reflex text ];
description = "Reflex FRP host and widgets for VTY applications";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -223168,8 +225969,8 @@ self: {
}:
mkDerivation {
pname = "regex-pcre-builtin";
- version = "0.95.2.3.8.43";
- sha256 = "02c6vzxcy1zkqwy6w4dsc97xvvdwlh8xr7imrlx2qs2521rvswr7";
+ version = "0.95.2.3.8.44";
+ sha256 = "0pn55ssrwr05c9sa9jvp0knvzjksz04wn3pmzf5dz4xgbyjadkna";
libraryHaskellDepends = [
array base bytestring containers regex-base text
];
@@ -223943,8 +226744,8 @@ self: {
pname = "relation";
version = "0.5.2.0";
sha256 = "1sinb0rw2jq1xjy80rsxnjf5va33n2i67km55hxfls9w15wsg2yw";
- revision = "1";
- editedCabalFile = "18nh56qp1cjpg28sagwiy4h44v5dvm5rhm3wqyyz4mw3k78x71kh";
+ revision = "2";
+ editedCabalFile = "1af9snfvk46h4gqxs688wyhlc85b753prfmbqyldfbhsjg61jap5";
libraryHaskellDepends = [ base containers ];
testHaskellDepends = [
base containers doctest doctest-discover hedgehog hspec
@@ -224215,6 +227016,22 @@ self: {
broken = true;
}) {};
+ "reloto" = callPackage
+ ({ mkDerivation, base, containers, QuickCheck, tasty
+ , tasty-quickcheck, text, transformers
+ }:
+ mkDerivation {
+ pname = "reloto";
+ version = "2.1.0.20180829";
+ sha256 = "1z9y85k9rvi71l2wvv2fyvi9zkqh43ap1a96ayg45acj71m260xg";
+ libraryHaskellDepends = [ base ];
+ testHaskellDepends = [
+ base containers QuickCheck tasty tasty-quickcheck text transformers
+ ];
+ description = "Equiprobable draw from publicly verifiable random data";
+ license = lib.licenses.agpl3Plus;
+ }) {};
+
"relude" = callPackage
({ mkDerivation, base, bytestring, containers, deepseq, doctest
, gauge, ghc-prim, Glob, hashable, hedgehog, mtl, stm, text
@@ -224546,6 +227363,7 @@ self: {
description = "Define compound types that do not depend on member order";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"repa" = callPackage
@@ -224556,8 +227374,8 @@ self: {
pname = "repa";
version = "3.4.1.4";
sha256 = "17m3wl4hvf04fxwm4fflhnv41yl9bm263hnbpxc8x6xqwifplq23";
- revision = "8";
- editedCabalFile = "0bhkiav26m61lzjkxjldals136viixyg88xf1bbihsp9kzkbv6as";
+ revision = "9";
+ editedCabalFile = "0n287hg4lmn139dwji5xbry369a4ci0qh1birxkzzrsvyv0aq0nz";
libraryHaskellDepends = [
base bytestring ghc-prim QuickCheck template-haskell vector
];
@@ -224878,21 +227696,6 @@ self: {
}) {};
"replace-attoparsec" = callPackage
- ({ mkDerivation, attoparsec, base, bytestring, Cabal, parsers, text
- }:
- mkDerivation {
- pname = "replace-attoparsec";
- version = "1.4.4.0";
- sha256 = "06js4adbzvm2qn18vlaaxhav2dq1hqp825lmsvpg69cylp72fzif";
- libraryHaskellDepends = [ attoparsec base bytestring text ];
- testHaskellDepends = [
- attoparsec base bytestring Cabal parsers text
- ];
- description = "Find, replace, and split string patterns with Attoparsec parsers (instead of regex)";
- license = lib.licenses.bsd2;
- }) {};
-
- "replace-attoparsec_1_4_5_0" = callPackage
({ mkDerivation, attoparsec, base, bytestring, Cabal, parsers, text
}:
mkDerivation {
@@ -224905,7 +227708,6 @@ self: {
];
description = "Find, replace, and split string patterns with Attoparsec parsers (instead of regex)";
license = lib.licenses.bsd2;
- hydraPlatforms = lib.platforms.none;
}) {};
"replace-megaparsec" = callPackage
@@ -224922,6 +227724,7 @@ self: {
testHaskellDepends = [ base bytestring Cabal megaparsec text ];
description = "Find, replace, and split string patterns with Megaparsec parsers (instead of regex)";
license = lib.licenses.bsd2;
+ maintainers = with lib.maintainers; [ maralorn ];
}) {};
"replica" = callPackage
@@ -225161,20 +227964,18 @@ self: {
maintainers = with lib.maintainers; [ maralorn ];
}) {};
- "req_3_9_1" = callPackage
+ "req_3_9_2" = callPackage
({ mkDerivation, aeson, authenticate-oauth, base, blaze-builder
, bytestring, case-insensitive, connection, exceptions, hspec
, hspec-core, hspec-discover, http-api-data, http-client
, http-client-tls, http-types, modern-uri, monad-control, mtl
, QuickCheck, retry, template-haskell, text, time, transformers
- , transformers-base, unliftio-core, unordered-containers
+ , transformers-base, unliftio-core
}:
mkDerivation {
pname = "req";
- version = "3.9.1";
- sha256 = "0468ah4142jrqp5l3pw4izrw6f6kznisan888b30jhif4c6xncr0";
- revision = "3";
- editedCabalFile = "0xx161kb3j1givixs489yhd6zgiscajbn6hdkf00pdkwqdy59k75";
+ version = "3.9.2";
+ sha256 = "17xkj5pypn4k6ncsahjc0h827kg3cyx5iy5q6iv1gvk8dwdiim0g";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson authenticate-oauth base blaze-builder bytestring
@@ -225186,7 +227987,7 @@ self: {
testHaskellDepends = [
aeson base blaze-builder bytestring case-insensitive hspec
hspec-core http-client http-types modern-uri monad-control mtl
- QuickCheck retry template-haskell text time unordered-containers
+ QuickCheck retry template-haskell text time
];
testToolDepends = [ hspec-discover ];
doCheck = false;
@@ -225197,32 +227998,6 @@ self: {
}) {};
"req-conduit" = callPackage
- ({ mkDerivation, base, bytestring, conduit, conduit-extra, hspec
- , http-client, req, resourcet, temporary, transformers, weigh
- }:
- mkDerivation {
- pname = "req-conduit";
- version = "1.0.0";
- sha256 = "193bv4jp7rrbpb1i9as9s2l978wz5kbz5kvr7ppllif5ppj699qx";
- revision = "8";
- editedCabalFile = "1md7zajmw87qrx6rvs35yrkbjs3s9nm0akg35jmf7a34xccrr7a7";
- libraryHaskellDepends = [
- base bytestring conduit http-client req resourcet transformers
- ];
- testHaskellDepends = [
- base bytestring conduit conduit-extra hspec req resourcet temporary
- transformers
- ];
- benchmarkHaskellDepends = [
- base bytestring conduit conduit-extra req resourcet temporary weigh
- ];
- description = "Conduit helpers for the req HTTP client library";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
- }) {};
-
- "req-conduit_1_0_1" = callPackage
({ mkDerivation, base, bytestring, conduit, conduit-extra, hspec
, hspec-discover, http-client, req, resourcet, temporary
, transformers, weigh
@@ -225725,22 +228500,6 @@ self: {
}) {};
"resourcet" = callPackage
- ({ mkDerivation, base, containers, exceptions, hspec, mtl
- , primitive, transformers, unliftio-core
- }:
- mkDerivation {
- pname = "resourcet";
- version = "1.2.4.2";
- sha256 = "11zb4figcs22hjaq6zsknf70kf9k2bxnw6w03ab9kl9s0i10iwhp";
- libraryHaskellDepends = [
- base containers exceptions mtl primitive transformers unliftio-core
- ];
- testHaskellDepends = [ base exceptions hspec transformers ];
- description = "Deterministic allocation and freeing of scarce resources";
- license = lib.licenses.bsd3;
- }) {};
-
- "resourcet_1_2_4_3" = callPackage
({ mkDerivation, base, containers, exceptions, hspec, mtl
, primitive, transformers, unliftio-core
}:
@@ -225754,7 +228513,6 @@ self: {
testHaskellDepends = [ base exceptions hspec transformers ];
description = "Deterministic allocation and freeing of scarce resources";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"resourcet-pool" = callPackage
@@ -226099,6 +228857,7 @@ self: {
description = "A monad transformer for resumable exceptions";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"rethinkdb" = callPackage
@@ -226221,6 +228980,47 @@ self: {
license = lib.licenses.mit;
}) {};
+ "retroclash-lib" = callPackage
+ ({ mkDerivation, barbies, base, clash-ghc, clash-lib, clash-prelude
+ , containers, ghc-typelits-extra, ghc-typelits-knownnat
+ , ghc-typelits-natnormalise, lens, lift-type, monoidal-containers
+ , mtl, template-haskell, th-orphans, transformers
+ }:
+ mkDerivation {
+ pname = "retroclash-lib";
+ version = "0.1.0.1";
+ sha256 = "1wcnr6hk05xj3qyq6wdwyn5qv820wi6djlcllyv8nc2cz8zgc3v7";
+ libraryHaskellDepends = [
+ barbies base clash-ghc clash-lib clash-prelude containers
+ ghc-typelits-extra ghc-typelits-knownnat ghc-typelits-natnormalise
+ lens lift-type monoidal-containers mtl template-haskell th-orphans
+ transformers
+ ];
+ description = "Code shared across the code samples in the book \"Retrocomputing with Clash\"";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
+ "retroclash-sim" = callPackage
+ ({ mkDerivation, array, arrows, base, clash-ghc, clash-lib
+ , clash-prelude, ghc-typelits-extra, ghc-typelits-knownnat
+ , ghc-typelits-natnormalise, lens, mtl, retroclash-lib, sdl2, text
+ , transformers
+ }:
+ mkDerivation {
+ pname = "retroclash-sim";
+ version = "0.1.0";
+ sha256 = "1wv8is17ds9y49an832dlgixly9b98h8x6ybc5dj7zzf1jwplyp8";
+ libraryHaskellDepends = [
+ array arrows base clash-ghc clash-lib clash-prelude
+ ghc-typelits-extra ghc-typelits-knownnat ghc-typelits-natnormalise
+ lens mtl retroclash-lib sdl2 text transformers
+ ];
+ description = "High-level simulators from the book \"Retrocomputing with Clash\"";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"retry" = callPackage
({ mkDerivation, base, exceptions, ghc-prim, hedgehog, HUnit, mtl
, random, stm, tasty, tasty-hedgehog, tasty-hunit, time
@@ -226685,8 +229485,8 @@ self: {
}:
mkDerivation {
pname = "rhbzquery";
- version = "0.4.3";
- sha256 = "13brargymd1c9b0csaprj85qdqg98bzj3z2smbb0v66myj48v6fp";
+ version = "0.4.4";
+ sha256 = "00175smanmcr6k8b83kj7mif47jggxn0pvy64yjc4ikpbw822c2q";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -226783,6 +229583,7 @@ self: {
description = "A Haskell client for the Riak decentralized data store";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"riak-protobuf" = callPackage
@@ -226794,7 +229595,6 @@ self: {
libraryHaskellDepends = [ base proto-lens proto-lens-runtime ];
description = "Haskell types for the Riak protocol buffer API";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"riak-protobuf-lens" = callPackage
@@ -226817,6 +229617,7 @@ self: {
description = "Lenses for riak-protobuf";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"rib" = callPackage
@@ -227164,32 +229965,6 @@ self: {
}) {};
"rio" = callPackage
- ({ mkDerivation, base, bytestring, containers, deepseq, directory
- , exceptions, filepath, hashable, hspec, microlens, microlens-mtl
- , mtl, primitive, process, QuickCheck, text, time, typed-process
- , unix, unliftio, unliftio-core, unordered-containers, vector
- }:
- mkDerivation {
- pname = "rio";
- version = "0.1.20.0";
- sha256 = "0x5b5c0y97b5n1lvbcsqlkhbv4nbbznx1w1fp3a17a03pz7qf61s";
- libraryHaskellDepends = [
- base bytestring containers deepseq directory exceptions filepath
- hashable microlens microlens-mtl mtl primitive process text time
- typed-process unix unliftio unliftio-core unordered-containers
- vector
- ];
- testHaskellDepends = [
- base bytestring containers deepseq directory exceptions filepath
- hashable hspec microlens microlens-mtl mtl primitive process
- QuickCheck text time typed-process unix unliftio unliftio-core
- unordered-containers vector
- ];
- description = "A standard library for Haskell";
- license = lib.licenses.mit;
- }) {};
-
- "rio_0_1_21_0" = callPackage
({ mkDerivation, base, bytestring, containers, deepseq, directory
, exceptions, filepath, hashable, hspec, hspec-discover, microlens
, microlens-mtl, mtl, primitive, process, QuickCheck, text, time
@@ -227215,7 +229990,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "A standard library for Haskell";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"rio-app" = callPackage
@@ -227548,6 +230322,27 @@ self: {
broken = true;
}) {};
+ "rle" = callPackage
+ ({ mkDerivation, base, cereal, deepseq, portray, portray-diff
+ , QuickCheck, test-framework, test-framework-quickcheck2, wrapped
+ }:
+ mkDerivation {
+ pname = "rle";
+ version = "0.1.0.1";
+ sha256 = "05rbhm0lxrq7vdbq9s0q21m0f0hlzmknljmampcmdjnwbl4nvf3d";
+ revision = "1";
+ editedCabalFile = "0077n1z80x3psgcgvbs7cxln63m2ghb4iiqih0r5aq96j4v9x3f0";
+ libraryHaskellDepends = [
+ base cereal deepseq portray portray-diff wrapped
+ ];
+ testHaskellDepends = [
+ base cereal deepseq portray portray-diff QuickCheck test-framework
+ test-framework-quickcheck2 wrapped
+ ];
+ description = "A data type of run-length-encoded lists";
+ license = lib.licenses.asl20;
+ }) {};
+
"rlglue" = callPackage
({ mkDerivation, base, binary, bytestring, data-binary-ieee754
, exceptions, network, network-simple, parsec, random, transformers
@@ -227927,7 +230722,6 @@ self: {
];
description = "Sci-fi roguelike game. Client application.";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"roguestar-engine" = callPackage
@@ -228004,8 +230798,8 @@ self: {
({ mkDerivation, base, containers }:
mkDerivation {
pname = "roles";
- version = "0.2.0.0";
- sha256 = "181lmjmvv6285q5zh6cf991jw7d6f0g225vya3iqqb8vn8qjz7g2";
+ version = "0.2.1.0";
+ sha256 = "1a8zkw4cs124v08xqwbny18107d260ypdy4g4xb7hd55nfw3wjyx";
libraryHaskellDepends = [ base containers ];
description = "Composable class-based roles";
license = lib.licenses.bsd3;
@@ -228768,8 +231562,8 @@ self: {
}:
mkDerivation {
pname = "row-types";
- version = "1.0.1.0";
- sha256 = "0msk1s6mnhclj9v2x2nnvbw3d4lbxhx2ks2hxaa726l3psakbs22";
+ version = "1.0.1.2";
+ sha256 = "05vfnhcfi7wsidxiknl8a28xvlid2q095qhah08r7mj9zq38da8f";
libraryHaskellDepends = [
base constraints deepseq generic-lens hashable profunctors text
unordered-containers
@@ -228780,6 +231574,28 @@ self: {
license = lib.licenses.mit;
}) {};
+ "row-types-aeson" = callPackage
+ ({ mkDerivation, aeson, base, row-types, text }:
+ mkDerivation {
+ pname = "row-types-aeson";
+ version = "1.0.0.0";
+ sha256 = "0har2qcca9asd50jmcqab4v8jx83v5h5bgqk82awnrg7d99c24sf";
+ libraryHaskellDepends = [ aeson base row-types text ];
+ description = "aeson instances for Open Records and Variants";
+ license = lib.licenses.mit;
+ }) {};
+
+ "row-types-barbies" = callPackage
+ ({ mkDerivation, barbies, base, row-types, text }:
+ mkDerivation {
+ pname = "row-types-barbies";
+ version = "1.0.0.0";
+ sha256 = "1c2slxggr3jl3dvakyysq3d4svd61nhzj74xnzs0q7v6y5dlsl2b";
+ libraryHaskellDepends = [ barbies base row-types text ];
+ description = "barbies instances for Open Records and Variants";
+ license = lib.licenses.mit;
+ }) {};
+
"rowdy" = callPackage
({ mkDerivation, base, containers, dlist, hspec, mtl }:
mkDerivation {
@@ -229061,7 +231877,6 @@ self: {
testHaskellDepends = [ base QuickCheck safe ];
description = "Range set";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"rspp" = callPackage
@@ -229198,7 +232013,6 @@ self: {
libraryHaskellDepends = [ base ];
description = "dynamic linker tools for Haskell";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"rtlsdr" = callPackage
@@ -230006,8 +232820,8 @@ self: {
}:
mkDerivation {
pname = "safe-money";
- version = "0.9";
- sha256 = "0c3xpsydqgcz183klmhgdn3xdagrj0falfqb63cmknk77z610s7f";
+ version = "0.9.1";
+ sha256 = "03fizw68y87lyk6r1r2dmjpakgm1whi54avsb5k2krvmgwhy6fs5";
libraryHaskellDepends = [
base binary constraints deepseq hashable QuickCheck text
vector-space
@@ -230389,8 +233203,8 @@ self: {
}:
mkDerivation {
pname = "sajson";
- version = "0.1.0.0";
- sha256 = "0979skxh82s0q56smp8vlg0cj1k7qj1y37ivksl3spw9dspbpcs1";
+ version = "0.2.0.0";
+ sha256 = "0shqik98wnyfxb6qmqbbm6ap3108kbm3f4zrswg2nc6kkxc1dwkm";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -230892,8 +233706,8 @@ self: {
}:
mkDerivation {
pname = "sandwich";
- version = "0.1.0.8";
- sha256 = "0b0k01r85wiaxn264ax3xva8a2gy7vv9qnig2fxyr42zdkm289jy";
+ version = "0.1.0.9";
+ sha256 = "07knl1kpbg85df08q07byjid26bkgk514pngkf58h9wy4y5l5il7";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -230925,20 +233739,20 @@ self: {
}) {};
"sandwich-quickcheck" = callPackage
- ({ mkDerivation, base, brick, free, monad-control, QuickCheck
- , safe-exceptions, sandwich, string-interpolate, text, time
+ ({ mkDerivation, base, free, monad-control, mtl, QuickCheck
+ , safe-exceptions, sandwich, text, time
}:
mkDerivation {
pname = "sandwich-quickcheck";
- version = "0.1.0.5";
- sha256 = "03z8g5q3yxfpazbwi56ji9554z3l2ac776mzz06xsb7cha3kf7lw";
+ version = "0.1.0.6";
+ sha256 = "1vlp15hcmrxrqwzqgk7ykpg3pvr0wd2cz6pib61yrxmp7334cf4y";
libraryHaskellDepends = [
- base brick free monad-control QuickCheck safe-exceptions sandwich
- string-interpolate text time
+ base free monad-control mtl QuickCheck safe-exceptions sandwich
+ text time
];
testHaskellDepends = [
- base brick free monad-control QuickCheck safe-exceptions sandwich
- string-interpolate text time
+ base free monad-control mtl QuickCheck safe-exceptions sandwich
+ text time
];
description = "Sandwich integration with QuickCheck";
license = lib.licenses.bsd3;
@@ -230951,8 +233765,8 @@ self: {
}:
mkDerivation {
pname = "sandwich-slack";
- version = "0.1.0.4";
- sha256 = "1l296q3lxafj3gd7pr6n6qrvcb4zdkncsj2z6ra6q0qfw465jaqk";
+ version = "0.1.0.6";
+ sha256 = "1ck4amyxcf2qpgx3qpbg2f137bi6px83k72bspi2kfn0nnx8gja9";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -231350,7 +234164,8 @@ self: {
description = "SMT Based Verification: Symbolic Haskell theorem prover using SMT solving";
license = lib.licenses.bsd3;
platforms = [
- "armv7l-linux" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ "aarch64-darwin" "armv7l-linux" "i686-linux" "x86_64-darwin"
+ "x86_64-linux"
];
hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs) z3;};
@@ -231385,8 +234200,45 @@ self: {
description = "SMT Based Verification: Symbolic Haskell theorem prover using SMT solving";
license = lib.licenses.bsd3;
platforms = [
- "armv7l-linux" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ "aarch64-darwin" "armv7l-linux" "i686-linux" "x86_64-darwin"
+ "x86_64-linux"
+ ];
+ }) {inherit (pkgs) z3;};
+
+ "sbv_8_16" = callPackage
+ ({ mkDerivation, array, async, base, bench-show, bytestring
+ , containers, deepseq, directory, doctest, filepath, gauge, Glob
+ , hlint, libBF, mtl, pretty, process, QuickCheck, random, silently
+ , syb, tasty, tasty-golden, tasty-hunit, tasty-quickcheck
+ , template-haskell, text, time, transformers, uniplate, z3
+ }:
+ mkDerivation {
+ pname = "sbv";
+ version = "8.16";
+ sha256 = "1jmcc9qz659my5i3ir6lq34zfbjp9wcnq61fppic1hlwm39qjppd";
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ array async base containers deepseq directory filepath libBF mtl
+ pretty process QuickCheck random syb template-haskell text time
+ transformers uniplate
+ ];
+ testHaskellDepends = [
+ base bytestring containers directory doctest filepath Glob hlint
+ mtl QuickCheck random tasty tasty-golden tasty-hunit
+ tasty-quickcheck
+ ];
+ testSystemDepends = [ z3 ];
+ benchmarkHaskellDepends = [
+ base bench-show containers deepseq directory filepath gauge mtl
+ process random silently syb text time
+ ];
+ description = "SMT Based Verification: Symbolic Haskell theorem prover using SMT solving";
+ license = lib.licenses.bsd3;
+ platforms = [
+ "aarch64-darwin" "armv7l-linux" "i686-linux" "x86_64-darwin"
+ "x86_64-linux"
];
+ hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs) z3;};
"sbvPlugin" = callPackage
@@ -231748,7 +234600,9 @@ self: {
];
description = "Generates unique passwords for various websites from a single password";
license = lib.licenses.bsd3;
- platforms = [ "i686-linux" "x86_64-darwin" "x86_64-linux" ];
+ platforms = [
+ "aarch64-darwin" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ ];
}) {};
"scc" = callPackage
@@ -231902,22 +234756,20 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "scheduler_2_0_0" = callPackage
- ({ mkDerivation, atomic-primops, base, deepseq, doctest, exceptions
- , genvalidity-hspec, hspec, hspec-discover, mwc-random, primitive
- , pvar, QuickCheck, template-haskell, unliftio, unliftio-core
- , vector
+ "scheduler_2_0_0_1" = callPackage
+ ({ mkDerivation, atomic-primops, base, deepseq, exceptions
+ , genvalidity-hspec, hspec, hspec-discover, primitive, pvar
+ , QuickCheck, unliftio, unliftio-core
}:
mkDerivation {
pname = "scheduler";
- version = "2.0.0";
- sha256 = "1i0fz2gj2q12gfl1h8ar0ikkqksznr1rij7fsx1v0qi6qg9d7cc3";
+ version = "2.0.0.1";
+ sha256 = "1hdqm04m3n5y3xrhilj0hykrmqdsjz5p6k2p9y1005khkj5dag9f";
libraryHaskellDepends = [
atomic-primops base deepseq exceptions primitive pvar unliftio-core
];
testHaskellDepends = [
- base deepseq doctest genvalidity-hspec hspec mwc-random QuickCheck
- template-haskell unliftio vector
+ base deepseq genvalidity-hspec hspec QuickCheck unliftio
];
testToolDepends = [ hspec-discover ];
description = "Work stealing scheduler";
@@ -232410,8 +235262,8 @@ self: {
pname = "scotty";
version = "0.12";
sha256 = "1lpggpdzgjk23mq7aa64yylds5dbm4ynhcvbarqihjxabvh7xmz1";
- revision = "4";
- editedCabalFile = "0xwqybz4hhhw6ccqgyf4khis06p2pc17h9b78va0wywqsz01xaqb";
+ revision = "6";
+ editedCabalFile = "15gwvx9gdk4vxh1x2n5xvnrix8m0wl96a4aqbdmdfrka43sywfma";
libraryHaskellDepends = [
aeson base base-compat-batteries blaze-builder bytestring
case-insensitive data-default-class exceptions fail http-types
@@ -232931,7 +235783,9 @@ self: {
];
description = "Stronger password hashing via sequential memory-hard functions";
license = lib.licenses.bsd3;
- platforms = [ "i686-linux" "x86_64-darwin" "x86_64-linux" ];
+ platforms = [
+ "aarch64-darwin" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ ];
}) {};
"scrz" = callPackage
@@ -232956,6 +235810,7 @@ self: {
description = "Process management and supervision daemon";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"scuttlebutt-types" = callPackage
@@ -233176,6 +236031,29 @@ self: {
license = lib.licenses.mit;
}) {inherit (pkgs) SDL2; inherit (pkgs) SDL2_gfx;};
+ "sdl2-gfx_0_3_0_0" = callPackage
+ ({ mkDerivation, base, lifted-base, monad-control, SDL2, sdl2
+ , SDL2_gfx, template-haskell, vector
+ }:
+ mkDerivation {
+ pname = "sdl2-gfx";
+ version = "0.3.0.0";
+ sha256 = "0r9m54ffkp1dv2ffz9i9318qhvpinc76iih7vg1dwq3siwgpxaxw";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base lifted-base monad-control sdl2 template-haskell vector
+ ];
+ librarySystemDepends = [ SDL2_gfx ];
+ libraryPkgconfigDepends = [ SDL2 SDL2_gfx ];
+ executableHaskellDepends = [ base sdl2 vector ];
+ executableSystemDepends = [ SDL2_gfx ];
+ executablePkgconfigDepends = [ SDL2 SDL2_gfx ];
+ description = "Haskell bindings to SDL2_gfx";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {inherit (pkgs) SDL2; inherit (pkgs) SDL2_gfx;};
+
"sdl2-image" = callPackage
({ mkDerivation, base, bytestring, SDL2, sdl2, SDL2_image
, template-haskell, text, transformers
@@ -233197,6 +236075,29 @@ self: {
license = lib.licenses.mit;
}) {inherit (pkgs) SDL2; inherit (pkgs) SDL2_image;};
+ "sdl2-image_2_1_0_0" = callPackage
+ ({ mkDerivation, base, bytestring, SDL2, sdl2, SDL2_image
+ , template-haskell, text
+ }:
+ mkDerivation {
+ pname = "sdl2-image";
+ version = "2.1.0.0";
+ sha256 = "03cjlmj844gmfxqn9mp8333hpsg227kaipgs6g68xwg0cvch696j";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring sdl2 template-haskell text
+ ];
+ librarySystemDepends = [ SDL2_image ];
+ libraryPkgconfigDepends = [ SDL2 SDL2_image ];
+ executableHaskellDepends = [ base sdl2 text ];
+ executableSystemDepends = [ SDL2_image ];
+ executablePkgconfigDepends = [ SDL2 SDL2_image ];
+ description = "Haskell bindings to SDL2_image";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {inherit (pkgs) SDL2; inherit (pkgs) SDL2_image;};
+
"sdl2-mixer" = callPackage
({ mkDerivation, base, bytestring, data-default-class, lifted-base
, monad-control, sdl2, SDL2_mixer, template-haskell, vector
@@ -233222,6 +236123,33 @@ self: {
];
}) {inherit (pkgs) SDL2_mixer;};
+ "sdl2-mixer_1_2_0_0" = callPackage
+ ({ mkDerivation, base, bytestring, data-default-class, lifted-base
+ , monad-control, sdl2, SDL2_mixer, template-haskell, vector
+ }:
+ mkDerivation {
+ pname = "sdl2-mixer";
+ version = "1.2.0.0";
+ sha256 = "16fgnxq2nmifbz3lrr7dn1qj57l5f2kzv124lya1fjaxmwk1h52q";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring data-default-class lifted-base monad-control sdl2
+ template-haskell vector
+ ];
+ librarySystemDepends = [ SDL2_mixer ];
+ libraryPkgconfigDepends = [ SDL2_mixer ];
+ executableHaskellDepends = [ base data-default-class sdl2 vector ];
+ executableSystemDepends = [ SDL2_mixer ];
+ executablePkgconfigDepends = [ SDL2_mixer ];
+ description = "Haskell bindings to SDL2_mixer";
+ license = lib.licenses.bsd3;
+ platforms = [
+ "aarch64-linux" "armv7l-linux" "i686-linux" "x86_64-linux"
+ ];
+ hydraPlatforms = lib.platforms.none;
+ }) {inherit (pkgs) SDL2_mixer;};
+
"sdl2-sprite" = callPackage
({ mkDerivation, base, optparse-simple, sdl2, sdl2-image, split
, text
@@ -233474,6 +236402,7 @@ self: {
description = "Small web framework using Warp and WAI";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"seakale" = callPackage
@@ -233701,6 +236630,31 @@ self: {
broken = true;
}) {inherit (pkgs) secp256k1;};
+ "secp256k1-haskell_0_6_0" = callPackage
+ ({ mkDerivation, base, base16, bytestring, cereal, deepseq, entropy
+ , hashable, hspec, hspec-discover, HUnit, monad-par, mtl
+ , QuickCheck, secp256k1, string-conversions, unliftio-core
+ }:
+ mkDerivation {
+ pname = "secp256k1-haskell";
+ version = "0.6.0";
+ sha256 = "0qq37xy61kk5h9h6zaiycjlrr1k9kjddy319qgqi0ja9vkm8msj1";
+ libraryHaskellDepends = [
+ base base16 bytestring cereal deepseq entropy hashable QuickCheck
+ string-conversions unliftio-core
+ ];
+ libraryPkgconfigDepends = [ secp256k1 ];
+ testHaskellDepends = [
+ base base16 bytestring cereal deepseq entropy hashable hspec HUnit
+ monad-par mtl QuickCheck string-conversions unliftio-core
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "Bindings for secp256k1";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {inherit (pkgs) secp256k1;};
+
"secp256k1-legacy" = callPackage
({ mkDerivation, base, base16-bytestring, bytestring, Cabal, cereal
, cryptohash, entropy, HUnit, mtl, QuickCheck, string-conversions
@@ -234337,6 +237291,41 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "semigroupoids_5_3_6" = callPackage
+ ({ mkDerivation, base, base-orphans, bifunctors, comonad
+ , containers, contravariant, distributive, hashable, tagged
+ , template-haskell, transformers, transformers-compat
+ , unordered-containers
+ }:
+ mkDerivation {
+ pname = "semigroupoids";
+ version = "5.3.6";
+ sha256 = "0glhqc9x8i5z3bdg23xvl2lfns95msid3h3x0jksna7i6c8j869n";
+ revision = "1";
+ editedCabalFile = "0inbks8x588bpcw7kyap69iy0zrkygycp8hwgrd9yhbxlvj9hmh9";
+ libraryHaskellDepends = [
+ base base-orphans bifunctors comonad containers contravariant
+ distributive hashable tagged template-haskell transformers
+ transformers-compat unordered-containers
+ ];
+ description = "Semigroupoids: Category sans id";
+ license = lib.licenses.bsd2;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
+ "semigroupoids-do" = callPackage
+ ({ mkDerivation, base, semigroupoids }:
+ mkDerivation {
+ pname = "semigroupoids-do";
+ version = "1.0";
+ sha256 = "1f3b1adwmdjgq1qjazd0cdz6lr711s3v29qci13vyjsdxixlzjkf";
+ libraryHaskellDepends = [ base semigroupoids ];
+ description = "Support for QualifiedDo with semigroupoids classes";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"semigroupoids-syntax" = callPackage
({ mkDerivation, base, comonad, containers, contravariant
, directory, distributive, doctest, filepath, QuickCheck
@@ -234363,10 +237352,8 @@ self: {
({ mkDerivation, base }:
mkDerivation {
pname = "semigroups";
- version = "0.19.1";
- sha256 = "0j36cha1wb9vxnd8axfna92b2q5hnrn3ap8d8yin89c69gk63rvr";
- revision = "1";
- editedCabalFile = "10hp5ij4zivkgh1paxiwnwclgwf5xv3zrkfyvqkpgdf2l2mpm0nd";
+ version = "0.19.2";
+ sha256 = "0h1sl3i6k8csy5zkkpy65rxzds9wg577z83aaakybr3n1gcv4855";
libraryHaskellDepends = [ base ];
description = "Anything that associates";
license = lib.licenses.bsd3;
@@ -234688,7 +237675,7 @@ self: {
"seonbi" = callPackage
({ mkDerivation, aeson, attoparsec, base, bytestring, Cabal, cases
- , cassava, containers, data-default, directory, doctest
+ , cassava, containers, data-default, Diff, directory, doctest
, doctest-discover, file-embed, filepath, hlint, hspec
, hspec-discover, html-charset, http-client, http-types
, interpolatedstring-perl6, optparse-applicative, QuickCheck
@@ -234696,8 +237683,8 @@ self: {
}:
mkDerivation {
pname = "seonbi";
- version = "0.2.0";
- sha256 = "1bj428ds0lw6vg0927mn9ss63zrrzfi9jn7fy1hpr583vywc8pba";
+ version = "0.2.3";
+ sha256 = "1wr32bpn6hg6gjd9ppzim0212b04dwcbllk64h8395nnklcv1j44";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -234714,9 +237701,9 @@ self: {
optparse-applicative text wai warp
];
testHaskellDepends = [
- aeson base bytestring containers directory doctest doctest-discover
- filepath hlint hspec hspec-discover interpolatedstring-perl6
- QuickCheck random text unicode-show
+ aeson base bytestring containers Diff directory doctest
+ doctest-discover filepath hlint hspec hspec-discover
+ interpolatedstring-perl6 QuickCheck random text unicode-show
];
testToolDepends = [ hspec-discover ];
description = "SmartyPants for Korean language";
@@ -235097,8 +238084,8 @@ self: {
({ mkDerivation, base, bytestring, HUnit, unix }:
mkDerivation {
pname = "serialport";
- version = "0.5.2";
- sha256 = "1wxi4arxbcvaacrm6phfnd3dvmy5h2bfcwlqis7x1bgyqpzxcq4b";
+ version = "0.5.3";
+ sha256 = "0f0q26n27s6b9mfqc9xb9j8p4qrfjfddwiz1wslxk4rh176qw96i";
libraryHaskellDepends = [ base bytestring unix ];
testHaskellDepends = [ base bytestring HUnit ];
description = "Cross platform serial port library";
@@ -235631,6 +238618,8 @@ self: {
pname = "servant-benchmark";
version = "0.1.2.0";
sha256 = "0lqqk410nx48g895pfxkbbk85b1ijs4bfl9zr2li2p7wwwc4gyi9";
+ revision = "3";
+ editedCabalFile = "17pj6n143lpk5nsr6j8j1a6fj45y1bv61jcm16m0fwsdmhv01866";
libraryHaskellDepends = [
aeson base base64-bytestring bytestring case-insensitive http-media
http-types QuickCheck servant text yaml
@@ -235641,6 +238630,8 @@ self: {
];
description = "Generate benchmark files from a Servant API";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"servant-blaze" = callPackage
@@ -235746,7 +238737,6 @@ self: {
];
description = "Command line interface for Servant API clients";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"servant-client" = callPackage
@@ -235977,21 +238967,17 @@ self: {
"servant-docs-simple" = callPackage
({ mkDerivation, aeson, aeson-pretty, base, bytestring, hspec
- , hspec-core, ordered-containers, prettyprinter, raw-strings-qq
- , servant, text, unordered-containers
+ , hspec-core, prettyprinter, raw-strings-qq, servant, text
}:
mkDerivation {
pname = "servant-docs-simple";
- version = "0.3.0.0";
- sha256 = "0nzlgb3ccycqm3v599hh7k7fk7f8wqj0r2c2ldy9fj1c55h9n8hb";
+ version = "0.4.0.0";
+ sha256 = "0hsx2c3f1afcsrl4z63mmwhr08xlf9kl93ga127b14vz8fh1xb3m";
libraryHaskellDepends = [
- aeson aeson-pretty base bytestring ordered-containers prettyprinter
- servant text unordered-containers
+ aeson aeson-pretty base bytestring prettyprinter servant text
];
testHaskellDepends = [
- aeson aeson-pretty base bytestring hspec hspec-core
- ordered-containers prettyprinter raw-strings-qq servant text
- unordered-containers
+ aeson base hspec hspec-core raw-strings-qq servant
];
description = "Generate endpoints overview for Servant API";
license = lib.licenses.mit;
@@ -236304,6 +239290,7 @@ self: {
];
description = "Servant combinators to facilitate writing GitHub webhooks";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"servant-haxl-client" = callPackage
@@ -236783,8 +239770,8 @@ self: {
pname = "servant-openapi3";
version = "2.0.1.2";
sha256 = "1lqvycbv49x0i3adbsdlcl49n65wxfjzhiz9pj11hg4k0j952q5p";
- revision = "2";
- editedCabalFile = "0cb41wx0lgssda2v26cn36c32j2g0q6gsif7jyy3c5fhaqmn3svv";
+ revision = "3";
+ editedCabalFile = "0pbv4h3nb61b8ykxniav1a8b769i8qbvxdkpkncgsx1xaklq16ly";
setupHaskellDepends = [ base Cabal cabal-doctest ];
libraryHaskellDepends = [
aeson aeson-pretty base base-compat bytestring hspec http-media
@@ -236822,8 +239809,8 @@ self: {
}:
mkDerivation {
pname = "servant-pagination";
- version = "2.3.0";
- sha256 = "0kza7lr3akx3zviqbxlw74f1y66y8c6kys52n49brvrhqwnv4xwd";
+ version = "2.4.1";
+ sha256 = "181an5p0qfzbv3cirnaq8bw778iib4qhv53y60z1ssn16v2vxhq5";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -237250,17 +240237,17 @@ self: {
"servant-serf" = callPackage
({ mkDerivation, attoparsec, base, hpack, mtl, optparse-applicative
- , parser-combinators, regex-base, regex-tdfa, text
+ , regex-base, regex-tdfa, text
}:
mkDerivation {
pname = "servant-serf";
- version = "0.1.1";
- sha256 = "0n4970bx48hwxixqgq1jayprcaq82mm2462iclyzkbfxl6v01zrd";
+ version = "0.2.0";
+ sha256 = "1dda569calcwy0xa0avxzx55r4iydwz49wnc015rjj81kp1ij02y";
isLibrary = true;
isExecutable = true;
executableHaskellDepends = [
- attoparsec base hpack mtl optparse-applicative parser-combinators
- regex-base regex-tdfa text
+ attoparsec base hpack mtl optparse-applicative regex-base
+ regex-tdfa text
];
doHaddock = false;
description = "Generates a servant API module";
@@ -237694,8 +240681,8 @@ self: {
}:
mkDerivation {
pname = "servant-to-elm";
- version = "0.4.2.0";
- sha256 = "1hbz6c9233wgpgmgnplg9qv5hrniynkn5n4zsmkyansw07gmaw05";
+ version = "0.4.3.0";
+ sha256 = "1s63x270bci7nyz595azff1ny868jjpqbilmy01rzbspjrfhwi7d";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -238651,8 +241638,8 @@ self: {
}:
mkDerivation {
pname = "sexp-grammar";
- version = "2.3.1";
- sha256 = "05vj998wzj1wja4848kd04c89jb8pmvdyl40aw6qvc9fq0qzw6m4";
+ version = "2.3.3";
+ sha256 = "0mil91bqzx5lrd7pl1in35ir7zwa6h8lmm9wvqifk7y241hg99gg";
libraryHaskellDepends = [
array base bytestring containers data-fix deepseq
invertible-grammar prettyprinter recursion-schemes scientific
@@ -238671,6 +241658,36 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "sexp-grammar_2_3_3_1" = callPackage
+ ({ mkDerivation, alex, array, base, bytestring, containers
+ , criterion, data-fix, deepseq, happy, invertible-grammar
+ , prettyprinter, QuickCheck, recursion-schemes, scientific
+ , semigroups, tasty, tasty-hunit, tasty-quickcheck, text
+ , utf8-string
+ }:
+ mkDerivation {
+ pname = "sexp-grammar";
+ version = "2.3.3.1";
+ sha256 = "08sqpk5qgq3mqlxvz24sw43m52khynpf41cnd4yif4b4ri583mb9";
+ libraryHaskellDepends = [
+ array base bytestring containers data-fix deepseq
+ invertible-grammar prettyprinter recursion-schemes scientific
+ semigroups text utf8-string
+ ];
+ libraryToolDepends = [ alex happy ];
+ testHaskellDepends = [
+ base bytestring containers invertible-grammar prettyprinter
+ QuickCheck scientific semigroups tasty tasty-hunit tasty-quickcheck
+ text
+ ];
+ benchmarkHaskellDepends = [
+ base bytestring criterion deepseq text
+ ];
+ description = "Invertible grammar combinators for S-expressions";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"sexp-show" = callPackage
({ mkDerivation, base, pretty-show }:
mkDerivation {
@@ -238727,8 +241744,8 @@ self: {
}:
mkDerivation {
pname = "sexpresso";
- version = "1.1.0.0";
- sha256 = "0y08m020bs1133b6jh6lb20bpa1kpd1ib0b51vdpf9n2pzpqy3jr";
+ version = "1.2.0.0";
+ sha256 = "1q1b1kzc4578drz92r666gl2l02pn4zdbbbnjcwwkklccslb3zcd";
libraryHaskellDepends = [
base bifunctors containers megaparsec recursion-schemes text
];
@@ -238739,6 +241756,7 @@ self: {
description = "A flexible library for parsing and printing S-expression";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"sext" = callPackage
@@ -238771,7 +241789,6 @@ self: {
librarySystemDepends = [ libsndfile openal ];
description = "minimal bindings to the audio module of sfml";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs) libsndfile; inherit (pkgs) openal;};
"sfmt" = callPackage
@@ -239011,6 +242028,7 @@ self: {
description = "Functional GPU programming - DSEL & compiler";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"shady-graphics" = callPackage
@@ -239039,8 +242057,8 @@ self: {
}:
mkDerivation {
pname = "shake";
- version = "0.19.5";
- sha256 = "105agfvn75czyq3jbmppybv776njlsqc7k4m1xnx0n78qjmcnpb9";
+ version = "0.19.6";
+ sha256 = "0hnm3h1ni4jq73a7b7yxhbg9wm8mrjda5kmkpnmclynnpwvvi7bx";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -239083,16 +242101,17 @@ self: {
}) {};
"shake-bench" = callPackage
- ({ mkDerivation, aeson, base, Chart, Chart-diagrams, diagrams
- , diagrams-svg, directory, extra, filepath, shake, text
+ ({ mkDerivation, aeson, base, Chart, Chart-diagrams
+ , diagrams-contrib, diagrams-core, diagrams-lib, diagrams-svg
+ , directory, extra, filepath, shake, text
}:
mkDerivation {
pname = "shake-bench";
- version = "0.1.0.0";
- sha256 = "09lgmiw77nr3xycxksvzmcw1c2j66h51d5vxpm0lngv1dnsrad64";
+ version = "0.1.0.2";
+ sha256 = "14p9887qa2i34pbwfg2v2zzvdsbcpzf1d0mr0y2rzjy703356xsm";
libraryHaskellDepends = [
- aeson base Chart Chart-diagrams diagrams diagrams-svg directory
- extra filepath shake text
+ aeson base Chart Chart-diagrams diagrams-contrib diagrams-core
+ diagrams-lib diagrams-svg directory extra filepath shake text
];
description = "Build rules for historical benchmarking";
license = lib.licenses.asl20;
@@ -239491,6 +242510,8 @@ self: {
pname = "shakespeare";
version = "2.0.25";
sha256 = "1fjv3yg425d87d3dih0l3ff95g5a5yp9w85m58sjara6xqivj9s4";
+ revision = "1";
+ editedCabalFile = "0na31a7h3sq8ndrx79waywsfj5667pm0masy10gxzhzwmf6i3s1l";
libraryHaskellDepends = [
aeson base blaze-html blaze-markup bytestring containers directory
exceptions ghc-prim parsec process scientific template-haskell text
@@ -240134,6 +243155,7 @@ self: {
testHaskellDepends = [ base tasty ];
description = "Utility functions for using shh";
license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ maralorn ];
}) {};
"shift" = callPackage
@@ -240294,6 +243316,83 @@ self: {
broken = true;
}) {};
+ "short-vec" = callPackage
+ ({ mkDerivation, adjunctions, base, data-default-class, deepseq
+ , distributive, fin-int, gauge, HUnit, indexed-traversable
+ , integer-gmp, portray, portray-diff, QuickCheck, semigroupoids
+ , sint, test-framework, test-framework-hunit
+ , test-framework-quickcheck2
+ }:
+ mkDerivation {
+ pname = "short-vec";
+ version = "0.1.0.0";
+ sha256 = "0w651jipwxh7k4ng5rvq507br4347hzy8x8c47c1g7haryj80gzq";
+ revision = "4";
+ editedCabalFile = "1rwzaxdpkrn1v7p8jph4m91vyphdzm9h2yppnmanp636p0sjxzf4";
+ libraryHaskellDepends = [
+ adjunctions base data-default-class deepseq distributive fin-int
+ indexed-traversable integer-gmp portray portray-diff QuickCheck
+ semigroupoids sint
+ ];
+ testHaskellDepends = [
+ adjunctions base data-default-class deepseq distributive fin-int
+ HUnit indexed-traversable integer-gmp portray portray-diff
+ QuickCheck semigroupoids sint test-framework test-framework-hunit
+ test-framework-quickcheck2
+ ];
+ benchmarkHaskellDepends = [
+ adjunctions base data-default-class deepseq distributive fin-int
+ gauge indexed-traversable integer-gmp portray portray-diff
+ QuickCheck semigroupoids sint
+ ];
+ description = "Length-indexed vectors using SmallArray#";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
+ "short-vec-lens" = callPackage
+ ({ mkDerivation, base, fin-int, indexed-traversable, lens
+ , short-vec, sint
+ }:
+ mkDerivation {
+ pname = "short-vec-lens";
+ version = "0.1.0.0";
+ sha256 = "1afz1izz19xrjy0cdhmpy7b667waa5v8jh1ps3jpjfpgbmysjz3g";
+ revision = "1";
+ editedCabalFile = "1bkvx7csgqdn16kamih5h797pcg3ppcf6gln7lf01bw9pd5hpkkb";
+ libraryHaskellDepends = [
+ base fin-int indexed-traversable lens short-vec sint
+ ];
+ description = "Lenses and related functionality for the `short-vec` package";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
+ "shortbytestring" = callPackage
+ ({ mkDerivation, base, bytestring, deepseq, exceptions, ghc-prim
+ , primitive, random, tasty, tasty-bench, tasty-quickcheck
+ , template-haskell, text, word16, word8
+ }:
+ mkDerivation {
+ pname = "shortbytestring";
+ version = "0.1.0.0";
+ sha256 = "1wjk73xlrk0qgnn8j53ngnikh034v295mm7w9nqadvwg9y3absfy";
+ libraryHaskellDepends = [
+ base bytestring exceptions primitive template-haskell text word16
+ word8
+ ];
+ testHaskellDepends = [
+ base bytestring deepseq ghc-prim tasty tasty-quickcheck word16
+ word8
+ ];
+ benchmarkHaskellDepends = [
+ base bytestring deepseq random tasty-bench
+ ];
+ description = "Additional ShortByteString API";
+ license = lib.licenses.mit;
+ }) {};
+
"shortcircuit" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -241261,6 +244360,7 @@ self: {
description = "Forms that configure themselves based on type";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"simple-genetic-algorithm" = callPackage
@@ -242073,40 +245173,43 @@ self: {
"simplexmq" = callPackage
({ mkDerivation, ansi-terminal, asn1-encoding, asn1-types, async
- , attoparsec, base, base64-bytestring, bytestring, containers
- , cryptonite, cryptostore, directory, filepath, generic-random
- , hspec, hspec-core, HUnit, ini, iso8601-time, memory, mtl, network
+ , attoparsec, base, base64-bytestring, bytestring, composition
+ , constraints, containers, cryptonite, cryptostore, direct-sqlite
+ , directory, file-embed, filepath, generic-random, hspec
+ , hspec-core, HUnit, ini, iso8601-time, memory, mtl, network
, network-transport, optparse-applicative, QuickCheck, random
, simple-logger, sqlite-simple, stm, template-haskell, text, time
, timeit, transformers, unliftio, unliftio-core, websockets, x509
}:
mkDerivation {
pname = "simplexmq";
- version = "0.3.2";
- sha256 = "1bxg91ycmpa8762v5vdviqvyzkfap4iv9plnr7gcibf8vsd39qxl";
+ version = "0.4.1";
+ sha256 = "0bqpjvcxk8fij0bvdp8abpaca17hwkjrya5fhiwzjsrs48c5w0by";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
ansi-terminal asn1-encoding asn1-types async attoparsec base
- base64-bytestring bytestring containers cryptonite directory
- filepath generic-random iso8601-time memory mtl network
- network-transport QuickCheck random simple-logger sqlite-simple stm
- template-haskell text time transformers unliftio unliftio-core
- websockets x509
+ base64-bytestring bytestring composition constraints containers
+ cryptonite direct-sqlite directory file-embed filepath
+ generic-random iso8601-time memory mtl network network-transport
+ QuickCheck random simple-logger sqlite-simple stm template-haskell
+ text time transformers unliftio unliftio-core websockets x509
];
executableHaskellDepends = [
ansi-terminal asn1-encoding asn1-types async attoparsec base
- base64-bytestring bytestring containers cryptonite cryptostore
- directory filepath generic-random ini iso8601-time memory mtl
- network network-transport optparse-applicative QuickCheck random
+ base64-bytestring bytestring composition constraints containers
+ cryptonite cryptostore direct-sqlite directory file-embed filepath
+ generic-random ini iso8601-time memory mtl network
+ network-transport optparse-applicative QuickCheck random
simple-logger sqlite-simple stm template-haskell text time
transformers unliftio unliftio-core websockets x509
];
testHaskellDepends = [
ansi-terminal asn1-encoding asn1-types async attoparsec base
- base64-bytestring bytestring containers cryptonite directory
- filepath generic-random hspec hspec-core HUnit iso8601-time memory
- mtl network network-transport QuickCheck random simple-logger
+ base64-bytestring bytestring composition constraints containers
+ cryptonite direct-sqlite directory file-embed filepath
+ generic-random hspec hspec-core HUnit iso8601-time memory mtl
+ network network-transport QuickCheck random simple-logger
sqlite-simple stm template-haskell text time timeit transformers
unliftio unliftio-core websockets x509
];
@@ -242440,6 +245543,25 @@ self: {
broken = true;
}) {};
+ "sint" = callPackage
+ ({ mkDerivation, base, portray, portray-diff, QuickCheck
+ , test-framework, test-framework-quickcheck2
+ }:
+ mkDerivation {
+ pname = "sint";
+ version = "0.1.0.0";
+ sha256 = "1gqd5m5r3i9qvszzb1ljjip5c7bnsp5nblmghg4lhbpfrs7r87gf";
+ revision = "1";
+ editedCabalFile = "0z0bm4hj5w0xpasvdlczabd6my5ms1xfzyg1yg9fwc5llbi2z34p";
+ libraryHaskellDepends = [ base portray portray-diff ];
+ testHaskellDepends = [
+ base portray portray-diff QuickCheck test-framework
+ test-framework-quickcheck2
+ ];
+ description = "A singleton type for `Nat` represented as `Int`";
+ license = lib.licenses.asl20;
+ }) {};
+
"siphash" = callPackage
({ mkDerivation, base, bytestring, cpu, QuickCheck, test-framework
, test-framework-quickcheck2
@@ -242993,14 +246115,14 @@ self: {
license = lib.licenses.gpl2Only;
}) {};
- "skylighting_0_11" = callPackage
+ "skylighting_0_12" = callPackage
({ mkDerivation, base, binary, blaze-html, bytestring, containers
, pretty-show, skylighting-core, text
}:
mkDerivation {
pname = "skylighting";
- version = "0.11";
- sha256 = "12m119j65yngryrx23jiz6c86wihqp47ysv0wnmqfgc6cbv0k97r";
+ version = "0.12";
+ sha256 = "1hd3ryv9g5cp0l9jrdmav7vkhx5hqdx830bx0xixfikqzigdsg3y";
configureFlags = [ "-fexecutable" ];
isLibrary = true;
isExecutable = true;
@@ -243046,7 +246168,7 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "skylighting-core_0_11" = callPackage
+ "skylighting-core_0_12" = callPackage
({ mkDerivation, aeson, ansi-terminal, attoparsec, base
, base64-bytestring, binary, blaze-html, bytestring
, case-insensitive, colour, containers, criterion, Diff, directory
@@ -243056,8 +246178,8 @@ self: {
}:
mkDerivation {
pname = "skylighting-core";
- version = "0.11";
- sha256 = "1pgi0xfwbvgpgdcka3z3zl1hg1y4n3s2r9561gzclydyldb2jxc3";
+ version = "0.12";
+ sha256 = "15ph640qrx4l31h8wa80yivgvsabm92clkk2fba4zr032dxg7d0f";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -243275,8 +246397,8 @@ self: {
}:
mkDerivation {
pname = "slack-web";
- version = "0.3.0.0";
- sha256 = "1z223dhv0qb7labrxppjq65lp2jyscxgxk4rjdvfd2xsglj36dbf";
+ version = "0.3.0.1";
+ sha256 = "0dx0g6syvp9j5nslv7zdrawf7ldabgdpcrxlwmcijslfr29dk12h";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -243405,8 +246527,8 @@ self: {
}:
mkDerivation {
pname = "slick";
- version = "1.1.1.0";
- sha256 = "19zqg85j3685hsbax5sv96zfqxih7rmcjd65z88vynmiv718147d";
+ version = "1.1.2.2";
+ sha256 = "0q6q496cvrsc4gnksihib0dr80cjg0n9vy69h2ani2ax0g75fzqd";
libraryHaskellDepends = [
aeson base bytestring directory extra mustache pandoc shake text
unordered-containers
@@ -243647,6 +246769,31 @@ self: {
executableHaskellDepends = [ base ];
description = "Handle molecular sequences";
license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ dschrempf ];
+ }) {};
+
+ "slynx_0_6_1_0" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, bytestring, containers
+ , elynx-markov, elynx-seq, elynx-tools, elynx-tree, hmatrix
+ , mwc-random, optparse-applicative, statistics, text, transformers
+ , vector
+ }:
+ mkDerivation {
+ pname = "slynx";
+ version = "0.6.1.0";
+ sha256 = "15wjlxmhwrk3fj6hzmc9rpgc7qnkld028z79h9a5k6vs90hgcwlx";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson attoparsec base bytestring containers elynx-markov elynx-seq
+ elynx-tools elynx-tree hmatrix mwc-random optparse-applicative
+ statistics text transformers vector
+ ];
+ executableHaskellDepends = [ base ];
+ description = "Handle molecular sequences";
+ license = lib.licenses.gpl3Plus;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ dschrempf ];
}) {};
"small-bytearray-builder" = callPackage
@@ -244783,6 +247930,7 @@ self: {
description = "Declarative routing for Snap";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snap-routes" = callPackage
@@ -245069,8 +248217,8 @@ self: {
}:
mkDerivation {
pname = "snaplet-customauth";
- version = "0.2";
- sha256 = "10brxk6fpblbc58wjfhp3frx6r4d13iqz704v804r2hhsj35rkfz";
+ version = "0.2.1";
+ sha256 = "04bnkw268klv06w0hbgdcxmdcyyg7bjxfhqfx7ymbl41a887h2zb";
libraryHaskellDepends = [
aeson base base64-bytestring binary binary-instances bytestring
bytestring-show configurator containers errors heist hoauth2
@@ -246096,6 +249244,19 @@ self: {
broken = true;
}) {};
+ "snumber" = callPackage
+ ({ mkDerivation, base, numeric-kinds }:
+ mkDerivation {
+ pname = "snumber";
+ version = "0.1.0.0";
+ sha256 = "0f340hzhhmiy342c5250m61f3gkcnfymjbd2a13alzdh7pmhb2mg";
+ revision = "1";
+ editedCabalFile = "0cc7chg4qhqlrkdv2m7y514gs9lm1hixwaw543dv47vqb12063im";
+ libraryHaskellDepends = [ base numeric-kinds ];
+ description = "Integer singletons with flexible representation";
+ license = lib.licenses.asl20;
+ }) {};
+
"soap" = callPackage
({ mkDerivation, base, bytestring, conduit, configurator
, data-default, exceptions, hspec, http-client, http-types, HUnit
@@ -246473,6 +249634,8 @@ self: {
pname = "solana-staking-csvs";
version = "0.1.1.0";
sha256 = "0ya63vgh0nf4p7hz6fj38m44wr77jj76bf2qxdgra3lpiziqsjd5";
+ revision = "2";
+ editedCabalFile = "1f55xdlmfj5g5r7dr71aw878g3ii9zaxj24znc370j2gd182nr13";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -246608,6 +249771,18 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "some-dict-of" = callPackage
+ ({ mkDerivation, base, constraints }:
+ mkDerivation {
+ pname = "some-dict-of";
+ version = "0.1.0.2";
+ sha256 = "0yimraj4r8h1vqsy4pvmfdl9asf2flc1mcfj1jgyh4am4pz0d8p7";
+ libraryHaskellDepends = [ base constraints ];
+ testHaskellDepends = [ base constraints ];
+ description = "Carry evidence of constraints around";
+ license = lib.licenses.bsd3;
+ }) {};
+
"sonic-visualiser" = callPackage
({ mkDerivation, array, base, bytestring, bzlib, containers, mtl
, pretty, utf8-string, xml
@@ -246786,8 +249961,8 @@ self: {
}:
mkDerivation {
pname = "souffle-haskell";
- version = "3.0.0";
- sha256 = "0zwz28w8fmz8lfwd5bzhysc43y5gfsa1px2xhlkxg5psy0j1935q";
+ version = "3.1.0";
+ sha256 = "1sjdfrhvncsz5lg3bv29x4j2dk0dn7c5mcaj61al8ksh9r51y05l";
libraryHaskellDepends = [
array base bytestring containers deepseq directory filepath mtl
process template-haskell temporary text text-short
@@ -247620,12 +250795,12 @@ self: {
broken = true;
}) {};
- "speculate_0_4_12" = callPackage
+ "speculate_0_4_14" = callPackage
({ mkDerivation, base, cmdargs, containers, express, leancheck }:
mkDerivation {
pname = "speculate";
- version = "0.4.12";
- sha256 = "0v5c8nzad1y5wjrnjswq4hyahkfmmb4npzhrrkdg5brwv6c784v7";
+ version = "0.4.14";
+ sha256 = "1v635vvj4c3krbgv0y681l0dd3kq6knb9vfqy1jhnci14dy2nnr2";
libraryHaskellDepends = [
base cmdargs containers express leancheck
];
@@ -248010,8 +251185,8 @@ self: {
pname = "split";
version = "0.2.3.4";
sha256 = "0ahzdjcxw5wywr3w4msspia99k6fkckddam1m5506h4z9h8fa7r7";
- revision = "1";
- editedCabalFile = "06pmlvyrz4rr7rsrghpyrdypprphm9522rvnz4l3i8333n4pb304";
+ revision = "2";
+ editedCabalFile = "0jwaw5plby8bmjmhshrr5813avqmq4zih2lqpi8cprvfh0z9rpx6";
libraryHaskellDepends = [ base ];
testHaskellDepends = [ base QuickCheck ];
description = "Combinator library for splitting lists";
@@ -248861,6 +252036,8 @@ self: {
pname = "srt-dhall";
version = "0.1.0.0";
sha256 = "16ygxiqb9d0js3gr4823fdkk8pzsairby6iywnvsafas1qvhm0yp";
+ revision = "1";
+ editedCabalFile = "0y1x65mmhsa78svk19nwf7211fny9c4w3gf9d31d10j9bglyly8f";
libraryHaskellDepends = [
attoparsec base dhall either formatting simple-media-timestamp
simple-media-timestamp-formatting srt srt-attoparsec srt-formatting
@@ -249244,6 +252421,39 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "stache_2_3_1" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, containers, criterion
+ , deepseq, directory, file-embed, filepath, gitrev, hspec
+ , hspec-discover, hspec-megaparsec, megaparsec, mtl
+ , optparse-applicative, template-haskell, text, vector, yaml
+ }:
+ mkDerivation {
+ pname = "stache";
+ version = "2.3.1";
+ sha256 = "1a26pwg6y90588yf9sp18w4b2ahr64fxdhy1c3zv0c9pw7bv6k6q";
+ isLibrary = true;
+ isExecutable = true;
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ aeson base bytestring containers deepseq directory filepath
+ megaparsec mtl template-haskell text vector
+ ];
+ executableHaskellDepends = [
+ aeson base filepath gitrev optparse-applicative text yaml
+ ];
+ testHaskellDepends = [
+ aeson base bytestring containers file-embed hspec hspec-megaparsec
+ megaparsec template-haskell text yaml
+ ];
+ testToolDepends = [ hspec-discover ];
+ benchmarkHaskellDepends = [
+ aeson base criterion deepseq megaparsec text
+ ];
+ description = "Mustache templates for Haskell";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"stack" = callPackage
({ mkDerivation, aeson, annotated-wl-pprint, ansi-terminal, array
, async, attoparsec, base, base64-bytestring, bytestring, Cabal
@@ -249339,20 +252549,20 @@ self: {
}) {};
"stack-all" = callPackage
- ({ mkDerivation, aeson, base, bytestring, config-ini, directory
- , extra, filepath, http-query, process, simple-cmd, simple-cmd-args
- , text, time, unordered-containers, xdg-basedir
+ ({ mkDerivation, aeson, base, cached-json-file, config-ini
+ , directory, extra, filepath, http-query, process, simple-cmd
+ , simple-cmd-args, text, unordered-containers
}:
mkDerivation {
pname = "stack-all";
- version = "0.3";
- sha256 = "0bxrchryn0r02bbkxwwyi9rlkknjqwbiypbfj47q9fzyb2rv9gk1";
+ version = "0.3.1";
+ sha256 = "0jsz28p1xpzh77r3mhjh98jsz3lnzirfvh9w64rcgxpacdyajwhf";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- aeson base bytestring config-ini directory extra filepath
- http-query process simple-cmd simple-cmd-args text time
- unordered-containers xdg-basedir
+ aeson base cached-json-file config-ini directory extra filepath
+ http-query process simple-cmd simple-cmd-args text
+ unordered-containers
];
description = "CLI tool for building across Stackage major versions";
license = lib.licenses.bsd3;
@@ -249389,8 +252599,8 @@ self: {
}:
mkDerivation {
pname = "stack-clean-old";
- version = "0.3.1";
- sha256 = "034y2a8zhfjrf2wjqhsvkxycwypyykyq9abq2ir33nadgxlshfk4";
+ version = "0.4.1";
+ sha256 = "08sbgclcbnl67zayps2clgw8xk7s6bbyhm8r0pp4slx9mk4nrv4l";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -250112,6 +253322,8 @@ self: {
pname = "stackcollapse-ghc";
version = "0.0.1.4";
sha256 = "1scqjjp1cpz3zzvqa6wmfz11qrhsbqwgq7h8qjg0d8ri3r9z82lb";
+ revision = "1";
+ editedCabalFile = "0k4gayvmjdfvfbyxjcmw1hk9vi90l0f9p3npi4rv2wwn1pl00vgm";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -250156,7 +253368,6 @@ self: {
libraryHaskellDepends = [ base ];
description = "Numerical statistics for Foldable containers";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"staged-gg" = callPackage
@@ -250283,7 +253494,6 @@ self: {
libraryHaskellDepends = [ base ];
description = "the * -> * types, operators, and covariant instances";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"star-to-star-contra" = callPackage
@@ -250295,7 +253505,6 @@ self: {
libraryHaskellDepends = [ base star-to-star ];
description = "contravariant instances for * -> * types and operators";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"starling" = callPackage
@@ -250528,7 +253737,6 @@ self: {
librarySystemDepends = [ libstatgrab ];
description = "Collect system level metrics and statistics";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs) libstatgrab;};
"static" = callPackage
@@ -251196,8 +254404,8 @@ self: {
pname = "step-function";
version = "0.2";
sha256 = "1mg7zqqs32zdh1x1738kk0yydyksbhx3y3x8n31f7byk5fvzqq6j";
- revision = "5";
- editedCabalFile = "03xg6n7dyz73y3llbbahnlh46xfy2iq29s1jwjp22qxd4z6xndsa";
+ revision = "6";
+ editedCabalFile = "01ncir4kfij1wp591wi333isf20v4sppjfcv27siz6m048cbscg4";
libraryHaskellDepends = [
base base-compat-batteries containers deepseq QuickCheck
];
@@ -251915,54 +255123,6 @@ self: {
}) {};
"store" = callPackage
- ({ mkDerivation, array, async, base, base-orphans
- , base64-bytestring, bifunctors, bytestring, cereal, cereal-vector
- , clock, containers, contravariant, criterion, cryptohash, deepseq
- , directory, filepath, free, ghc-prim, hashable, hspec
- , hspec-smallcheck, integer-gmp, lifted-base, monad-control
- , mono-traversable, nats, network, primitive, resourcet, safe
- , smallcheck, store-core, syb, template-haskell, text, th-lift
- , th-lift-instances, th-orphans, th-reify-many, th-utilities, time
- , transformers, unordered-containers, vector
- , vector-binary-instances, void, weigh
- }:
- mkDerivation {
- pname = "store";
- version = "0.7.11";
- sha256 = "03i9gd18xqbfmj5kmiv4k4sw44gn6mn4faj71r2723abm3qwklwr";
- libraryHaskellDepends = [
- array async base base-orphans base64-bytestring bifunctors
- bytestring containers contravariant cryptohash deepseq directory
- filepath free ghc-prim hashable hspec hspec-smallcheck integer-gmp
- lifted-base monad-control mono-traversable nats network primitive
- resourcet safe smallcheck store-core syb template-haskell text
- th-lift th-lift-instances th-orphans th-reify-many th-utilities
- time transformers unordered-containers vector void
- ];
- testHaskellDepends = [
- array async base base-orphans base64-bytestring bifunctors
- bytestring clock containers contravariant cryptohash deepseq
- directory filepath free ghc-prim hashable hspec hspec-smallcheck
- integer-gmp lifted-base monad-control mono-traversable nats network
- primitive resourcet safe smallcheck store-core syb template-haskell
- text th-lift th-lift-instances th-orphans th-reify-many
- th-utilities time transformers unordered-containers vector void
- ];
- benchmarkHaskellDepends = [
- array async base base-orphans base64-bytestring bifunctors
- bytestring cereal cereal-vector containers contravariant criterion
- cryptohash deepseq directory filepath free ghc-prim hashable hspec
- hspec-smallcheck integer-gmp lifted-base monad-control
- mono-traversable nats network primitive resourcet safe smallcheck
- store-core syb template-haskell text th-lift th-lift-instances
- th-orphans th-reify-many th-utilities time transformers
- unordered-containers vector vector-binary-instances void weigh
- ];
- description = "Fast binary serialization";
- license = lib.licenses.mit;
- }) {};
-
- "store_0_7_12" = callPackage
({ mkDerivation, array, async, base, base-orphans
, base64-bytestring, bifunctors, bytestring, cereal, cereal-vector
, clock, containers, contravariant, criterion, cryptohash, deepseq
@@ -252008,7 +255168,6 @@ self: {
];
description = "Fast binary serialization";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"store-core" = callPackage
@@ -252638,8 +255797,8 @@ self: {
({ mkDerivation, base, hspec, streaming }:
mkDerivation {
pname = "streaming-nonempty";
- version = "0.1.0.0";
- sha256 = "0ykw92p2v8v0dlq68733wyh211dh7cd8s3iirnkbnabm0vyc20y6";
+ version = "0.1.0.1";
+ sha256 = "1d0r4isxl9g5q2fcqz17iyxmzxg4hnj9xw95sxqz3mfw9l02lc85";
libraryHaskellDepends = [ base streaming ];
testHaskellDepends = [ base hspec streaming ];
description = "Add support for non empty streams to Streaming lib";
@@ -252783,24 +255942,23 @@ self: {
({ mkDerivation, aeson, attoparsec, base, bytestring, http-client
, http-client-tls, json-stream, mtl, network, network-simple, pipes
, resourcet, streaming, streaming-bytestring, streaming-commons
- , transformers
+ , transformers, zlib
}:
mkDerivation {
pname = "streaming-utils";
- version = "0.2.0.0";
- sha256 = "05cgcypwxrhhf3xyxggwiz0v3193hf8h7vripqjam38f8ji3lxhk";
- revision = "1";
- editedCabalFile = "0wfk7bq5kpm6cn28z8mjlr1w5y2gp7bkm1xng1myy3jzyjwr68ph";
+ version = "0.2.1.0";
+ sha256 = "1c751g4k93365bibambr713w5fngpx4n11sp38pxixlhw7a2f7x7";
libraryHaskellDepends = [
aeson attoparsec base bytestring http-client http-client-tls
json-stream mtl network network-simple pipes resourcet streaming
streaming-bytestring streaming-commons transformers
];
+ libraryPkgconfigDepends = [ zlib ];
description = "http, attoparsec, pipes and other utilities for the streaming libraries";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
broken = true;
- }) {};
+ }) {inherit (pkgs) zlib;};
"streaming-wai" = callPackage
({ mkDerivation, base, bytestring, bytestring-builder, http-types
@@ -252824,10 +255982,8 @@ self: {
}:
mkDerivation {
pname = "streaming-with";
- version = "0.2.2.1";
- sha256 = "005krn43z92x1v8w8pgfx489h3livkklgrr7s2i2wijgsz55xp09";
- revision = "1";
- editedCabalFile = "0z1jy02hc4k1xv0bd4981cblnm4pr022hakrj6zmi4zds74m9wzm";
+ version = "0.3.0.0";
+ sha256 = "00p8n7qx4rjbxfhw40nnpankar3zsbciqv2yxpyq3gzgzj9g5n7i";
libraryHaskellDepends = [
base exceptions managed streaming-bytestring temporary transformers
];
@@ -253093,6 +256249,25 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs) lmdb;};
+ "streamly-lz4" = callPackage
+ ({ mkDerivation, base, directory, exceptions, fusion-plugin-types
+ , gauge, hspec, QuickCheck, streamly, temporary
+ }:
+ mkDerivation {
+ pname = "streamly-lz4";
+ version = "0.1.0";
+ sha256 = "0jp6px6m85rji0wpq0xfp2cv63jidvqmb1x1z33q95xfh6v73yng";
+ libraryHaskellDepends = [
+ base exceptions fusion-plugin-types streamly
+ ];
+ testHaskellDepends = [ base hspec QuickCheck streamly temporary ];
+ benchmarkHaskellDepends = [ base directory gauge streamly ];
+ description = "Streamly combinators for LZ4 compression";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"streamly-posix" = callPackage
({ mkDerivation, base, bytestring, filepath, hpath-posix, hspec
, hspec-discover, safe-exceptions, streamly, streamly-bytestring
@@ -253420,14 +256595,15 @@ self: {
}) {};
"strict-list" = callPackage
- ({ mkDerivation, base, hashable, QuickCheck, quickcheck-instances
- , rerebase, semigroupoids, tasty, tasty-hunit, tasty-quickcheck
+ ({ mkDerivation, base, deepseq, hashable, QuickCheck
+ , quickcheck-instances, rerebase, semigroupoids, tasty, tasty-hunit
+ , tasty-quickcheck
}:
mkDerivation {
pname = "strict-list";
- version = "0.1.5";
- sha256 = "06mv208bspfl2mh1razi6af3fri8w7f5p3klkc3b9yx5ddv3hwxs";
- libraryHaskellDepends = [ base hashable semigroupoids ];
+ version = "0.1.6";
+ sha256 = "0cbf3my7fghifplk7l2m77cc0x7xkh1pyv5k36h7dl6m2ddhmdc1";
+ libraryHaskellDepends = [ base deepseq hashable semigroupoids ];
testHaskellDepends = [
QuickCheck quickcheck-instances rerebase tasty tasty-hunit
tasty-quickcheck
@@ -253667,10 +256843,10 @@ self: {
({ mkDerivation, base }:
mkDerivation {
pname = "string-interpreter";
- version = "0.2.0.0";
- sha256 = "1bwdfbpmlfqixfwc02jxdyyv0pkiysh24pnmna12cwpvb9582f6n";
+ version = "0.5.4.1";
+ sha256 = "1dgmqircw5gz62crxzx3d7gk2xyvmcwqdy15rpjgy2q7q5brcv7h";
libraryHaskellDepends = [ base ];
- description = "Is used in the recursive mode for phonetic languages approach";
+ description = "Is used in the phonetic languages approach (e. g. in the recursive mode).";
license = lib.licenses.mit;
}) {};
@@ -254325,6 +257501,26 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "structured_0_1_1" = callPackage
+ ({ mkDerivation, aeson, array, base, base16-bytestring, binary
+ , bytestring, containers, hashable, scientific, tagged, text
+ , time-compat, transformers, unordered-containers, uuid-types
+ , vector
+ }:
+ mkDerivation {
+ pname = "structured";
+ version = "0.1.1";
+ sha256 = "1mz02ys85z79nj24ylsmgh8v2m7zv2rixf7w0iqnwc49lax52w4q";
+ libraryHaskellDepends = [
+ aeson array base base16-bytestring binary bytestring containers
+ hashable scientific tagged text time-compat transformers
+ unordered-containers uuid-types vector
+ ];
+ description = "Structure (hash) of your data types";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"structured-cli" = callPackage
({ mkDerivation, base, data-default, exceptions, haskeline, mtl
, split, transformers
@@ -254543,8 +257739,8 @@ self: {
}:
mkDerivation {
pname = "stylish-haskell";
- version = "0.12.2.0";
- sha256 = "074nr4yg3yqjshnwxxrbs0shsjphbrmacz92ysyw8gnppq1z538c";
+ version = "0.13.0.0";
+ sha256 = "0x9w3zh1lzp6l5xj3mynnlr0fzb5mbv0wwpfxp8fr6bk0jcrzjwf";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -254991,6 +258187,8 @@ self: {
pname = "suitable";
version = "0.1.1";
sha256 = "1pvw7zgvfr0z2gjy224gd92ayh20j3v97rdlqmq6k6g4yabdpgci";
+ revision = "1";
+ editedCabalFile = "10yinlpa6q6jvpsnazpbgqnpg0d8va7lkfqafpym9gsgcn9f6xf4";
libraryHaskellDepends = [ base containers ];
description = "Abstract over the constraints on the parameters to type constructors";
license = lib.licenses.bsd3;
@@ -255011,12 +258209,12 @@ self: {
}) {};
"summer" = callPackage
- ({ mkDerivation, base, generics-sop, vector }:
+ ({ mkDerivation, base, generics-sop, profunctors, vector }:
mkDerivation {
pname = "summer";
- version = "0.2.0.1";
- sha256 = "0kxxvifs68gbmh7vdjfcsf1baiih646s9msvd5rh7hrbr8n14w5l";
- libraryHaskellDepends = [ base generics-sop vector ];
+ version = "0.3.7.1";
+ sha256 = "0g745i3ms1i6qz428aln33hczvgn1zg79xd0n94h696x397d7zs5";
+ libraryHaskellDepends = [ base generics-sop profunctors vector ];
testHaskellDepends = [ base ];
description = "An implementation of extensible products and sums";
license = lib.licenses.mit;
@@ -255602,8 +258800,8 @@ self: {
}:
mkDerivation {
pname = "sv2v";
- version = "0.0.8";
- sha256 = "1zkl0qsg9pg8dl4k5cvq2gbp6alxx1hzhmbdqpm7wdd9z9qag17w";
+ version = "0.0.9";
+ sha256 = "1pb7fwq7nbwliznw14y2hw1rwg8y78kiyv41cdwcz0vlwcp0cqd9";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -255727,8 +258925,7 @@ self: {
libraryPkgconfigDepends = [ librsvg ];
description = "Binding to the libsvg-cairo library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
+ maintainers = with lib.maintainers; [ dalpd ];
}) {inherit (pkgs) librsvg;};
"svgone" = callPackage
@@ -255849,7 +259046,6 @@ self: {
testHaskellDepends = [ aeson base bytestring tasty tasty-hunit ];
description = "Implementation of swagger data model";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"swagger-petstore" = callPackage
@@ -256239,10 +259435,9 @@ self: {
testToolDepends = [ sydtest-discover ];
description = "A modern testing framework for Haskell with good defaults and advanced testing features";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
- "sydtest_0_3_0_3" = callPackage
+ "sydtest_0_4_1_0" = callPackage
({ mkDerivation, async, base, bytestring, containers, Diff, dlist
, envparse, filepath, MonadRandom, mtl, optparse-applicative, path
, path-io, pretty-show, QuickCheck, quickcheck-io, random-shuffle
@@ -256251,8 +259446,8 @@ self: {
}:
mkDerivation {
pname = "sydtest";
- version = "0.3.0.3";
- sha256 = "1h6x9k5shpsp028d5mhi03pgzg324qglapk1nick1cnr0njr7v7w";
+ version = "0.4.1.0";
+ sha256 = "1g63qq1hisfpnic9sl2a8bry1wyr6ccdcq0l2dagdnggripjgdl1";
libraryHaskellDepends = [
async base bytestring containers Diff dlist envparse filepath
MonadRandom mtl optparse-applicative path path-io pretty-show
@@ -256285,6 +259480,7 @@ self: {
description = "An aeson companion library for sydtest";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"sydtest-amqp" = callPackage
@@ -256325,7 +259521,6 @@ self: {
executableHaskellDepends = [ base ];
description = "Automatic test suite discovery for sydtest";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"sydtest-hedis" = callPackage
@@ -256346,6 +259541,7 @@ self: {
description = "An hedis companion library for sydtest";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"sydtest-hspec" = callPackage
@@ -256361,7 +259557,6 @@ self: {
testToolDepends = [ sydtest-discover ];
description = "An Hspec companion library for sydtest";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"sydtest-mongo" = callPackage
@@ -256382,6 +259577,7 @@ self: {
description = "An mongoDB companion library for sydtest";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"sydtest-persistent" = callPackage
@@ -256402,7 +259598,6 @@ self: {
testToolDepends = [ sydtest-discover ];
description = "A persistent companion library for sydtest";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"sydtest-persistent-postgresql" = callPackage
@@ -256425,6 +259620,7 @@ self: {
description = "An persistent-postgresql companion library for sydtest";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"sydtest-persistent-sqlite" = callPackage
@@ -256445,7 +259641,6 @@ self: {
testToolDepends = [ sydtest-discover ];
description = "A persistent-sqlite companion library for sydtest";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"sydtest-persistent-sqlite_0_2_0_0" = callPackage
@@ -256483,7 +259678,6 @@ self: {
testToolDepends = [ sydtest-discover ];
description = "A typed-process companion library for sydtest";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"sydtest-rabbitmq" = callPackage
@@ -256504,6 +259698,7 @@ self: {
description = "An rabbitmq companion library for sydtest";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"sydtest-servant" = callPackage
@@ -256524,7 +259719,6 @@ self: {
testToolDepends = [ sydtest-discover ];
description = "A servant companion library for sydtest";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"sydtest-servant_0_2_0_0" = callPackage
@@ -256561,7 +259755,6 @@ self: {
testToolDepends = [ sydtest-discover ];
description = "A typed-process companion library for sydtest";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"sydtest-wai" = callPackage
@@ -256583,7 +259776,6 @@ self: {
testToolDepends = [ sydtest-discover ];
description = "A wai companion library for sydtest";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"sydtest-wai_0_2_0_0" = callPackage
@@ -256634,6 +259826,7 @@ self: {
description = "A yesod companion library for sydtest";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"sydtest-yesod_0_3_0_0" = callPackage
@@ -256665,6 +259858,7 @@ self: {
description = "A yesod companion library for sydtest";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"syfco" = callPackage
@@ -256787,13 +259981,13 @@ self: {
}:
mkDerivation {
pname = "symantic-base";
- version = "0.1.0.20210703";
- sha256 = "1jwk22d028k34h468218fx0czmr9ksc8fm2462am82av20azb07h";
+ version = "0.2.0.20210831";
+ sha256 = "1vvhshqv0pcnyrdmpk7fpz39lic666ck5hcqpw429fyqmv92k4kh";
libraryHaskellDepends = [
base containers hashable template-haskell transformers
unordered-containers
];
- description = "Commonly useful symantics for Embedded Domain-Specific Languages (EDSL)";
+ description = "Basic symantics combinators for Embedded Domain-Specific Languages (EDSL)";
license = lib.licenses.agpl3Plus;
}) {};
@@ -256821,14 +260015,14 @@ self: {
}:
mkDerivation {
pname = "symantic-document";
- version = "1.5.1.20191028";
- sha256 = "1c4vwjjh6r2m6y3waz1zgf5c1xq3xg9xy4742hgfsfjigw0ba4hj";
+ version = "1.5.3.20200320";
+ sha256 = "1xcvvdmy8wfx5ylbvabfc3fd93lickmhkvp8nqw226ymnk3x9nbr";
libraryHaskellDepends = [ ansi-terminal base text transformers ];
testHaskellDepends = [
base containers tasty tasty-hunit text transformers
];
- description = "Document symantics";
- license = lib.licenses.gpl3Only;
+ description = "Symantics combinators for generating documents";
+ license = lib.licenses.agpl3Plus;
}) {};
"symantic-grammar" = callPackage
@@ -257007,8 +260201,8 @@ self: {
}:
mkDerivation {
pname = "symantic-parser";
- version = "0.2.0.20210703";
- sha256 = "16mpc4s9y41a9hqxvx9jfnv1nrnpzk342bylh9091qd34gw657il";
+ version = "0.2.1.20210803";
+ sha256 = "1nr0zl2cajnk70jv92ayprhpnc5lbvxyxwvwsgyg3xm8zx747yi9";
libraryHaskellDepends = [
array attoparsec base bytestring containers deepseq directory
filepath ghc-prim hashable megaparsec pretty process strict
@@ -257939,8 +261133,8 @@ self: {
}:
mkDerivation {
pname = "system-linux-proc";
- version = "0.1.1";
- sha256 = "12iq9vw5y0pvag9gj6pzm76lh9vfvziawzqzvi35mhdn1hjwhg4b";
+ version = "0.1.1.1";
+ sha256 = "12nvsvmchhsqs5f3x2075v8v68inb1xz8dbv1q5x48big1bf4vv5";
libraryHaskellDepends = [
attoparsec base bytestring containers directory errors text
];
@@ -258237,7 +261431,6 @@ self: {
libraryHaskellDepends = [ base safe text ];
description = "Table layout";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"table" = callPackage
@@ -258375,7 +261568,6 @@ self: {
];
description = "Pretty-printing of CSV files";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"tabloid" = callPackage
@@ -259233,8 +262425,8 @@ self: {
pname = "tar";
version = "0.5.1.1";
sha256 = "1ppim7cgmn7ng8zbdrwkxhhizc30h15h1c9cdlzamc5jcagl915k";
- revision = "3";
- editedCabalFile = "0qjhii1lhvqav3pnm6z5ly40d9gwp7p3y4g7k26bhxgy31bx1pll";
+ revision = "4";
+ editedCabalFile = "03a33nj9k62f318qgmp5pgk7i99c8cyqy5f7m7p0bwc5ni39ysfq";
libraryHaskellDepends = [
array base bytestring containers deepseq directory filepath time
];
@@ -259443,8 +262635,8 @@ self: {
}:
mkDerivation {
pname = "taskell";
- version = "1.11.3";
- sha256 = "1wymiy9cp8d3h17nbk6qfb1visdr30c6ivrygm6dwxrbambarvd8";
+ version = "1.11.4";
+ sha256 = "1mcpl4wj2lc6bv6x75c2snw9aqa27k2yh0bbwc2xl185c33a3rp7";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -259490,8 +262682,8 @@ self: {
pname = "taskwarrior";
version = "0.3.0.0";
sha256 = "1h24d799q1s6b36hd40bxa4c9m1izkgh6j7p2jv1p6cxngz28ni0";
- revision = "5";
- editedCabalFile = "1h7ybnxx5f0w1h13wzbx30ycf578dnv12wx4pqn3pfxqz1jz3gjg";
+ revision = "6";
+ editedCabalFile = "02jag4yib1fqf2fp9p323hb3vsbkrqm1k9zp2wag6ysl5kvvq1x6";
libraryHaskellDepends = [
aeson base bytestring containers process random text time
unordered-containers uuid
@@ -259513,8 +262705,8 @@ self: {
}:
mkDerivation {
pname = "tasty";
- version = "1.4.1";
- sha256 = "0ixfsjjdps0an6iy8cqb41h6kjjli9sg0xw531jwci8xlr7g0a17";
+ version = "1.4.2";
+ sha256 = "0574hbqzxzyv6vsk5kzbf04kz58y0iy8x9ydcj4b8fpncgmgy63g";
libraryHaskellDepends = [
ansi-terminal base clock containers mtl optparse-applicative stm
tagged unbounded-delays unix wcwidth
@@ -259636,17 +262828,6 @@ self: {
}) {};
"tasty-dejafu" = callPackage
- ({ mkDerivation, base, dejafu, random, tagged, tasty }:
- mkDerivation {
- pname = "tasty-dejafu";
- version = "2.0.0.7";
- sha256 = "0jzaqra7gsrvy33j1g6bnrwg7x5pyg5p50l5mgvpz71kd946725l";
- libraryHaskellDepends = [ base dejafu random tagged tasty ];
- description = "Deja Fu support for the Tasty test framework";
- license = lib.licenses.mit;
- }) {};
-
- "tasty-dejafu_2_0_0_8" = callPackage
({ mkDerivation, base, dejafu, random, tagged, tasty }:
mkDerivation {
pname = "tasty-dejafu";
@@ -259655,7 +262836,6 @@ self: {
libraryHaskellDepends = [ base dejafu random tagged tasty ];
description = "Deja Fu support for the Tasty test framework";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"tasty-discover" = callPackage
@@ -259698,6 +262878,7 @@ self: {
];
description = "Mark tasty tests as failure expected";
license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ nomeata ];
}) {};
"tasty-fail-fast" = callPackage
@@ -260077,6 +263258,8 @@ self: {
pname = "tasty-lua";
version = "0.2.3.2";
sha256 = "0wa73ihkjcxi50lgpdzwwdx7s903lqi79hw7hxlvhbcvdly1cq53";
+ revision = "1";
+ editedCabalFile = "1m5mdn3riwwmvri430iq9m3yl09xsacvkp8w7vyqdmd70w3f9pcw";
libraryHaskellDepends = [
base bytestring file-embed hslua tasty text
];
@@ -260181,8 +263364,8 @@ self: {
pname = "tasty-rerun";
version = "1.1.18";
sha256 = "0sccp5zx9v2rx741nbmgd8mzjhy5m4v74hk26d23xz93ph8aqx7s";
- revision = "1";
- editedCabalFile = "17w6kxdd9zw87lh1bmh24c7dp59df8dshygx88j2i5nhbn7lfn50";
+ revision = "2";
+ editedCabalFile = "1fis4l7rlzmkw4135q3dikx9v22yhyqin3snlm1l7hsv8s33jpyl";
libraryHaskellDepends = [
base containers mtl optparse-applicative split stm tagged tasty
transformers
@@ -260194,26 +263377,50 @@ self: {
"tasty-silver" = callPackage
({ mkDerivation, ansi-terminal, async, base, bytestring, containers
, deepseq, directory, filepath, mtl, optparse-applicative, process
- , process-extras, regex-tdfa, stm, tagged, tasty, tasty-hunit
- , temporary, text, transformers
+ , process-extras, regex-tdfa, silently, stm, tagged, tasty
+ , tasty-hunit, temporary, text, transformers
}:
mkDerivation {
pname = "tasty-silver";
- version = "3.2.2";
- sha256 = "0zsl6nna8ir215qyxhyh2czx4i16hzw1n1m8jw8ym02j6sp6iz13";
+ version = "3.2.3";
+ sha256 = "0nvh2k8iqqkanmp7lpwd3asimyarzisly8wavbdahcxryn0j4xb7";
libraryHaskellDepends = [
ansi-terminal async base bytestring containers deepseq directory
filepath mtl optparse-applicative process process-extras regex-tdfa
stm tagged tasty temporary text
];
testHaskellDepends = [
- base directory filepath process tasty tasty-hunit temporary
- transformers
+ base directory filepath process silently tasty tasty-hunit
+ temporary transformers
];
description = "A fancy test runner, including support for golden tests";
license = lib.licenses.mit;
}) {};
+ "tasty-silver_3_3_1" = callPackage
+ ({ mkDerivation, ansi-terminal, async, base, bytestring, containers
+ , deepseq, directory, filepath, mtl, optparse-applicative, process
+ , process-extras, regex-tdfa, silently, stm, tagged, tasty
+ , tasty-hunit, temporary, text, transformers
+ }:
+ mkDerivation {
+ pname = "tasty-silver";
+ version = "3.3.1";
+ sha256 = "1pd83mzx0iv3f396m09rxmgcpcfaya0a9818dl3h4vgw0hnqkmav";
+ libraryHaskellDepends = [
+ ansi-terminal async base bytestring containers deepseq directory
+ filepath mtl optparse-applicative process process-extras regex-tdfa
+ silently stm tagged tasty temporary text
+ ];
+ testHaskellDepends = [
+ base directory filepath process silently tasty tasty-hunit
+ temporary transformers
+ ];
+ description = "A fancy test runner, including support for golden tests";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"tasty-smallcheck" = callPackage
({ mkDerivation, base, optparse-applicative, smallcheck, tagged
, tasty
@@ -260618,6 +263825,8 @@ self: {
pname = "tdigest";
version = "0.2.1.1";
sha256 = "1dvkf7cs8dcr13wza5iyq2qgvz75r33mzgfmhdihw62xzxsqb6d3";
+ revision = "1";
+ editedCabalFile = "1paw32ixw4jgq0pl9f4ag43n8gqg5gmdjib6w4wx8x6ynmk19cq0";
libraryHaskellDepends = [
base base-compat binary deepseq reducers semigroupoids transformers
vector vector-algorithms
@@ -261100,6 +264309,17 @@ self: {
broken = true;
}) {};
+ "tell" = callPackage
+ ({ mkDerivation, base, mtl, transformers }:
+ mkDerivation {
+ pname = "tell";
+ version = "0.1";
+ sha256 = "0l56mvgj543xp9cgafqycch6f9pgwjsnahy3zcpi1dxbmlwwy6gr";
+ libraryHaskellDepends = [ base mtl transformers ];
+ description = "The MonadTell class and related monad transformers";
+ license = lib.licenses.bsd3;
+ }) {};
+
"tellbot" = callPackage
({ mkDerivation, base, bifunctors, bytestring, containers
, http-conduit, mtl, network, regex-pcre, split, tagsoup, text
@@ -261146,8 +264366,8 @@ self: {
({ mkDerivation, base, time }:
mkDerivation {
pname = "tempi";
- version = "1.0.2.0";
- sha256 = "08hjgs32cx3vcm6sga4xc7ijcj3lbjlg133vkri06xfi0v3hjgnp";
+ version = "1.0.2.1";
+ sha256 = "0l01iday5dkqz6mmnbjp5z69mm0p9b1c2xlks54cv7n069m0mpk4";
libraryHaskellDepends = [ base time ];
description = "For representing musical tempi";
license = lib.licenses.bsd3;
@@ -261531,6 +264751,66 @@ self: {
license = lib.licenses.agpl3Only;
}) {};
+ "ten" = callPackage
+ ({ mkDerivation, adjunctions, base, data-default-class, deepseq
+ , distributive, hashable, HUnit, portray, portray-diff, some
+ , test-framework, test-framework-hunit, text, transformers, wrapped
+ }:
+ mkDerivation {
+ pname = "ten";
+ version = "0.1.0.2";
+ sha256 = "0djvcb2l9dnnjbhivchi6yyaj5i96jmy7yhr9x3paiz1l54brrqx";
+ revision = "1";
+ editedCabalFile = "1dcr49q8g3wr1glhlawvg4bbz3mykvwnx7z9pw1ssvk9w7839z6r";
+ libraryHaskellDepends = [
+ adjunctions base data-default-class deepseq distributive hashable
+ portray portray-diff some text transformers wrapped
+ ];
+ testHaskellDepends = [
+ adjunctions base data-default-class deepseq distributive hashable
+ HUnit portray portray-diff some test-framework test-framework-hunit
+ text transformers wrapped
+ ];
+ description = "Functors et al. over arity-1 type constructors";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "ten-lens" = callPackage
+ ({ mkDerivation, base, lens, profunctors, some, ten }:
+ mkDerivation {
+ pname = "ten-lens";
+ version = "0.1.0.1";
+ sha256 = "0qckywzj1c1k8la2ya1vpgrpl9fnqhggx6m6ad0rgrhyal48522c";
+ libraryHaskellDepends = [ base lens profunctors some ten ];
+ description = "Lenses for the types in the ten package";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "ten-unordered-containers" = callPackage
+ ({ mkDerivation, base, hashable, HUnit, lens, portray, portray-diff
+ , portray-diff-hunit, portray-pretty, some, ten, ten-lens
+ , test-framework, test-framework-hunit, text, transformers
+ , unordered-containers, wrapped
+ }:
+ mkDerivation {
+ pname = "ten-unordered-containers";
+ version = "0.1.0.2";
+ sha256 = "0y4aw77ix2ay43l8n17322hbmm1npcdr1bl7kdza377jd1ci20px";
+ revision = "1";
+ editedCabalFile = "196gjc39c0x4p444byh7mwnhf9ci7dxhmxl602ha52fr554cdh0j";
+ libraryHaskellDepends = [
+ base hashable portray portray-diff some ten unordered-containers
+ wrapped
+ ];
+ testHaskellDepends = [
+ base hashable HUnit lens portray portray-diff portray-diff-hunit
+ portray-pretty some ten ten-lens test-framework
+ test-framework-hunit text transformers unordered-containers wrapped
+ ];
+ description = "Higher-kinded hash containers";
+ license = lib.licenses.asl20;
+ }) {};
+
"tensor" = callPackage
({ mkDerivation, base, ghc-prim, QuickCheck, random, vector }:
mkDerivation {
@@ -261982,14 +265262,12 @@ self: {
broken = true;
}) {};
- "terminfo_0_4_1_4" = callPackage
+ "terminfo_0_4_1_5" = callPackage
({ mkDerivation, base, ncurses }:
mkDerivation {
pname = "terminfo";
- version = "0.4.1.4";
- sha256 = "170pnql6ycpk6gwy9v28mppm0w2n89l0n6fhnzph2za9kwrs9fqh";
- revision = "1";
- editedCabalFile = "0f82h8mj3swx7c2cxls76nzqx0qnibvsncmvqcbc7v5db4mkfmm1";
+ version = "0.4.1.5";
+ sha256 = "0s0x5knl4hsmzlklabcd7c0m468gisg5cnf842wi1vfg8q922q5i";
libraryHaskellDepends = [ base ];
librarySystemDepends = [ ncurses ];
description = "Haskell bindings to the terminfo library";
@@ -262693,6 +265971,7 @@ self: {
description = "Small test package";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"testbench" = callPackage
@@ -262910,28 +266189,6 @@ self: {
}) {};
"texmath" = callPackage
- ({ mkDerivation, base, bytestring, containers, directory, filepath
- , mtl, pandoc-types, parsec, process, split, syb, temporary, text
- , utf8-string, xml
- }:
- mkDerivation {
- pname = "texmath";
- version = "0.12.3";
- sha256 = "0lhbgnswhss56lrp25i70hvmn6zg6xcfwdigfmwjggmhz0h5q88x";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base containers mtl pandoc-types parsec split syb text xml
- ];
- testHaskellDepends = [
- base bytestring directory filepath process temporary text
- utf8-string xml
- ];
- description = "Conversion between formats used to represent mathematics";
- license = lib.licenses.gpl2Only;
- }) {};
-
- "texmath_0_12_3_1" = callPackage
({ mkDerivation, base, bytestring, containers, directory, filepath
, mtl, pandoc-types, parsec, process, split, syb, temporary, text
, utf8-string, xml
@@ -262951,7 +266208,6 @@ self: {
];
description = "Conversion between formats used to represent mathematics";
license = lib.licenses.gpl2Only;
- hydraPlatforms = lib.platforms.none;
}) {};
"texrunner" = callPackage
@@ -263093,8 +266349,8 @@ self: {
}:
mkDerivation {
pname = "text-builder";
- version = "0.6.6.2";
- sha256 = "1cj6k27w9zm0g5wjfwyiwjljnqs9lz0zhw52nd0p7f1mhb9r80dw";
+ version = "0.6.6.3";
+ sha256 = "0j2f9zbkk2lbvfb0f3c1i6376zbrr4p782ivbhgi8nv65rsp2ijy";
libraryHaskellDepends = [
base bytestring deferred-folds text transformers
];
@@ -263335,17 +266591,17 @@ self: {
}) {};
"text-ldap" = callPackage
- ({ mkDerivation, attoparsec, base, bytestring, containers, dlist
- , memory, QuickCheck, quickcheck-simple, random, transformers
+ ({ mkDerivation, attoparsec, base, bytestring, containers, memory
+ , QuickCheck, quickcheck-simple, random, transformers
}:
mkDerivation {
pname = "text-ldap";
- version = "0.1.1.13";
- sha256 = "0d1a7932999yx98hjvnrap1lpm9jcfg34m2m0hdy4j1m6cq4q5zc";
+ version = "0.1.1.14";
+ sha256 = "0wb5x5q099i1phgwzhi4rs3qrrimsrfg6cnxah33sdzszax7dqnn";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- attoparsec base bytestring containers dlist memory transformers
+ attoparsec base bytestring containers memory transformers
];
executableHaskellDepends = [ base bytestring ];
testHaskellDepends = [
@@ -263446,10 +266702,8 @@ self: {
}:
mkDerivation {
pname = "text-metrics";
- version = "0.3.0";
- sha256 = "18mzxwkdvjp31r720ai9bnxr638qq8x3a2v408bz0d8f0rsayx1q";
- revision = "4";
- editedCabalFile = "017drxq9x56b345d8w5m8xdsi1zzs0z16pbdx8j35cd1lsnh3kf1";
+ version = "0.3.1";
+ sha256 = "17bp1lnbkqr5ykrcd6v5sqv0fhljck7hky8zrrpw7rlkb1f3sdc2";
libraryHaskellDepends = [ base containers text vector ];
testHaskellDepends = [ base hspec QuickCheck text ];
benchmarkHaskellDepends = [ base criterion deepseq text weigh ];
@@ -263663,6 +266917,28 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "text-short_0_1_4" = callPackage
+ ({ mkDerivation, base, binary, bytestring, deepseq, ghc-prim
+ , hashable, quickcheck-instances, tasty, tasty-hunit
+ , tasty-quickcheck, template-haskell, text
+ }:
+ mkDerivation {
+ pname = "text-short";
+ version = "0.1.4";
+ sha256 = "1p56cjm6f883ajb54y8hmrl01sqda6a36xvbmgjv3mb9gxv953v4";
+ libraryHaskellDepends = [
+ base binary bytestring deepseq ghc-prim hashable template-haskell
+ text
+ ];
+ testHaskellDepends = [
+ base binary bytestring quickcheck-instances tasty tasty-hunit
+ tasty-quickcheck template-haskell text
+ ];
+ description = "Memory-efficient representation of Unicode text strings";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"text-show" = callPackage
({ mkDerivation, array, base, base-compat-batteries, base-orphans
, bifunctors, bytestring, bytestring-builder, containers, criterion
@@ -263673,10 +266949,8 @@ self: {
}:
mkDerivation {
pname = "text-show";
- version = "3.9";
- sha256 = "1cj6w77lgh9cydg1jz3wfhll0bvzrmhgk37dgm7s33qbkpq9mf90";
- revision = "1";
- editedCabalFile = "1jwsp8g7c7wywxvhb7ns7xw0h7mbr33c3kyhba8ybw0rn43ynjki";
+ version = "3.9.2";
+ sha256 = "0srm3qj7z0c1zxpzp7n0frjdh0hxb76mz43d1ry30nrg0k4lj8lh";
libraryHaskellDepends = [
array base base-compat-batteries bifunctors bytestring
bytestring-builder containers generic-deriving ghc-boot-th ghc-prim
@@ -264080,10 +267354,8 @@ self: {
({ mkDerivation, base, containers, ghc-prim, template-haskell }:
mkDerivation {
pname = "th-abstraction";
- version = "0.4.2.0";
- sha256 = "0h0wl442a82llpjsxv45i7grgyanlzjj7k28mhnvbi2zlb6v41pa";
- revision = "1";
- editedCabalFile = "1yc17r29vkwi4qzbrxy1d3gra87hk3ghy1jzfmrl2q8zjc0v59vb";
+ version = "0.4.3.0";
+ sha256 = "01nyscmjriga4fh4362b4zjad48hdv33asjkd28sj8hx3pii7fy8";
libraryHaskellDepends = [
base containers ghc-prim template-haskell
];
@@ -264154,8 +267426,8 @@ self: {
}:
mkDerivation {
pname = "th-compat";
- version = "0.1.2";
- sha256 = "009qc0yy5iq61kgnp9n6vdlqh8zmk4bjawcvpigccgfyk40mvi1b";
+ version = "0.1.3";
+ sha256 = "1il1hs5yjfkb417c224pw1vrh4anyprasfwmjbd4fkviyv55jl3b";
libraryHaskellDepends = [ base template-haskell ];
testHaskellDepends = [
base base-compat hspec mtl template-haskell
@@ -264290,6 +267562,8 @@ self: {
pname = "th-expand-syns";
version = "0.4.8.0";
sha256 = "1mw0yxfbmicv0irfrcz4s6pn39za7yjd7zz09ialwym1b46624si";
+ revision = "1";
+ editedCabalFile = "0l30cmwm20lgjpvr3a5yxj6429s1hqahjsij8z2ap88754phd41l";
libraryHaskellDepends = [
base containers syb template-haskell th-abstraction
];
@@ -264298,6 +267572,23 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "th-expand-syns_0_4_9_0" = callPackage
+ ({ mkDerivation, base, containers, syb, template-haskell
+ , th-abstraction
+ }:
+ mkDerivation {
+ pname = "th-expand-syns";
+ version = "0.4.9.0";
+ sha256 = "1yc6n4pgapl3vfjcilxn6hjdf6cr54c1w32i7wwbn806sljflhwy";
+ libraryHaskellDepends = [
+ base containers syb template-haskell th-abstraction
+ ];
+ testHaskellDepends = [ base template-haskell th-abstraction ];
+ description = "Expands type synonyms in Template Haskell ASTs";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"th-extras" = callPackage
({ mkDerivation, base, syb, template-haskell }:
mkDerivation {
@@ -264504,16 +267795,18 @@ self: {
"th-orphans" = callPackage
({ mkDerivation, base, bytestring, ghc-prim, hspec, hspec-discover
- , mtl, template-haskell, th-compat, th-lift, th-lift-instances
- , th-reify-many
+ , mtl, template-haskell, th-compat, th-expand-syns, th-lift
+ , th-lift-instances, th-reify-many
}:
mkDerivation {
pname = "th-orphans";
- version = "0.13.11";
- sha256 = "1m7mysjhdmfc642dqbxq6sz5fir00kzq54w4n1pcn5d64ip5njkf";
+ version = "0.13.12";
+ sha256 = "03n6qxnpxhbzyzbyrjq77d1y62dwgx39mmxfwmnc04l8pawgrxxz";
+ revision = "1";
+ editedCabalFile = "0vfz9dl5g9xwp2zmwqc5gngyvjaqj3i0s97vbcslafcqhdqw3qaj";
libraryHaskellDepends = [
- base mtl template-haskell th-compat th-lift th-lift-instances
- th-reify-many
+ base mtl template-haskell th-compat th-expand-syns th-lift
+ th-lift-instances th-reify-many
];
testHaskellDepends = [
base bytestring ghc-prim hspec template-haskell th-lift
@@ -264573,8 +267866,8 @@ self: {
}:
mkDerivation {
pname = "th-reify-many";
- version = "0.1.9";
- sha256 = "0hxf56filzqnyrc8q7766vai80y6cgrrbrczx6n93caskl1dv2gq";
+ version = "0.1.10";
+ sha256 = "19g4gc1q3zxbylmvrgk3dqjzychq2k02i7fwvs3vhbrg4ihhw9cx";
libraryHaskellDepends = [
base containers mtl safe template-haskell th-expand-syns
];
@@ -264632,8 +267925,8 @@ self: {
pname = "th-test-utils";
version = "1.1.0";
sha256 = "12a8yp9wfl40afa3ps8jg3axcaah018pangjm0fzzga2awr1wzwk";
- revision = "2";
- editedCabalFile = "1jwx31jqglfcy6ylj4520kqfp918lnv6m13flx2qvhfwbd88xwcv";
+ revision = "3";
+ editedCabalFile = "10726mnihw50vjbl6qqccx18a3wjcik5jl5gw85jfxlam7ifwyrb";
libraryHaskellDepends = [
base template-haskell th-orphans transformers
];
@@ -265063,6 +268356,39 @@ self: {
license = lib.licenses.mit;
}) {};
+ "thread-utils-context" = callPackage
+ ({ mkDerivation, base, containers, criterion, ghc-prim, mtl
+ , thread-utils-finalizers
+ }:
+ mkDerivation {
+ pname = "thread-utils-context";
+ version = "0.2.0.0";
+ sha256 = "0bxr9bpm3zr29nd2ymblijr2ikbhgxvx3vj7yn6bwx8s6cgc4mr9";
+ libraryHaskellDepends = [
+ base containers ghc-prim thread-utils-finalizers
+ ];
+ testHaskellDepends = [
+ base containers ghc-prim thread-utils-finalizers
+ ];
+ benchmarkHaskellDepends = [
+ base containers criterion ghc-prim mtl thread-utils-finalizers
+ ];
+ description = "Garbage-collected thread local storage";
+ license = lib.licenses.bsd3;
+ }) {};
+
+ "thread-utils-finalizers" = callPackage
+ ({ mkDerivation, base, ghc-prim }:
+ mkDerivation {
+ pname = "thread-utils-finalizers";
+ version = "0.1.0.0";
+ sha256 = "0r8pvp8137y5gklxr0dyi4l4s7x2qcma64529npkw32ma61iabdl";
+ libraryHaskellDepends = [ base ghc-prim ];
+ testHaskellDepends = [ base ghc-prim ];
+ description = "Perform finalization for threads";
+ license = lib.licenses.bsd3;
+ }) {};
+
"threadPool" = callPackage
({ mkDerivation, base, process }:
mkDerivation {
@@ -265240,6 +268566,8 @@ self: {
pname = "threepenny-gui";
version = "0.9.1.0";
sha256 = "00sjkfa9qfnnwqfdw68yb8hq6nm1y5qv9896rzn5aachr7mlfpx2";
+ revision = "1";
+ editedCabalFile = "0zdpkp0pl6z4aabikbq30md392gk988fxwcqw10khv1icm143pcc";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -265577,6 +268905,28 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "ticket-management" = callPackage
+ ({ mkDerivation, base, bytestring, cereal, containers, directory
+ , optparse-applicative, QuickCheck, text, time, unliftio
+ }:
+ mkDerivation {
+ pname = "ticket-management";
+ version = "0.2.0.0";
+ sha256 = "0cbdkjjh2sfsj4cjgxvxjrva6rb0gli36q3g8idhwf7wa2llyz4w";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring cereal containers directory optparse-applicative
+ QuickCheck text time unliftio
+ ];
+ executableHaskellDepends = [ base ];
+ testHaskellDepends = [ base QuickCheck text ];
+ description = "A basic implementation of a personal ticket management system";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"tickle" = callPackage
({ mkDerivation, base, bifunctors, bytestring, checkers, filepath
, lens, mtl, papa, QuickCheck, semigroupoids, semigroups, tasty
@@ -265641,8 +268991,8 @@ self: {
pname = "tidal-midi";
version = "0.9.10";
sha256 = "0d59s9vq2jmlb8b1bbay6n5911fjm9j04c9545p4i5visniv61b4";
- revision = "1";
- editedCabalFile = "11wkj909j2jlq19ls7q5293av648vc2k6f2064hyrqwcd68mksnj";
+ revision = "2";
+ editedCabalFile = "1vc5ck25wdqz8ywk2zmn2nmg1mihwkihwp2wirxmmd0qxi1v2vpf";
libraryHaskellDepends = [
base containers PortMidi tidal time transformers
];
@@ -265895,15 +269245,15 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "time-compat_1_9_6" = callPackage
+ "time-compat_1_9_6_1" = callPackage
({ mkDerivation, base, base-compat, base-orphans, deepseq, hashable
, HUnit, QuickCheck, tagged, tasty, tasty-hunit, tasty-quickcheck
, time
}:
mkDerivation {
pname = "time-compat";
- version = "1.9.6";
- sha256 = "0k466nyn7v8g3lx0gjfq6hzs4gmm4ws2wcm7xqyw48fmn55pb5rx";
+ version = "1.9.6.1";
+ sha256 = "103b3vpn277kkccv6jv54b2wpi5c00mpb01ndl9w4y4nxc0bn1xd";
libraryHaskellDepends = [
base base-orphans deepseq hashable time
];
@@ -266537,8 +269887,8 @@ self: {
({ mkDerivation, base, hspec }:
mkDerivation {
pname = "timers-tick";
- version = "0.4.2.0";
- sha256 = "079pgfgpgdmn9yqyd81rz615a035zjw24na8q332m1ld51xc0n8f";
+ version = "0.4.3.0";
+ sha256 = "02qsla7az60ch515hns1nychpdb35xlz2g4iy7jp2d5ak6jzma5r";
libraryHaskellDepends = [ base ];
testHaskellDepends = [ base hspec ];
description = "tick based timers";
@@ -267088,27 +270438,6 @@ self: {
}) {};
"tldr" = callPackage
- ({ mkDerivation, ansi-terminal, base, bytestring, cmark, containers
- , directory, filepath, http-conduit, optparse-applicative
- , semigroups, tasty, tasty-golden, text, time, zip-archive
- }:
- mkDerivation {
- pname = "tldr";
- version = "0.9.0";
- sha256 = "0dixx8i6ka3ksfcr6bjybs1i3ry0wpiffsmskh56cxxcys0jgm5h";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- ansi-terminal base bytestring cmark containers directory filepath
- http-conduit optparse-applicative semigroups text time zip-archive
- ];
- executableHaskellDepends = [ base ];
- testHaskellDepends = [ base tasty tasty-golden ];
- description = "Haskell tldr client";
- license = lib.licenses.bsd3;
- }) {};
-
- "tldr_0_9_1" = callPackage
({ mkDerivation, ansi-terminal, base, bytestring, cmark, containers
, directory, filepath, http-conduit, optparse-applicative
, semigroups, tasty, tasty-golden, text, time, zip-archive
@@ -267127,7 +270456,6 @@ self: {
testHaskellDepends = [ base tasty tasty-golden ];
description = "Haskell tldr client";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"tlex" = callPackage
@@ -267341,6 +270669,32 @@ self: {
executableHaskellDepends = [ base ];
description = "Handle phylogenetic trees";
license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ dschrempf ];
+ }) {};
+
+ "tlynx_0_6_1_0" = callPackage
+ ({ mkDerivation, aeson, async, attoparsec, base, bytestring
+ , comonad, containers, data-default-class, elynx-tools, elynx-tree
+ , gnuplot, mwc-random, optparse-applicative, parallel, primitive
+ , statistics, text, transformers, vector
+ }:
+ mkDerivation {
+ pname = "tlynx";
+ version = "0.6.1.0";
+ sha256 = "0dwwpq0jj89g68scxrqy4zr6r3f93w8024icbblwx4ygv51xkxai";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson async attoparsec base bytestring comonad containers
+ data-default-class elynx-tools elynx-tree gnuplot mwc-random
+ optparse-applicative parallel primitive statistics text
+ transformers vector
+ ];
+ executableHaskellDepends = [ base ];
+ description = "Handle phylogenetic trees";
+ license = lib.licenses.gpl3Plus;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ dschrempf ];
}) {};
"tmapchan" = callPackage
@@ -267413,6 +270767,125 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "tmp-proc" = callPackage
+ ({ mkDerivation, async, base, bytestring, connection, data-default
+ , hspec, http-client, http-client-tls, http-types, mtl, network
+ , process, req, text, unliftio, wai, warp, warp-tls
+ }:
+ mkDerivation {
+ pname = "tmp-proc";
+ version = "0.5.0.1";
+ sha256 = "11mh34jirabrdx9jbai42r0pgbx2q2v6028zigjznvhrsc7lkk4l";
+ isLibrary = true;
+ isExecutable = true;
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ async base bytestring mtl network process text unliftio wai warp
+ warp-tls
+ ];
+ testHaskellDepends = [
+ base bytestring connection data-default hspec http-client
+ http-client-tls http-types req text wai warp warp-tls
+ ];
+ description = "Run 'tmp' processes in integration tests";
+ license = lib.licenses.bsd3;
+ }) {};
+
+ "tmp-proc-example" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, exceptions, hedis, hspec
+ , hspec-tmp-proc, http-client, http-client-tls, monad-logger, mtl
+ , persistent, persistent-postgresql, persistent-template
+ , postgresql-simple, servant, servant-client, servant-server, tasty
+ , tasty-hunit, text, time, tmp-proc, tmp-proc-postgres
+ , tmp-proc-redis, transformers, wai, warp
+ }:
+ mkDerivation {
+ pname = "tmp-proc-example";
+ version = "0.5.0.0";
+ sha256 = "00cpx35z3s8pk8jn53kkxmkcwmrslyaw0xnr7hgbmh1f1nadbc05";
+ libraryHaskellDepends = [
+ aeson base bytestring exceptions hedis hspec hspec-tmp-proc
+ http-client http-client-tls monad-logger mtl persistent
+ persistent-postgresql persistent-template postgresql-simple servant
+ servant-client servant-server tasty tasty-hunit text time tmp-proc
+ tmp-proc-postgres tmp-proc-redis transformers wai warp
+ ];
+ testHaskellDepends = [ base hspec ];
+ description = "Shows how to test a simple service using tmp-proc";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
+ "tmp-proc-postgres" = callPackage
+ ({ mkDerivation, base, bytestring, hspec, hspec-tmp-proc
+ , postgresql-simple, text, tmp-proc
+ }:
+ mkDerivation {
+ pname = "tmp-proc-postgres";
+ version = "0.5.0.0";
+ sha256 = "15jfmh7g6nslnvwdn24hrsgd0rs5ikvc1fid33i2a2am89myf8x0";
+ libraryHaskellDepends = [
+ base bytestring postgresql-simple text tmp-proc
+ ];
+ testHaskellDepends = [
+ base bytestring hspec hspec-tmp-proc postgresql-simple text
+ tmp-proc
+ ];
+ description = "Shows how to run a PostgreSQL database as a tmp proc";
+ license = lib.licenses.bsd3;
+ }) {};
+
+ "tmp-proc-rabbitmq" = callPackage
+ ({ mkDerivation, amqp, base, bytestring, hspec, hspec-tmp-proc
+ , text, tmp-proc
+ }:
+ mkDerivation {
+ pname = "tmp-proc-rabbitmq";
+ version = "0.5.0.0";
+ sha256 = "0nl05a1zycrs955lnv1skq00bpkj776z9mbllax8zswskkd5ggn8";
+ libraryHaskellDepends = [ amqp base bytestring text tmp-proc ];
+ testHaskellDepends = [
+ amqp base bytestring hspec hspec-tmp-proc text tmp-proc
+ ];
+ description = "Shows how to run RabbitMQ as a tmp proc";
+ license = lib.licenses.bsd3;
+ }) {};
+
+ "tmp-proc-redis" = callPackage
+ ({ mkDerivation, base, bytestring, hedis, hspec, hspec-tmp-proc
+ , text, tmp-proc
+ }:
+ mkDerivation {
+ pname = "tmp-proc-redis";
+ version = "0.5.0.1";
+ sha256 = "15ggm9624nijnm9xwnw8pla347f7qwfxibmnk39xixxdh2g034qn";
+ libraryHaskellDepends = [ base bytestring hedis text tmp-proc ];
+ testHaskellDepends = [
+ base bytestring hedis hspec hspec-tmp-proc text tmp-proc
+ ];
+ description = "Shows how to run redis as a tmp proc";
+ license = lib.licenses.bsd3;
+ }) {};
+
+ "tmp-proc-zipkin" = callPackage
+ ({ mkDerivation, base, bytestring, hspec, hspec-tmp-proc
+ , http-client, text, tmp-proc, tracing
+ }:
+ mkDerivation {
+ pname = "tmp-proc-zipkin";
+ version = "0.5.0.0";
+ sha256 = "0lcxggzh550xlk65dsl94mk0y72szk63wxn23mg5xwbj4xzn6j9s";
+ libraryHaskellDepends = [
+ base bytestring http-client text tmp-proc tracing
+ ];
+ testHaskellDepends = [
+ base bytestring hspec hspec-tmp-proc text tmp-proc
+ ];
+ description = "Shows how to run redis as a tmp proc";
+ license = lib.licenses.bsd3;
+ }) {};
+
"tmpl" = callPackage
({ mkDerivation, base, bytestring, directory, template, text }:
mkDerivation {
@@ -267460,7 +270933,6 @@ self: {
libraryHaskellDepends = [ attoparsec base bytestring utf8-string ];
description = "Library for encoding/decoding TNET strings for PGI";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"to" = callPackage
@@ -267810,8 +271282,8 @@ self: {
pname = "tokyocabinet-haskell";
version = "0.0.7";
sha256 = "1fmj46wvl6ayx30r5r538vnygz32s1877m2f9zf7nb2zyiz5vmcb";
- revision = "1";
- editedCabalFile = "07kx002x3yh1klhxn9fq0bi2pfy4mdqacg3caqklmdl22dkh74lq";
+ revision = "2";
+ editedCabalFile = "0h9lc9cg5iwy9apjz48nwvblsmlkv7r5rvnivshvhys2xi1hfxja";
libraryHaskellDepends = [ base bytestring mtl ];
librarySystemDepends = [ tokyocabinet ];
testHaskellDepends = [ base bytestring directory HUnit mtl ];
@@ -268270,8 +271742,8 @@ self: {
pname = "topograph";
version = "1.0.0.1";
sha256 = "1sd2gyirkdgwcll76zxw954wdsyxzajn59xa9zk55fbrsm6w24cv";
- revision = "1";
- editedCabalFile = "1cbpm16jk8x8xy0r3v8zdmwrdgxlp6zww03rmzbz0031hddpywrk";
+ revision = "2";
+ editedCabalFile = "1iyjrvpv7lgfpfirb2vw0lv4fs3fhpkfkicl2p49wi8zc4dv7xz1";
libraryHaskellDepends = [
base base-compat base-orphans containers vector
];
@@ -268825,8 +272297,8 @@ self: {
pname = "trackit";
version = "0.7.2";
sha256 = "1ha28wdc4dabr9qxkbpg9fasfnplicb2pyrn9zmija204nigbcdj";
- revision = "1";
- editedCabalFile = "0l9hi5f90nixayzahksgxrs4zij76767x64irql890ph6qzsq13c";
+ revision = "2";
+ editedCabalFile = "0dinhqmnm23rwg9xd056idbd7351bzbyik4k708h8xlw3pgq62i9";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -269120,23 +272592,6 @@ self: {
}) {};
"transformers-base" = callPackage
- ({ mkDerivation, base, base-orphans, stm, transformers
- , transformers-compat
- }:
- mkDerivation {
- pname = "transformers-base";
- version = "0.4.5.2";
- sha256 = "1s256bi0yh0x2hp2gwd30f3mg1cv53zz397dv1yhfsnfzmihrj6h";
- revision = "1";
- editedCabalFile = "18j122ypx12rbl9bbf622fvj8nif4rsci8z4qw2llmznbvfl09s0";
- libraryHaskellDepends = [
- base base-orphans stm transformers transformers-compat
- ];
- description = "Lift computations from the bottom of a transformer stack";
- license = lib.licenses.bsd3;
- }) {};
-
- "transformers-base_0_4_6" = callPackage
({ mkDerivation, base, base-orphans, stm, transformers
, transformers-compat
}:
@@ -269149,7 +272604,6 @@ self: {
];
description = "Lift computations from the bottom of a transformer stack";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"transformers-bifunctors" = callPackage
@@ -269747,6 +273201,8 @@ self: {
pname = "tree-diff";
version = "0.2";
sha256 = "1ny7mi0n8cyb65q9ihbnm2gxiyya888dw2c4y0hjy8k882wdhf0x";
+ revision = "1";
+ editedCabalFile = "0brlnq5ddmambidll1dn4jnjac2i44a9hd5hwp2p0rbh1s8jfyhm";
libraryHaskellDepends = [
aeson ansi-terminal ansi-wl-pprint base base-compat bytestring
bytestring-builder containers deepseq hashable parsec parsers
@@ -269762,6 +273218,34 @@ self: {
license = lib.licenses.gpl2Plus;
}) {};
+ "tree-diff_0_2_1" = callPackage
+ ({ mkDerivation, aeson, ansi-terminal, ansi-wl-pprint, base
+ , base-compat, bytestring, bytestring-builder, containers
+ , criterion, deepseq, Diff, hashable, parsec, parsers, pretty
+ , primitive, QuickCheck, scientific, semialign, strict, tagged
+ , tasty, tasty-golden, tasty-quickcheck, text, these, time
+ , trifecta, unordered-containers, uuid-types, vector
+ }:
+ mkDerivation {
+ pname = "tree-diff";
+ version = "0.2.1";
+ sha256 = "0bybi4qp7nj9117yza5qqgw2f7s6rk3i7q642jqd7sdn3bx5cnap";
+ libraryHaskellDepends = [
+ aeson ansi-terminal ansi-wl-pprint base base-compat bytestring
+ bytestring-builder containers deepseq hashable parsec parsers
+ pretty primitive QuickCheck scientific semialign strict tagged text
+ these time unordered-containers uuid-types vector
+ ];
+ testHaskellDepends = [
+ ansi-terminal ansi-wl-pprint base base-compat parsec primitive
+ QuickCheck tagged tasty tasty-golden tasty-quickcheck trifecta
+ ];
+ benchmarkHaskellDepends = [ base criterion deepseq Diff ];
+ description = "Diffing of (expression) trees";
+ license = lib.licenses.gpl2Plus;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"tree-fun" = callPackage
({ mkDerivation, base, containers, mtl }:
mkDerivation {
@@ -270259,8 +273743,8 @@ self: {
pname = "trie-simple";
version = "0.4.1.1";
sha256 = "0h3wfq4fjakkwvrv35l25709xv528h1c08cr754gvk4l8vqnk6k7";
- revision = "3";
- editedCabalFile = "02h7dw73879gvy0jrxd7a4rzfhi2fcr5jivqc4ck97w67w2pg8zm";
+ revision = "4";
+ editedCabalFile = "0in7aycdkf63d6431dz747znkkky4q1jw9a3ihzvcjam41nc2wpw";
libraryHaskellDepends = [ base containers deepseq mtl ];
testHaskellDepends = [ base containers hspec QuickCheck vector ];
benchmarkHaskellDepends = [
@@ -270546,6 +274030,24 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "trust-chain" = callPackage
+ ({ mkDerivation, base, binary, bytestring, containers, cropty
+ , merge, network, text
+ }:
+ mkDerivation {
+ pname = "trust-chain";
+ version = "0.1.3.0";
+ sha256 = "0ff5ppmq3c5291y9ir3yybbsabpwcy3av7p7xl6mwzzzpw6zbknl";
+ libraryHaskellDepends = [
+ base binary bytestring containers cropty merge network text
+ ];
+ testHaskellDepends = [ base binary containers cropty merge text ];
+ description = "An implementation of a trust chain";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"truthful" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -270628,6 +274130,7 @@ self: {
description = "-";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"tslogger" = callPackage
@@ -270823,8 +274326,8 @@ self: {
}:
mkDerivation {
pname = "ttc";
- version = "1.1.0.1";
- sha256 = "0vngp6md5viz4r57q0qn3pf09ph6kpkzvdigsxmgqcic2ha1a4n1";
+ version = "1.1.0.2";
+ sha256 = "0i1jnign6xb0i4y5rkwz2rp2lj7q3r50i4bkjghz8i2djw2sd1cw";
libraryHaskellDepends = [ base bytestring template-haskell text ];
testHaskellDepends = [
base bytestring tasty tasty-hunit template-haskell text
@@ -271752,6 +275255,7 @@ self: {
description = "Simulator of twisty puzzles à la Rubik's Cube";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"twitch" = callPackage
@@ -272211,20 +275715,6 @@ self: {
}) {};
"type-errors-pretty" = callPackage
- ({ mkDerivation, base, doctest, Glob }:
- mkDerivation {
- pname = "type-errors-pretty";
- version = "0.0.1.1";
- sha256 = "1z6rqah3qnvnlckcw3xhsp4yyfd21harw6kzqbz20y6k7a22jlf0";
- revision = "1";
- editedCabalFile = "1qfm0018ids9s7kdwf6d3r1cwnb5aqn55in4vdwklas3ydi8mmrx";
- libraryHaskellDepends = [ base ];
- testHaskellDepends = [ base doctest Glob ];
- description = "Combinators for writing pretty type errors easily";
- license = lib.licenses.mpl20;
- }) {};
-
- "type-errors-pretty_0_0_1_2" = callPackage
({ mkDerivation, base, doctest, Glob }:
mkDerivation {
pname = "type-errors-pretty";
@@ -272234,7 +275724,6 @@ self: {
testHaskellDepends = [ base doctest Glob ];
description = "Combinators for writing pretty type errors easily";
license = lib.licenses.mpl20;
- hydraPlatforms = lib.platforms.none;
}) {};
"type-fun" = callPackage
@@ -272906,27 +276395,8 @@ self: {
}:
mkDerivation {
pname = "typed-process";
- version = "0.2.6.0";
- sha256 = "1cf2pfym8zdxvvy7xv72ixj7wy3rjrdss6f57k1ysgs66cgsi8ii";
- libraryHaskellDepends = [
- async base bytestring process stm transformers unliftio-core
- ];
- testHaskellDepends = [
- async base base64-bytestring bytestring hspec process stm temporary
- transformers unliftio-core
- ];
- description = "Run external processes, with strong typing of streams";
- license = lib.licenses.mit;
- }) {};
-
- "typed-process_0_2_6_1" = callPackage
- ({ mkDerivation, async, base, base64-bytestring, bytestring, hspec
- , process, stm, temporary, transformers, unliftio-core
- }:
- mkDerivation {
- pname = "typed-process";
- version = "0.2.6.1";
- sha256 = "0w4c76qln49967nnhf7f1zj3gbdfqp2qgf5ym8svhqyhp5gh61ws";
+ version = "0.2.6.3";
+ sha256 = "071mw4yv4xr5n82si33qbcqcxvcr7h56zlyd8gmsfrsdnacbq47k";
libraryHaskellDepends = [
async base bytestring process stm transformers unliftio-core
];
@@ -272936,7 +276406,6 @@ self: {
];
description = "Run external processes, with strong typing of streams";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"typed-spreadsheet" = callPackage
@@ -273524,6 +276993,7 @@ self: {
preConfigure = "export TZDIR=${pkgs.tzdata}/share/zoneinfo";
description = "Efficient time zone handling";
license = lib.licenses.asl20;
+ maintainers = with lib.maintainers; [ maralorn ];
}) {};
"tzdata" = callPackage
@@ -273584,6 +277054,7 @@ self: {
description = "A simplistic dependently-typed language with parametricity";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"ua-parser" = callPackage
@@ -274393,7 +277864,6 @@ self: {
];
description = "IO without any non-error, synchronous exceptions";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"unexceptionalio-trans" = callPackage
@@ -274407,7 +277877,6 @@ self: {
libraryHaskellDepends = [ base transformers unexceptionalio ];
description = "A wrapper around UnexceptionalIO using monad transformers";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"unfix-binders" = callPackage
@@ -275343,23 +278812,18 @@ self: {
}) {};
"units" = callPackage
- ({ mkDerivation, base, containers, deepseq, HUnit-approx, lens
- , linear, mtl, multimap, singletons, syb, tasty, tasty-hunit
- , template-haskell, th-desugar, units-parser, vector-space
+ ({ mkDerivation, base, containers, deepseq, lens, linear, mtl
+ , multimap, singletons, syb, template-haskell, th-desugar
+ , units-parser, vector-space
}:
mkDerivation {
pname = "units";
- version = "2.4.1.3";
- sha256 = "1ksrw65ci9j8qnqj6cxpdmdb9b3k4k9w8ld3j4h00r2vkcqgn9qg";
+ version = "2.4.1.4";
+ sha256 = "1r6innb99d6ljbbbrl2q9i4l6j4cb96mmv0k56q9l2xckwlsfz32";
libraryHaskellDepends = [
base containers deepseq lens linear mtl multimap singletons syb
template-haskell th-desugar units-parser vector-space
];
- testHaskellDepends = [
- base containers deepseq HUnit-approx lens linear mtl multimap
- singletons syb tasty tasty-hunit template-haskell th-desugar
- units-parser vector-space
- ];
description = "A domain-specific type system for dimensional analysis";
license = lib.licenses.bsd3;
}) {};
@@ -275385,8 +278849,8 @@ self: {
({ mkDerivation, base, template-haskell, units }:
mkDerivation {
pname = "units-defs";
- version = "2.2";
- sha256 = "1g55hnhd9bgqp649jgmq41s5i5j0gfpn3iwqaxvmikwaasyr69ki";
+ version = "2.2.1";
+ sha256 = "0b7g29hqz0rzk9sjyz1h7b73jvsfd7il6l9yj982mpxazk5mc2j7";
libraryHaskellDepends = [ base template-haskell units ];
description = "Definitions for use with the units package";
license = lib.licenses.bsd3;
@@ -275684,8 +279148,8 @@ self: {
pname = "unix";
version = "2.7.2.2";
sha256 = "1b6ygkasn5bvmdci8g3zjkahl34kfqhf5jrayibvnrcdnaqlxpcq";
- revision = "7";
- editedCabalFile = "1hkmb19m2mm8h2v69awip25i7cpg0967yih89q5npqsxknix4hkw";
+ revision = "8";
+ editedCabalFile = "1ydydm9i82pn5sy7drl404qlll318x8bjwhpvr2lwqwmb5f3dx8m";
libraryHaskellDepends = [ base bytestring time ];
description = "POSIX functionality";
license = lib.licenses.bsd3;
@@ -275938,8 +279402,8 @@ self: {
}:
mkDerivation {
pname = "unliftio";
- version = "0.2.19";
- sha256 = "052m0vr6444r5adzyzr3aqj07p9ay5y2sqfsczwj52bc66qqyy36";
+ version = "0.2.20";
+ sha256 = "0mbm57h7r16qd7kpglbm50qrnfjmazd70avbrl647n4jwhlrp7my";
libraryHaskellDepends = [
async base bytestring deepseq directory filepath process stm time
transformers unix unliftio-core
@@ -276526,7 +279990,6 @@ self: {
executableHaskellDepends = [ base ports-tools process ];
description = "Software management tool";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"update-monad" = callPackage
@@ -277066,8 +280529,6 @@ self: {
testHaskellDepends = [ base network network-uri QuickCheck ];
description = "Generate or process x-www-urlencoded data";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"urlpath" = callPackage
@@ -277807,7 +281268,6 @@ self: {
executableHaskellDepends = [ base process ];
description = "A debugger for the UUAG system";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"uuid" = callPackage
@@ -278219,6 +281679,39 @@ self: {
broken = true;
}) {};
+ "valida" = callPackage
+ ({ mkDerivation, base, profunctors, smallcheck, tasty, tasty-hunit
+ , tasty-quickcheck, tasty-smallcheck
+ }:
+ mkDerivation {
+ pname = "valida";
+ version = "1.1.0";
+ sha256 = "1i9di1gmcd6s2xmf8s5mwg7fra48zg54r89f1qf1gfj34asab62m";
+ libraryHaskellDepends = [ base profunctors ];
+ testHaskellDepends = [
+ base profunctors smallcheck tasty tasty-hunit tasty-quickcheck
+ tasty-smallcheck
+ ];
+ description = "Simple applicative validation for product types, batteries included!";
+ license = lib.licenses.mit;
+ }) {};
+
+ "valida-base" = callPackage
+ ({ mkDerivation, base, smallcheck, tasty, tasty-hunit
+ , tasty-quickcheck, tasty-smallcheck
+ }:
+ mkDerivation {
+ pname = "valida-base";
+ version = "0.2.0";
+ sha256 = "0wyj7nm1dqy5lq86mgqzr40s065jkwccmb4bky4hg1h7nz2lpqbj";
+ libraryHaskellDepends = [ base ];
+ testHaskellDepends = [
+ base smallcheck tasty tasty-hunit tasty-quickcheck tasty-smallcheck
+ ];
+ description = "Simple applicative validation for product types, batteries included!";
+ license = lib.licenses.mit;
+ }) {};
+
"validate" = callPackage
({ mkDerivation }:
mkDerivation {
@@ -278534,6 +282027,22 @@ self: {
license = lib.licenses.mit;
}) {};
+ "valor_1_0_0_0" = callPackage
+ ({ mkDerivation, base, doctest, hspec, hspec-discover, QuickCheck
+ }:
+ mkDerivation {
+ pname = "valor";
+ version = "1.0.0.0";
+ sha256 = "0ssdyy84xh68rxinp6i36zg7c3k10122b1l30q1qi8r10bvyg3r0";
+ libraryHaskellDepends = [ base ];
+ testHaskellDepends = [ base doctest hspec QuickCheck ];
+ testToolDepends = [ hspec-discover ];
+ doHaddock = false;
+ description = "Simple and powerful data validation";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"value-supply" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -279141,8 +282650,8 @@ self: {
}:
mkDerivation {
pname = "vector";
- version = "0.12.3.0";
- sha256 = "00xp86yad3yv4ja4q07gkmmcf7iwpcnzkkaf91zkx9nxb981iy0m";
+ version = "0.12.3.1";
+ sha256 = "0dczbcisxhhix859dng5zhxkn3xvlnllsq60apqzvmyl5g056jpv";
libraryHaskellDepends = [ base deepseq ghc-prim primitive ];
testHaskellDepends = [
base base-orphans HUnit primitive QuickCheck random tasty
@@ -279407,6 +282916,28 @@ self: {
broken = true;
}) {};
+ "vector-hashtables" = callPackage
+ ({ mkDerivation, base, containers, criterion, hashable, hashtables
+ , hspec, hspec-discover, primitive, QuickCheck
+ , quickcheck-instances, unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "vector-hashtables";
+ version = "0.1.1.1";
+ sha256 = "02kixbi9v4rcj46fvvba5aq6vn6f5yncnvc71f4y7h1wq5b452jj";
+ libraryHaskellDepends = [ base hashable primitive vector ];
+ testHaskellDepends = [
+ base containers hashable hspec primitive QuickCheck
+ quickcheck-instances vector
+ ];
+ testToolDepends = [ hspec-discover ];
+ benchmarkHaskellDepends = [
+ base criterion hashtables primitive unordered-containers vector
+ ];
+ description = "Efficient vector-based mutable hashtables implementation";
+ license = lib.licenses.bsd3;
+ }) {};
+
"vector-heterogenous" = callPackage
({ mkDerivation, base, vector }:
mkDerivation {
@@ -279495,8 +283026,8 @@ self: {
({ mkDerivation, base, tasty, tasty-quickcheck, vector }:
mkDerivation {
pname = "vector-rotcev";
- version = "0.1.0.0";
- sha256 = "1sl5jwmpmzzvknalgqrbpy3yhqclgqxf75wnpb24rn416kdscy6j";
+ version = "0.1.0.1";
+ sha256 = "1zrw1r6xspjncavd307xbbnjdmmhjq9w3dbvm0khnkxjgh47is8v";
libraryHaskellDepends = [ base vector ];
testHaskellDepends = [ base tasty tasty-quickcheck vector ];
description = "Vectors with O(1) reverse";
@@ -279532,6 +283063,25 @@ self: {
maintainers = with lib.maintainers; [ expipiplus1 ];
}) {};
+ "vector-sized_1_5_0" = callPackage
+ ({ mkDerivation, adjunctions, base, binary, comonad, deepseq
+ , distributive, finite-typelits, hashable, indexed-list-literals
+ , primitive, vector
+ }:
+ mkDerivation {
+ pname = "vector-sized";
+ version = "1.5.0";
+ sha256 = "13h4qck1697iswd9f8w17fpjc6yhl2pgrvay7pb22j2h3mgaxpjl";
+ libraryHaskellDepends = [
+ adjunctions base binary comonad deepseq distributive
+ finite-typelits hashable indexed-list-literals primitive vector
+ ];
+ description = "Size tagged vectors";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ expipiplus1 ];
+ }) {};
+
"vector-space" = callPackage
({ mkDerivation, base, Boolean, MemoTrie, NumInstances }:
mkDerivation {
@@ -279814,7 +283364,8 @@ self: {
description = "An intermediate language for Hoare logic style verification";
license = lib.licenses.asl20;
platforms = [
- "armv7l-linux" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ "aarch64-darwin" "armv7l-linux" "i686-linux" "x86_64-darwin"
+ "x86_64-linux"
];
}) {};
@@ -281116,8 +284667,8 @@ self: {
}:
mkDerivation {
pname = "vulkan";
- version = "3.12.1";
- sha256 = "0y9ykv0dx5hvh2683yyn8mdl3jxqk9zaf2a1rkyr5zgc43wsbm05";
+ version = "3.13";
+ sha256 = "14cb1fg0x0fy2bvjyjmxvbq2sm56ixzvz9sqacs7nak961yyvdy0";
libraryHaskellDepends = [ base bytestring transformers vector ];
libraryPkgconfigDepends = [ vulkan ];
testHaskellDepends = [
@@ -281149,8 +284700,8 @@ self: {
}:
mkDerivation {
pname = "vulkan-utils";
- version = "0.5.2";
- sha256 = "05h54dzci8ahnxshrcd7l6bpg1q8llnrwycgpbpn12cf6ddmdc59";
+ version = "0.5.3";
+ sha256 = "16p1yn72il988phw4h8ixs0p2dgk96xg26yp9lgbpri1l0da8kiq";
setupHaskellDepends = [ base Cabal cabal-doctest ];
libraryHaskellDepends = [
base bytestring containers dependent-map dependent-sum extra
@@ -281322,8 +284873,8 @@ self: {
}:
mkDerivation {
pname = "wai-app-file-cgi";
- version = "3.1.9";
- sha256 = "1knf3dmal9immsxj4cvqf2i4ijlrn17fick6slxb1mrms7f50wkq";
+ version = "3.1.10";
+ sha256 = "1wspg5pjl24vvsdp2qxzx93a9ffj6pnv2kvm26ia5gh1kx570zfl";
libraryHaskellDepends = [
array attoparsec attoparsec-conduit base bytestring
case-insensitive conduit conduit-extra containers
@@ -281489,7 +285040,6 @@ self: {
];
description = "Helpers to bind digestive-functors onto wai requests";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"wai-dispatch" = callPackage
@@ -281586,6 +285136,26 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "wai-feature-flags_0_1_0_2" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, splitmix, text
+ , unordered-containers, wai, warp
+ }:
+ mkDerivation {
+ pname = "wai-feature-flags";
+ version = "0.1.0.2";
+ sha256 = "03w8hskgajvkhjsrj7ynxcx92qghqfd9rfxb5v4wm7wb6lag8qvh";
+ isLibrary = true;
+ isExecutable = true;
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ aeson base bytestring splitmix text unordered-containers wai
+ ];
+ executableHaskellDepends = [ base wai warp ];
+ description = "Feature flag support for WAI applications";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"wai-frontend-monadcgi" = callPackage
({ mkDerivation, base, bytestring, case-insensitive, cgi
, containers, http-types, transformers, wai
@@ -281683,10 +285253,8 @@ self: {
}:
mkDerivation {
pname = "wai-handler-hal";
- version = "0.1.0.0";
- sha256 = "0sjw01k5dyhdi33ld1pd4mf9plpij0spzxf2b228cjyc8x5zx7rj";
- revision = "2";
- editedCabalFile = "0aj45x1czwd69hd4yxsc607njb1qwxz926izzh79axfkrzgiij9k";
+ version = "0.1.1.0";
+ sha256 = "1l9adjrh0iffph40pqymikr5h52ldlyp2q9l7qclcamwdwkawmz0";
libraryHaskellDepends = [
base base64-bytestring bytestring case-insensitive hal http-types
network text unordered-containers vault wai
@@ -281979,43 +285547,6 @@ self: {
}) {};
"wai-middleware-auth" = callPackage
- ({ mkDerivation, aeson, base, base64-bytestring, binary
- , blaze-builder, blaze-html, bytestring, case-insensitive, cereal
- , clientsession, cookie, exceptions, hedgehog, hoauth2, http-client
- , http-client-tls, http-conduit, http-reverse-proxy, http-types
- , jose, microlens, mtl, optparse-applicative, optparse-simple
- , regex-posix, safe-exceptions, shakespeare, tasty, tasty-hedgehog
- , tasty-hunit, text, time, unix-compat, unordered-containers
- , uri-bytestring, vault, wai, wai-app-static, wai-extra, warp, yaml
- }:
- mkDerivation {
- pname = "wai-middleware-auth";
- version = "0.2.5.0";
- sha256 = "12yv8rcybd7saflfcyjy08p1m6il07nk831pkj0isxk8mwjq64l4";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson base base64-bytestring binary blaze-builder blaze-html
- bytestring case-insensitive cereal clientsession cookie exceptions
- hoauth2 http-client http-client-tls http-conduit http-reverse-proxy
- http-types jose microlens mtl regex-posix safe-exceptions
- shakespeare text time unix-compat unordered-containers
- uri-bytestring vault wai wai-app-static wai-extra yaml
- ];
- executableHaskellDepends = [
- base bytestring cereal clientsession optparse-applicative
- optparse-simple wai-extra warp
- ];
- testHaskellDepends = [
- aeson base binary bytestring clientsession cookie hedgehog hoauth2
- http-types jose microlens mtl tasty tasty-hedgehog tasty-hunit text
- time uri-bytestring wai wai-extra warp
- ];
- description = "Authentication middleware that secures WAI application";
- license = lib.licenses.mit;
- }) {};
-
- "wai-middleware-auth_0_2_5_1" = callPackage
({ mkDerivation, aeson, base, base64-bytestring, binary
, blaze-builder, blaze-html, bytestring, case-insensitive, cereal
, clientsession, cookie, exceptions, hedgehog, hoauth2, http-client
@@ -282050,7 +285581,6 @@ self: {
];
description = "Authentication middleware that secures WAI application";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"wai-middleware-brotli" = callPackage
@@ -282302,8 +285832,9 @@ self: {
}:
mkDerivation {
pname = "wai-middleware-delegate";
- version = "0.1.1.0";
- sha256 = "15q4qy2i1ssb3fz2x9xack5rs8a2a6dka18zqjzpng06izl2009j";
+ version = "0.1.2.4";
+ sha256 = "17r2qay83xnsg6f61bxpy7kvjw73827hdl8srxiwqirw6zzc1pha";
+ enableSeparateDataOutput = true;
libraryHaskellDepends = [
async base blaze-builder bytestring case-insensitive conduit
conduit-extra data-default http-client http-conduit http-types
@@ -282478,8 +286009,8 @@ self: {
}:
mkDerivation {
pname = "wai-middleware-prometheus";
- version = "1.0.0";
- sha256 = "0c04cq7q3ck394d7n92mwm0k9qh2dmyn9bsf1n20yzrwrnr9fgkl";
+ version = "1.0.0.1";
+ sha256 = "1657zar254550skn3hx7y1g06aww2pjls5i4frw6ci4sxy3nynxp";
libraryHaskellDepends = [
base bytestring clock data-default http-types prometheus-client
text wai
@@ -282716,7 +286247,6 @@ self: {
];
description = "WAI request predicates";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"wai-rate-limit" = callPackage
@@ -282928,7 +286458,6 @@ self: {
];
description = "Flexible session middleware for WAI";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"wai-session-alt" = callPackage
@@ -282963,7 +286492,6 @@ self: {
];
description = "Session store based on clientsession";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"wai-session-mysql" = callPackage
@@ -283018,8 +286546,8 @@ self: {
}:
mkDerivation {
pname = "wai-session-redis";
- version = "0.1.0.2";
- sha256 = "15l0sq5y9lalprn3k7fcw37fnmzphhd00qkpwna3wxpr0vrlihzs";
+ version = "0.1.0.3";
+ sha256 = "1ikm5i4cvx2wzlq5ij7aqk9c37jpnw9c0dl0xdw3c4hqsnjnb5yj";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -283181,7 +286709,6 @@ self: {
];
description = "Collection of utility functions for use with WAI";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"wai-websockets" = callPackage
@@ -283431,6 +286958,21 @@ self: {
broken = true;
}) {};
+ "warp-quic" = callPackage
+ ({ mkDerivation, base, bytestring, http3, quic, tls, wai, warp }:
+ mkDerivation {
+ pname = "warp-quic";
+ version = "0.0.0";
+ sha256 = "01w9rssp8a5yhc5w2y3mn3ihbnpvannl4q2rmjvphnqr5lj556sp";
+ libraryHaskellDepends = [
+ base bytestring http3 quic tls wai warp
+ ];
+ description = "Warp based on QUIC";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"warp-static" = callPackage
({ mkDerivation, base, bytestring, cmdargs, containers, directory
, mime-types, text, wai-app-static, wai-extra, warp
@@ -283473,8 +287015,8 @@ self: {
}:
mkDerivation {
pname = "warp-tls";
- version = "3.3.1";
- sha256 = "1k8f3r0l36mgwm69fikprv6pyjzj15702kq25dr9nc3sv5lcs162";
+ version = "3.3.2";
+ sha256 = "0b9viw26ymzq4q8snfddz3w59sqcf5ankxnw6f99iacxjhk6zs6m";
libraryHaskellDepends = [
base bytestring cryptonite data-default-class network
streaming-commons tls tls-session-manager unliftio wai warp
@@ -283555,10 +287097,8 @@ self: {
({ mkDerivation, base, mtl, time }:
mkDerivation {
pname = "watchdog";
- version = "0.3";
- sha256 = "0v8jbrnqg48x5ksdacsd0xazpxqv64ggzihg6k2y6pljqznrhn1i";
- revision = "1";
- editedCabalFile = "1hmjlva0pbvbbl3vcngqlqrisx32qzlc9pl96zh2rb6m25riisdg";
+ version = "0.3.1";
+ sha256 = "01zhj464c1lwjgb6zijqjlrzfcrknfmf2v2b2m1pmxy94jly2ww9";
libraryHaskellDepends = [ base mtl time ];
description = "Simple control structure to re-try an action with exponential backoff";
license = lib.licenses.bsd3;
@@ -283956,30 +287496,25 @@ self: {
}) {};
"web-rep" = callPackage
- ({ mkDerivation, attoparsec, base, box, box-socket, clay
- , concurrency, doctest, generic-lens, interpolatedstring-perl6
- , language-javascript, lens, lucid, mtl, network-simple, numhask
- , optparse-generic, scotty, tasty, tasty-hspec, text, transformers
- , unordered-containers, wai-middleware-static, wai-websockets
- , websockets
+ ({ mkDerivation, attoparsec, base, bifunctors, box, box-socket
+ , clay, concurrency, generic-lens, interpolatedstring-perl6
+ , language-javascript, lens, lucid, mtl, optparse-generic, scotty
+ , text, transformers, unordered-containers, wai-middleware-static
+ , wai-websockets, websockets
}:
mkDerivation {
pname = "web-rep";
- version = "0.7.2";
- sha256 = "1h6krrm412b9374778jg33gdmk6ig0sp06yk68h5prjdgy6shmww";
+ version = "0.8.0";
+ sha256 = "1ri1sczacxy351jsdaiz7iwsl8b19a1jvzppyxf56grm6zr94dmg";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- attoparsec base box box-socket clay concurrency generic-lens
- interpolatedstring-perl6 language-javascript lens lucid mtl
- network-simple numhask scotty text transformers
- unordered-containers wai-middleware-static wai-websockets
- websockets
- ];
- executableHaskellDepends = [ base numhask optparse-generic ];
- testHaskellDepends = [
- base doctest lens lucid numhask tasty tasty-hspec text
+ attoparsec base bifunctors box box-socket clay concurrency
+ generic-lens interpolatedstring-perl6 language-javascript lens
+ lucid mtl scotty text transformers unordered-containers
+ wai-middleware-static wai-websockets websockets
];
+ executableHaskellDepends = [ base optparse-generic ];
description = "representations of a web page";
license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
@@ -284706,7 +288241,7 @@ self: {
"webkitgtk3" = callPackage
({ mkDerivation, base, bytestring, Cabal, cairo, glib
, gtk2hs-buildtools, gtk3, mtl, pango, text, transformers
- , webkitgtk24x-gtk3
+ , webkitgtk
}:
mkDerivation {
pname = "webkitgtk3";
@@ -284717,27 +288252,26 @@ self: {
libraryHaskellDepends = [
base bytestring cairo glib gtk3 mtl pango text transformers
];
- libraryPkgconfigDepends = [ webkitgtk24x-gtk3 ];
+ libraryPkgconfigDepends = [ webkitgtk ];
libraryToolDepends = [ gtk2hs-buildtools ];
description = "Binding to the Webkit library";
license = lib.licenses.lgpl21Only;
hydraPlatforms = lib.platforms.none;
- }) {inherit (pkgs) webkitgtk24x-gtk3;};
+ }) {inherit (pkgs) webkitgtk;};
"webkitgtk3-javascriptcore" = callPackage
- ({ mkDerivation, base, Cabal, gtk2hs-buildtools, webkitgtk24x-gtk3
- }:
+ ({ mkDerivation, base, Cabal, gtk2hs-buildtools, webkitgtk }:
mkDerivation {
pname = "webkitgtk3-javascriptcore";
version = "0.14.2.1";
sha256 = "0kcjrka0c9ifq3zfhmkv05wy3xb7v0cyznfxldp2gjcn1haq084j";
setupHaskellDepends = [ base Cabal gtk2hs-buildtools ];
libraryHaskellDepends = [ base ];
- libraryPkgconfigDepends = [ webkitgtk24x-gtk3 ];
+ libraryPkgconfigDepends = [ webkitgtk ];
description = "JavaScriptCore FFI from webkitgtk";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
- }) {inherit (pkgs) webkitgtk24x-gtk3;};
+ }) {inherit (pkgs) webkitgtk;};
"webmention" = callPackage
({ mkDerivation, base, bytestring, case-insensitive, either
@@ -285022,6 +288556,7 @@ self: {
description = "Wedged postcard generator";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"weeder" = callPackage
@@ -285031,8 +288566,8 @@ self: {
}:
mkDerivation {
pname = "weeder";
- version = "2.1.3";
- sha256 = "0yph2dzg4xrfs7439jmxn3jc7h42id0c2f987wl6lccrbn39vzd7";
+ version = "2.2.0";
+ sha256 = "07ylcq8mza4429snaklhfszpg2c0xcp75hyf0jxhi32mpiz7a5v2";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -285046,6 +288581,33 @@ self: {
];
description = "Detect dead code";
license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ maralorn ];
+ }) {};
+
+ "weeder_2_3_0" = callPackage
+ ({ mkDerivation, algebraic-graphs, base, bytestring, containers
+ , dhall, directory, filepath, generic-lens, ghc, lens, mtl
+ , optparse-applicative, regex-tdfa, text, transformers
+ }:
+ mkDerivation {
+ pname = "weeder";
+ version = "2.3.0";
+ sha256 = "1l3g0afb0k91qb64kpj18nb53njbv3s5w06rkjf58p7qk6ynk9ig";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ algebraic-graphs base bytestring containers dhall directory
+ filepath generic-lens ghc lens mtl optparse-applicative regex-tdfa
+ text transformers
+ ];
+ executableHaskellDepends = [
+ base bytestring containers directory filepath ghc
+ optparse-applicative transformers
+ ];
+ description = "Detect dead code";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = with lib.maintainers; [ maralorn ];
}) {};
"weekdaze" = callPackage
@@ -285217,20 +288779,46 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
- "wgpu-raw-hs" = callPackage
- ({ mkDerivation, base, GLFW-b, unix }:
+ "wgpu-hs" = callPackage
+ ({ mkDerivation, base, bytestring, containers, data-default
+ , data-has, derive-storable, GLFW-b, JuicyPixels, lens, linear, mtl
+ , resourcet, safe-exceptions, sdl2, string-qq, text, transformers
+ , vector, wgpu-raw-hs
+ }:
mkDerivation {
- pname = "wgpu-raw-hs";
- version = "0.1.0.2";
- sha256 = "1hagn99jdmwjcyz2afmmd9ws31dncas9fssv6lx75kshar6x4rah";
+ pname = "wgpu-hs";
+ version = "0.4.0.0";
+ sha256 = "10bbjkfv1w8wwiq4kq2r1y8l8l6dyi5d7x5r70w7vk9p624bs8a3";
isLibrary = true;
isExecutable = true;
- libraryHaskellDepends = [ base GLFW-b unix ];
- executableHaskellDepends = [ base GLFW-b ];
- description = "WGPU Raw";
+ libraryHaskellDepends = [
+ base bytestring containers data-default data-has GLFW-b lens mtl
+ resourcet safe-exceptions sdl2 text vector wgpu-raw-hs
+ ];
+ executableHaskellDepends = [
+ base data-default data-has derive-storable GLFW-b JuicyPixels lens
+ linear mtl resourcet safe-exceptions sdl2 string-qq text
+ transformers vector
+ ];
+ doHaddock = false;
+ description = "WGPU";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
+ "wgpu-raw-hs" = callPackage
+ ({ mkDerivation, base, GLFW-b, SDL2, sdl2, unix }:
+ mkDerivation {
+ pname = "wgpu-raw-hs";
+ version = "0.4.0.0";
+ sha256 = "1j3bajywdg73c5xq8j8f1dw0bcvr5g3di9rwabzm47xnyjd6jcdh";
+ libraryHaskellDepends = [ base GLFW-b sdl2 unix ];
+ libraryPkgconfigDepends = [ SDL2 ];
+ description = "WGPU Raw";
+ license = lib.licenses.bsd3;
+ }) {inherit (pkgs) SDL2;};
+
"what4" = callPackage
({ mkDerivation, attoparsec, base, bifunctors, bimap, bv-sized
, bytestring, config-value, containers, contravariant
@@ -285683,6 +289271,7 @@ self: {
description = "Implements Windows Live Web Authentication and Delegated Authentication";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"winerror" = callPackage
@@ -285920,6 +289509,8 @@ self: {
pname = "witherable";
version = "0.4.1";
sha256 = "1jj2dq0ddaa2v3hksnrv1z1ll19fa4npsqlp7fs4nn5g6833y58b";
+ revision = "1";
+ editedCabalFile = "02l2gbcab7w72hqlbs1ikylgb1970a0iyrikn847nncivbzrc3gj";
libraryHaskellDepends = [
base base-orphans containers hashable indexed-traversable
indexed-traversable-instances transformers unordered-containers
@@ -285933,6 +289524,30 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "witherable_0_4_2" = callPackage
+ ({ mkDerivation, base, base-orphans, containers, hashable
+ , indexed-traversable, indexed-traversable-instances, QuickCheck
+ , quickcheck-instances, tasty, tasty-quickcheck, transformers
+ , unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "witherable";
+ version = "0.4.2";
+ sha256 = "0121ic4xkv3k568j23zp22a5lrv0k11h94fq7cbijd18fjr2n3br";
+ libraryHaskellDepends = [
+ base base-orphans containers hashable indexed-traversable
+ indexed-traversable-instances transformers unordered-containers
+ vector
+ ];
+ testHaskellDepends = [
+ base containers hashable QuickCheck quickcheck-instances tasty
+ tasty-quickcheck transformers unordered-containers vector
+ ];
+ description = "filterable traversable";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"witherable-class" = callPackage
({ mkDerivation, base, witherable }:
mkDerivation {
@@ -286365,6 +289980,36 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "word-wrap_0_5" = callPackage
+ ({ mkDerivation, base, criterion, hspec, text }:
+ mkDerivation {
+ pname = "word-wrap";
+ version = "0.5";
+ sha256 = "0i57233g4p9p8c0jf9mp3pvknwgv1lsrxm4mxjay38rw0372jpzq";
+ libraryHaskellDepends = [ base text ];
+ testHaskellDepends = [ base hspec ];
+ benchmarkHaskellDepends = [ base criterion text ];
+ description = "A library for word-wrapping";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
+ "word16" = callPackage
+ ({ mkDerivation, base, bytestring, criterion, hspec, hspec-discover
+ , template-haskell, text
+ }:
+ mkDerivation {
+ pname = "word16";
+ version = "0.1.0.0";
+ sha256 = "0z2nabnh7b42bl7hqy2iw68a1gxay26pgsid7m4hs8s2d7cghndx";
+ libraryHaskellDepends = [ base bytestring template-haskell text ];
+ testHaskellDepends = [ base hspec ];
+ testToolDepends = [ hspec-discover ];
+ benchmarkHaskellDepends = [ base bytestring criterion ];
+ description = "Word16 library";
+ license = lib.licenses.mit;
+ }) {};
+
"word24" = callPackage
({ mkDerivation, base, criterion, deepseq, QuickCheck
, test-framework, test-framework-quickcheck2
@@ -286783,13 +290428,19 @@ self: {
}) {};
"worldturtle" = callPackage
- ({ mkDerivation, base, containers, gloss, lens, matrix, mtl }:
+ ({ mkDerivation, base, containers, gloss, lens, matrix
+ , transformers
+ }:
mkDerivation {
pname = "worldturtle";
- version = "0.2.0.0";
- sha256 = "0h5r74ba0wjhyp8yl3clxgq5yfdr51fdkfn2xz4ahizxycyrx14f";
- libraryHaskellDepends = [ base containers gloss lens matrix mtl ];
- description = "Turtle graphics";
+ version = "0.2.2.0";
+ sha256 = "0h7zhgpddhmsxmz1x7hmz785r4mx7i37ad16621wmnc1w84zcfaq";
+ revision = "1";
+ editedCabalFile = "1m8mivb0xsbbyrbc27r9kdkkfyd5wnasppjx8ywpsl2xknmxx918";
+ libraryHaskellDepends = [
+ base containers gloss lens matrix transformers
+ ];
+ description = "LOGO-like Turtle graphics with a monadic interface";
license = lib.licenses.bsd3;
}) {};
@@ -286832,6 +290483,32 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "wrapped" = callPackage
+ ({ mkDerivation, base }:
+ mkDerivation {
+ pname = "wrapped";
+ version = "0.1.0.1";
+ sha256 = "00fvammhn4dlna5d1dc8lpwrdrigj9cnlyi8scwslibr6bjsjzfp";
+ revision = "1";
+ editedCabalFile = "0qfl0k0bsxhf5zibfdfm08jn82j1nm8pdnmv23znmhv77hpm4li8";
+ libraryHaskellDepends = [ base ];
+ description = "Newtypes to carry DerivingVia instances";
+ license = lib.licenses.asl20;
+ }) {};
+
+ "wrapped-generic-default" = callPackage
+ ({ mkDerivation, base, data-default-class, wrapped }:
+ mkDerivation {
+ pname = "wrapped-generic-default";
+ version = "0.1.0.1";
+ sha256 = "10hbz8m98cw8lr2xj0wkc017pnypagb11ss1ihpp6lnc4w1hpj3f";
+ revision = "1";
+ editedCabalFile = "0i75biqz6qml17yzg3k4q0ms5vzvwph37da1wl3l5v8cjnw2yrs7";
+ libraryHaskellDepends = [ base data-default-class wrapped ];
+ description = "A Generic instance of Default";
+ license = lib.licenses.asl20;
+ }) {};
+
"wraxml" = callPackage
({ mkDerivation, base, containers, data-accessor
, explicit-exception, HaXml, hxt, hxt-filter, polyparse, tagchup
@@ -287937,8 +291614,8 @@ self: {
({ mkDerivation, base, containers, mtl, pretty, xml }:
mkDerivation {
pname = "xcb-types";
- version = "0.10.0";
- sha256 = "1168vg2f3qd5yiwg2fcps0ciqpwns6scyk89bd07ws3qh6kayqfr";
+ version = "0.11.0";
+ sha256 = "1yhf1gh23ccvhkx8xbmiaa24r1mrilyvq3fwa15h8imf7qfvmr6x";
libraryHaskellDepends = [ base containers mtl pretty xml ];
description = "Parses XML files used by the XCB project";
license = lib.licenses.bsd3;
@@ -287970,7 +291647,6 @@ self: {
];
description = "A cffi-based python binding for X";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
}) {};
"xchat-plugin" = callPackage
@@ -288103,8 +291779,8 @@ self: {
}:
mkDerivation {
pname = "xeno";
- version = "0.4.2";
- sha256 = "0dvjzh7yyijwy2d6215wlxlln9h0ng6bnqasfh38prp6sllxk25j";
+ version = "0.4.3";
+ sha256 = "0g7vwp41dz2zvw5s9w7cask543p556wlc4rk3vpx5cawr6v4d2ha";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
array base bytestring deepseq mtl mutable-containers vector
@@ -288182,7 +291858,9 @@ self: {
executableSystemDepends = [ xgboost ];
description = "XGBoost library for Haskell";
license = lib.licenses.mit;
- platforms = [ "i686-linux" "x86_64-darwin" "x86_64-linux" ];
+ platforms = [
+ "aarch64-darwin" "i686-linux" "x86_64-darwin" "x86_64-linux"
+ ];
}) {inherit (pkgs) xgboost;};
"xhaskell-library" = callPackage
@@ -288642,6 +292320,7 @@ self: {
description = "Streaming XML parser based on conduits";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"xml-conduit-selectors" = callPackage
@@ -289070,6 +292749,27 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "xml-syntax" = callPackage
+ ({ mkDerivation, array-builder, array-chunks, base, bytebuild
+ , byteslice, bytesmith, bytestring, primitive, tasty, tasty-hunit
+ , text-short
+ }:
+ mkDerivation {
+ pname = "xml-syntax";
+ version = "0.1.0.0";
+ sha256 = "1dbhh4rxvh0w17f9m2sd01bv6q20mg734wb87w6iqp4pv71mzhn3";
+ libraryHaskellDepends = [
+ array-builder array-chunks base bytebuild byteslice bytesmith
+ bytestring primitive text-short
+ ];
+ testHaskellDepends = [
+ base byteslice bytestring primitive tasty tasty-hunit
+ ];
+ description = "Parse XML from bytes";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"xml-to-json" = callPackage
({ mkDerivation, aeson, base, bytestring, containers, curl
, hashable, hxt, hxt-curl, hxt-expat, hxt-tagsoup, regex-posix
@@ -289151,6 +292851,24 @@ self: {
license = lib.licenses.mit;
}) {};
+ "xml-verify" = callPackage
+ ({ mkDerivation, base, bytestring, cryptostore, hxt, mtl, pem, x509
+ , xmlsec1
+ }:
+ mkDerivation {
+ pname = "xml-verify";
+ version = "0.1.0.1";
+ sha256 = "01a8qivl4bv656zqygy6rysznic5nw2m5r3q14zgvc855879imw7";
+ libraryHaskellDepends = [
+ base bytestring cryptostore hxt mtl pem x509
+ ];
+ librarySystemDepends = [ xmlsec1 ];
+ description = "Verifying XML signatures";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {xmlsec1 = null;};
+
"xml2html" = callPackage
({ mkDerivation, base, xml-conduit }:
mkDerivation {
@@ -289528,16 +293246,16 @@ self: {
}) {};
"xmonad-dbus" = callPackage
- ({ mkDerivation, base, dbus, utf8-string }:
+ ({ mkDerivation, base, dbus }:
mkDerivation {
pname = "xmonad-dbus";
- version = "0.1.0.0";
- sha256 = "18phy3wxags8cmgs9bdkhwb4gy8fr72j25b18nk44q8956a3060p";
+ version = "0.1.0.1";
+ sha256 = "15sqfk4y4arrv0bjzkrw49z1p7k3fqkn4w8pak2j7rki3915iyd4";
isLibrary = true;
isExecutable = true;
- libraryHaskellDepends = [ base dbus utf8-string ];
- executableHaskellDepends = [ base dbus utf8-string ];
- testHaskellDepends = [ base dbus utf8-string ];
+ libraryHaskellDepends = [ base dbus ];
+ executableHaskellDepends = [ base dbus ];
+ testHaskellDepends = [ base dbus ];
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
broken = true;
@@ -290030,6 +293748,27 @@ self: {
license = lib.licenses.bsd2;
}) {};
+ "xss-sanitize_0_3_7" = callPackage
+ ({ mkDerivation, attoparsec, base, containers, css-text, hspec
+ , HUnit, network-uri, tagsoup, text, utf8-string
+ }:
+ mkDerivation {
+ pname = "xss-sanitize";
+ version = "0.3.7";
+ sha256 = "1wnzx5nv8p4ppphcvjp6x8wna0kpw9jn85gn1qbhjqhrl5nqy1vw";
+ libraryHaskellDepends = [
+ attoparsec base containers css-text network-uri tagsoup text
+ utf8-string
+ ];
+ testHaskellDepends = [
+ attoparsec base containers css-text hspec HUnit network-uri tagsoup
+ text utf8-string
+ ];
+ description = "sanitize untrusted HTML to prevent XSS attacks";
+ license = lib.licenses.bsd2;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"xtc" = callPackage
({ mkDerivation, base, wx, wxcore }:
mkDerivation {
@@ -290576,8 +294315,39 @@ self: {
}:
mkDerivation {
pname = "yaml";
- version = "0.11.5.0";
- sha256 = "1bfdsqckzql50j6ni4fa1470cvkmfiy4skb98cdwnj4rss5p93mj";
+ version = "0.11.6.0";
+ sha256 = "0hxg9mfi1dn9a7kp3imzfvnk7jj4sdjdxi6xyqz9ra7lqg14np3r";
+ revision = "1";
+ editedCabalFile = "1yydwna0x7fj1zw0zkz3n1cfvnwdykblk19wz5bw9rcwp0i0mzkb";
+ configureFlags = [ "-fsystem-libyaml" ];
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson attoparsec base bytestring conduit containers directory
+ filepath libyaml mtl resourcet scientific template-haskell text
+ transformers unordered-containers vector
+ ];
+ testHaskellDepends = [
+ aeson attoparsec base base-compat bytestring conduit containers
+ directory filepath hspec HUnit libyaml mockery mtl raw-strings-qq
+ resourcet scientific template-haskell temporary text transformers
+ unordered-containers vector
+ ];
+ description = "Support for parsing and rendering YAML documents";
+ license = lib.licenses.bsd3;
+ }) {};
+
+ "yaml_0_11_7_0" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, base-compat, bytestring
+ , conduit, containers, directory, filepath, hspec, HUnit, libyaml
+ , mockery, mtl, raw-strings-qq, resourcet, scientific
+ , template-haskell, temporary, text, transformers
+ , unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "yaml";
+ version = "0.11.7.0";
+ sha256 = "0s08kw0hqxixxripwjmz7b4yh9130dws3jaj460x8ds8q4b6khbx";
configureFlags = [ "-fsystem-libyaml" ];
isLibrary = true;
isExecutable = true;
@@ -290594,6 +294364,7 @@ self: {
];
description = "Support for parsing and rendering YAML documents";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"yaml-combinators" = callPackage
@@ -290652,8 +294423,8 @@ self: {
}:
mkDerivation {
pname = "yaml-light-lens";
- version = "0.3.3.6";
- sha256 = "034dj7k0719lkhwgrz91wk2dfpxg4kvyj9ax7cpz9q6qa8jn0zp7";
+ version = "0.3.4";
+ sha256 = "1ac05hm2kh1xv0987yb5vjfrmanvzkq6yx8whi7jxdwdb0fa7l3p";
libraryHaskellDepends = [
base bytestring bytestring-lexing containers lens yaml-light
];
@@ -290818,6 +294589,7 @@ self: {
description = "Compares the keys from two yaml files";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"yamlparse-applicative" = callPackage
@@ -290933,8 +294705,8 @@ self: {
}:
mkDerivation {
pname = "yampa-test";
- version = "0.2";
- sha256 = "030dakxny9nh0spq04vbxs961y12i2xbr9g9g3q7lk78mhshwv5v";
+ version = "0.13.3";
+ sha256 = "08xs3m14rqpgkjghgp2b8ddalzzs50bzf11nd9kgi72xbg451yzi";
libraryHaskellDepends = [
base normaldistribution QuickCheck Yampa
];
@@ -291012,22 +294784,24 @@ self: {
}) {};
"yapb" = callPackage
- ({ mkDerivation, base, bytestring, directory, hashable, network
- , process, regex-tdfa
+ ({ mkDerivation, base, bytestring, directory, hashable, hspec
+ , network, process, regex-tdfa
}:
mkDerivation {
pname = "yapb";
- version = "0.1.1";
- sha256 = "1zbk2whfi09wzx9ql4dhkbg50s7v45y309avxljypg7z9i9pvx3x";
+ version = "0.1.3.1";
+ sha256 = "1jscmf1rm6fknsd4088ij0nsldgrz14v4xwfkbc5500hg81ikpqv";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- base bytestring directory hashable network process regex-tdfa
+ base bytestring directory hashable hspec network process regex-tdfa
];
- executableHaskellDepends = [ base regex-tdfa ];
- testHaskellDepends = [ base ];
+ executableHaskellDepends = [ base hspec regex-tdfa ];
+ testHaskellDepends = [ base hspec process ];
description = "Yet Another Parser Builder (YAPB)";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"yarn-lock" = callPackage
@@ -291285,8 +295059,8 @@ self: {
}:
mkDerivation {
pname = "yeamer";
- version = "0.1.1.0";
- sha256 = "0i3ka3c4ci70kgrbmc7ynk587a4sihpqhyv6bjc1n9gwjbm9abxi";
+ version = "0.1.2.0";
+ sha256 = "07xl891fdy9cilzpfpirzqmz7f6jw2m151bdk8p16633fkhsmvc3";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -291562,8 +295336,8 @@ self: {
}:
mkDerivation {
pname = "yesod-auth";
- version = "1.6.10.3";
- sha256 = "00a7gbp2czg6ixxx62k2nmrj5g1la6cjh697y8vg9xhxq7vpyshg";
+ version = "1.6.10.4";
+ sha256 = "01s5svba45g0d12cz8kc8lvdw18jfhjxr7yk69cf5157qg0f2czv";
libraryHaskellDepends = [
aeson authenticate base base16-bytestring base64-bytestring binary
blaze-builder blaze-html blaze-markup bytestring conduit
@@ -291857,7 +295631,6 @@ self: {
];
description = "A yesod-auth plugin for LTI 1.3";
license = lib.licenses.lgpl3Only;
- hydraPlatforms = lib.platforms.none;
}) {};
"yesod-auth-nopassword" = callPackage
@@ -292705,8 +296478,8 @@ self: {
}:
mkDerivation {
pname = "yesod-markdown";
- version = "0.12.6.11";
- sha256 = "044m6a949yifvyxbbc15ms11sdxvljvb68187h5v6xk0qw0p33i9";
+ version = "0.12.6.12";
+ sha256 = "0ha06wbzdy040wdimqzpw71hvy5z0pg0b4q524lln05gb26wdlsn";
libraryHaskellDepends = [
base blaze-html blaze-markup bytestring directory pandoc persistent
shakespeare text xss-sanitize yesod-core yesod-form
@@ -293673,6 +297446,8 @@ self: {
pname = "yi-core";
version = "0.19.2";
sha256 = "1ipmycwf2fqk701x0d32bv03aviv7z57m0knpc3np5cvss9mjzvr";
+ revision = "1";
+ editedCabalFile = "1j3in75pnf72000hfia13z21d6z8vml3wg2sk35nsmr1x8r96xzf";
libraryHaskellDepends = [
array attoparsec base binary bytestring containers data-default
directory dlist dynamic-state exceptions filepath hashable ListLike
@@ -293821,6 +297596,8 @@ self: {
pname = "yi-keymap-emacs";
version = "0.19.0";
sha256 = "1pkdlkhs6am60whclfasd4axda8hlxzh7q38crmylcb89q90am1l";
+ revision = "1";
+ editedCabalFile = "1p9m3m25g31ysk4qkabr3vnd4nlycm4zgi56lmkzrlibbxvn6lja";
libraryHaskellDepends = [
base containers filepath Hclip microlens-platform mtl oo-prototypes
text transformers-base yi-core yi-language yi-misc-modes yi-rope
@@ -293840,6 +297617,8 @@ self: {
pname = "yi-keymap-vim";
version = "0.19.0";
sha256 = "046552bqfd3wycx73zksffcnbakfl7xnfz1s2q9z5affpzi5iyrv";
+ revision = "1";
+ editedCabalFile = "0rm2nm6lki2248vx45rglslcmq9fc6cnqxrb7x8hyb56fxjyq1gz";
libraryHaskellDepends = [
attoparsec base binary containers data-default directory filepath
Hclip microlens-platform mtl oo-prototypes pointedlist safe text
@@ -293925,6 +297704,8 @@ self: {
pname = "yi-mode-javascript";
version = "0.19.0";
sha256 = "1zgx36kagalna8lk60i0djn6mvl6ki55x4kc7mzq2mgzcs292zq1";
+ revision = "1";
+ editedCabalFile = "09hdiy51i9piyh6889hzjhna8g9hlblrzgzkl8x6rc6pl12dg3wc";
libraryHaskellDepends = [
array base binary data-default dlist filepath microlens-platform
mtl text yi-core yi-language yi-rope
@@ -294408,6 +298189,7 @@ self: {
description = "Grids defined by layout hints and implemented on top of Yahoo grids";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"yuuko" = callPackage
@@ -294658,6 +298440,40 @@ self: {
license = lib.licenses.mit;
}) {};
+ "zenacy-html_2_0_4" = callPackage
+ ({ mkDerivation, base, bytestring, containers, criterion
+ , data-default, dlist, extra, HUnit, mtl, pretty-show
+ , raw-strings-qq, safe, safe-exceptions, test-framework
+ , test-framework-hunit, text, transformers, vector, word8
+ }:
+ mkDerivation {
+ pname = "zenacy-html";
+ version = "2.0.4";
+ sha256 = "05jy3w7a94ns52w4a1p6xhcph09p2zzqmvncf47db9wwq6xl5ad2";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring containers data-default dlist extra mtl pretty-show
+ safe safe-exceptions text transformers vector word8
+ ];
+ executableHaskellDepends = [
+ base bytestring containers data-default dlist extra pretty-show
+ text vector
+ ];
+ testHaskellDepends = [
+ base bytestring containers data-default dlist extra HUnit mtl
+ pretty-show raw-strings-qq test-framework test-framework-hunit text
+ transformers
+ ];
+ benchmarkHaskellDepends = [
+ base bytestring containers criterion data-default dlist pretty-show
+ raw-strings-qq text
+ ];
+ description = "A standard compliant HTML parsing library";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"zenacy-unicode" = callPackage
({ mkDerivation, base, bytestring, HUnit, test-framework
, test-framework-hunit, text, vector, word8
@@ -295502,6 +299318,8 @@ self: {
pname = "zlib";
version = "0.6.2.3";
sha256 = "125wbayk8ifp0gp8cb52afck2ziwvqfrjzbmwmy52g6bz7fnnzw0";
+ revision = "1";
+ editedCabalFile = "1r6sc6p648jgq4vslzbr171w52rk3fjv3wspxvs5kgkhygdr6ai6";
libraryHaskellDepends = [ base bytestring ];
librarySystemDepends = [ zlib ];
testHaskellDepends = [
@@ -295651,6 +299469,7 @@ self: {
description = "A socat-like tool for zeromq library";
license = "unknown";
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"zoneinfo" = callPackage
@@ -295769,14 +299588,16 @@ self: {
}) {};
"zoovisitor" = callPackage
- ({ mkDerivation, base, hspec, Z-Data, Z-IO, zookeeper_mt }:
+ ({ mkDerivation, async, base, hspec, uuid, Z-Data, Z-IO
+ , zookeeper_mt
+ }:
mkDerivation {
pname = "zoovisitor";
- version = "0.1.2.0";
- sha256 = "0s0svxa7y7a35jg4f0qq6zdg187c2g1s0f3payd26vpwa6rp8f8k";
+ version = "0.1.5.0";
+ sha256 = "0sksa3sjgd2yg2cj6vr9ssk52zlhap45c93cwslk3q788c8krr35";
libraryHaskellDepends = [ base Z-Data Z-IO ];
librarySystemDepends = [ zookeeper_mt ];
- testHaskellDepends = [ base hspec ];
+ testHaskellDepends = [ async base hspec uuid Z-Data ];
description = "A haskell binding to Apache Zookeeper C library(mt) using Haskell Z project";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -295870,8 +299691,8 @@ self: {
}:
mkDerivation {
pname = "zstd";
- version = "0.1.2.0";
- sha256 = "0vjw8r11k9kj6c63sfkwz8akq0g32g1bv6n6clvs4g2j12zq1xk8";
+ version = "0.1.3.0";
+ sha256 = "0vghl48cxcqy72sqk2gpi7rvy5ya36j13vndaxi6kck6bqivbhm0";
libraryHaskellDepends = [ base bytestring deepseq ghc-prim ];
testHaskellDepends = [
base bytestring QuickCheck test-framework
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/patches/recursion-schemes-128.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/patches/recursion-schemes-128.patch
new file mode 100644
index 00000000000..a40efc6f633
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/haskell-modules/patches/recursion-schemes-128.patch
@@ -0,0 +1,24 @@
+diff --git a/recursion-schemes.cabal b/recursion-schemes.cabal
+index c35f2c6..e692ade 100644
+--- a/recursion-schemes.cabal
++++ b/recursion-schemes.cabal
+@@ -93,6 +93,7 @@ library
+ Paths_recursion_schemes
+
+ ghc-options: -Wall
++ ghc-prof-options: -DPROFILING_ENABLED
+ if impl(ghc >= 8.6)
+ ghc-options: -Wno-star-is-type
+ default-language: Haskell2010
+diff --git a/src/Data/Functor/Foldable/TH.hs b/src/Data/Functor/Foldable/TH.hs
+index b3d5ac8..d4ef0e4 100644
+--- a/src/Data/Functor/Foldable/TH.hs
++++ b/src/Data/Functor/Foldable/TH.hs
+@@ -1,4 +1,7 @@
+ {-# LANGUAGE CPP, PatternGuards, Rank2Types #-}
++#if defined(PROFILING_ENABLED)
++{-# OPTIONS_GHC -O0 #-}
++#endif
+ module Data.Functor.Foldable.TH
+ ( MakeBaseFunctor(..)
+ , BaseRules
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/angelscript/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/angelscript/default.nix
index 9a6aae053f9..abd680ad234 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/angelscript/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/angelscript/default.nix
@@ -1,20 +1,17 @@
-{ lib, stdenv, fetchurl, unzip, cmake }:
-let
- s = # Generated upstream information
- rec {
- baseName="angelscript";
- version = "2.35.0";
- name="${baseName}-${version}";
- url="http://www.angelcode.com/angelscript/sdk/files/angelscript_${version}.zip";
- sha256 = "sha256-AQ3UXiPnNNRvWJHXDiaGB6EsuasSUD3aQvhC2dt+iFc=";
- };
+{ lib
+, stdenv
+, fetchurl
+, unzip
+, cmake
+}:
-in
-stdenv.mkDerivation {
- inherit (s) name version;
+stdenv.mkDerivation rec {
+ pname = "angelscript";
+ version = "2.35.1";
src = fetchurl {
- inherit (s) url sha256;
+ url = "https://www.angelcode.com/angelscript/sdk/files/angelscript_${version}.zip";
+ sha256 = "12x12fs2bjkbh73n2w84wnqhg6xn6mnp6g79gbkwfl6gssv9c42w";
};
nativeBuildInputs = [ unzip cmake ];
@@ -32,7 +29,6 @@ stdenv.mkDerivation {
'';
meta = with lib; {
- inherit (s) version;
description = "Light-weight scripting library";
license = licenses.zlib;
maintainers = with maintainers; [ raskin ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/angelscript/default.upstream b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/angelscript/default.upstream
deleted file mode 100644
index 7dbe527b276..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/angelscript/default.upstream
+++ /dev/null
@@ -1,4 +0,0 @@
-url http://www.angelcode.com/angelscript/downloads.html
-version_link '[.]zip$'
-version '.*_([0-9.]+)[.].*' '\1'
-do_overwrite () { do_overwrite_just_version ; }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bats/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bats/default.nix
index 01d1b61cb80..7a6f62a55c8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bats/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bats/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "bats";
- version = "1.3.0";
+ version = "1.4.1";
src = fetchzip {
url = "https://github.com/bats-core/bats-core/archive/v${version}.tar.gz";
- hash = "sha256-+dboExOx2YELxV8Cwk9SVwk9G3p8EoP0LdaJ3o7GT6c=";
+ hash = "sha256-bGZXjq6zG9MJgDccpVYATsgYhhb1Zf7Deoa/DoSv8QE=";
};
nativeBuildInputs = [ makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bqn/cbqn/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bqn/cbqn/default.nix
new file mode 100644
index 00000000000..4d414dc04e1
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bqn/cbqn/default.nix
@@ -0,0 +1,70 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, genBytecode ? false
+, bqn-path ? null
+, mbqn-source ? null
+}:
+
+let
+ cbqn-bytecode-files = fetchFromGitHub {
+ name = "cbqn-bytecode-files";
+ owner = "dzaima";
+ repo = "CBQN";
+ rev = "4d23479cdbd5ac6eb512c376ade58077b814b2b7";
+ hash = "sha256-MTvg4lOB26bqvJTqV71p4Y4qDjTYaOE40Jk4Sle/hsY=";
+ };
+in
+assert genBytecode -> ((bqn-path != null) && (mbqn-source != null));
+
+stdenv.mkDerivation rec {
+ pname = "cbqn" + lib.optionalString (!genBytecode) "-standalone";
+ version = "0.pre+unstable=2021-10-09";
+
+ src = fetchFromGitHub {
+ owner = "dzaima";
+ repo = "CBQN";
+ rev = "debc4e2afe313a3c54133df9f26969c2927dc8aa";
+ hash = "sha256-ixFDmtq6hd2enmPbBT0JCv1bmxt84zle4zPQzz+rMCI=";
+ };
+
+ dontConfigure = true;
+
+ postPatch = ''
+ sed -i '/SHELL =.*/ d' makefile
+ '';
+
+ makeFlags = [
+ "CC=${stdenv.cc.targetPrefix}cc"
+ ];
+
+ preBuild = ''
+ # Purity: avoids git downloading bytecode files
+ touch src/gen/customRuntime
+ '' + (if genBytecode then ''
+ ${bqn-path} genRuntime ${mbqn-source}
+ '' else ''
+ cp ${cbqn-bytecode-files}/src/gen/{compiler,formatter,runtime0,runtime1,src} src/gen/
+ '');
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/bin/
+ cp BQN -t $out/bin/
+ ln -s $out/bin/BQN $out/bin/bqn
+ ln -s $out/bin/BQN $out/bin/cbqn
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/dzaima/CBQN/";
+ description = "BQN implementation in C";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ AndersonTorres sternenseemann synthetica ];
+ platforms = platforms.all;
+ };
+}
+# TODO: version cbqn-bytecode-files
+# TODO: test suite
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bqn/dzaima-bqn/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bqn/dzaima-bqn/default.nix
new file mode 100644
index 00000000000..e9b6bdf83c7
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bqn/dzaima-bqn/default.nix
@@ -0,0 +1,71 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, jdk
+, makeWrapper
+, buildNativeImage ? true
+}:
+
+stdenv.mkDerivation rec {
+ pname = "dbqn" + lib.optionalString buildNativeImage "-native";
+ version = "0.pre+date=2021-10-08";
+
+ src = fetchFromGitHub {
+ owner = "dzaima";
+ repo = "BQN";
+ rev = "0001109a1c5a420421b368c79d34b1e93bfe606e";
+ hash = "sha256-riHHclTLkrVbtzmcz9ungAIc7kaoFHS77+SNatsfNhc=";
+ };
+
+ nativeBuildInputs = [
+ jdk
+ makeWrapper
+ ];
+
+ dontConfigure = true;
+
+ postPatch = ''
+ patchShebangs --build ./build8
+ '';
+
+ buildPhase = ''
+ runHook preBuild
+
+ ./build8
+ '' + lib.optionalString buildNativeImage ''
+ native-image --report-unsupported-elements-at-runtime \
+ -H:CLibraryPath=${lib.getLib jdk}/lib -J-Dfile.encoding=UTF-8 \
+ -jar BQN.jar dbqn
+ '' + ''
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/bin
+
+ '' + (if buildNativeImage then ''
+ mv dbqn $out/bin
+ '' else ''
+ mkdir -p $out/share/${pname}
+ mv BQN.jar $out/share/${pname}/
+
+ makeWrapper "${lib.getBin jdk}/bin/java" "$out/bin/dbqn" \
+ --add-flags "-jar $out/share/${pname}/BQN.jar"
+ '') + ''
+ ln -s $out/bin/dbqn $out/bin/bqn
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/dzaima/BQN";
+ description = "A BQN implementation in Java" + lib.optionalString buildNativeImage ", compiled as a native image";
+ license = licenses.mit;
+ maintainers = with maintainers; [ AndersonTorres sternenseemann ];
+ inherit (jdk.meta) platforms;
+ };
+}
+# TODO: Processing app
+# TODO: minimalistic JDK
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bqn/mlochbaum-bqn/001-libbqn-path.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bqn/mlochbaum-bqn/001-libbqn-path.patch
new file mode 100644
index 00000000000..b23c3de44af
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bqn/mlochbaum-bqn/001-libbqn-path.patch
@@ -0,0 +1,12 @@
+diff -Naur source-old/bqn.js source-new/bqn.js
+--- source-old/bqn.js 1969-12-31 21:00:01.000000000 -0300
++++ source-new/bqn.js 2021-10-03 01:28:00.268998916 -0300
+@@ -4,7 +4,7 @@
+ let path = require('path');
+ let fs = require('fs');
+
+-let bqn = require("./docs/bqn.js");
++let bqn = require("@libbqn@");
+ module.exports = bqn;
+ let {fmt,fmtErr,sysvals,sysargs,makebqn,makerepl}=bqn;
+ let {has,list,str,unstr,dynsys,req1str,makens}=bqn.util;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bqn/mlochbaum-bqn/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bqn/mlochbaum-bqn/default.nix
new file mode 100644
index 00000000000..d49347d2ab4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/bqn/mlochbaum-bqn/default.nix
@@ -0,0 +1,64 @@
+{ lib
+, stdenvNoCC
+, fetchFromGitHub
+, makeWrapper
+, nodejs
+}:
+
+stdenvNoCC.mkDerivation rec {
+ pname = "bqn";
+ version = "0.pre+unstable=2021-10-06";
+
+ src = fetchFromGitHub {
+ owner = "mlochbaum";
+ repo = "BQN";
+ rev = "2ce2dc40702431ef3d3ffece9e2f6f8b883ac6c5";
+ hash = "sha256-bvXKOaBlddG6O0GbmtqU9prklqmOOvlbXuCUaFO+j0M=";
+ };
+
+ nativeBuildInputs = [ makeWrapper ];
+
+ buildInputs = [ nodejs ];
+
+ patches = [
+ # Creates a @libbqn@ substitution variable, to be filled in the fixupPhase
+ ./001-libbqn-path.patch
+ ];
+
+ dontConfigure = true;
+
+ dontBuild = true;
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/bin $out/share/${pname}
+ cp bqn.js $out/share/${pname}/bqn.js
+ cp docs/bqn.js $out/share/${pname}/libbqn.js
+
+ makeWrapper "${lib.getBin nodejs}/bin/node" "$out/bin/mbqn" \
+ --add-flags "$out/share/${pname}/bqn.js"
+
+ ln -s $out/bin/mbqn $out/bin/bqn
+
+ runHook postInstall
+ '';
+
+ fixupPhase = ''
+ runHook preFixup
+
+ substituteInPlace $out/share/${pname}/bqn.js \
+ --subst-var-by "libbqn" "$out/share/${pname}/libbqn.js"
+
+ runHook postFixup
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/mlochbaum/BQN/";
+ description = "The original BQN implementation in Javascript";
+ license = licenses.isc;
+ maintainers = with maintainers; [ AndersonTorres ];
+ platforms = platforms.all;
+ };
+}
+# TODO: install docs and other stuff
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/clojure/babashka.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/clojure/babashka.nix
index 850a5f3d772..df75a233fff 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/clojure/babashka.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/clojure/babashka.nix
@@ -2,17 +2,11 @@
stdenv.mkDerivation rec {
pname = "babashka";
- version = "0.5.1";
-
- reflectionJson = fetchurl {
- name = "reflection.json";
- url = "https://github.com/babashka/${pname}/releases/download/v${version}/${pname}-${version}-reflection.json";
- sha256 = "1mx89rrkxyn7s8nfif0564gjrpc299wzl0wfq9qx8blc6a1438a9";
- };
+ version = "0.6.1";
src = fetchurl {
url = "https://github.com/babashka/${pname}/releases/download/v${version}/${pname}-${version}-standalone.jar";
- sha256 = "0rp6lam3psnk12j69z8qp1ixyp7zvvjahn18mvkmc38naml0p514";
+ sha256 = "sha256-s0fZzx/sEAUwXY2cx2ODDhwIrJb5LykFgHBcscsZQO0=";
};
dontUnpack = true;
@@ -27,43 +21,21 @@ stdenv.mkDerivation rec {
buildPhase = ''
runHook preBuild
- # https://github.com/babashka/babashka/blob/77daea7362d8e2562c89c315b1fbcefde6fa56a5/script/compile
+ # https://github.com/babashka/babashka/blob/v0.6.1/script/compile#L41-L52
args=("-jar" "$BABASHKA_JAR"
+ "-H:CLibraryPath=${graalvm11-ce.lib}/lib"
+ # Required to build babashka on darwin. Do not remove.
+ "${lib.optionalString stdenv.isDarwin "-H:-CheckToolchain"}"
"-H:Name=$BABASHKA_BINARY"
- "${lib.optionalString stdenv.isDarwin ''-H:-CheckToolchain''}"
"-H:+ReportExceptionStackTraces"
- "-J-Dclojure.spec.skip-macros=true"
- "-J-Dclojure.compiler.direct-linking=true"
- "-H:IncludeResources=BABASHKA_VERSION"
- "-H:IncludeResources=META-INF/babashka/.*"
- "-H:IncludeResources=SCI_VERSION"
- "-H:ReflectionConfigurationFiles=${reflectionJson}"
- "--initialize-at-build-time"
# "-H:+PrintAnalysisCallTree"
# "-H:+DashboardAll"
# "-H:DashboardDump=reports/dump"
# "-H:+DashboardPretty"
# "-H:+DashboardJson"
- "-H:Log=registerResource:"
- "-H:EnableURLProtocols=http,https,jar"
- "--enable-all-security-services"
- "-H:+JNI"
"--verbose"
"--no-fallback"
- "--no-server"
- "--report-unsupported-elements-at-runtime"
- "--initialize-at-run-time=org.postgresql.sspi.SSPIClient"
- "--initialize-at-run-time=org.httpkit.client.ClientSslEngineFactory\$SSLHolder"
"--native-image-info"
- "--verbose"
- "-H:ServiceLoaderFeatureExcludeServices=javax.sound.sampled.spi.AudioFileReader"
- "-H:ServiceLoaderFeatureExcludeServices=javax.sound.midi.spi.MidiFileReader"
- "-H:ServiceLoaderFeatureExcludeServices=javax.sound.sampled.spi.MixerProvider"
- "-H:ServiceLoaderFeatureExcludeServices=javax.sound.sampled.spi.FormatConversionProvider"
- "-H:ServiceLoaderFeatureExcludeServices=javax.sound.sampled.spi.AudioFileWriter"
- "-H:ServiceLoaderFeatureExcludeServices=javax.sound.midi.spi.MidiDeviceProvider"
- "-H:ServiceLoaderFeatureExcludeServices=javax.sound.midi.spi.SoundbankReader"
- "-H:ServiceLoaderFeatureExcludeServices=javax.sound.midi.spi.MidiFileWriter"
"$BABASHKA_XMX")
native-image ''${args[@]}
@@ -113,6 +85,7 @@ stdenv.mkDerivation rec {
- Library support via popular tools like the clojure CLI
'';
homepage = "https://github.com/babashka/babashka";
+ changelog = "https://github.com/babashka/babashka/blob/v${version}/CHANGELOG.md";
license = licenses.epl10;
platforms = graalvm11-ce.meta.platforms;
maintainers = with maintainers; [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/clojure/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/clojure/default.nix
index 659f9b87e61..4ce8cacb845 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/clojure/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/clojure/default.nix
@@ -2,12 +2,12 @@
stdenv.mkDerivation rec {
pname = "clojure";
- version = "1.10.3.943";
+ version = "1.10.3.986";
src = fetchurl {
# https://clojure.org/releases/tools
url = "https://download.clojure.org/install/clojure-tools-${version}.tar.gz";
- sha256 = "sha256-w3DRvZsie22uoJMrNQTxN5hW0pIFjH5zAw5Z41I1M/s=";
+ sha256 = "1xhfp186mk9h3jdl9bpkigqrrrgdhgij7ba65j6783nh11llpa3x";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/dart/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/dart/default.nix
index 1d6abb59504..452d0edc3e0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/dart/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/dart/default.nix
@@ -2,7 +2,7 @@
, lib
, fetchurl
, unzip
-, version ? "2.13.1"
+, version ? "2.14.3"
, sources ? let
base = "https://storage.googleapis.com/dart-archive/channels";
x86_64 = "x64";
@@ -10,24 +10,24 @@
aarch64 = "arm64";
# Make sure that if the user overrides version parameter they're
# also need to override sources, to avoid mistakes
- version = "2.13.1";
+ version = "2.14.3";
in
{
"${version}-x86_64-darwin" = fetchurl {
url = "${base}/stable/release/${version}/sdk/dartsdk-macos-${x86_64}-release.zip";
- sha256 = "0kb6r2rmp5d0shvgyy37fmykbgww8qaj4f8k79rmqfv5lwa3izya";
+ sha256 = "0is4gz99i06yb4jisxcz2c15jqkaz6ayhg9b8zb3s0s8yp59r2iq";
};
"${version}-x86_64-linux" = fetchurl {
url = "${base}/stable/release/${version}/sdk/dartsdk-linux-${x86_64}-release.zip";
- sha256 = "0zq8wngyrw01wjc5s6w1vz2jndms09ifiymjjixxby9k41mr6jrq";
+ sha256 = "0wg1mnj4qrv22z510032jnwb3z39gvzlrv5wic2ci5mg7316xlya";
};
"${version}-i686-linux" = fetchurl {
url = "${base}/stable/release/${version}/sdk/dartsdk-linux-${i686}-release.zip";
- sha256 = "0zv4q8xv2i08a6izpyhhnil75qhs40m5mgyvjqjsswqkwqdf7lkj";
+ sha256 = "0gnjk3lh63m0naaw67g9w7wys5cgx2ipzd1dznqnlvxp2vj1gj5p";
};
"${version}-aarch64-linux" = fetchurl {
url = "${base}/stable/release/${version}/sdk/dartsdk-linux-${aarch64}-release.zip";
- sha256 = "0bb9jdmg5p608jmmiqibp13ydiw9avgysxlmljvgsl7wl93j6rgc";
+ sha256 = "1j7snnf3a0jly85njq8npqikrdhz9lkirhvik1hkpd9sv7qfbvd6";
};
}
}:
@@ -56,7 +56,7 @@ stdenv.mkDerivation {
meta = with lib; {
homepage = "https://www.dartlang.org/";
- maintainers = with maintainers; [ grburst thiagokokada ];
+ maintainers = with maintainers; [ grburst thiagokokada flexagoon ];
description = "Scalable programming language, with robust libraries and runtimes, for building web, server, and mobile apps";
longDescription = ''
Dart is a class-based, single inheritance, object-oriented language
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/dzaima-apl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/dzaima-apl/default.nix
new file mode 100644
index 00000000000..d061a6cb9cd
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/dzaima-apl/default.nix
@@ -0,0 +1,70 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, jdk
+, makeWrapper
+, buildNativeImage ? true
+}:
+
+stdenv.mkDerivation rec {
+ pname = "dapl" + lib.optionalString buildNativeImage "-native";
+ version = "0.2.0+date=2021-10-16";
+
+ src = fetchFromGitHub {
+ owner = "dzaima";
+ repo = "APL";
+ rev = "5eb0a4205e27afa6122096a25008474eec562dc0";
+ hash = "sha256-UdumMytqT909JRpNqzhYPuKPw644m/vRUsEbIVF2a7U=";
+ };
+
+ nativeBuildInputs = [
+ jdk
+ makeWrapper
+ ];
+
+ dontConfigure = true;
+
+ postPatch = ''
+ patchShebangs --build ./build
+ '';
+
+ buildPhase = ''
+ runHook preBuild
+
+ ./build
+ '' + lib.optionalString buildNativeImage ''
+ native-image --report-unsupported-elements-at-runtime \
+ -H:CLibraryPath=${lib.getLib jdk}/lib -J-Dfile.encoding=UTF-8 \
+ -jar APL.jar dapl
+ '' + ''
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/bin
+ '' + (if buildNativeImage then ''
+ mv dapl $out/bin
+ '' else ''
+ mkdir -p $out/share/${pname}
+ mv APL.jar $out/share/${pname}/
+
+ makeWrapper "${lib.getBin jdk}/bin/java" "$out/bin/dapl" \
+ --add-flags "-jar $out/share/${pname}/APL.jar"
+ '') + ''
+ ln -s $out/bin/dapl $out/bin/apl
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/dzaima/APL";
+ description = "An APL implementation in Java" + lib.optionalString buildNativeImage ", compiled as a native image";
+ license = licenses.mit;
+ maintainers = with maintainers; [ AndersonTorres ];
+ inherit (jdk.meta) platforms;
+ };
+}
+# TODO: Processing app
+# TODO: minimalistic JDK
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/elixir/1.12.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/elixir/1.12.nix
index 4b631098fe1..0ea014e36d7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/elixir/1.12.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/elixir/1.12.nix
@@ -3,7 +3,7 @@
# How to obtain `sha256`:
# nix-prefetch-url --unpack https://github.com/elixir-lang/elixir/archive/v${version}.tar.gz
mkDerivation {
- version = "1.12.2";
- sha256 = "sha256-PQkvBaQQljATt+LA3hWJOFyQessqqR1t6o1J2LHllec=";
+ version = "1.12.3";
+ sha256 = "sha256-Jo9ZC5cSBVpjVnGZ8tEIUKOhW9uvJM/h84+VcnrT0R0=";
minimumOTPVersion = "22";
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/erlang/R24.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/erlang/R24.nix
index 8d1d114e12c..566e2f60afa 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/erlang/R24.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/erlang/R24.nix
@@ -3,6 +3,6 @@
# How to obtain `sha256`:
# nix-prefetch-url --unpack https://github.com/erlang/otp/archive/OTP-${version}.tar.gz
mkDerivation {
- version = "24.0.5";
- sha256 = "4ZyYcBhep67aPr8SY7JK/3YXD5Th8UcyjTP7UIZ5c5Q=";
+ version = "24.1.2";
+ sha256 = "sha256-P0XU+gqDyhW0QQf1UzO+CV9Yc6YP70MRf3MLgdKzeU4=";
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/erlang/generic-builder.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/erlang/generic-builder.nix
index 13d2d33abfc..f4f7d648354 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/erlang/generic-builder.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/erlang/generic-builder.nix
@@ -22,6 +22,7 @@
, parallelBuild ? false
, systemd
, wxSupport ? true
+, systemdSupport ? stdenv.isLinux # systemd support in epmd
# updateScript deps
, writeScript
, common-updater-scripts
@@ -42,7 +43,6 @@
, javacPackages ? [ openjdk11 ]
, odbcSupport ? false
, odbcPackages ? [ unixODBC ]
-, withSystemd ? stdenv.isLinux # systemd support in epmd
, opensslPackage ? openssl
, wxPackages ? [ libGL libGLU wxGTK xorg.libX11 ]
, preUnpack ? ""
@@ -84,9 +84,13 @@ let
in
stdenv.mkDerivation ({
- name = "${baseName}-${version}"
- + optionalString javacSupport "-javac"
- + optionalString odbcSupport "-odbc";
+ # name is used instead of pname to
+ # - not have to pass pnames as argument
+ # - have a separate pname for erlang (main module)
+ name = "${baseName}"
+ + optionalString javacSupport "_javac"
+ + optionalString odbcSupport "_odbc"
+ + "-${version}";
inherit src version;
@@ -96,7 +100,7 @@ stdenv.mkDerivation ({
++ optionals wxSupport wxPackages2
++ optionals odbcSupport odbcPackages
++ optionals javacSupport javacPackages
- ++ optional withSystemd systemd
+ ++ optional systemdSupport systemd
++ optionals stdenv.isDarwin (with pkgs.darwin.apple_sdk.frameworks; [ AGL Carbon Cocoa WebKit ]);
debugInfo = enableDebugInfo;
@@ -123,7 +127,7 @@ stdenv.mkDerivation ({
++ optional javacSupport "--with-javac"
++ optional odbcSupport "--with-odbc=${unixODBC}"
++ optional wxSupport "--enable-wx"
- ++ optional withSystemd "--enable-systemd"
+ ++ optional systemdSupport "--enable-systemd"
++ optional stdenv.isDarwin "--enable-darwin-64bit"
++ configureFlags;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/gnudatalanguage/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/gnudatalanguage/default.nix
new file mode 100644
index 00000000000..38f34023301
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/gnudatalanguage/default.nix
@@ -0,0 +1,203 @@
+{ stdenv
+, lib
+, fetchFromGitHub
+, cmake
+, wrapGAppsHook
+, readline
+, ncurses
+, zlib
+, gsl
+, openmp
+, graphicsmagick
+, fftw
+, fftwFloat
+, fftwLongDouble
+, proj
+, shapelib
+, expat
+, udunits
+, eigen
+, pslib
+, eccodes
+, glpk
+, libpng
+, plplot
+, libtiff
+, libgeotiff
+, libjpeg
+ # We enable it in hdf4 and use libtirpc as a dependency here from the passthru
+ # of hdf4
+, enableLibtirpc ? stdenv.isLinux
+, libtirpc
+, python3
+, enableMPI ? (stdenv.isLinux || stdenv.isDarwin)
+ # Choose MPICH over OpenMPI because it currently builds on AArch and Darwin
+, mpi
+ # Unfree optional dependency for hdf4 and hdf5
+, enableSzip ? false
+, szip
+, enableHDF4 ? true
+, hdf4
+, hdf4-forced ? null
+, enableHDF5 ? true
+ # HDF5 format version (API version) 1.10 and 1.12 is not fully compatible
+ # Specify if the API version should default to 1.10
+ # netcdf currently depends on hdf5 with `usev110Api=true`
+ # If you wish to use HDF5 API version 1.12 (`useHdf5v110Api=false`),
+ # you will need to turn NetCDF off.
+, useHdf5v110Api ? true
+, hdf5
+, hdf5-forced ? null
+, enableNetCDF ? true
+, netcdf
+, netcdf-forced ? null
+, plplot-forced ? null
+ # wxWidgets is preferred over X11 for this project but we only have it on Linux
+ # and Darwin. Also, we use the wxWidgets dependency here from the passthru of
+ # plplot.
+, enableWX ? (stdenv.isLinux || stdenv.isDarwin)
+ # X11: OFF by default for platform consistency. Use X where WX is not available
+, enableXWin ? (!stdenv.isLinux && !stdenv.isDarwin)
+}:
+
+let
+ hdf4-custom =
+ if hdf4-forced != null
+ then hdf4-forced
+ else
+ hdf4.override {
+ uselibtirpc = enableLibtirpc;
+ szipSupport = enableSzip;
+ inherit szip;
+ };
+ hdf5-custom =
+ if hdf5-forced != null
+ then hdf5-forced
+ else
+ hdf5.override {
+ usev110Api = useHdf5v110Api;
+ mpiSupport = enableMPI;
+ inherit mpi;
+ szipSupport = enableSzip;
+ inherit szip;
+ };
+ netcdf-custom =
+ if netcdf-forced != null
+ then netcdf-forced
+ else
+ netcdf.override {
+ hdf5 = hdf5-custom;
+ };
+ enablePlplotDrivers = enableWX || enableXWin;
+ plplot-with-drivers =
+ if plplot-forced != null
+ then plplot-forced
+ else
+ plplot.override {
+ inherit
+ enableWX
+ enableXWin
+ ;
+ };
+in
+stdenv.mkDerivation rec {
+ pname = "gnudatalanguage";
+ version = "1.0.0";
+
+ src = fetchFromGitHub {
+ owner = pname;
+ repo = "gdl";
+ rev = "v${version}";
+ sha256 = "sha256-Y9LVRaWjQqpWqjNngxB406PE/rl/9S8rs0u0CK5ivUA=";
+ };
+
+ buildInputs = [
+ readline
+ ncurses
+ zlib
+ gsl
+ openmp
+ graphicsmagick
+ fftw
+ fftwFloat
+ fftwLongDouble
+ proj
+ shapelib
+ expat
+ mpi
+ udunits
+ eigen
+ pslib
+ eccodes
+ glpk
+ libpng
+ libtiff
+ libgeotiff
+ libjpeg
+ hdf4-custom
+ hdf5-custom
+ netcdf-custom
+ plplot-with-drivers
+ ] ++ lib.optional enableXWin plplot-with-drivers.libX11
+ ++ lib.optional enableWX plplot-with-drivers.wxWidgets
+ ++ lib.optional enableMPI mpi
+ ++ lib.optional enableLibtirpc hdf4-custom.libtirpc
+ ++ lib.optional enableSzip szip;
+
+ propagatedBuildInputs = [
+ (python3.withPackages (ps: with ps; [ numpy ]))
+ ];
+
+ nativeBuildInputs = [
+ cmake
+ ] ++ lib.optional enableWX wrapGAppsHook;
+
+ cmakeFlags = lib.optional (!enableHDF4) "-DHDF=OFF"
+ ++ [ (if enableHDF5 then "-DHDF5DIR=${hdf5-custom}" else "-DHDF5=OFF") ]
+ ++ lib.optional (!enableNetCDF) "-DNETCDF=OFF"
+ ++ lib.optional (!enablePlplotDrivers) "-DINTERACTIVE_GRAPHICS=OFF"
+ ++ lib.optional (!enableWX) "-DWXWIDGETS=OFF"
+ ++ lib.optional enableSzip "-DSZIPDIR=${szip}"
+ ++ lib.optionals enableXWin [ "-DX11=ON" "-DX11DIR=${plplot-with-drivers.libX11}" ]
+ ++ lib.optionals enableMPI [ "-DMPI=ON" "-DMPIDIR=${mpi}" ];
+
+ doCheck = true;
+
+ # Opt-out unstable tests
+ # https://github.com/gnudatalanguage/gdl/issues/482
+ # https://github.com/gnudatalanguage/gdl/issues/1079
+ # https://github.com/gnudatalanguage/gdl/issues/460
+ preCheck = ''
+ checkFlagsArray+=("ARGS=-E 'test_tic_toc.pro|test_byte_conversion.pro|test_bytscl.pro|test_call_external.pro'")
+ '';
+
+ passthru = {
+ hdf4 = hdf4-custom;
+ hdf5 = hdf5-custom;
+ netcdf = netcdf-custom;
+ plplot = plplot-with-drivers;
+ python = python3;
+ inherit
+ enableMPI
+ mpi
+ useHdf5v110Api
+ enableSzip
+ enableWX
+ enableXWin
+ ;
+ };
+
+ meta = with lib; {
+ description = "Free incremental compiler of IDL";
+ longDescription = ''
+ GDL (GNU Data Language) is a free/libre/open source incremental compiler
+ compatible with IDL (Interactive Data Language) and to some extent with PV-WAVE.
+ GDL is aimed as a drop-in replacement for IDL.
+ '';
+ homepage = "https://github.com/gnudatalanguage/gdl";
+ license = licenses.gpl2Only;
+ maintainers = with maintainers; [ ShamrockLee ];
+ platforms = platforms.all;
+ mainProgram = "gdl";
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/build-lua-package.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/build-lua-package.nix
index 74f5b2b7b39..ff93e842eea 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/build-lua-package.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/build-lua-package.nix
@@ -7,8 +7,7 @@
}:
{
-name ? "${attrs.pname}-${attrs.version}"
-
+pname
, version
# by default prefix `name` e.g. "lua5.2-${name}"
@@ -26,7 +25,6 @@ name ? "${attrs.pname}-${attrs.version}"
# propagate build dependencies so in case we have A -> B -> C,
# C can import package A propagated by B
, propagatedBuildInputs ? []
-, propagatedNativeBuildInputs ? []
# used to disable derivation, useful for specific lua versions
# TODO move from this setting meta.broken to a 'disabled' attribute on the
@@ -51,7 +49,7 @@ name ? "${attrs.pname}-${attrs.version}"
# The latter is used to work-around luarocks having a problem with
# multiple-output derivations as external deps:
# https://github.com/luarocks/luarocks/issues/766
-, externalDeps ? lib.unique (lib.filter (drv: !drv ? luaModule) (propagatedBuildInputs ++ buildInputs))
+, externalDeps ? []
# Appended to the generated luarocks config
, extraConfig ? ""
@@ -60,7 +58,9 @@ name ? "${attrs.pname}-${attrs.version}"
# The two above arguments have access to builder variables -- e.g. to $out
# relative to srcRoot, path to the rockspec to use when using rocks
-, rockspecFilename ? "../*.rockspec"
+, rockspecFilename ? null
+# relative to srcRoot, path to folder that contains the expected rockspec
+, rockspecDir ? "."
# must be set for packages that don't have a rock
, knownRockspec ? null
@@ -71,6 +71,8 @@ name ? "${attrs.pname}-${attrs.version}"
# Keep extra attributes from `attrs`, e.g., `patchPhase', etc.
let
+ generatedRockspecFilename = "${rockspecDir}/${pname}-${version}.rockspec";
+
# TODO fix warnings "Couldn't load rockspec for ..." during manifest
# construction -- from initial investigation, appears it will require
# upstream luarocks changes to fix cleanly (during manifest construction,
@@ -78,73 +80,33 @@ let
# configured trees)
luarocks_config = "luarocks-config.lua";
luarocks_content = let
- extraVariablesStr = lib.concatStringsSep "\n "
- (lib.mapAttrsToList (k: v: "${k}='${v}';") extraVariables);
- in ''
- local_cache = ""
- -- To prevent collisions when creating environments, we install the rock
- -- files into per-package subdirectories
- rocks_subdir = '${rocksSubdir}'
- -- Then we need to tell luarocks where to find the rock files per
- -- dependency
- rocks_trees = {
- ${lib.concatStringsSep "\n, " rocksTrees}
- }
- '' + lib.optionalString lua.pkgs.isLuaJIT ''
- -- Luajit provides some additional functionality built-in; this exposes
- -- that to luarock's dependency system
- rocks_provided = {
- jit='${lua.luaversion}-1';
- ffi='${lua.luaversion}-1';
- luaffi='${lua.luaversion}-1';
- bit='${lua.luaversion}-1';
- }
- '' + ''
- -- For single-output external dependencies
- external_deps_dirs = {
- ${lib.concatStringsSep "\n, " externalDepsDirs}
- }
- variables = {
- -- Some needed machinery to handle multiple-output external dependencies,
- -- as per https://github.com/luarocks/luarocks/issues/766
- ${lib.optionalString (lib.length depVariables > 0) ''
- ${lib.concatStringsSep "\n " depVariables}''}
- ${extraVariablesStr}
- }
- ${extraConfig}
- '';
+ generatedConfig = lua.pkgs.lib.generateLuarocksConfig {
+ externalDeps = externalDeps ++ externalDepsGenerated;
+ inherit extraVariables;
+ inherit rocksSubdir;
+ inherit requiredLuaRocks;
+ };
+ in
+ ''
+ ${generatedConfig}
+ ${extraConfig}
+ '';
rocksSubdir = "${attrs.pname}-${version}-rocks";
- externalDepsDirs = map
- (x: "'${builtins.toString x}'")
- (lib.filter (lib.isDerivation) externalDeps);
-
- rocksTrees = lib.imap0
- (i: dep: "{ name = [[dep-${toString i}]], root = '${dep}', rocks_dir = '${dep}/${dep.rocksSubdir}' }")
- requiredLuaRocks;
-
# Filter out the lua derivation itself from the Lua module dependency
# closure, as it doesn't have a rock tree :)
requiredLuaRocks = lib.filter (d: d ? luaModule)
- (lua.pkgs.requiredLuaModules propagatedBuildInputs);
-
- # Explicitly point luarocks to the relevant locations for multiple-output
- # derivations that are external dependencies, to work around an issue it has
- # (https://github.com/luarocks/luarocks/issues/766)
- depVariables = lib.concatMap ({name, dep}: [
- "${name}_INCDIR='${lib.getDev dep}/include';"
- "${name}_LIBDIR='${lib.getLib dep}/lib';"
- "${name}_BINDIR='${lib.getBin dep}/bin';"
- ]) externalDeps';
+ (lua.pkgs.requiredLuaModules luarocksDrv.propagatedBuildInputs);
# example externalDeps': [ { name = "CRYPTO"; dep = pkgs.openssl; } ]
+ externalDepsGenerated = lib.unique (lib.filter (drv: !drv ? luaModule) (luarocksDrv.propagatedBuildInputs ++ luarocksDrv.buildInputs));
externalDeps' = lib.filter (dep: !lib.isDerivation dep) externalDeps;
-in
-toLuaModule ( lua.stdenv.mkDerivation (
+
+ luarocksDrv = toLuaModule ( lua.stdenv.mkDerivation (
builtins.removeAttrs attrs ["disabled" "checkInputs" "externalDeps" "extraVariables"] // {
- name = namePrefix + name;
+ name = namePrefix + pname + "-" + version;
buildInputs = [ wrapLua lua.pkgs.luarocks ]
++ buildInputs
@@ -159,20 +121,8 @@ builtins.removeAttrs attrs ["disabled" "checkInputs" "externalDeps" "extraVariab
# @-patterns do not capture formal argument default values, so we need to
# explicitly inherit this for it to be available as a shell variable in the
# builder
- inherit rockspecFilename;
inherit rocksSubdir;
- # enabled only for src.rock
- setSourceRoot= let
- name_only= lib.getName name;
- in
- lib.optionalString (knownRockspec == null) ''
- # format is rockspec_basename/source_basename
- # rockspec can set it via spec.source.dir
- folder=$(find . -mindepth 2 -maxdepth 2 -type d -path '*${name_only}*/*'|head -n1)
- sourceRoot="$folder"
- '';
-
configurePhase = ''
runHook preConfigure
@@ -181,6 +131,9 @@ builtins.removeAttrs attrs ["disabled" "checkInputs" "externalDeps" "extraVariab
EOF
export LUAROCKS_CONFIG="$PWD/${luarocks_config}";
''
+ + lib.optionalString (rockspecFilename == null) ''
+ rockspecFilename="${generatedRockspecFilename}"
+ ''
+ lib.optionalString (knownRockspec != null) ''
# prevents the following type of error:
@@ -197,7 +150,7 @@ builtins.removeAttrs attrs ["disabled" "checkInputs" "externalDeps" "extraVariab
nix_debug "Using LUAROCKS_CONFIG=$LUAROCKS_CONFIG"
- LUAROCKS=luarocks
+ LUAROCKS=${lua.pkgs.luarocks}/bin/luarocks
if (( ''${NIX_DEBUG:-0} >= 1 )); then
LUAROCKS="$LUAROCKS --verbose"
fi
@@ -240,12 +193,15 @@ builtins.removeAttrs attrs ["disabled" "checkInputs" "externalDeps" "extraVariab
passthru = {
inherit lua; # The lua interpreter
inherit externalDeps;
+ inherit luarocks_content;
} // passthru;
- meta = with lib.maintainers; {
+ meta = {
platforms = lua.meta.platforms;
# add extra maintainer(s) to every package
maintainers = (meta.maintainers or []) ++ [ ];
broken = disabled;
} // meta;
-}))
+}));
+in
+ luarocksDrv
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/default.nix
index f2b2961c4c7..3e36f77dab4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/default.nix
@@ -54,9 +54,4 @@ rec {
inherit callPackage;
};
- luajit_openresty = import ../luajit/openresty.nix {
- self = luajit_openresty;
- inherit callPackage;
- };
-
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/hooks/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/hooks/default.nix
new file mode 100644
index 00000000000..8fd725a9b8a
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/hooks/default.nix
@@ -0,0 +1,27 @@
+# Hooks for building lua packages.
+{ lua
+, lib
+, makeSetupHook
+, findutils
+, runCommand
+}:
+
+let
+ callPackage = lua.pkgs.callPackage;
+ luaInterpreter = lua.interpreter;
+in {
+
+ lua-setup-hook = LuaPathSearchPaths: LuaCPathSearchPaths:
+ let
+ hook = ./setup-hook.sh;
+ in runCommand "lua-setup-hook.sh" {
+ # hum doesn't seem to like caps !! BUG ?
+ luapathsearchpaths=lib.escapeShellArgs LuaPathSearchPaths;
+ luacpathsearchpaths=lib.escapeShellArgs LuaCPathSearchPaths;
+ } ''
+ cp ${hook} hook.sh
+ substituteAllInPlace hook.sh
+ mv hook.sh $out
+ '';
+
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/setup-hook.sh b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/hooks/setup-hook.sh
similarity index 100%
rename from infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/setup-hook.sh
rename to infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/hooks/setup-hook.sh
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/interpreter.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/interpreter.nix
index 3476b2b648b..de61714f242 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/interpreter.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/interpreter.nix
@@ -1,7 +1,8 @@
{ lib, stdenv, fetchurl, readline
, compat ? false
, callPackage
-, packageOverrides ? (self: super: {})
+, makeWrapper
+, packageOverrides ? (final: prev: {})
, sourceVersion
, hash
, patches ? []
@@ -10,9 +11,13 @@
, staticOnly ? stdenv.hostPlatform.isStatic
}:
let
-luaPackages = callPackage ../../lua-modules {lua=self; overrides=packageOverrides;};
+ luaPackages = callPackage ../../lua-modules {
+ lua = self;
+ overrides = packageOverrides;
+ };
-plat = if stdenv.isLinux then "linux"
+plat = if (stdenv.isLinux && lib.versionOlder self.luaversion "5.4") then "linux"
+ else if (stdenv.isLinux && lib.versionAtLeast self.luaversion "5.4") then "linux-readline"
else if stdenv.isDarwin then "macosx"
else if stdenv.hostPlatform.isMinGW then "mingw"
else if stdenv.isFreeBSD then "freebsd"
@@ -31,21 +36,32 @@ self = stdenv.mkDerivation rec {
sha256 = hash;
};
- LuaPathSearchPaths = luaPackages.getLuaPathList luaversion;
- LuaCPathSearchPaths = luaPackages.getLuaCPathList luaversion;
+ LuaPathSearchPaths = luaPackages.lib.luaPathList;
+ LuaCPathSearchPaths = luaPackages.lib.luaCPathList;
setupHook = luaPackages.lua-setup-hook LuaPathSearchPaths LuaCPathSearchPaths;
+ nativeBuildInputs = [ makeWrapper ];
buildInputs = [ readline ];
inherit patches;
- postPatch = lib.optionalString (!stdenv.isDarwin && !staticOnly) ''
+ # we can't pass flags to the lua makefile because for portability, everything is hardcoded
+ postPatch = ''
+ {
+ echo -e '
+ #undef LUA_PATH_DEFAULT
+ #define LUA_PATH_DEFAULT "./share/lua/${luaversion}/?.lua;./?.lua;./?/init.lua"
+ #undef LUA_CPATH_DEFAULT
+ #define LUA_CPATH_DEFAULT "./lib/lua/${luaversion}/?.so;./?.so;./lib/lua/${luaversion}/loadall.so"
+ '
+ } >> src/luaconf.h
+ '' + lib.optionalString (!stdenv.isDarwin && !staticOnly) ''
# Add a target for a shared library to the Makefile.
sed -e '1s/^/LUA_SO = liblua.so/' \
-e 's/ALL_T *= */&$(LUA_SO) /' \
-i src/Makefile
cat ${./lua-dso.make} >> src/Makefile
- '';
+ '' ;
# see configurePhase for additional flags (with space)
makeFlags = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/setup-hook.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/setup-hook.nix
index 62caffd8d8a..e69de29bb2d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/setup-hook.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/setup-hook.nix
@@ -1,15 +0,0 @@
-{ runCommand, lib, }:
-
-LuaPathSearchPaths: LuaCPathSearchPaths:
-
-let
- hook = ./setup-hook.sh;
-in runCommand "lua-setup-hook.sh" {
- # hum doesn't seem to like caps !! BUG ?
- luapathsearchpaths=lib.escapeShellArgs LuaPathSearchPaths;
- luacpathsearchpaths=lib.escapeShellArgs LuaCPathSearchPaths;
-} ''
- cp ${hook} hook.sh
- substituteAllInPlace hook.sh
- mv hook.sh $out
-''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/wrap-lua.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/wrap-lua.nix
index f00e0d5ac33..049afcd6116 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/wrap-lua.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/wrap-lua.nix
@@ -4,16 +4,13 @@
, makeWrapper
}:
-with lib;
-
# defined in trivial-builders.nix
# imported as wrapLua in lua-packages.nix and passed to build-lua-derivation to be used as buildInput
makeSetupHook {
- deps = makeWrapper;
- substitutions.executable = lua.interpreter;
- substitutions.lua = lua;
- substitutions.LuaPathSearchPaths = lib.escapeShellArgs lua.LuaPathSearchPaths;
- substitutions.LuaCPathSearchPaths = lib.escapeShellArgs lua.LuaPathSearchPaths;
-
+ deps = makeWrapper;
+ substitutions.executable = lua.interpreter;
+ substitutions.lua = lua;
+ substitutions.LuaPathSearchPaths = lib.escapeShellArgs lua.LuaPathSearchPaths;
+ substitutions.LuaCPathSearchPaths = lib.escapeShellArgs lua.LuaPathSearchPaths;
} ./wrap.sh
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/wrapper.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/wrapper.nix
index 0459b67534d..b9ac255d243 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/wrapper.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lua-5/wrapper.nix
@@ -11,13 +11,18 @@
let
env = let
paths = requiredLuaModules (extraLibs ++ [ lua ] );
- in (buildEnv {
+ in buildEnv {
name = "${lua.name}-env";
inherit paths;
inherit ignoreCollisions;
extraOutputsToInstall = [ "out" ] ++ extraOutputsToInstall;
+ nativeBuildInputs = [
+ makeWrapper
+ (lua.pkgs.lua-setup-hook lua.LuaPathSearchPaths lua.LuaCPathSearchPaths)
+ ];
+
# we create wrapper for the binaries in the different packages
postBuild = ''
if [ -L "$out/bin" ]; then
@@ -37,7 +42,12 @@ let
rm -f "$out/bin/$prg"
if [ -x "$prg" ]; then
nix_debug "Making wrapper $prg"
- makeWrapper "$path/bin/$prg" "$out/bin/$prg" --suffix LUA_PATH ';' "$LUA_PATH" --suffix LUA_CPATH ';' "$LUA_CPATH" ${lib.concatStringsSep " " makeWrapperArgs}
+ makeWrapper "$path/bin/$prg" "$out/bin/$prg" \
+ --set-default LUA_PATH ";;" \
+ --suffix LUA_PATH ';' "$LUA_PATH" \
+ --set-default LUA_CPATH ";;" \
+ --suffix LUA_CPATH ';' "$LUA_CPATH" \
+ ${lib.concatStringsSep " " makeWrapperArgs}
fi
fi
done
@@ -62,8 +72,5 @@ let
'';
};
};
- }).overrideAttrs (_: {
- # Add extra deps needed for postBuild hook.
- nativeBuildInputs = [ makeWrapper lua ];
- });
+ };
in env
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/2.0.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/2.0.nix
index ceb796f0433..efae91c17bc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/2.0.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/2.0.nix
@@ -1,14 +1,12 @@
{ self, callPackage, lib }:
callPackage ./default.nix {
inherit self;
- owner = "LuaJIT";
- repo = "LuaJIT";
- version = "2.0.5-2021-06-08";
- rev = "98f95f69180d48ce49289d6428b46a9ccdd67a46";
+ version = "2.0.5-2021-07-27";
+ rev = "3a654999c6f00de4cb9e61232d23579442e544a0";
isStable = true;
- sha256 = "1pdmhk5syp0nir80xcnkf6xy2w5rwslak8hgmjpgaxzlnrjcgs7p";
+ sha256 = "0q187vn6bspn9i33hrvfy59mh83nd8jjmik5qkkkc3vls13jxr6z";
extraMeta = { # this isn't precise but it at least stops the useless Hydra build
- platforms = with lib; filter (p: p != "aarch64-linux")
+ platforms = with lib; filter (p: !hasPrefix "aarch64-" p)
(platforms.linux ++ platforms.darwin);
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/2.1.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/2.1.nix
index 87976a45dfe..6ac47a6c335 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/2.1.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/2.1.nix
@@ -1,10 +1,8 @@
{ self, callPackage }:
callPackage ./default.nix {
inherit self;
- owner = "LuaJIT";
- repo = "LuaJIT";
- version = "2.1.0-2021-06-25";
- rev = "e957737650e060d5bf1c2909b741cc3dffe073ac";
+ version = "2.1.0-2021-08-12";
+ rev = "8ff09d9f5ad5b037926be2a50dc32b681c5e7597";
isStable = false;
- sha256 = "04i7n5xdd1nci4mv2p6bv71fq5b1nkswz12hcgirsxqbnkrlbbcj";
+ sha256 = "18wp8sgmiwlslnvgs35cy35ji2igksyfm3f8hrx07hqmsq2d77vr";
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/aarch64-darwin-disable-unwind-external.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/aarch64-darwin-disable-unwind-external.patch
new file mode 100644
index 00000000000..dcfb1e9d4e7
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/aarch64-darwin-disable-unwind-external.patch
@@ -0,0 +1,14 @@
+diff --git a/src/Makefile b/src/Makefile
+index 2538503f..7e6380da 100644
+--- a/src/Makefile
++++ b/src/Makefile
+@@ -320,7 +320,9 @@ ifeq (Darwin,$(TARGET_SYS))
+ $(error missing: export MACOSX_DEPLOYMENT_TARGET=XX.YY)
+ endif
+ TARGET_STRIP+= -x
++ ifneq (arm64,$(shell uname -m))
+ TARGET_XCFLAGS+= -DLUAJIT_UNWIND_EXTERNAL
++ endif
+ TARGET_XSHLDFLAGS= -dynamiclib -single_module -undefined dynamic_lookup -fPIC
+ TARGET_DYNXLDOPTS=
+ TARGET_XSHLDFLAGS+= -install_name $(TARGET_DYLIBPATH) -compatibility_version $(MAJVER).$(MINVER) -current_version $(MAJVER).$(MINVER).$(RELVER)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/default.nix
index 72816159828..ac74610bcce 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/default.nix
@@ -1,15 +1,16 @@
-{ lib, stdenv, fetchFromGitHub, buildPackages
+{ lib
+, stdenv
+, fetchFromGitHub
+, buildPackages
, name ? "luajit-${version}"
, isStable
-, owner
-, repo
, sha256
, rev
, version
-, extraMeta ? {}
+, extraMeta ? { }
, callPackage
, self
-, packageOverrides ? (self: super: {})
+, packageOverrides ? (final: prev: {})
, enableFFI ? true
, enableJIT ? true
, enableJITDebugModule ? enableJIT
@@ -26,28 +27,34 @@ assert enableJITDebugModule -> enableJIT;
assert enableGDBJITSupport -> enableJIT;
assert enableValgrindSupport -> valgrind != null;
let
- luaPackages = callPackage ../../lua-modules {lua=self; overrides=packageOverrides;};
+ luaPackages = callPackage ../../lua-modules { lua = self; overrides = packageOverrides; };
XCFLAGS = with lib;
- optional (!enableFFI) "-DLUAJIT_DISABLE_FFI"
- ++ optional (!enableJIT) "-DLUAJIT_DISABLE_JIT"
- ++ optional enable52Compat "-DLUAJIT_ENABLE_LUA52COMPAT"
- ++ optional (!enableGC64) "-DLUAJIT_DISABLE_GC64"
- ++ optional useSystemMalloc "-DLUAJIT_USE_SYSMALLOC"
- ++ optional enableValgrindSupport "-DLUAJIT_USE_VALGRIND"
- ++ optional enableGDBJITSupport "-DLUAJIT_USE_GDBJIT"
- ++ optional enableAPICheck "-DLUAJIT_USE_APICHECK"
- ++ optional enableVMAssertions "-DLUAJIT_USE_ASSERT"
+ optional (!enableFFI) "-DLUAJIT_DISABLE_FFI"
+ ++ optional (!enableJIT) "-DLUAJIT_DISABLE_JIT"
+ ++ optional enable52Compat "-DLUAJIT_ENABLE_LUA52COMPAT"
+ ++ optional (!enableGC64) "-DLUAJIT_DISABLE_GC64"
+ ++ optional useSystemMalloc "-DLUAJIT_USE_SYSMALLOC"
+ ++ optional enableValgrindSupport "-DLUAJIT_USE_VALGRIND"
+ ++ optional enableGDBJITSupport "-DLUAJIT_USE_GDBJIT"
+ ++ optional enableAPICheck "-DLUAJIT_USE_APICHECK"
+ ++ optional enableVMAssertions "-DLUAJIT_USE_ASSERT"
;
in
stdenv.mkDerivation rec {
inherit name version;
src = fetchFromGitHub {
- inherit owner repo sha256 rev;
+ owner = "LuaJIT";
+ repo = "LuaJIT";
+ inherit sha256 rev;
};
luaversion = "5.1";
+ # Fix for pcall on aarch64-darwin.
+ # Upstream issue: https://github.com/LuaJIT/LuaJIT/issues/698
+ patches = lib.optionals (stdenv.hostPlatform.system == "aarch64-darwin") [ ./aarch64-darwin-disable-unwind-external.patch ];
+
postPatch = ''
substituteInPlace Makefile --replace ldconfig :
if test -n "''${dontStrip-}"; then
@@ -55,6 +62,15 @@ stdenv.mkDerivation rec {
# passed by nixpkgs CC wrapper is insufficient on its own
substituteInPlace src/Makefile --replace "#CCDEBUG= -g" "CCDEBUG= -g"
fi
+
+ {
+ echo -e '
+ #undef LUA_PATH_DEFAULT
+ #define LUA_PATH_DEFAULT "./share/lua/${luaversion}/?.lua;./?.lua;./?/init.lua"
+ #undef LUA_CPATH_DEFAULT
+ #define LUA_CPATH_DEFAULT "./lib/lua/${luaversion}/?.so;./?.so;./lib/lua/${luaversion}/loadall.so"
+ '
+ } >> src/luaconf.h
'';
configurePhase = false;
@@ -81,29 +97,26 @@ stdenv.mkDerivation rec {
ln -s "$out"/bin/luajit-* "$out"/bin/luajit
'';
- LuaPathSearchPaths = [
- "lib/lua/${luaversion}/?.lua" "share/lua/${luaversion}/?.lua"
- "share/lua/${luaversion}/?/init.lua" "lib/lua/${luaversion}/?/init.lua"
- "share/${name}/?.lua"
- ];
- LuaCPathSearchPaths = [ "lib/lua/${luaversion}/?.so" "share/lua/${luaversion}/?.so" ];
- setupHook = luaPackages.lua-setup-hook LuaPathSearchPaths LuaCPathSearchPaths;
+ LuaPathSearchPaths = luaPackages.lib.luaPathList;
+ LuaCPathSearchPaths = luaPackages.lib.luaCPathList;
+
+ setupHook = luaPackages.lua-setup-hook luaPackages.lib.luaPathList luaPackages.lib.luaCPathList;
passthru = rec {
buildEnv = callPackage ../lua-5/wrapper.nix {
lua = self;
inherit (luaPackages) requiredLuaModules;
};
- withPackages = import ../lua-5/with-packages.nix { inherit buildEnv luaPackages;};
+ withPackages = import ../lua-5/with-packages.nix { inherit buildEnv luaPackages; };
pkgs = luaPackages;
interpreter = "${self}/bin/lua";
};
meta = with lib; {
description = "High-performance JIT compiler for Lua 5.1";
- homepage = "http://luajit.org";
- license = licenses.mit;
- platforms = platforms.linux ++ platforms.darwin;
+ homepage = "http://luajit.org";
+ license = licenses.mit;
+ platforms = platforms.linux ++ platforms.darwin;
maintainers = with maintainers; [ thoughtpolice smironov vcunat andir lblasc ];
} // extraMeta;
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/openresty.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/openresty.nix
deleted file mode 100644
index 78e06f46f1d..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/luajit/openresty.nix
+++ /dev/null
@@ -1,10 +0,0 @@
-{ self, callPackage }:
-callPackage ./default.nix rec {
- inherit self;
- owner = "openresty";
- repo = "luajit2";
- version = "2.1-20210510";
- rev = "v${version}";
- isStable = true;
- sha256 = "1h21w5axwka2j9jb86yc69qrprcavccyr2qihiw4b76r1zxzalvd";
-}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lunatic/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lunatic/default.nix
new file mode 100644
index 00000000000..39e8323e194
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/lunatic/default.nix
@@ -0,0 +1,24 @@
+{ cmake, fetchFromGitHub, lib, rustPlatform }:
+
+rustPlatform.buildRustPackage rec {
+ pname = "lunatic";
+ version = "0.6.2";
+
+ src = fetchFromGitHub {
+ owner = "lunatic-solutions";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "1dz8v19jw9v55p3mz4932v6z24ihp6wk238n4d4lx9xj91mf3g6r";
+ };
+
+ cargoSha256 = "1rkxl27l6ydmcq3flc6qbnd7zmpkfmyc86b8q4pi7dwhqnd5g70g";
+
+ nativeBuildInputs = [ cmake ];
+
+ meta = with lib; {
+ description = "An Erlang inspired runtime for WebAssembly";
+ homepage = "https://lunatic.solutions";
+ license = with licenses; [ mit /* or */ asl20 ];
+ maintainers = with maintainers; [ figsoda ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/micropython/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/micropython/default.nix
index 2e0b587acfd..7591e997beb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/micropython/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/micropython/default.nix
@@ -1,44 +1,24 @@
{ stdenv
, lib
, fetchFromGitHub
-, fetchpatch
, pkg-config
-, libffi
, python3
+, libffi
, readline
}:
stdenv.mkDerivation rec {
pname = "micropython";
- version = "1.15";
+ version = "1.17";
src = fetchFromGitHub {
owner = "micropython";
repo = "micropython";
rev = "v${version}";
- sha256 = "11bf1lq4kgfs1nzg5cnshh2dqxyk5w2k816i04innri6fj0g7y6p";
+ sha256 = "0aqij36iykmfdsv5dqrifvghmjx7qid8hmbxcpx3xpk3nizh7w84";
fetchSubmodules = true;
};
- # drop the following patches when upgrading to 1.16
- patches = [
- # fix build with modern clang https://github.com/micropython/micropython/pull/7254
- (fetchpatch {
- url = "https://github.com/micropython/micropython/commit/126b1c727118352923703719a2a3d45b9fad3c97.patch";
- sha256 = "13a2bmz24syhd1qsqbx39dcjkjdhf05ln7lanh816m94lkfib21j";
- })
- # fix build with modern clang https://github.com/micropython/micropython/pull/7254
- (fetchpatch {
- url = "https://github.com/micropython/micropython/commit/7ceccad4e2f1e9d073f5781c32e5b377e8391a25.patch";
- sha256 = "04mbxmb5yr6pbhhf9villq8km4wy579r46v9p4n0ysivrxij7i6f";
- })
- # fix build on aarch64-darwin https://github.com/micropython/micropython/pull/7393
- (fetchpatch {
- url = "https://github.com/micropython/micropython/commit/95048129b1d93854c25f501c02801929aeeb23f0.patch";
- sha256 = "1cngcwq4jviyhdnfcrrkdadfikhffzbj0d634j0344cp247jb41n";
- })
- ];
-
nativeBuildInputs = [ pkg-config python3 ];
buildInputs = [ libffi readline ];
@@ -78,6 +58,6 @@ stdenv.mkDerivation rec {
homepage = "https://micropython.org";
platforms = platforms.unix;
license = licenses.mit;
- maintainers = with maintainers; [ sgo ];
+ maintainers = with maintainers; [ prusnak sgo ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/npiet/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/npiet/default.nix
new file mode 100644
index 00000000000..c0e2c3ade29
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/npiet/default.nix
@@ -0,0 +1,45 @@
+{ lib
+, stdenv
+, fetchurl
+, gd
+, giflib
+, groff
+, libpng
+, tk
+}:
+
+stdenv.mkDerivation rec {
+ pname = "npiet";
+ version = "1.3f";
+
+ src = fetchurl {
+ url = "https://www.bertnase.de/npiet/npiet-${version}.tar.gz";
+ sha256 = "sha256-Le2FYGKr1zWZ6F4edozmvGC6LbItx9aptidj3KBLhVo=";
+ };
+
+ buildInputs = [ gd giflib libpng ];
+
+ nativeBuildInputs = [ groff ];
+
+ postPatch = ''
+ # malloc.h is not needed because stdlib.h is already included.
+ # On macOS, malloc.h does not even exist, resulting in an error.
+ substituteInPlace npiet-foogol.c \
+ --replace '#include ' ""
+
+ substituteInPlace npietedit \
+ --replace 'exec wish' 'exec ${tk}/bin/wish'
+ '';
+
+ meta = with lib; {
+ description = "An interpreter for piet programs. Also includes npietedit and npiet-foogol";
+ longDescription = ''
+ npiet is an interpreter for the piet programming language.
+ Instead of text, piet programs are pictures. Commands are determined based on changes in color.
+ '';
+ homepage = "https://www.bertnase.de/npiet/";
+ license = licenses.gpl2Only;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ Luflosi ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/octave/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/octave/default.nix
index e8228d23b0c..6a1d6c30cc1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/octave/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/octave/default.nix
@@ -114,12 +114,12 @@ let
};
self = mkDerivation rec {
- version = "6.2.0";
+ version = "6.3.0";
pname = "octave";
src = fetchurl {
url = "mirror://gnu/octave/${pname}-${version}.tar.gz";
- sha256 = "sha256-RX0f2oY0qDni/Xz8VbmL1W82tq5z0xu530Pd4wEsqnw=";
+ sha256 = "sha256-IyBl86cvwwE/6fF/Qpo99p1nLB9rYHcCmjHI881Ypm4=";
};
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/perl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/perl/default.nix
index 3b39974c16b..45c12b9720c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/perl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/perl/default.nix
@@ -1,4 +1,4 @@
-{ config, lib, stdenv, fetchurl, fetchFromGitHub, pkgs, buildPackages
+{ config, lib, stdenv, fetchurl, fetchpatch, fetchFromGitHub, pkgs, buildPackages
, callPackage
, enableThreading ? true, coreutils, makeWrapper
}:
@@ -41,7 +41,14 @@ let
]
++ optional stdenv.isSunOS ./ld-shared.patch
++ optionals stdenv.isDarwin [ ./cpp-precomp.patch ./sw_vers.patch ]
- ++ optional crossCompiling ./MakeMaker-cross.patch;
+ ++ optionals crossCompiling [
+ ./MakeMaker-cross.patch
+ # https://github.com/arsv/perl-cross/pull/120
+ (fetchpatch {
+ url = "https://github.com/arsv/perl-cross/commit/3c318ae6572f8b36cb077c8b49c851e2f5fe181e.patch";
+ sha256 = "0cmcy8bams3c68f6xadl52z2w378wcpdjzi3qi4pcyvcfs011l6g";
+ })
+ ];
# This is not done for native builds because pwd may need to come from
# bootstrap tools when building bootstrap perl.
@@ -59,7 +66,7 @@ let
unset src
'';
- # Build a thread-safe Perl with a dynamic libperls.o. We need the
+ # Build a thread-safe Perl with a dynamic libperl.so. We need the
# "installstyle" option to ensure that modules are put under
# $out/lib/perl5 - this is the general default, but because $out
# contains the string "perl", Configure would select $out/lib.
@@ -71,13 +78,14 @@ let
++ [
"-Uinstallusrbinperl"
"-Dinstallstyle=lib/perl5"
- "-Duseshrplib"
+ ] ++ lib.optional (!crossCompiling) "-Duseshrplib" ++ [
"-Dlocincpth=${libcInc}/include"
"-Dloclibpth=${libcLib}/lib"
]
++ optionals ((builtins.match ''5\.[0-9]*[13579]\..+'' version) != null) [ "-Dusedevel" "-Uversiononly" ]
++ optional stdenv.isSunOS "-Dcc=gcc"
++ optional enableThreading "-Dusethreads"
+ ++ optional stdenv.hostPlatform.isStatic "--all-static"
++ optionals (!crossCompiling) [
"-Dprefix=${placeholder "out"}"
"-Dman1dir=${placeholder "out"}/share/man/man1"
@@ -86,6 +94,8 @@ let
configureScript = optionalString (!crossCompiling) "${stdenv.shell} ./Configure";
+ dontAddStaticConfigureFlags = true;
+
dontAddPrefix = !crossCompiling;
enableParallelBuilding = !crossCompiling;
@@ -168,14 +178,14 @@ let
priority = 6; # in `buildEnv' (including the one inside `perl.withPackages') the library files will have priority over files in `perl`
};
} // optionalAttrs (stdenv.buildPlatform != stdenv.hostPlatform) rec {
- crossVersion = "1.3.6";
+ crossVersion = "393821c7cf53774233aaf130ff2c8ccec701b0a9"; # Sep 22, 2021
perl-cross-src = fetchFromGitHub {
name = "perl-cross-${crossVersion}";
owner = "arsv";
repo = "perl-cross";
rev = crossVersion;
- sha256 = "0k5vyj40czbkfl7r3dcwxpc7dvdlp2xliaav358bviq3dq9vq9bb";
+ sha256 = "1fn35b1773aibi2z54m0mar7114737mvfyp81wkdwhakrmzr5nv1";
};
depsBuildBuild = [ buildPackages.stdenv.cc makeWrapper ];
@@ -212,7 +222,7 @@ in {
perldevel = common {
perl = pkgs.perldevel;
buildPerl = buildPackages.perldevel;
- version = "5.35.0";
- sha256 = "0217nbswhkjhw60kng2p64611xna7za681kk30fkriyicd3yph6n";
+ version = "5.35.4";
+ sha256 = "1ss2r0qq5li6d2qghfv1iah5nl6nraymd7b7ib1iy1395rwyhl4q";
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/php/7.4.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/php/7.4.nix
index 1e72f7b48b4..0c8edacbae5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/php/7.4.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/php/7.4.nix
@@ -2,8 +2,8 @@
let
base = callPackage ./generic.nix (_args // {
- version = "7.4.21";
- sha256 = "0al2697d5hwq0f39rgncl1pwfxzzpc0afmr0fjvw5qjpww163v1n";
+ version = "7.4.24";
+ sha256 = "0cigvwp469kmc27r28liq5dwdz5icp61vqqr3w24jhw6i2vk43pm";
});
in
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/php/8.0.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/php/8.0.nix
index 82d78d95314..0c8930c9c68 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/php/8.0.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/php/8.0.nix
@@ -2,8 +2,8 @@
let
base = callPackage ./generic.nix (_args // {
- version = "8.0.8";
- sha256 = "0vyi9hhy7yl4l589dniwb3gq29sp3giq7ni4nca3x54q3bbpgg8l";
+ version = "8.0.11";
+ sha256 = "0fj0yk0h0fvr9ckszp496wdyvf8kdfsvydw95qg0q0g4hm18gvbh";
});
in
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/pixie/dust.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/pixie/dust.nix
index bbe9ae3dcc4..9c39b5c5ce6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/pixie/dust.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/pixie/dust.nix
@@ -1,4 +1,6 @@
-{ lib, stdenv, pixie, fetchFromGitHub }:
+{ lib, stdenv, fetchFromGitHub
+, pixie, rlwrap
+}:
stdenv.mkDerivation rec {
pname = "dust";
@@ -16,8 +18,9 @@ stdenv.mkDerivation rec {
patches = [ ./make-paths-configurable.patch ];
configurePhase = ''
- pixiePath="${pixie}/bin/pixie-vm" \
+ pixiePath="${pixie}/bin/pixie" \
basePath="$out/share/dust" \
+ rlwrapPath="${rlwrap}/bin/rlwrap" \
substituteAll dust.in dust
chmod +x dust
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/pixie/make-paths-configurable.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/pixie/make-paths-configurable.patch
index 122ab6e2c07..e22e83d2ee7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/pixie/make-paths-configurable.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/pixie/make-paths-configurable.patch
@@ -73,11 +73,12 @@ new file mode 100755
index 0000000..44a7fbd
--- /dev/null
+++ b/dust.in
-@@ -0,0 +1,43 @@
+@@ -0,0 +1,40 @@
+#!/usr/bin/env bash
+
+base_path=@basePath@
+pixie_path=@pixiePath@
++rlwrap_cmd=@rlwrapPath@
+
+function set_load_path() {
+ load_path=""
@@ -98,11 +99,7 @@ index 0000000..44a7fbd
+
+case $1 in
+ ""|"repl")
-+ rlwrap_cmd=""
-+ if [ -n "`which rlwrap`" ]; then
-+ rlwrap_cmd="rlwrap -aignored -n"
-+ fi
-+ $rlwrap_cmd $pixie_path $load_path
++ $rlwrap_cmd -aignored -n $pixie_path $load_path
+ ;;
+ "run")
+ shift
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/cpython/2.7/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/cpython/2.7/default.nix
index 5ee88ebb881..fd7e684113e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/cpython/2.7/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/cpython/2.7/default.nix
@@ -26,10 +26,10 @@
, sourceVersion
, sha256
, passthruFun
-, static ? false
+, static ? stdenv.hostPlatform.isStatic
, stripBytecode ? reproducibleBuild
, rebuildBytecode ? true
-, reproducibleBuild ? true
+, reproducibleBuild ? false
, enableOptimizations ? false
, pythonAttr ? "python${sourceVersion.major}${sourceVersion.minor}"
}:
@@ -48,6 +48,8 @@ assert lib.assertMsg (reproducibleBuild -> stripBytecode)
assert lib.assertMsg (reproducibleBuild -> (!enableOptimizations))
"Deterministic builds are not achieved when optimizations are enabled.";
+assert lib.assertMsg (reproducibleBuild -> (!rebuildBytecode))
+ "Deterministic builds are not achieved when (default unoptimized) bytecode is created.";
with lib;
@@ -185,8 +187,9 @@ let
configureFlags = optionals enableOptimizations [
"--enable-optimizations"
- ] ++ [
+ ] ++ optionals (!static) [
"--enable-shared"
+ ] ++ [
"--with-threads"
"--enable-unicode=ucs${toString ucsEncoding}"
] ++ optionals (stdenv.hostPlatform.isCygwin || stdenv.hostPlatform.isAarch64) [
@@ -224,6 +227,7 @@ let
++ optional stdenv.hostPlatform.isLinux "ac_cv_func_lchmod=no"
++ optional static "LDFLAGS=-static";
+ strictDeps = true;
buildInputs =
optional (stdenv ? cc && stdenv.cc.libc != null) stdenv.cc.libc ++
[ bzip2 openssl zlib ]
@@ -296,8 +300,10 @@ in with passthru; stdenv.mkDerivation ({
# First we delete all old bytecode.
find $out -name "*.pyc" -delete
'' + optionalString rebuildBytecode ''
- # Then, we build for the two optimization levels.
- # We do not build unoptimized bytecode, because its not entirely deterministic yet.
+ # We build 3 levels of optimized bytecode. Note the default level, without optimizations,
+ # is not reproducible yet. https://bugs.python.org/issue29708
+ # Not creating bytecode will result in a large performance loss however, so we do build it.
+ find $out -name "*.py" | ${pythonForBuildInterpreter} -m compileall -q -f -x "lib2to3" -i -
find $out -name "*.py" | ${pythonForBuildInterpreter} -O -m compileall -q -f -x "lib2to3" -i -
find $out -name "*.py" | ${pythonForBuildInterpreter} -OO -m compileall -q -f -x "lib2to3" -i -
'' + optionalString stdenv.hostPlatform.isCygwin ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/cpython/3.9/darwin-tcl-tk.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/cpython/3.9/darwin-tcl-tk.patch
new file mode 100644
index 00000000000..a9b0c0677c5
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/cpython/3.9/darwin-tcl-tk.patch
@@ -0,0 +1,15 @@
+diff --git a/setup.py b/setup.py
+index 04eb6b2..2e1160d 100644
+--- a/setup.py
++++ b/setup.py
+@@ -1981,8 +1981,8 @@ class PyBuildExt(build_ext):
+ # Rather than complicate the code below, detecting and building
+ # AquaTk is a separate method. Only one Tkinter will be built on
+ # Darwin - either AquaTk, if it is found, or X11 based Tk.
+- if (MACOS and self.detect_tkinter_darwin()):
+- return True
++ # if (MACOS and self.detect_tkinter_darwin()):
++ # return True
+
+ # Assume we haven't found any of the libraries or include files
+ # The versions with dots are used on Unix, and the versions without
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/cpython/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/cpython/default.nix
index 5b5060b5884..7bb2bb28893 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/cpython/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/cpython/default.nix
@@ -35,7 +35,7 @@
, stripTests ? false
, stripTkinter ? false
, rebuildBytecode ? true
-, stripBytecode ? reproducibleBuild
+, stripBytecode ? true
, includeSiteCustomize ? true
, static ? stdenv.hostPlatform.isStatic
, enableOptimizations ? false
@@ -45,10 +45,8 @@
# enableLTO is a subset of the enableOptimizations flag that doesn't harm reproducibility.
# enabling LTO on 32bit arch causes downstream packages to fail when linking
# enabling LTO on *-darwin causes python3 to fail when linking.
-# enabling LTO with musl and dynamic linking fails with a linker error although it should
-# be possible as alpine is doing it: https://github.com/alpinelinux/aports/blob/a8ccb04668c7729e0f0db6c6ff5f25d7519e779b/main/python3/APKBUILD#L82
-, enableLTO ? stdenv.is64bit && stdenv.isLinux && !(stdenv.hostPlatform.isMusl && !stdenv.hostPlatform.isStatic)
-, reproducibleBuild ? true
+, enableLTO ? stdenv.is64bit && stdenv.isLinux
+, reproducibleBuild ? false
, pythonAttr ? "python${sourceVersion.major}${sourceVersion.minor}"
}:
@@ -75,6 +73,9 @@ assert lib.assertMsg (reproducibleBuild -> stripBytecode)
assert lib.assertMsg (reproducibleBuild -> (!enableOptimizations))
"Deterministic builds are not achieved when optimizations are enabled.";
+assert lib.assertMsg (reproducibleBuild -> (!rebuildBytecode))
+ "Deterministic builds are not achieved when (default unoptimized) bytecode is created.";
+
with lib;
let
@@ -100,6 +101,8 @@ let
version = with sourceVersion; "${major}.${minor}.${patch}${suffix}";
+ strictDeps = true;
+
nativeBuildInputs = optionals (!stdenv.isDarwin) [
autoreconfHook
] ++ optionals (!stdenv.isDarwin && passthru.pythonAtLeast "3.10") [
@@ -234,6 +237,9 @@ in with passthru; stdenv.mkDerivation {
else
./3.5/profile-task.patch
)
+ ] ++ optionals (pythonAtLeast "3.9" && stdenv.isDarwin) [
+ # Stop checking for TCL/TK in global macOS locations
+ ./3.9/darwin-tcl-tk.patch
] ++ optionals (isPy3k && hasDistutilsCxxPatch) [
# Fix for http://bugs.python.org/issue1222585
# Upstream distutils is calling C compiler to compile C++ code, which
@@ -283,10 +289,11 @@ in with passthru; stdenv.mkDerivation {
PYTHONHASHSEED=0;
configureFlags = [
- "--enable-shared"
"--without-ensurepip"
"--with-system-expat"
"--with-system-ffi"
+ ] ++ optionals (!static) [
+ "--enable-shared"
] ++ optionals enableOptimizations [
"--enable-optimizations"
] ++ optionals enableLTO [
@@ -334,6 +341,8 @@ in with passthru; stdenv.mkDerivation {
'' + optionalString stdenv.isDarwin ''
export NIX_CFLAGS_COMPILE="$NIX_CFLAGS_COMPILE -msse2"
export MACOSX_DEPLOYMENT_TARGET=10.6
+ # Override the auto-detection in setup.py, which assumes a universal build
+ export PYTHON_DECIMAL_WITH_MACHINE=${if stdenv.isAarch64 then "uint128" else "x64"}
'' + optionalString (isPy3k && pythonOlder "3.7") ''
# Determinism: The interpreter is patched to write null timestamps when compiling Python files
# so Python doesn't try to update the bytecode when seeing frozen timestamps in Nix's store.
@@ -405,6 +414,11 @@ in with passthru; stdenv.mkDerivation {
# This allows build Python to import host Python's sysconfigdata
mkdir -p "$out/${sitePackages}"
ln -s "$out/lib/${libPrefix}/"_sysconfigdata*.py "$out/${sitePackages}/"
+
+ # debug info can't be separated from a static library and would otherwise be
+ # left in place by a separateDebugInfo build. force its removal here to save
+ # space in output.
+ $STRIP -S $out/lib/${libPrefix}/config-*/libpython*.a || true
'' + optionalString stripConfig ''
rm -R $out/bin/python*-config $out/lib/python*/config-*
'' + optionalString stripIdlelib ''
@@ -424,13 +438,23 @@ in with passthru; stdenv.mkDerivation {
# First we delete all old bytecode.
find $out -type d -name __pycache__ -print0 | xargs -0 -I {} rm -rf "{}"
'' + optionalString rebuildBytecode ''
- # Then, we build for the two optimization levels.
- # We do not build unoptimized bytecode, because its not entirely deterministic yet.
# Python 3.7 implements PEP 552, introducing support for deterministic bytecode.
- # compileall uses this checked-hash method by default when `SOURCE_DATE_EPOCH` is set.
+ # compileall uses the therein introduced checked-hash method by default when
+ # `SOURCE_DATE_EPOCH` is set.
# We exclude lib2to3 because that's Python 2 code which fails
+ # We build 3 levels of optimized bytecode. Note the default level, without optimizations,
+ # is not reproducible yet. https://bugs.python.org/issue29708
+ # Not creating bytecode will result in a large performance loss however, so we do build it.
+ find $out -name "*.py" | ${pythonForBuildInterpreter} -m compileall -q -f -x "lib2to3" -i -
find $out -name "*.py" | ${pythonForBuildInterpreter} -O -m compileall -q -f -x "lib2to3" -i -
find $out -name "*.py" | ${pythonForBuildInterpreter} -OO -m compileall -q -f -x "lib2to3" -i -
+ '' + ''
+ # *strip* shebang from libpython gdb script - it should be dual-syntax and
+ # interpretable by whatever python the gdb in question is using, which may
+ # not even match the major version of this python. doing this after the
+ # bytecode compilations for the same reason - we don't want bytecode generated.
+ mkdir -p $out/share/gdb
+ sed '/^#!/d' Tools/gdb/libpython.py > $out/share/gdb/libpython.py
'';
preFixup = lib.optionalString (stdenv.hostPlatform != stdenv.buildPlatform) ''
@@ -456,6 +480,8 @@ in with passthru; stdenv.mkDerivation {
pythonForBuild buildPackages.bash
];
+ separateDebugInfo = true;
+
inherit passthru;
enableParallelBuilding = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/tests.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/tests.nix
index 872123338f8..764ab29e919 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/tests.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/tests.nix
@@ -94,12 +94,18 @@ let
# Integration tests involving the package set.
# All PyPy package builds are broken at the moment
- integrationTests = lib.optionalAttrs (python.pythonAtLeast "3.7" && (!python.isPyPy)) rec {
- # Before the addition of NIX_PYTHONPREFIX mypy was broken with typed packages
- nix-pythonprefix-mypy = callPackage ./tests/test_nix_pythonprefix {
- interpreter = python;
- };
- };
+ integrationTests = lib.optionalAttrs (!python.isPyPy) (
+ lib.optionalAttrs (python.isPy3k && !stdenv.isDarwin) { # darwin has no split-debug
+ cpython-gdb = callPackage ./tests/test_cpython_gdb {
+ interpreter = python;
+ };
+ } // lib.optionalAttrs (python.pythonAtLeast "3.7") rec {
+ # Before the addition of NIX_PYTHONPREFIX mypy was broken with typed packages
+ nix-pythonprefix-mypy = callPackage ./tests/test_nix_pythonprefix {
+ interpreter = python;
+ };
+ }
+ );
# Tests to ensure overriding works as expected.
overrideTests = let
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/tests/test_cpython_gdb/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/tests/test_cpython_gdb/default.nix
new file mode 100644
index 00000000000..0254d843a4c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/python/tests/test_cpython_gdb/default.nix
@@ -0,0 +1,22 @@
+{ interpreter, lib, gdb, writeText, runCommand }:
+
+let
+ crashme-py = writeText "crashme.py" ''
+ import ctypes
+
+ def sentinel_foo_bar():
+ ctypes.memset(0, 1, 1)
+
+ sentinel_foo_bar()
+ '';
+in runCommand "python-gdb" {} ''
+ # test that gdb is able to recover the python stack frame of this segfault
+ ${gdb}/bin/gdb -batch -ex 'set debug-file-directory ${interpreter.debug}/lib/debug' \
+ -ex 'source ${interpreter}/share/gdb/libpython.py' \
+ -ex r \
+ -ex py-bt \
+ --args ${interpreter}/bin/python ${crashme-py} | grep 'in sentinel_foo_bar' > /dev/null
+
+ # success.
+ touch $out
+''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/racket/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/racket/default.nix
index 5737241bb7e..c49c6b5b7c7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/racket/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/racket/default.nix
@@ -8,6 +8,7 @@
, libGL
, libGLU
, libjpeg
+, xorg
, ncurses
, libpng, libtool, mpfr, openssl, pango, poppler
, readline, sqlite
@@ -96,6 +97,9 @@ stdenv.mkDerivation rec {
enableParallelBuilding = false;
+ postFixup = lib.optionalString stdenv.isDarwin ''
+ wrapProgram $out/bin/drracket --prefix DYLD_LIBRARY_PATH : ${xorg.libX11}/lib
+ '';
meta = with lib; {
description = "A programmable programming language";
@@ -112,6 +116,5 @@ stdenv.mkDerivation rec {
license = with licenses; [ asl20 /* or */ mit ];
maintainers = with maintainers; [ kkallio henrytill vrthra ];
platforms = [ "x86_64-darwin" "x86_64-linux" "aarch64-linux" ];
- broken = stdenv.isDarwin; # No support yet for setting FFI lookup path
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/rakudo/zef.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/rakudo/zef.nix
index c5b622d2761..acc42c9d2d3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/rakudo/zef.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/rakudo/zef.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "zef";
- version = "0.11.10";
+ version = "0.11.11";
src = fetchFromGitHub {
owner = "ugexe";
repo = "zef";
rev = "v${version}";
- sha256 = "sha256-snnvREM2RLssmE55Ea0Imcw12pmyD6+/11ZXmmUY36U=";
+ sha256 = "sha256-IOConYPH+t84INXaHw8OVp0mLNucSDS2RT2AlZOUoEs=";
};
nativeBuildInputs = [ makeWrapper ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/ruby/rubygems/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/ruby/rubygems/default.nix
index 6f089e51221..4150f7683d5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/ruby/rubygems/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/ruby/rubygems/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
name = "rubygems";
- version = "3.2.24";
+ version = "3.2.26";
src = fetchurl {
url = "https://rubygems.org/rubygems/rubygems-${version}.tgz";
- sha256 = "09ff830a043y6s7390hsg3k55ffpifb1zsvs0dhz8z8pypwgiscl";
+ sha256 = "sha256-9wa6lOWnua8zBblQKRgjjiTVPYp2TW0n7XOvgW7u1e8=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/shen-sbcl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/shen-sbcl/default.nix
index f93e0ae5d95..194c67eba98 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/shen-sbcl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/shen-sbcl/default.nix
@@ -1,30 +1,39 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchurl
, shen-sources
, sbcl
}:
stdenv.mkDerivation rec {
- name = "shen-sbcl-${version}";
+ pname = "shen-sbcl";
version = "3.0.3";
+
src = fetchurl {
url = "https://github.com/Shen-Language/shen-cl/releases/download/v${version}/shen-cl-v${version}-sources.tar.gz";
sha256 = "0mc10jlrxqi337m6ngwbr547zi4qgk69g1flz5dsddjy5x41j0yz";
};
- buildInputs = [
- sbcl shen-sources
- ];
- buildPhase = ''
+
+ nativeBuildInputs = [ sbcl ];
+
+ preBuild = ''
ln -s ${shen-sources} kernel
- make sbcl
'';
+
+ buildFlags = [ "build-sbcl" ];
+
+ checkTarget = "test-sbcl";
+
+ doCheck = true;
+
installPhase = ''
- mkdir -p $out
install -m755 -D bin/sbcl/shen $out/bin/shen-sbcl
'';
+
meta = with lib; {
- homepage = https://shenlanguage.org;
+ homepage = "https://shenlanguage.org";
description = "Port of Shen running on Steel Bank Common Lisp";
+ changelog = "https://github.com/Shen-Language/shen-cl/raw/v${version}/CHANGELOG.md";
platforms = sbcl.meta.platforms;
maintainers = with maintainers; [ bsima ];
license = licenses.bsd3;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/shen-sources/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/shen-sources/default.nix
index 84839cf42de..99e72fbea11 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/shen-sources/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/shen-sources/default.nix
@@ -1,26 +1,28 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchurl
}:
stdenv.mkDerivation rec {
- name = "shen-sources-${version}";
- version = "22.3";
+ pname = "shen-sources";
+ version = "22.4";
src = fetchurl {
url = "https://github.com/Shen-Language/shen-sources/releases/download/shen-${version}/ShenOSKernel-${version}.tar.gz";
- sha256 = "16jaliga3bia0f8c8ja1y22wanbnbriv31qfqdc87a4p4dx9c77q";
+ sha256 = "1wlyh4rbzr615iykq1s779jvq28812rb4dascx1kzpakhw8z0260";
};
- buildInputs = [];
- buildPhase = "";
+ dontBuild = true;
+
installPhase = ''
mkdir -p $out
cp . $out -R
'';
meta = with lib; {
- homepage = https://shenlanguage.org;
+ homepage = "https://shenlanguage.org";
description = "Source code for the Shen Language";
+ changelog = "https://github.com/Shen-Language/shen-sources/raw/shen-${version}/CHANGELOG.md";
platforms = platforms.all;
maintainers = with maintainers; [ bsima ];
license = licenses.bsd3;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/supercollider/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/supercollider/default.nix
index 09e97a65e66..49cf6e095d1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/supercollider/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/supercollider/default.nix
@@ -9,11 +9,11 @@ let
in
mkDerivation rec {
pname = "supercollider";
- version = "3.12.0";
+ version = "3.12.1";
src = fetchurl {
url = "https://github.com/supercollider/supercollider/releases/download/Version-${version}/SuperCollider-${version}-Source.tar.bz2";
- sha256 = "sha256-RgCL50pyjNgy+H+Crvfgds86pmTao2FS+IF3gRHu5NM=";
+ sha256 = "sha256-neYId2hJRAMx4+ZFm+5TzYuUbMRfa9icyqm2UYac/Cs=";
};
hardeningDisable = [ "stackprotector" ];
@@ -35,6 +35,6 @@ mkDerivation rec {
homepage = "https://supercollider.github.io";
maintainers = with maintainers; [ mrmebelman ];
license = licenses.gpl3Plus;
- platforms = [ "x686-linux" "x86_64-linux" ];
+ platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/8.5.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/8.5.nix
index 193ff4f9376..9daf67fe2bd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/8.5.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/8.5.nix
@@ -4,6 +4,8 @@ callPackage ./generic.nix (args // rec {
release = "8.5";
version = "${release}.18";
+ # Note: when updating, the hash in pkgs/development/libraries/tk/8.5.nix must also be updated!
+
src = fetchurl {
url = "mirror://sourceforge/tcl/tcl${version}-src.tar.gz";
sha256 = "1jfkqp2fr0xh6xvaqx134hkfa5kh7agaqbxm6lhjbpvvc1xfaaq3";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/8.6.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/8.6.nix
index 37a7fee8a85..03fcb831779 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/8.6.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/8.6.nix
@@ -4,6 +4,8 @@ callPackage ./generic.nix (args // rec {
release = "8.6";
version = "${release}.11";
+ # Note: when updating, the hash in pkgs/development/libraries/tk/8.6.nix must also be updated!
+
src = fetchurl {
url = "mirror://sourceforge/tcl/tcl${version}-src.tar.gz";
sha256 = "0n4211j80mxr6ql0xx52rig8r885rcbminfpjdb2qrw6hmk8c14c";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/generic.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/generic.nix
index beb95d51d2c..6351a08c1c0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/generic.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/generic.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, callPackage, makeSetupHook, makeWrapper
+{ lib, stdenv, callPackage, makeSetupHook
# Version specific stuff
, release, version, src
@@ -51,9 +51,9 @@ let
inherit release version;
libPrefix = "tcl${release}";
libdir = "lib/${libPrefix}";
- tclPackageHook = callPackage ({}: makeSetupHook {
+ tclPackageHook = callPackage ({ buildPackages }: makeSetupHook {
name = "tcl-package-hook";
- deps = [ makeWrapper ];
+ deps = [ buildPackages.makeWrapper ];
} ./tcl-package-hook.sh) {};
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/mk-tcl-derivation.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/mk-tcl-derivation.nix
index 4f3ffe6f995..f3d34f13c68 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/mk-tcl-derivation.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/tcl/mk-tcl-derivation.nix
@@ -39,8 +39,8 @@ let
"addTclConfigureFlags" "checkPhase" "checkInputs" "doCheck"
]) // {
- buildInputs = buildInputs ++ [ makeWrapper tcl.tclPackageHook ];
- nativeBuildInputs = nativeBuildInputs ++ [ tcl ];
+ buildInputs = buildInputs ++ [ tcl.tclPackageHook ];
+ nativeBuildInputs = nativeBuildInputs ++ [ makeWrapper tcl ];
propagatedBuildInputs = propagatedBuildInputs ++ [ tcl ];
TCLSH = "${getBin tcl}/bin/tclsh";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/trealla/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/trealla/default.nix
index f72c8b69eb1..6c6268011a7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/trealla/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/interpreters/trealla/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "trealla";
- version = "1.9.37";
+ version = "1.12.0";
src = fetchFromGitHub {
owner = "infradig";
repo = "trealla";
rev = "v${version}";
- sha256 = "sha256-0cj4FGaYKIKCgWwRyLUw1DcvNnioQPOtqZhSvWSaojU=";
+ sha256 = "sha256-5gMf62WSGf46Bg8CaI9weSMRjrdGiuxtaDV9FrS2xO8=";
};
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/SDL2_mixer/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/SDL2_mixer/default.nix
index 7e1d097ba5c..2d1ef985381 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/SDL2_mixer/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/SDL2_mixer/default.nix
@@ -13,6 +13,7 @@
, mpg123
, opusfile
, smpeg2
+, timidity
}:
stdenv.mkDerivation rec {
@@ -42,8 +43,16 @@ stdenv.mkDerivation rec {
mpg123
opusfile
smpeg2
+ # MIDI patterns
+ timidity
];
+ # fix default path to timidity.cfg so MIDI files could be played
+ postPatch = ''
+ substituteInPlace timidity/options.h \
+ --replace "/usr/share/timidity" "${timidity}/share/timidity"
+ '';
+
configureFlags = [
"--disable-music-ogg-shared"
"--disable-music-flac-shared"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/abseil-cpp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/abseil-cpp/default.nix
index a1610ce8262..072af53757b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/abseil-cpp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/abseil-cpp/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "abseil-cpp";
- version = "20210324.1";
+ version = "20210324.2";
src = fetchFromGitHub {
owner = "abseil";
repo = "abseil-cpp";
rev = version;
- sha256 = "16w63brfwgiayiyhvawsnr14xyy5hpp68k8fj0z6yk0bjzw6jvjw";
+ sha256 = "0g9rbhk3mwjdfxk7cscd04vm8fphd5flz9yykpgvyy1nwa34zk3x";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/adns/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/adns/default.nix
index db5284e9127..5f29e041128 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/adns/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/adns/default.nix
@@ -42,6 +42,5 @@ stdenv.mkDerivation rec {
license = licenses.lgpl2;
platforms = platforms.unix;
- maintainers = [ maintainers.peti ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/agda/agda-prelude/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/agda/agda-prelude/default.nix
index c546badef2d..693bad67d08 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/agda/agda-prelude/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/agda/agda-prelude/default.nix
@@ -1,14 +1,14 @@
{ lib, mkDerivation, fetchFromGitHub }:
mkDerivation rec {
- version = "compat-2.6.1";
+ version = "compat-2.6.2";
pname = "agda-prelude";
src = fetchFromGitHub {
owner = "UlfNorell";
repo = "agda-prelude";
rev = version;
- sha256 = "128rbhd32qlq2nq3wgqni4ih58zzwvs9pkn9j8236ycxxp6x81sl";
+ sha256 = "0j2nip5fbn61fpkm3qz4dlazl4mzdv7qlgw9zm15bkcvaila0h14";
};
preConfigure = ''
@@ -19,8 +19,6 @@ mkDerivation rec {
'';
meta = with lib; {
- # Remove if a version compatible with agda 2.6.2 is made
- broken = true;
homepage = "https://github.com/UlfNorell/agda-prelude";
description = "Programming library for Agda";
license = lib.licenses.mit;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/agda/agdarsec/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/agda/agdarsec/default.nix
new file mode 100644
index 00000000000..ccdf65f9657
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/agda/agdarsec/default.nix
@@ -0,0 +1,28 @@
+{ lib, mkDerivation, fetchFromGitHub
+, standard-library }:
+
+mkDerivation rec {
+ pname = "agdarsec";
+ version = "0.4.1";
+
+ src = fetchFromGitHub {
+ owner = "gallais";
+ repo = "agdarsec";
+ rev = "v${version}";
+ sha256 = "02fqkycvicw6m2xsz8p01aq8n3gj2d2gyx8sgj15l46f8434fy0x";
+ };
+
+ everythingFile = "./index.agda";
+
+ includePaths = [ "src" "examples" ];
+
+ buildInputs = [ standard-library ];
+
+ meta = with lib; {
+ homepage = "https://gallais.github.io/agdarsec/";
+ description = "Total Parser Combinators in Agda";
+ license = licenses.gpl3;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ turion ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/alembic/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/alembic/default.nix
index 0ed9aa20470..667d334c902 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/alembic/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/alembic/default.nix
@@ -3,13 +3,13 @@
stdenv.mkDerivation rec
{
pname = "alembic";
- version = "1.8.2";
+ version = "1.8.3";
src = fetchFromGitHub {
owner = "alembic";
repo = "alembic";
rev = version;
- sha256 = "sha256-e87pnWIV8fbjEQa5iXRPXA9MkJN9NwY9PW/QI+cVSKo=";
+ sha256 = "sha256-QnqUD8KmMDmEZ1REoKN70SwVICOdyGPZsB/lU9nojj4=";
};
outputs = [ "bin" "dev" "out" "lib" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aml/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aml/default.nix
index 899dafde76f..ef3ba58e7e2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aml/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aml/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "aml";
- version = "0.2.0";
+ version = "0.2.1";
src = fetchFromGitHub {
owner = "any1";
repo = pname;
rev = "v${version}";
- sha256 = "0mxmzlhiv88hm4sf8kyawyrml8qy1xis019hdyb5skl9g95z9yyf";
+ sha256 = "1m911n3rd41ch4yk3k9k1lz29xp3h54k6jx122abq5kmngy9znqw";
};
nativeBuildInputs = [ meson pkg-config ninja ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/apr/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/apr/default.nix
index dd88cf7b1d4..19adfb08cfa 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/apr/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/apr/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchurl, autoreconfHook }:
+{ lib, stdenv, fetchurl, fetchpatch, autoreconfHook }:
stdenv.mkDerivation rec {
pname = "apr";
@@ -9,7 +9,15 @@ stdenv.mkDerivation rec {
sha256 = "1spp6r2a3xcl5yajm9safhzyilsdzgagc2dadif8x6z9nbq4iqg2";
};
- patches = lib.optionals stdenv.isDarwin [ ./is-this-a-compiler-bug.patch ];
+ patches = [
+ (fetchpatch {
+ name = "CVE-2021-35940.patch";
+ url = "https://dist.apache.org/repos/dist/release/apr/patches/apr-1.7.0-CVE-2021-35940.patch";
+ sha256 = "1qd511dyqa1b7bj89iihrlbaavbzl6yyblqginghmcnhw8adymbs";
+ # convince fetchpatch to restore missing `a/`, `b/` to paths
+ extraPrefix = "";
+ })
+ ] ++ lib.optionals stdenv.isDarwin [ ./is-this-a-compiler-bug.patch ];
# This test needs the net
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aqbanking/gwenhywfar.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aqbanking/gwenhywfar.nix
index 073ad3254a0..64b7aefe8b9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aqbanking/gwenhywfar.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aqbanking/gwenhywfar.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchurl, gnutls, openssl, libgcrypt, libgpgerror, pkg-config, gettext
+{ lib, stdenv, fetchurl, gnutls, openssl, libgcrypt, libgpg-error, pkg-config, gettext
, which
# GUI support
@@ -55,7 +55,7 @@ in stdenv.mkDerivation rec {
nativeBuildInputs = [ pkg-config gettext which ];
- buildInputs = [ gtk2 gtk3 qt5.qtbase gnutls openssl libgcrypt libgpgerror ];
+ buildInputs = [ gtk2 gtk3 qt5.qtbase gnutls openssl libgcrypt libgpg-error ];
dontWrapQtApps = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/argtable/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/argtable/default.nix
index a16885ab254..f22f81c8770 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/argtable/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/argtable/default.nix
@@ -1,22 +1,27 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchFromGitHub
, cmake
}:
stdenv.mkDerivation rec {
pname = "argtable";
- version = "3.1.5";
- srcVersion = "v${version}.1c1bb23";
+ version = "3.2.1";
+ srcVersion = "v${version}.52f24e5";
src = fetchFromGitHub {
owner = "argtable";
repo = "argtable3";
rev = srcVersion;
- sha256 = "sha256-sL6mnxsuL1K0DY26jLF/2Czo0RxHYJ3xU3VyavISiMM=";
+ hash = "sha256-HFsk91uJXQ0wpvAQxP4/yZwRQx9kLH7KgB3Y/+zcZC0=";
};
nativeBuildInputs = [ cmake ];
+ cmakeFlags = [
+ "-DBUILD_SHARED_LIBS=ON"
+ ];
+
postPatch = ''
patchShebangs tools/build
'';
@@ -37,4 +42,7 @@ stdenv.mkDerivation rec {
platforms = with platforms; all;
};
}
-# TODO [ AndersonTorres ]: a NixOS test suite
+# TODO: a NixOS test suite
+# TODO: multiple outputs
+# TODO: documentation
+# TODO: build both shared and static libs
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/arpa2common/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/arpa2common/default.nix
new file mode 100644
index 00000000000..7fcb2a945e8
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/arpa2common/default.nix
@@ -0,0 +1,58 @@
+{ lib
+, stdenv
+, fetchFromGitLab
+, cmake
+
+, arpa2cm
+, doxygen
+, e2fsprogs
+, lmdb
+, openssl
+, pkg-config
+, ragel
+}:
+
+stdenv.mkDerivation rec {
+ pname = "arpa2common";
+ version = "2.2.14";
+
+ src = fetchFromGitLab {
+ owner = "arpa2";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "sha256-LWsWoHRdLWRSF9JaEwrw+CXm5Azgh7zNeq0a8Z/hijQ=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ arpa2cm
+ doxygen
+ pkg-config
+ ];
+
+ propagatedBuildInputs = [
+ e2fsprogs
+ lmdb
+ openssl
+ ragel
+ ];
+
+ # the project uses single argument `printf` throughout the program
+ hardeningDisable = [ "format" ];
+
+ meta = {
+ description =
+ "ARPA2 ID and ACL libraries and other core data structures for ARPA2";
+ longDescription = ''
+ The ARPA2 Common Library package offers elementary services that can
+ benefit many software packages. They are designed to be easy to
+ include, with a minimum of dependencies. At the same time, they were
+ designed with the InternetWide Architecture in mind, thus helping to
+ liberate users.
+ '';
+ homepage = "https://gitlab.com/arpa2/arpa2common";
+ license = with lib.licenses; [ bsd2 cc-by-sa-40 cc0 isc ];
+ maintainers = with lib.maintainers; [ fufexan ];
+ platforms = lib.platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/at-spi2-core/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/at-spi2-core/default.nix
index 8413ae597f7..66b0c13646b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/at-spi2-core/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/at-spi2-core/default.nix
@@ -21,11 +21,11 @@
stdenv.mkDerivation rec {
pname = "at-spi2-core";
- version = "2.40.3";
+ version = "2.42.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "5Jg3wq0w1x4fKcqOCWilS5UDAnL3/0C4m0iWhlPzelw=";
+ sha256 = "11p3lvmbm0hfck3p5xwxxycln8x0cf7l68jjz6an2g7sjh7a2pab";
};
outputs = [ "out" "dev" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/atkmm/2.36.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/atkmm/2.36.nix
new file mode 100644
index 00000000000..6b22c34e0c2
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/atkmm/2.36.nix
@@ -0,0 +1,34 @@
+{ lib, stdenv, fetchurl, atk, glibmm_2_68, pkg-config, gnome, meson, ninja, python3 }:
+
+stdenv.mkDerivation rec {
+ pname = "atkmm";
+ version = "2.36.1";
+
+ src = fetchurl {
+ url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
+ sha256 = "sha256-4RMkv+0bbjMKAtslzswUXcoD+w3/R/BxDIXjF2h9pFg=";
+ };
+
+ outputs = [ "out" "dev" ];
+
+ propagatedBuildInputs = [ atk glibmm_2_68 ];
+
+ nativeBuildInputs = [ pkg-config meson ninja python3 ];
+
+ doCheck = true;
+
+ passthru = {
+ updateScript = gnome.updateScript {
+ attrPath = "atkmm_2_36";
+ packageName = pname;
+ versionPolicy = "odd-unstable";
+ };
+ };
+
+ meta = {
+ description = "C++ wrappers for ATK accessibility toolkit";
+ license = lib.licenses.lgpl21Plus;
+ homepage = "https://gtkmm.org";
+ platforms = lib.platforms.unix;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/atkmm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/atkmm/default.nix
index b357ed04725..7e3cecf12a9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/atkmm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/atkmm/default.nix
@@ -1,19 +1,19 @@
-{ lib, stdenv, fetchurl, atk, glibmm, pkg-config, gnome }:
+{ lib, stdenv, fetchurl, atk, glibmm, pkg-config, gnome, meson, ninja, python3 }:
stdenv.mkDerivation rec {
pname = "atkmm";
- version = "2.28.0";
+ version = "2.28.2";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "0fnxrspxkhhbrjphqrpvl3zjm66n50s4cywrrrwkhbflgy8zqk2c";
+ sha256 = "sha256-oLtJdlzszCk6ssZzW6EAQxgH04T/oUwuvTDgeZP9L6Q=";
};
outputs = [ "out" "dev" ];
propagatedBuildInputs = [ atk glibmm ];
- nativeBuildInputs = [ pkg-config ];
+ nativeBuildInputs = [ pkg-config meson python3 ninja ];
doCheck = true;
@@ -21,6 +21,7 @@ stdenv.mkDerivation rec {
updateScript = gnome.updateScript {
packageName = pname;
versionPolicy = "odd-unstable";
+ freeze = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/libbs2b/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/libbs2b/default.nix
index 11135b14fd4..720823852b0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/libbs2b/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/libbs2b/default.nix
@@ -12,6 +12,13 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [ pkg-config ];
buildInputs = [ libsndfile ];
+ configureFlags = [
+ # Required for cross-compilation.
+ # Prevents linking error with 'undefined reference to rpl_malloc'.
+ # I think it's safe to assume that most libcs will properly handle
+ # realloc(NULL, size) and treat it like malloc(size).
+ "ac_cv_func_malloc_0_nonnull=yes"
+ ];
hardeningDisable = [ "format" ];
meta = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libkeyfinder/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/libkeyfinder/default.nix
similarity index 95%
rename from infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libkeyfinder/default.nix
rename to infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/libkeyfinder/default.nix
index 04137eb02e7..8265cd0ba7c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libkeyfinder/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/libkeyfinder/default.nix
@@ -26,6 +26,6 @@ stdenv.mkDerivation rec {
description = "Musical key detection for digital audio (C++ library)";
homepage = "https://mixxxdj.github.io/libkeyfinder/";
license = licenses.gpl3Plus;
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/libsmf/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/libsmf/default.nix
index 0c173a6a99e..a98f3f55a48 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/libsmf/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/libsmf/default.nix
@@ -16,6 +16,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/stump/libsmf";
license = licenses.bsd2;
maintainers = [ maintainers.goibhniu ];
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/lilv/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/lilv/default.nix
index f074287ab16..a630d0420b4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/lilv/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/lilv/default.nix
@@ -20,6 +20,6 @@ stdenv.mkDerivation rec {
description = "A C library to make the use of LV2 plugins";
license = licenses.mit;
maintainers = [ maintainers.goibhniu ];
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/lvtk/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/lvtk/default.nix
index 0ded707b3a1..111a72972ed 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/lvtk/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/lvtk/default.nix
@@ -29,6 +29,6 @@ stdenv.mkDerivation rec {
homepage = "https://lvtk.org/";
license = licenses.gpl3;
maintainers = [ maintainers.goibhniu ];
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/qm-dsp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/qm-dsp/default.nix
index 3d8e15f97cd..a9163a25776 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/qm-dsp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/qm-dsp/default.nix
@@ -48,6 +48,6 @@ stdenv.mkDerivation rec {
homepage = "https://code.soundsoftware.ac.uk/projects/qm-dsp";
license = licenses.gpl2Plus;
maintainers = [ maintainers.goibhniu ];
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/raul/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/raul/default.nix
index b1a8288c5f0..c200d4ab79c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/raul/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/raul/default.nix
@@ -22,6 +22,6 @@ stdenv.mkDerivation rec {
homepage = "http://drobilla.net/software/raul";
license = licenses.gpl3;
maintainers = [ maintainers.goibhniu ];
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/sratom/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/sratom/default.nix
index bc8dc70f9b4..1a40d249fd5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/sratom/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/sratom/default.nix
@@ -17,6 +17,6 @@ stdenv.mkDerivation rec {
description = "A library for serialising LV2 atoms to/from RDF";
license = licenses.mit;
maintainers = [ maintainers.goibhniu ];
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/vamp-plugin-sdk/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/vamp-plugin-sdk/default.nix
index 4d033d99d29..01b3caa24ea 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/vamp-plugin-sdk/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/audio/vamp-plugin-sdk/default.nix
@@ -24,6 +24,6 @@ stdenv.mkDerivation rec {
homepage = "https://vamp-plugins.org/";
license = licenses.bsd3;
maintainers = [ maintainers.goibhniu maintainers.marcweber ];
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-auth/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-auth/default.nix
new file mode 100644
index 00000000000..5969de54682
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-auth/default.nix
@@ -0,0 +1,48 @@
+{ lib, stdenv
+, fetchFromGitHub
+, aws-c-cal
+, aws-c-common
+, aws-c-compression
+, aws-c-http
+, aws-c-io
+, cmake
+, s2n-tls
+}:
+
+stdenv.mkDerivation rec {
+ pname = "aws-c-auth";
+ version = "0.6.4";
+
+ src = fetchFromGitHub {
+ owner = "awslabs";
+ repo = "aws-c-auth";
+ rev = "v${version}";
+ sha256 = "120p69lj279yq3d2b81f45kgfrvf32j6m7s03m8hh27w8yd4vbfp";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ ];
+
+ buildInputs = [
+ aws-c-cal
+ aws-c-common
+ aws-c-compression
+ aws-c-http
+ aws-c-io
+ s2n-tls
+ ];
+
+ cmakeFlags = [
+ "-DCMAKE_SKIP_BUILD_RPATH=OFF"
+ "-DBUILD_SHARED_LIBS=ON"
+ ];
+
+ meta = with lib; {
+ description = "C99 library implementation of AWS client-side authentication";
+ homepage = "https://github.com/awslabs/aws-c-auth";
+ license = licenses.asl20;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ r-burns ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-cal/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-cal/default.nix
index 6f3df977efa..d0bcfc90108 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-cal/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-cal/default.nix
@@ -19,7 +19,6 @@ stdenv.mkDerivation rec {
cmakeFlags = [
"-DBUILD_SHARED_LIBS=ON"
- "-DCMAKE_MODULE_PATH=${aws-c-common}/lib/cmake"
];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-common/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-common/default.nix
index ae47959dd3a..c927ca53336 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-common/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-common/default.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation rec {
pname = "aws-c-common";
- version = "0.6.9";
+ version = "0.6.14";
src = fetchFromGitHub {
owner = "awslabs";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-bnKIL51AW+0T87BxEazXDZElYqiwOUHQVEDKOCUzsbM=";
+ sha256 = "sha256-JEaRB0k6zyk5UKuB2hEZUAsnp2SuI9mrok/EvwclUJk=";
};
nativeBuildInputs = [ cmake ];
@@ -23,10 +23,20 @@ stdenv.mkDerivation rec {
"-DCMAKE_SKIP_BUILD_RPATH=OFF" # for tests
];
+ # aws-c-common misuses cmake modules, so we need
+ # to manually add a MODULE_PATH to its consumers
+ setupHook = ./setup-hook.sh;
+
# Prevent the execution of tests known to be flaky.
- preCheck = ''
+ preCheck = let
+ ignoreTests = [
+ "promise_test_multiple_waiters"
+ ] ++ lib.optionals stdenv.hostPlatform.isMusl [
+ "sba_metrics" # https://github.com/awslabs/aws-c-common/issues/839
+ ];
+ in ''
cat <CTestCustom.cmake
- SET(CTEST_CUSTOM_TESTS_IGNORE promise_test_multiple_waiters)
+ SET(CTEST_CUSTOM_TESTS_IGNORE ${toString ignoreTests})
EOW
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-common/setup-hook.sh b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-common/setup-hook.sh
new file mode 100644
index 00000000000..e670f7cf852
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-common/setup-hook.sh
@@ -0,0 +1,5 @@
+addAwsCCommonModuleDir() {
+ cmakeFlags="-DCMAKE_MODULE_PATH=@out@/lib/cmake ${cmakeFlags:-}"
+}
+
+postHooks+=(addAwsCCommonModuleDir)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-compression/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-compression/default.nix
new file mode 100644
index 00000000000..9d885278ff0
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-compression/default.nix
@@ -0,0 +1,38 @@
+{ lib, stdenv
+, fetchFromGitHub
+, aws-c-common
+, cmake
+}:
+
+stdenv.mkDerivation rec {
+ pname = "aws-c-compression";
+ version = "0.2.14";
+
+ src = fetchFromGitHub {
+ owner = "awslabs";
+ repo = "aws-c-compression";
+ rev = "v${version}";
+ sha256 = "0fs3zhhzxsb9nfcjpvfbcq79hal7si2ia1c09scab9a8m264f4vd";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ ];
+
+ buildInputs = [
+ aws-c-common
+ ];
+
+ cmakeFlags = [
+ "-DCMAKE_SKIP_BUILD_RPATH=OFF"
+ "-DBUILD_SHARED_LIBS=ON"
+ ];
+
+ meta = with lib; {
+ description = "C99 implementation of huffman encoding/decoding";
+ homepage = "https://github.com/awslabs/aws-c-compression";
+ license = licenses.asl20;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ r-burns ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-event-stream/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-event-stream/default.nix
index b6468a8dae0..24cb52d61a6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-event-stream/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-event-stream/default.nix
@@ -18,7 +18,6 @@ stdenv.mkDerivation rec {
cmakeFlags = [
"-DBUILD_SHARED_LIBS:BOOL=ON"
- "-DCMAKE_MODULE_PATH=${aws-c-common}/lib/cmake"
];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-http/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-http/default.nix
new file mode 100644
index 00000000000..0bde86d674b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-http/default.nix
@@ -0,0 +1,46 @@
+{ lib, stdenv
+, fetchFromGitHub
+, aws-c-cal
+, aws-c-common
+, aws-c-compression
+, aws-c-io
+, cmake
+, s2n-tls
+}:
+
+stdenv.mkDerivation rec {
+ pname = "aws-c-http";
+ version = "0.6.7";
+
+ src = fetchFromGitHub {
+ owner = "awslabs";
+ repo = "aws-c-http";
+ rev = "v${version}";
+ sha256 = "1s06bz6w7355ldyhwjidcpbff7591ch4lwwjcj47a6k2kczdmiz4";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ ];
+
+ buildInputs = [
+ aws-c-cal
+ aws-c-common
+ aws-c-compression
+ aws-c-io
+ s2n-tls
+ ];
+
+ cmakeFlags = [
+ "-DCMAKE_SKIP_BUILD_RPATH=OFF"
+ "-DBUILD_SHARED_LIBS=ON"
+ ];
+
+ meta = with lib; {
+ description = "C99 implementation of the HTTP/1.1 and HTTP/2 specifications";
+ homepage = "https://github.com/awslabs/aws-c-http";
+ license = licenses.asl20;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ r-burns ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-io/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-io/default.nix
index 012d96fca4d..1ff28dccc9e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-io/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-io/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "aws-c-io";
- version = "0.10.5";
+ version = "0.10.12";
src = fetchFromGitHub {
owner = "awslabs";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-+H6dUKgpgXG1fh8r6k7TpVFMBso4G762zRfLAZD+Nss=";
+ sha256 = "sha256-8v38NN9qrgdrshMx3l2wLrl7l77HjsW2GPu8IwkclJQ=";
};
nativeBuildInputs = [ cmake ];
@@ -18,7 +18,6 @@ stdenv.mkDerivation rec {
cmakeFlags = [
"-DBUILD_SHARED_LIBS=ON"
- "-DCMAKE_MODULE_PATH=${aws-c-common}/lib/cmake"
];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-mqtt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-mqtt/default.nix
new file mode 100644
index 00000000000..92e5ad44b87
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-mqtt/default.nix
@@ -0,0 +1,50 @@
+{ lib, stdenv
+, fetchFromGitHub
+, aws-c-cal
+, aws-c-common
+, aws-c-compression
+, aws-c-http
+, aws-c-io
+, cmake
+, ninja
+, s2n-tls
+}:
+
+stdenv.mkDerivation rec {
+ pname = "aws-c-mqtt";
+ version = "0.7.8";
+
+ src = fetchFromGitHub {
+ owner = "awslabs";
+ repo = "aws-c-mqtt";
+ rev = "v${version}";
+ sha256 = "19j6nw2v36c4yff4p0fbf0748s06fd5r9cp2yakry9ybn1ada99c";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ ninja
+ ];
+
+ buildInputs = [
+ aws-c-cal
+ aws-c-common
+ aws-c-compression
+ aws-c-http
+ aws-c-io
+ s2n-tls
+ ];
+
+ cmakeFlags = [
+ "-DCMAKE_SKIP_BUILD_RPATH=OFF"
+ "-DBUILD_SHARED_LIBS=ON"
+ ];
+
+ meta = with lib; {
+ description = "C99 implementation of the MQTT 3.1.1 specification";
+ homepage = "https://github.com/awslabs/aws-c-mqtt";
+ license = licenses.asl20;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ r-burns ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-s3/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-s3/default.nix
new file mode 100644
index 00000000000..514fdee1d4e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-c-s3/default.nix
@@ -0,0 +1,50 @@
+{ lib, stdenv
+, fetchFromGitHub
+, aws-c-auth
+, aws-c-cal
+, aws-c-common
+, aws-c-compression
+, aws-c-http
+, aws-c-io
+, cmake
+, s2n-tls
+}:
+
+stdenv.mkDerivation rec {
+ pname = "aws-c-s3";
+ version = "0.1.27";
+
+ src = fetchFromGitHub {
+ owner = "awslabs";
+ repo = "aws-c-s3";
+ rev = "v${version}";
+ sha256 = "sha256-GtBUC5cKMN9rd5GQbYoipVvxrUCCNKbb5vhHUGQpeH8=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ ];
+
+ buildInputs = [
+ aws-c-auth
+ aws-c-cal
+ aws-c-common
+ aws-c-compression
+ aws-c-http
+ aws-c-io
+ s2n-tls
+ ];
+
+ cmakeFlags = [
+ "-DCMAKE_SKIP_BUILD_RPATH=OFF"
+ "-DBUILD_SHARED_LIBS=ON"
+ ];
+
+ meta = with lib; {
+ description = "C99 library implementation for communicating with the S3 service";
+ homepage = "https://github.com/awslabs/aws-c-s3";
+ license = licenses.asl20;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ r-burns ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-checksums/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-checksums/default.nix
index 75b26695e2f..9042dc56154 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-checksums/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-checksums/default.nix
@@ -17,7 +17,6 @@ stdenv.mkDerivation rec {
cmakeFlags = [
"-DBUILD_SHARED_LIBS=ON"
- "-DCMAKE_MODULE_PATH=${aws-c-common}/lib/cmake"
];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-crt-cpp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-crt-cpp/default.nix
new file mode 100644
index 00000000000..68414931e41
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-crt-cpp/default.nix
@@ -0,0 +1,63 @@
+{ lib, stdenv
+, fetchFromGitHub
+, aws-c-auth
+, aws-c-cal
+, aws-c-common
+, aws-c-compression
+, aws-c-event-stream
+, aws-c-http
+, aws-c-io
+, aws-c-mqtt
+, aws-c-s3
+, aws-checksums
+, cmake
+, s2n-tls
+}:
+
+stdenv.mkDerivation rec {
+ pname = "aws-crt-cpp";
+ version = "0.17.0";
+
+ src = fetchFromGitHub {
+ owner = "awslabs";
+ repo = "aws-crt-cpp";
+ rev = "v${version}";
+ sha256 = "0ijvyg3hrh1d0npca62syz8qy6nkqh90fq54cqyln0p333z16q52";
+ };
+
+ postPatch = ''
+ substituteInPlace CMakeLists.txt --replace '-Werror' ""
+ '';
+
+ nativeBuildInputs = [
+ cmake
+ ];
+
+ propagatedBuildInputs = [
+ aws-c-auth
+ aws-c-cal
+ aws-c-common
+ aws-c-compression
+ aws-c-event-stream
+ aws-c-http
+ aws-c-io
+ aws-c-mqtt
+ aws-c-s3
+ aws-checksums
+ s2n-tls
+ ];
+
+ cmakeFlags = [
+ "-DBUILD_DEPS=OFF"
+ "-DCMAKE_SKIP_BUILD_RPATH=OFF"
+ "-DBUILD_SHARED_LIBS=ON"
+ ];
+
+ meta = with lib; {
+ description = "C++ wrapper around the aws-c-* libraries";
+ homepage = "https://github.com/awslabs/aws-crt-cpp";
+ license = licenses.asl20;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ r-burns ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-sdk-cpp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-sdk-cpp/default.nix
index fa081ab3f24..41603993c75 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-sdk-cpp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/aws-sdk-cpp/default.nix
@@ -1,4 +1,5 @@
{ lib, stdenv, fetchFromGitHub, cmake, curl, openssl, s2n-tls, zlib
+, aws-crt-cpp
, aws-c-cal, aws-c-common, aws-c-event-stream, aws-c-io, aws-checksums
, CoreAudio, AudioToolbox
, # Allow building a limited set of APIs, e.g. ["s3" "ec2"].
@@ -17,15 +18,23 @@ in
stdenv.mkDerivation rec {
pname = "aws-sdk-cpp";
- version = "1.8.130";
+ version = "1.9.121";
src = fetchFromGitHub {
owner = "awslabs";
repo = "aws-sdk-cpp";
rev = version;
- sha256 = "sha256-5T4l0KYB0utFTdEOtYT9trQ/JehQbXxk/IhI6YavErs=";
+ sha256 = "sha256-VQpWauk0tdJ1QU0HmtdTwQdKbiAuTTXXsUo2cqpqmdU=";
};
+ postPatch = ''
+ # Includes aws-c-auth private headers, so only works with submodule build
+ rm aws-cpp-sdk-core-tests/aws/auth/AWSAuthSignerTest.cpp
+ '' + lib.optionalString stdenv.hostPlatform.isMusl ''
+ # TestRandomURLMultiThreaded fails
+ rm aws-cpp-sdk-core-tests/http/HttpClientTest.cpp
+ '';
+
# FIXME: might be nice to put different APIs in different outputs
# (e.g. libaws-cpp-sdk-s3.so in output "s3").
outputs = [ "out" "dev" ];
@@ -40,14 +49,7 @@ stdenv.mkDerivation rec {
[ CoreAudio AudioToolbox ];
# propagation is needed for Security.framework to be available when linking
- propagatedBuildInputs = [
- aws-c-cal
- aws-c-event-stream
- aws-c-io
- aws-c-common
- aws-checksums
- s2n-tls
- ];
+ propagatedBuildInputs = [ aws-crt-cpp ];
cmakeFlags = [
"-DBUILD_DEPS=OFF"
@@ -64,10 +66,10 @@ stdenv.mkDerivation rec {
# fix build with gcc9, can be removed after bumping to current version
NIX_CFLAGS_COMPILE = [ "-Wno-error" ];
- preConfigure =
- ''
- rm aws-cpp-sdk-core-tests/aws/auth/AWSCredentialsProviderTest.cpp
- '';
+ # aws-cpp-sdk-core-tests/aws/auth/AWSCredentialsProviderTest.cpp
+ # aws-cpp-sdk-core-tests/aws/client/AWSClientTest.cpp
+ # seem to have a datarace
+ enableParallelChecking = false;
postFixupHooks = [
# This bodge is necessary so that the file that the generated -config.cmake file
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bamf/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bamf/default.nix
index f1a7420fbce..406ff81fe8e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bamf/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bamf/default.nix
@@ -23,14 +23,14 @@
stdenv.mkDerivation rec {
pname = "bamf";
- version = "0.5.4";
+ version = "0.5.5";
outputs = [ "out" "dev" "devdoc" ];
src = fetchgit {
url = "https://git.launchpad.net/~unity-team/bamf";
- rev = version;
- sha256 = "1klvij1wyhdj5d8sr3b16pfixc1yk8ihglpjykg7zrr1f50jfgsz";
+ rev = "${version}+21.10.20210710-0ubuntu1";
+ sha256 = "0iwz5z5cz9r56pmfjvjd2kcjlk416dw6g38svs33ynssjgsqbdm0";
};
nativeBuildInputs = [
@@ -57,11 +57,6 @@ stdenv.mkDerivation rec {
libwnck
];
- patches = [
- # Port tests and checks to python3 lxml.
- ./gtester2xunit-python3.patch
- ];
-
# Fix hard-coded path
# https://bugs.launchpad.net/bamf/+bug/1780557
postPatch = ''
@@ -99,6 +94,6 @@ stdenv.mkDerivation rec {
homepage = "https://launchpad.net/bamf";
license = licenses.lgpl3;
platforms = platforms.linux;
- maintainers = with maintainers; [ davidak ] ++ pantheon.maintainers;
+ maintainers = with maintainers; [ davidak ] ++ teams.pantheon.members;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bamf/gtester2xunit-python3.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bamf/gtester2xunit-python3.patch
deleted file mode 100644
index 8dc47854194..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bamf/gtester2xunit-python3.patch
+++ /dev/null
@@ -1,53 +0,0 @@
-diff --git a/configure.ac b/configure.ac
-index 41cb7db..93ef0ec 100644
---- a/configure.ac
-+++ b/configure.ac
-@@ -115,9 +115,9 @@ GTK_DOC_CHECK(1.0)
-
- AC_PATH_PROG([PYTHON],[python])
- AC_MSG_CHECKING(for gtester2xunit dependencies)
--if !($PYTHON -c "import libxslt, libxml2" 2> /dev/null); then
-+if !($PYTHON -c "import lxml" 2> /dev/null); then
- AC_MSG_RESULT([no])
-- AC_MSG_ERROR([You need to install python-libxslt1 and python-libxml2]);
-+ AC_MSG_ERROR([You need to install python-lxml]);
- fi
- AC_MSG_RESULT([yes])
-
-@@ -189,6 +189,6 @@ ${PACKAGE}-${VERSION}
- Introspection: ${enable_introspection}
- Headless tests: ${enable_headless_tests}
- Coverage Reporting: ${use_gcov}
-- Export actions menus: ${enable_export_actions_menu}
-+ Export actions menus: ${enable_export_actions_menu}
-
- EOF
-diff --git a/tests/gtester2xunit.py b/tests/gtester2xunit.py
-index fbe3c66..861d541 100755
---- a/tests/gtester2xunit.py
-+++ b/tests/gtester2xunit.py
-@@ -1,18 +1,17 @@
- #! /usr/bin/python
- from argparse import ArgumentParser
--import libxslt
--import libxml2
- import sys
- import os
-+from lxml import etree
-
- XSL_TRANSFORM='/usr/share/gtester2xunit/gtester.xsl'
-
- def transform_file(input_filename, output_filename, xsl_file):
-- gtester = libxml2.parseFile(xsl_file)
-- style = libxslt.parseStylesheetDoc(gtester)
-- doc = libxml2.parseFile(input_filename)
-- result = style.applyStylesheet(doc, None)
-- result.saveFormatFile(filename=output_filename, format=True)
-+ gtester = etree.parse(xsl_file)
-+ style = etree.XSLT(gtester)
-+ doc = etree.parse(input_filename)
-+ result = style(doc)
-+ result.write(filename=output_filename, format=True)
-
-
- def get_output_filename(input_filename):
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bash/bash-preexec/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bash/bash-preexec/default.nix
new file mode 100644
index 00000000000..db928729bd9
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bash/bash-preexec/default.nix
@@ -0,0 +1,41 @@
+{ stdenvNoCC, lib, fetchFromGitHub, bats }:
+
+let version = "0.4.1";
+in stdenvNoCC.mkDerivation {
+ pname = "bash-preexec";
+ inherit version;
+
+ src = fetchFromGitHub {
+ owner = "rcaloras";
+ repo = "bash-preexec";
+ rev = version;
+ sha256 = "062iigps285628p710i7vh7kmgra5gahq9qiwj7rxir167lg0ggw";
+ };
+
+ checkInputs = [ bats ];
+
+ dontConfigure = true;
+ doCheck = true;
+ dontBuild = true;
+
+ patchPhase = ''
+ # Needed since the tests expect that HISTCONTROL is set.
+ sed -i '/setup()/a HISTCONTROL=""' test/bash-preexec.bats
+ '';
+
+ checkPhase = ''
+ bats test
+ '';
+
+ installPhase = ''
+ install -Dm755 $src/bash-preexec.sh $out/share/bash/bash-preexec.sh
+ '';
+
+ meta = with lib; {
+ description = "preexec and precmd functions for Bash just like Zsh";
+ license = licenses.mit;
+ homepage = "https://github.com/rcaloras/bash-preexec";
+ maintainers = [ maintainers.hawkw maintainers.rycee ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bearssl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bearssl/default.nix
index 36873f03f7e..ba55c6d8932 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bearssl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/bearssl/default.nix
@@ -13,12 +13,18 @@ stdenv.mkDerivation rec {
enableParallelBuilding = true;
+ makeFlags = [
+ "AR=${stdenv.cc.targetPrefix}ar"
+ "CC=${stdenv.cc.targetPrefix}cc"
+ "LD=${stdenv.cc.targetPrefix}cc"
+ "LDDLL=${stdenv.cc.targetPrefix}cc"
+ ] ++ lib.optional stdenv.hostPlatform.isStatic "DLL=no";
+
installPhase = ''
runHook preInstall
install -D build/brssl $bin/brssl
install -D build/testcrypto $bin/testcrypto
- install -Dm644 build/libbearssl.so $lib/lib/libbearssl.so
- install -Dm644 build/libbearssl.a $lib/lib/libbearssl.a
+ install -Dm644 -t $lib/lib build/libbearssl.*
install -Dm644 -t $dev/include inc/*.h
touch $out
runHook postInstall
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/blitz/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/blitz/default.nix
new file mode 100644
index 00000000000..f47b051caad
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/blitz/default.nix
@@ -0,0 +1,67 @@
+{ stdenv, lib, fetchFromGitHub, pkg-config, gfortran, texinfo, python, boost
+# Select SIMD alignment width (in bytes) for vectorization.
+, simdWidth ? 1
+# Pad arrays to simdWidth by default?
+# Note: Only useful if simdWidth > 1
+, enablePadding ? false
+# Activate serialization through Boost.Serialize?
+, enableSerialization ? true
+# Activate test-suite?
+# WARNING: Some of the tests require up to 1700MB of memory to compile.
+, doCheck ? true
+}:
+
+let
+ inherit (lib) optional optionals;
+in
+stdenv.mkDerivation rec {
+ pname = "blitz++";
+ version = "1.0.1";
+
+ src = fetchFromGitHub {
+ owner = "blitzpp";
+ repo = "blitz";
+ rev = "1.0.1";
+ sha256 = "0nq84vwvvbq7m0my6h835ijfw53bxdp42qjc6kjhk436888qy9rh";
+ };
+
+ nativeBuildInputs = [ pkg-config python texinfo ];
+ buildInputs = [ gfortran texinfo boost ];
+
+ configureFlags =
+ [ "--enable-shared"
+ "--disable-static"
+ "--enable-fortran"
+ "--enable-optimize"
+ "--with-pic=yes"
+ "--enable-html-docs"
+ "--disable-doxygen"
+ "--disable-dot"
+ "--disable-latex-docs"
+ "--enable-simd-width=${toString simdWidth}"
+ "--with-boost=${boost.dev}"
+ "--with-boost-libdir=${boost.out}/lib"
+ ] ++ optional enablePadding "--enable-array-length-padding"
+ ++ optional enableSerialization "--enable-serialization"
+ ++ optional stdenv.is64bit "--enable-64bit";
+
+ enableParallelBuilding = true;
+
+ inherit doCheck;
+ checkTarget = "check-testsuite check-examples";
+
+ meta = with lib; {
+ description = "Fast multi-dimensional array library for C++";
+ homepage = https://sourceforge.net/projects/blitz/;
+ license = licenses.lgpl3;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ ToxicFrog ];
+ longDescription = ''
+ Blitz++ is a C++ class library for scientific computing which provides
+ performance on par with Fortran 77/90. It uses template techniques to
+ achieve high performance. Blitz++ provides dense arrays and vectors,
+ random number generators, and small vectors (useful for representing
+ multicomponent or vector fields).
+ '';
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/boost/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/boost/default.nix
new file mode 100644
index 00000000000..3b79378605f
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/boost/default.nix
@@ -0,0 +1,47 @@
+{ lib
+, callPackage
+, boost-build
+, fetchurl
+}:
+
+let
+ # for boost 1.55 we need to use 1.56's b2
+ # since 1.55's build system is not working
+ # with our derivation
+ useBoost156 = rec {
+ version = "1.56.0";
+ src = fetchurl {
+ url = "mirror://sourceforge/boost/boost_${lib.replaceStrings ["."] ["_"] version}.tar.bz2";
+ sha256 = "07gz62nj767qzwqm3xjh11znpyph8gcii0cqhnx7wvismyn34iqk";
+ };
+ };
+
+ makeBoost = file:
+ lib.fix (self:
+ callPackage file {
+ boost-build = boost-build.override {
+ # useBoost allows us passing in src and version from
+ # the derivation we are building to get a matching b2 version.
+ useBoost =
+ if lib.versionAtLeast self.version "1.56"
+ then self
+ else useBoost156; # see above
+ };
+ }
+ );
+in {
+ boost155 = makeBoost ./1.55.nix;
+ boost159 = makeBoost ./1.59.nix;
+ boost160 = makeBoost ./1.60.nix;
+ boost165 = makeBoost ./1.65.nix;
+ boost166 = makeBoost ./1.66.nix;
+ boost167 = makeBoost ./1.67.nix;
+ boost168 = makeBoost ./1.68.nix;
+ boost169 = makeBoost ./1.69.nix;
+ boost170 = makeBoost ./1.70.nix;
+ boost171 = makeBoost ./1.71.nix;
+ boost172 = makeBoost ./1.72.nix;
+ boost173 = makeBoost ./1.73.nix;
+ boost174 = makeBoost ./1.74.nix;
+ boost175 = makeBoost ./1.75.nix;
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/boost/generic.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/boost/generic.nix
index 1f9bbe5ffca..fdc8f7c21f1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/boost/generic.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/boost/generic.nix
@@ -1,8 +1,9 @@
{ lib, stdenv, icu, expat, zlib, bzip2, python ? null, fixDarwinDylibNames, libiconv
+, boost-build
, fetchpatch
, which
-, buildPackages
, toolset ? /**/ if stdenv.cc.isClang then "clang"
+ else if stdenv.cc.isGNU then "gcc"
else null
, enableRelease ? true
, enableDebug ? false
@@ -67,6 +68,8 @@ let
else
"$NIX_BUILD_CORES";
+ needUserConfig = stdenv.hostPlatform != stdenv.buildPlatform || useMpi || stdenv.isDarwin;
+
b2Args = concatStringsSep " " ([
"--includedir=$dev/include"
"--libdir=$out/lib"
@@ -95,7 +98,7 @@ let
++ optional (variant == "release") "debug-symbols=off"
++ optional (toolset != null) "toolset=${toolset}"
++ optional (!enablePython) "--without-python"
- ++ optional (useMpi || stdenv.hostPlatform != stdenv.buildPlatform) "--user-config=user-config.jam"
+ ++ optional needUserConfig "--user-config=user-config.jam"
++ optionals (stdenv.hostPlatform.libc == "msvcrt") [
"threadapi=win32"
] ++ extraB2Args
@@ -134,25 +137,41 @@ stdenv.mkDerivation {
badPlatforms = optional (versionOlder version "1.59") "aarch64-linux"
++ optional ((versionOlder version "1.57") || version == "1.58") "x86_64-darwin"
++ optionals (versionOlder version "1.73") lib.platforms.riscv;
- maintainers = with maintainers; [ peti ];
};
- preConfigure = ''
- if test -f tools/build/src/tools/clang-darwin.jam ; then
- substituteInPlace tools/build/src/tools/clang-darwin.jam \
- --replace '@rpath/$(<[1]:D=)' "$out/lib/\$(<[1]:D=)";
- fi;
- '' + optionalString useMpi ''
+ preConfigure = optionalString useMpi ''
cat << EOF >> user-config.jam
using mpi : ${mpi}/bin/mpiCC ;
EOF
- '' + optionalString (stdenv.hostPlatform != stdenv.buildPlatform) ''
+ ''
+ # On darwin we need to add the `$out/lib` to the libraries' rpath explicitly,
+ # otherwise the dynamic linker is unable to resolve the reference to @rpath
+ # when the boost libraries want to load each other at runtime.
+ + optionalString (stdenv.isDarwin && enableShared) ''
+ cat << EOF >> user-config.jam
+ using clang-darwin : : ${stdenv.cc.targetPrefix}c++
+ : "-rpath $out/lib/"
+ ;
+ EOF
+ ''
+ # b2 has trouble finding the correct compiler and tools for cross compilation
+ # since it apparently ignores $CC, $AR etc. Thus we need to set everything
+ # in user-config.jam. To keep things simple we just set everything in an
+ # uniform way for clang and gcc (which works thanks to our cc-wrapper).
+ # We pass toolset later which will make b2 invoke everything in the right
+ # way -- the other toolset in user-config.jam will be ignored.
+ + optionalString (stdenv.hostPlatform != stdenv.buildPlatform) ''
cat << EOF >> user-config.jam
- using gcc : cross : ${stdenv.cc.targetPrefix}c++ ;
+ using gcc : cross : ${stdenv.cc.targetPrefix}c++
+ : $AR
+ $RANLIB
+ ;
+
+ using clang : cross : ${stdenv.cc.targetPrefix}c++
+ : $AR
+ $RANLIB
+ ;
EOF
- # Build b2 with buildPlatform CC/CXX.
- sed '2i export CC=$CC_FOR_BUILD; export CXX=$CXX_FOR_BUILD' \
- -i ./tools/build/src/engine/build.sh
'';
NIX_CFLAGS_LINK = lib.optionalString stdenv.isDarwin
@@ -160,9 +179,8 @@ stdenv.mkDerivation {
enableParallelBuilding = true;
- nativeBuildInputs = [ which ]
+ nativeBuildInputs = [ which boost-build ]
++ optional stdenv.hostPlatform.isDarwin fixDarwinDylibNames;
- depsBuildBuild = [ buildPackages.stdenv.cc ];
buildInputs = [ expat zlib bzip2 libiconv ]
++ optional (stdenv.hostPlatform == stdenv.buildPlatform) icu
++ optional enablePython python
@@ -170,16 +188,19 @@ stdenv.mkDerivation {
configureScript = "./bootstrap.sh";
configurePlatforms = [];
+ dontDisableStatic = true;
+ dontAddStaticConfigureFlags = true;
configureFlags = [
"--includedir=$(dev)/include"
"--libdir=$(out)/lib"
+ "--with-bjam=b2" # prevent bootstrapping b2 in configurePhase
] ++ optional enablePython "--with-python=${python.interpreter}"
- ++ [ (if stdenv.hostPlatform == stdenv.buildPlatform then "--with-icu=${icu.dev}" else "--without-icu") ]
- ++ optional (toolset != null) "--with-toolset=${toolset}";
+ ++ optional (toolset != null) "--with-toolset=${toolset}"
+ ++ [ (if stdenv.hostPlatform == stdenv.buildPlatform then "--with-icu=${icu.dev}" else "--without-icu") ];
buildPhase = ''
runHook preBuild
- ./b2 ${b2Args}
+ b2 ${b2Args}
runHook postBuild
'';
@@ -191,7 +212,7 @@ stdenv.mkDerivation {
cp -a tools/boostbook/{xsl,dtd} $dev/share/boostbook/
# Let boost install everything else
- ./b2 ${b2Args} install
+ b2 ${b2Args} install
runHook postInstall
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/botan/2.0.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/botan/2.0.nix
index a486ba49820..113c4a27b91 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/botan/2.0.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/botan/2.0.nix
@@ -1,10 +1,19 @@
-{ callPackage, ... } @ args:
+{ callPackage, fetchpatch, ... } @ args:
callPackage ./generic.nix (args // {
baseVersion = "2.18";
- revision = "0";
- sha256 = "09z3fy31q1pvnvpy4fswrsl2aq8ksl94lbh5rl7b6nqc3qp8ar6c";
+ revision = "1";
+ sha256 = "0adf53drhk1hlpfih0175c9081bqpclw6p2afn51cmx849ib9izq";
postPatch = ''
sed -e 's@lang_flags "@&--std=c++11 @' -i src/build-data/cc/{gcc,clang}.txt
'';
+ extraPatches = [
+ (fetchpatch {
+ name = "CVE-2021-40529.patch";
+ url = "https://github.com/randombit/botan/commit/9a23e4e3bc3966340531f2ff608fa9d33b5185a2.patch";
+ sha256 = "1ax1n2l9zh0hk35vkkywgkhzpdk76xb9apz2wm3h9kjvjs9acr3y";
+ # our source tarball doesn't include the tests
+ excludes = [ "src/tests/*" ];
+ })
+ ];
})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/botan/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/botan/default.nix
index c494fa25f77..d6ee9ff152f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/botan/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/botan/default.nix
@@ -10,6 +10,7 @@ callPackage ./generic.nix (args // {
sed -e 's@lang_flags "@&--std=c++11 @' -i src/build-data/cc/{gcc,clang}.txt
'';
knownVulnerabilities = [
+ "CVE-2021-40529"
# https://botan.randombit.net/security.html#id1
"2020-03-24: Side channel during CBC padding"
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/botan/generic.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/botan/generic.nix
index 3313b8c4fcd..1384bdee9ad 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/botan/generic.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/botan/generic.nix
@@ -3,6 +3,7 @@
, baseVersion, revision, sha256
, sourceExtension ? "tar.xz"
, extraConfigureFlags ? ""
+, extraPatches ? [ ]
, postPatch ? null
, knownVulnerabilities ? [ ]
, CoreServices
@@ -22,6 +23,7 @@ stdenv.mkDerivation rec {
];
inherit sha256;
};
+ patches = extraPatches;
inherit postPatch;
buildInputs = [ python3 bzip2 zlib gmp openssl boost ]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/boxfort/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/boxfort/default.nix
index 740e4321698..93176fb230f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/boxfort/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/boxfort/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, meson, ninja, python37Packages }:
+{ lib, stdenv, fetchFromGitHub, meson, ninja, python3Packages }:
stdenv.mkDerivation rec {
version = "unstable-2019-10-09";
@@ -17,7 +17,7 @@ stdenv.mkDerivation rec {
patchShebangs ci/isdir.py
'';
- checkInputs = with python37Packages; [ cram ];
+ checkInputs = with python3Packages; [ cram ];
doCheck = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/buddy/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/buddy/default.nix
index d6ab4fe0dfe..47b61fe0351 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/buddy/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/buddy/default.nix
@@ -21,6 +21,5 @@ stdenv.mkDerivation rec {
license = "as-is";
platforms = lib.platforms.unix; # Once had cygwin problems
- maintainers = [ lib.maintainers.peti ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/c-ares/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/c-ares/default.nix
index 20bcf80d9e5..4f571b7f1dd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/c-ares/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/c-ares/default.nix
@@ -8,13 +8,15 @@
let self =
stdenv.mkDerivation rec {
pname = "c-ares";
- version = "1.17.1";
+ version = "1.17.2";
src = fetchurl {
url = "https://c-ares.haxx.se/download/${pname}-${version}.tar.gz";
- sha256 = "0h7wjfnk2092glqcp9mqaax7xx0s13m501z1gi0gsjl2vvvd0gfp";
+ sha256 = "sha256-SAPIRM4gzlEO8OuD+OpB+iTsqunSgMRoxYLSuyWzkT0=";
};
+ enableParallelBuilding = true;
+
meta = with lib; {
description = "A C library for asynchronous DNS requests";
homepage = "https://c-ares.haxx.se";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/c-blosc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/c-blosc/default.nix
index a7420e300ed..6f59c96d2bc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/c-blosc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/c-blosc/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "c-blosc";
- version = "1.20.1";
+ version = "1.21.0";
src = fetchFromGitHub {
owner = "Blosc";
repo = "c-blosc";
rev = "v${version}";
- sha256 = "0a3yrig78plzjbazfqcfrzqhnw17xd0dcayvp4z4kp415kgs2a3s";
+ sha256 = "sha256-B8SFOc1oGgU5AGAbkqe5oz045H08TnymNAbzz2oOKoo=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cairo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cairo/default.nix
index 414194acb83..8f65bd6c5cd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cairo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cairo/default.nix
@@ -59,6 +59,7 @@ in stdenv.mkDerivation rec {
outputs = [ "out" "dev" "devdoc" ];
outputBin = "dev"; # very small
+ separateDebugInfo = true;
nativeBuildInputs = [
pkg-config
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cairomm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cairomm/default.nix
index 87b391d2ad0..384b1b0e7cb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cairomm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cairomm/default.nix
@@ -1,18 +1,19 @@
-{ fetchurl, lib, stdenv, pkg-config, darwin, cairo, fontconfig, freetype, libsigcxx }:
+{ fetchurl, lib, stdenv, pkg-config, darwin, cairo, fontconfig, freetype, libsigcxx, meson, ninja }:
+
stdenv.mkDerivation rec {
pname = "cairomm";
- version = "1.12.2";
+ version = "1.14.3";
src = fetchurl {
- url = "https://www.cairographics.org/releases/${pname}-${version}.tar.gz";
+ url = "https://www.cairographics.org/releases/${pname}-${version}.tar.xz";
# gnome doesn't have the latest version ATM; beware: same name but different hash
#url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "16fmigxsaz85c3lgcls7biwyz8zy8c8h3jndfm54cxxas3a7zi25";
+ sha256 = "sha256-DTfgZ8XEyngIt87dq/4ZMsW9KnUK1k+zIeEhNTYpfng=";
};
outputs = [ "out" "dev" ];
- nativeBuildInputs = [ pkg-config ];
+ nativeBuildInputs = [ pkg-config meson ninja ];
propagatedBuildInputs = [ cairo libsigcxx ];
buildInputs = [ fontconfig freetype ]
++ lib.optionals stdenv.isDarwin (with darwin.apple_sdk.frameworks; [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/capnproto/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/capnproto/default.nix
index a27a54b1eac..0eaf6eda07a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/capnproto/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/capnproto/default.nix
@@ -1,23 +1,31 @@
-{ lib, stdenv, fetchurl }:
+{ lib, stdenv, fetchFromGitHub, capnproto, cmake }:
stdenv.mkDerivation rec {
pname = "capnproto";
version = "0.9.0";
- src = fetchurl {
- url = "https://capnproto.org/capnproto-c++-${version}.tar.gz";
- sha256 = "sha256-soBUp6K/6kK/w5LI0AljDZTXLozoaiOtbxi15yV0Bk8=";
+ # release tarballs are missing some ekam rules
+ src = fetchFromGitHub {
+ owner = "capnproto";
+ repo = "capnproto";
+ rev = "v${version}";
+ sha256 = "038i40apywn8sg95kwld4mg9p9m08izcw5xj7mwkmshycmqw65na";
};
+ nativeBuildInputs = [ cmake ]
+ ++ lib.optional (!(stdenv.hostPlatform.isCompatible stdenv.buildPlatform)) capnproto;
+
+ cmakeFlags = lib.optional (!(stdenv.hostPlatform.isCompatible stdenv.buildPlatform)) "-DEXTERNAL_CAPNP";
+
meta = with lib; {
- homepage = "http://kentonv.github.io/capnproto";
+ homepage = "https://capnproto.org/";
description = "Cap'n Proto cerealization protocol";
longDescription = ''
Cap’n Proto is an insanely fast data interchange format and
capability-based RPC system. Think JSON, except binary. Or think Protocol
Buffers, except faster.
'';
- license = licenses.bsd2;
+ license = licenses.mit;
platforms = platforms.all;
maintainers = with maintainers; [ cstrahan ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cdo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cdo/default.nix
index a038b5885c2..d13305d10b9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cdo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cdo/default.nix
@@ -9,14 +9,14 @@
stdenv.mkDerivation rec {
pname = "cdo";
- version = "1.9.7.1";
+ version = "1.9.10";
# Dependencies
buildInputs = [ curl netcdf hdf5 ];
src = fetchurl {
- url = "https://code.mpimet.mpg.de/attachments/download/20124/${pname}-${version}.tar.gz";
- sha256 = "0b4n8dwxfsdbz4jflsx0b75hwapdf1rp14p48dfr7ksv0qp9aw9p";
+ url = "https://code.mpimet.mpg.de/attachments/download/24638/${pname}-${version}.tar.gz";
+ sha256 = "sha256-zDnIm7tIHXs5RaBsVqhJIEcjX0asNjxPDZgPzN3mZ34=";
};
# Configure phase
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ceres-solver/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ceres-solver/default.nix
index 14d0e38f3d9..794a09749c5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ceres-solver/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ceres-solver/default.nix
@@ -20,8 +20,8 @@ stdenv.mkDerivation rec {
};
nativeBuildInputs = [ cmake ];
- buildInputs = [ eigen glog ]
- ++ lib.optional runTests gflags;
+ buildInputs = lib.optional runTests gflags;
+ propagatedBuildInputs = [ eigen glog ];
# The Basel BUILD file conflicts with the cmake build directory on
# case-insensitive filesystems, eg. darwin.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cimg/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cimg/default.nix
index 69e16a8922a..09a407fc3bc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cimg/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cimg/default.nix
@@ -5,27 +5,29 @@
stdenv.mkDerivation rec {
pname = "cimg";
- version = "2.9.8";
+ version = "2.9.9";
src = fetchFromGitHub {
owner = "dtschump";
repo = "CImg";
rev = "v.${version}";
- sha256 = "sha256-nEICs1oAIXu6/5O4R3mbwig1OY+HDIWWeQjrcYnCwT0=";
+ hash = "sha256-DWyqVN7v+j2XCArv4jmrD45XKWMNhd2DddJHH3gQWQY=";
};
+ outputs = [ "out" "doc" ];
+
installPhase = ''
runHook preInstall
+
install -dm 755 $out/include/CImg/plugins $doc/share/doc/cimg/examples
install -m 644 CImg.h $out/include/
cp -dr --no-preserve=ownership examples/* $doc/share/doc/cimg/examples/
cp -dr --no-preserve=ownership plugins/* $out/include/CImg/plugins/
cp README.txt $doc/share/doc/cimg/
+
runHook postInstall
'';
- outputs = [ "out" "doc" ];
-
meta = with lib; {
homepage = "http://cimg.eu/";
description = "A small, open source, C++ toolkit for image processing";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/civetweb/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/civetweb/default.nix
index 398ca028c09..93ac618bcac 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/civetweb/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/civetweb/default.nix
@@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "civetweb";
- version = "1.14";
+ version = "1.15";
src = fetchFromGitHub {
owner = pname;
repo = pname;
rev = "v${version}";
- sha256 = "sha256-6qBsM9zkN838cMtpE3+c7qcrFpZCS/Av7Ch7EWmlnD4=";
+ sha256 = "sha256-Qh6BGPk7a01YzCeX42+Og9M+fjXRs7kzNUCyT4mYab4=";
};
makeFlags = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cmark-gfm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cmark-gfm/default.nix
index 8a9534365f4..b25688acfa1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cmark-gfm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cmark-gfm/default.nix
@@ -1,13 +1,13 @@
{ lib, stdenv, fetchFromGitHub, cmake }:
stdenv.mkDerivation rec {
pname = "cmark-gfm";
- version = "0.29.0.gfm.0";
+ version = "0.29.0.gfm.2";
src = fetchFromGitHub {
owner = "github";
repo = "cmark-gfm";
rev = version;
- sha256 = "0wfr3xwl4wria8vld71flv6vpsdj9aj81yqvj0azidyb8p229a1l";
+ sha256 = "sha256-8PjG87hR66ozKx+PSuKi0vHIoKICHSLdl2cKUYf+5m8=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/coordgenlibs/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/coordgenlibs/default.nix
index 9dcfb79f3e3..c33779ba5b9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/coordgenlibs/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/coordgenlibs/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "coordgenlibs";
- version = "2.0.3";
+ version = "3.0.0";
src = fetchFromGitHub {
owner = "schrodinger";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-uperQnJ1Q+s15pAlg/f4XR5VJI484ygZ0F6pMvcVDv8=";
+ sha256 = "sha256-iy5VFp+50ldyoGJtPI1w3VfndIAGwBb1Xh6Qp18q/wU=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cpp-utilities/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cpp-utilities/default.nix
index 769f573f7af..dcce68b927c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cpp-utilities/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cpp-utilities/default.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation rec {
pname = "cpp-utilities";
- version = "5.11.0";
+ version = "5.11.1";
src = fetchFromGitHub {
owner = "Martchus";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-ibZ/cj+pDLxUugz5jTc3FcVlytwS+R1RTtISbXe1mq4=";
+ sha256 = "sha256-H8BDuoSF5XkWgahGj7LCSsdXBbgcSjPLTmgUgamCij8=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cppzmq/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cppzmq/default.nix
index 9237c3bd307..e2a16cce7fc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cppzmq/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/cppzmq/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "cppzmq";
- version = "4.7.1";
+ version = "4.8.1";
src = fetchFromGitHub {
owner = "zeromq";
repo = "cppzmq";
rev = "v${version}";
- sha256 = "00lb3pv923nbpaf7ric2cv6lbpspknj0pxj6yj5jyah7r3zw692m";
+ sha256 = "sha256-Q09+6dPwdeW3jkGgPNAcHI3FHcYPQ+w61PmV+TkQ+H8=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/criterion/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/criterion/default.nix
index ba436fec0a2..a1e7137d92a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/criterion/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/criterion/default.nix
@@ -1,5 +1,5 @@
{ lib, stdenv, fetchFromGitHub, boxfort, cmake, libcsptr, pkg-config, gettext
-, dyncall , nanomsg, python37Packages }:
+, dyncall , nanomsg, python3Packages }:
stdenv.mkDerivation rec {
version = "2.3.3";
@@ -23,7 +23,7 @@ stdenv.mkDerivation rec {
nanomsg
];
- checkInputs = with python37Packages; [ cram ];
+ checkInputs = with python3Packages; [ cram ];
cmakeFlags = [ "-DCTESTS=ON" ];
doCheck = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dav1d/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dav1d/default.nix
index fe6926fe809..b39e0923609 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dav1d/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dav1d/default.nix
@@ -10,14 +10,14 @@ assert useVulkan -> withExamples;
stdenv.mkDerivation rec {
pname = "dav1d";
- version = "0.9.0";
+ version = "0.9.2";
src = fetchFromGitLab {
domain = "code.videolan.org";
owner = "videolan";
repo = pname;
rev = version;
- sha256 = "0ki3wlyaqr80gl1srbbd18dd5bs1sl9icxym8ar62abpvgzxl5yk";
+ sha256 = "0bkps488h9s15ylvkm4fmfywgrpbw570glawpnv6khpq9n223dzl";
};
nativeBuildInputs = [ meson ninja nasm pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dbxml/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dbxml/default.nix
index b4e74d03619..c65e7ad93ec 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dbxml/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dbxml/default.nix
@@ -38,7 +38,7 @@ stdenv.mkDerivation rec {
homepage = "https://www.oracle.com/database/berkeley-db/xml.html";
description = "Embeddable XML database based on Berkeley DB";
license = licenses.agpl3;
- maintainers = with maintainers; [ danieldk ];
+ maintainers = with maintainers; [ ];
platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dee/0001-Fix-build-with-Vala-0.54.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dee/0001-Fix-build-with-Vala-0.54.patch
new file mode 100644
index 00000000000..4ad8ec8ffc7
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dee/0001-Fix-build-with-Vala-0.54.patch
@@ -0,0 +1,29 @@
+From 7bc49f8edd9a49d675ee5b163ab61b405e2d0258 Mon Sep 17 00:00:00 2001
+From: Jan Tojnar
+Date: Thu, 7 Oct 2021 21:42:26 +0200
+Subject: [PATCH] Fix build with Vala 0.54
+
+Vala codegen now emits constructor methods so we need to skip @new
+so that we can use a custom one from our VAPI overrides.
+
+https://gitlab.gnome.org/GNOME/vala/-/commit/472765b90cd98c1a628975d20005c46352d665f8
+---
+ vapi/Dee-1.0.metadata | 2 ++
+ 1 file changed, 2 insertions(+)
+
+diff --git a/vapi/Dee-1.0.metadata b/vapi/Dee-1.0.metadata
+index 7e80de0..793ffd8 100644
+--- a/vapi/Dee-1.0.metadata
++++ b/vapi/Dee-1.0.metadata
+@@ -1,6 +1,8 @@
+ GListResultSet skip
+ GListResultSetClass skip
+
++Filter
++ .new skip
+ FilterModel
+ .filter unowned
+ Model
+--
+2.33.0
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dee/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dee/default.nix
index a023d164d8c..25cf88253e6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dee/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dee/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
"${src}/debian/patches/gtkdocize.patch"
"${src}/debian/patches/strict-prototype.patch"
"${src}/debian/patches/vapi-skip-properties.patch"
+ ./0001-Fix-build-with-Vala-0.54.patch
# Fixes glib 2.62 deprecations
(fetchpatch {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dleyna-core/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dleyna-core/default.nix
index 4a4eecfdc0f..e8e384ac2a0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dleyna-core/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dleyna-core/default.nix
@@ -10,6 +10,8 @@ stdenv.mkDerivation rec {
pname = "dleyna-core";
version = "0.6.0";
+ outputs = [ "out" "dev" ];
+
setupHook = ./setup-hook.sh;
src = fetchFromGitHub {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/duckdb/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/duckdb/default.nix
index 92f6accd426..71a466067ad 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/duckdb/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/duckdb/default.nix
@@ -5,13 +5,13 @@
stdenv.mkDerivation rec {
pname = "duckdb";
- version = "0.2.8";
+ version = "0.2.9";
src = fetchFromGitHub {
owner = "cwida";
repo = "duckdb";
rev = "v${version}";
- sha256 = "sha256-X8zk9D7BQQ6iHdfvpaTZ8wS779wt3nRthH7OG4p9bTg=";
+ sha256 = "sha256-ID65xpNSDyi19OcOs9Gdf5xpK++UVWclp8pVggIWQNU=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dxflib/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dxflib/default.nix
index 09f2ad3ccad..b1af825cccd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dxflib/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/dxflib/default.nix
@@ -4,11 +4,11 @@
}:
stdenv.mkDerivation rec {
- version = "3.17.0";
+ version = "3.26.4";
pname = "dxflib";
src = fetchurl {
- url = "http://www.qcad.org/archives/dxflib/${pname}-${version}-src.tar.gz";
- sha256 = "09yjgzh8677pzkkr7a59pql5d11451c22pxksk2my30mapxsri96";
+ url = "https://qcad.org/archives/dxflib/${pname}-${version}-src.tar.gz";
+ sha256 = "0pwic33mj6bp4axai5jiyn4xqf31y0xmb1i0pcf55b2h9fav8zah";
};
nativeBuildInputs = [
qmake
@@ -38,6 +38,7 @@ stdenv.mkDerivation rec {
doCheck = true;
meta = {
+ homepage = "https://qcad.org/en/90-dxflib";
maintainers = with lib.maintainers; [raskin];
platforms = lib.platforms.linux;
description = "DXF file format library";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/eccodes/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/eccodes/default.nix
index 1050490a220..a528656fe46 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/eccodes/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/eccodes/default.nix
@@ -1,39 +1,50 @@
-{ fetchurl, lib, stdenv
-, cmake, netcdf, openjpeg, libpng, gfortran
-, enablePython ? false, pythonPackages
+{ fetchurl
+, lib
+, stdenv
+, cmake
+, netcdf
+, openjpeg
+, libpng
+, gfortran
+, perl
+, enablePython ? false
+, pythonPackages
, enablePosixThreads ? false
-, enableOpenMPThreads ? false}:
-with lib;
+, enableOpenMPThreads ? false
+}:
+
stdenv.mkDerivation rec {
pname = "eccodes";
- version = "2.12.5";
+ version = "2.23.0";
src = fetchurl {
url = "https://confluence.ecmwf.int/download/attachments/45757960/eccodes-${version}-Source.tar.gz";
- sha256 = "0576fccng4nvmq5gma1nb1v00if5cwl81w4nv5zkb80q5wicn50c";
+ sha256 = "sha256-y9yFMlN+loLxqT3bA0QEFrZpBqTMJd7Dy9c5QNGUvww=";
};
postPatch = ''
substituteInPlace cmake/FindOpenJPEG.cmake --replace openjpeg-2.1 ${openjpeg.incDir}
'';
- nativeBuildInputs = [ cmake ];
+ nativeBuildInputs = [ cmake gfortran perl ];
+
+ buildInputs = [
+ netcdf
+ openjpeg
+ libpng
+ ];
- buildInputs = [ netcdf
- openjpeg
- libpng
- gfortran
- ];
- propagatedBuildInputs = optionals enablePython [
- pythonPackages.python
- pythonPackages.numpy
- ];
+ propagatedBuildInputs = lib.optionals enablePython [
+ pythonPackages.python
+ pythonPackages.numpy
+ ];
- cmakeFlags = [ "-DENABLE_PYTHON=${if enablePython then "ON" else "OFF"}"
- "-DENABLE_PNG=ON"
- "-DENABLE_ECCODES_THREADS=${if enablePosixThreads then "ON" else "OFF"}"
- "-DENABLE_ECCODES_OMP_THREADS=${if enableOpenMPThreads then "ON" else "OFF"}"
- ];
+ cmakeFlags = [
+ "-DENABLE_PYTHON=${if enablePython then "ON" else "OFF"}"
+ "-DENABLE_PNG=ON"
+ "-DENABLE_ECCODES_THREADS=${if enablePosixThreads then "ON" else "OFF"}"
+ "-DENABLE_ECCODES_OMP_THREADS=${if enableOpenMPThreads then "ON" else "OFF"}"
+ ];
doCheck = true;
@@ -44,7 +55,7 @@ stdenv.mkDerivation rec {
ctest -R "eccodes_t_(definitions|calendar|unit_tests|md5|uerra|grib_2nd_order_numValues|julian)" -VV
'';
- meta = {
+ meta = with lib; {
homepage = "https://confluence.ecmwf.int/display/ECC/";
license = licenses.asl20;
maintainers = with maintainers; [ knedlsepp ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/eigen/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/eigen/default.nix
index 37180580421..18fe7450d50 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/eigen/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/eigen/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "eigen";
- version = "3.3.9";
+ version = "3.4.0";
src = fetchFromGitLab {
owner = "libeigen";
repo = pname;
rev = version;
- sha256 = "sha256-JMIG7CLMndUsECfbKpXE3BtVFuAjn+CZvf8GXZpLkFQ=";
+ sha256 = "sha256-1/4xMetKMDOgZgzz3WMxfHUEpmdAm52RqZvz6i0mLEw=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/eigen/include-dir.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/eigen/include-dir.patch
index 42f8e189c0b..9928bbdbed1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/eigen/include-dir.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/eigen/include-dir.patch
@@ -1,23 +1,22 @@
--- a/CMakeLists.txt
+++ b/CMakeLists.txt
-@@ -1,6 +1,6 @@
- project(Eigen3)
-
--cmake_minimum_required(VERSION 2.8.5)
-+cmake_minimum_required(VERSION 3.7)
+@@ -1,5 +1,5 @@
+ # cmake_minimum_require must be the first command of the file
+-cmake_minimum_required(VERSION 3.5.0)
++cmake_minimum_required(VERSION 3.7.0)
- # guard against in-source builds
+ project(Eigen3)
-@@ -407,7 +407,7 @@ set(PKGCONFIG_INSTALL_DIR
- CACHE STRING "The directory relative to CMAKE_PREFIX_PATH where eigen3.pc is installed"
+@@ -443,7 +443,7 @@ set(PKGCONFIG_INSTALL_DIR
+ CACHE PATH "The directory relative to CMAKE_INSTALL_PREFIX where eigen3.pc is installed"
)
-foreach(var INCLUDE_INSTALL_DIR CMAKEPACKAGE_INSTALL_DIR PKGCONFIG_INSTALL_DIR)
+foreach(var CMAKEPACKAGE_INSTALL_DIR PKGCONFIG_INSTALL_DIR)
+ # If an absolute path is specified, make it relative to "{CMAKE_INSTALL_PREFIX}".
if(IS_ABSOLUTE "${${var}}")
- message(FATAL_ERROR "${var} must be relative to CMAKE_PREFIX_PATH. Got: ${${var}}")
- endif()
-@@ -429,13 +429,6 @@ install(FILES
+ file(RELATIVE_PATH "${var}" "${CMAKE_INSTALL_PREFIX}" "${${var}}")
+@@ -466,13 +466,6 @@ install(FILES
DESTINATION ${INCLUDE_INSTALL_DIR} COMPONENT Devel
)
@@ -28,10 +27,10 @@
- )
-endif()
-
- add_subdirectory(Eigen)
+ install(DIRECTORY Eigen DESTINATION ${INCLUDE_INSTALL_DIR} COMPONENT Devel)
- add_subdirectory(doc EXCLUDE_FROM_ALL)
-@@ -531,8 +524,15 @@ set ( EIGEN_VERSION_MAJOR ${EIGEN_WORLD_VERSION} )
+
+@@ -593,8 +586,15 @@ set ( EIGEN_VERSION_MAJOR ${EIGEN_WORLD_VERSION} )
set ( EIGEN_VERSION_MINOR ${EIGEN_MAJOR_VERSION} )
set ( EIGEN_VERSION_PATCH ${EIGEN_MINOR_VERSION} )
set ( EIGEN_DEFINITIONS "")
@@ -46,8 +45,8 @@
+ )
+endif()
- # Interface libraries require at least CMake 3.0
- if (NOT CMAKE_VERSION VERSION_LESS 3.0)
+ include (CMakePackageConfigHelpers)
+
--- a/eigen3.pc.in
+++ b/eigen3.pc.in
@@ -6,4 +6,4 @@ Description: A C++ template library for linear algebra: vectors, matrices, and r
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/enchant/2.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/enchant/2.x.nix
index 237ecf148cb..0d1374018b6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/enchant/2.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/enchant/2.x.nix
@@ -11,13 +11,13 @@
stdenv.mkDerivation rec {
pname = "enchant";
- version = "2.3.0";
+ version = "2.3.1";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "https://github.com/AbiWord/${pname}/releases/download/v${version}/${pname}-${version}.tar.gz";
- sha256 = "sha256-32gGO2wTskX6ckaw4JigPnT3qRxtiUe8XE9CzlXi5B0=";
+ sha256 = "sha256-e0sa/PLNi/ppHe6mGIQE0zfyMXS7w5ucKt0r80Bzbpw=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ethash/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ethash/default.nix
index c1ef9cee990..1283ec8cfab 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ethash/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ethash/default.nix
@@ -2,14 +2,14 @@
stdenv.mkDerivation rec {
pname = "ethash";
- version = "0.7.0";
+ version = "0.7.1";
src =
fetchFromGitHub {
owner = "chfast";
repo = "ethash";
rev = "v${version}";
- sha256 = "sha256-QQoWOJGwL03zbBfrFNXz0Ff9Agc2dMBW9nW3jdOwEE8=";
+ sha256 = "sha256-ba8SBtJd0ERunO9KpJZkutkO6ZnZOEGzWn2IjO1Uu28=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/faudio/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/faudio/default.nix
index a4f19678f24..4082ccfb1d0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/faudio/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/faudio/default.nix
@@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "faudio";
- version = "21.08";
+ version = "21.09";
src = fetchFromGitHub {
owner = "FNA-XNA";
repo = "FAudio";
rev = version;
- sha256 = "sha256-zgXIho1jmoOCVcjvDwiq+J1jOzsPgxvvaWN8NfszEdA=";
+ sha256 = "sha256-/hc++LEqH7vg2IK/x6sVP5864QF+3xd++HK/tIp0IRA=";
};
nativeBuildInputs = [cmake];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/fcl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/fcl/default.nix
index 33a60080584..4e13a3f7113 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/fcl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/fcl/default.nix
@@ -2,30 +2,15 @@
stdenv.mkDerivation rec {
pname = "fcl";
- version = "0.6.1";
+ version = "0.7.0";
src = fetchFromGitHub {
owner = "flexible-collision-library";
repo = pname;
rev = version;
- sha256 = "1i1sd0fsvk5d529aw8aw29bsmymqgcmj3ci35sz58nzp2wjn0l5d";
+ sha256 = "0f5lhg6f9np7w16s6wz4mb349bycil1irk8z8ylfjwllxi4n6x7a";
};
- patches = [
- # Disable SSE on Emscripten (required for the next patch to apply cleanly)
- # https://github.com/flexible-collision-library/fcl/pull/470
- (fetchpatch {
- url = "https://github.com/flexible-collision-library/fcl/commit/83a1af61ba4efa81ec0b552b3121100044a8cf46.patch";
- sha256 = "0bbkv4xpkl3c0i8qdlkghj6qkybrrd491c8rd2cqnxfgspcd40p0";
- })
- # Detect SSE support to fix building on ARM
- # https://github.com/flexible-collision-library/fcl/pull/506
- (fetchpatch {
- url = "https://github.com/flexible-collision-library/fcl/commit/cbfe1e9405aa68138ed1a8f33736429b85500dea.patch";
- sha256 = "18qip8gwhm3fvbz1cvzf625rh5msq8m4669ld1m60fv6z50clr9h";
- })
- ];
-
nativeBuildInputs = [ cmake ];
propagatedBuildInputs = [ eigen libccd octomap ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg-full/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg-full/default.nix
index 3dca11008f7..77bd473485b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg-full/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg-full/default.nix
@@ -244,7 +244,7 @@ assert opensslExtlib -> gnutls == null && openssl != null && nonfreeLicensing;
stdenv.mkDerivation rec {
pname = "ffmpeg-full";
- inherit (ffmpeg) src version;
+ inherit (ffmpeg) src version patches;
prePatch = ''
patchShebangs .
@@ -447,6 +447,14 @@ stdenv.mkDerivation rec {
buildFlags = [ "all" ]
++ optional qtFaststartProgram "tools/qt-faststart"; # Build qt-faststart executable
+ doCheck = true;
+ checkPhase = let
+ ldLibraryPathEnv = if stdenv.isDarwin then "DYLD_LIBRARY_PATH" else "LD_LIBRARY_PATH";
+ in ''
+ ${ldLibraryPathEnv}="libavcodec:libavdevice:libavfilter:libavformat:libavresample:libavutil:libpostproc:libswresample:libswscale:''${${ldLibraryPathEnv}}" \
+ make check -j$NIX_BUILD_CORES
+ '';
+
# Hacky framework patching technique borrowed from the phantomjs2 package
postInstall = optionalString qtFaststartProgram ''
cp -a tools/qt-faststart $out/bin/
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg/2.8.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg/2.8.nix
index 6d94c2e9974..0df810ff10b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg/2.8.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg/2.8.nix
@@ -7,4 +7,5 @@ callPackage ./generic.nix (rec {
knownVulnerabilities = [
"CVE-2021-30123"
];
+ doCheck = false;
} // args)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg/4.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg/4.nix
index d557c87ebdf..14405f40f67 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg/4.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg/4.nix
@@ -13,6 +13,26 @@ callPackage ./generic.nix (rec {
darwinFrameworks = [ Cocoa CoreMedia VideoToolbox ];
patches = [
+ (fetchpatch {
+ name = "CVE-2021-33815.patch";
+ url = "https://github.com/FFmpeg/FFmpeg/commit/26d3c81bc5ef2f8c3f09d45eaeacfb4b1139a777.patch";
+ sha256 = "0l8dqga5845f7d3wdbvd05i23saldq4pm2cyfdgszbr0c18sxagf";
+ })
+ (fetchpatch {
+ name = "CVE-2021-38114.patch";
+ url = "https://github.com/FFmpeg/FFmpeg/commit/7150f9575671f898382c370acae35f9087a30ba1.patch";
+ sha256 = "0gwkc7v1wsh4j0am2nnskhsca1b5aqzhcfd41sd9mh2swsdyf27i";
+ })
+ (fetchpatch {
+ name = "CVE-2021-38171.patch";
+ url = "https://github.com/FFmpeg/FFmpeg/commit/9ffa49496d1aae4cbbb387aac28a9e061a6ab0a6.patch";
+ sha256 = "0b8hsb45izw7w1vb2b94k9f6kvn2shxrap5ip1krdxg6hs7an0x8";
+ })
+ (fetchpatch {
+ name = "CVE-2021-38291.patch";
+ url = "https://github.com/FFmpeg/FFmpeg/commit/e01d306c647b5827102260b885faa223b646d2d1.patch";
+ sha256 = "0p2p8gcnb5j469xa3czfssm09w3jk08kz8rnl8wi2l9aj9l08my9";
+ })
# Fix incorrect segment length in HLS child playlist with fmp4 segment format
# FIXME remove in version 4.5
# https://trac.ffmpeg.org/ticket/9193
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg/generic.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg/generic.nix
index d52abd4ab09..876910da6d2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg/generic.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ffmpeg/generic.nix
@@ -2,6 +2,7 @@
, alsa-lib, bzip2, fontconfig, freetype, gnutls, libiconv, lame, libass, libogg
, libssh, libtheora, libva, libdrm, libvorbis, libvpx, xz, libpulseaudio, soxr
, x264, x265, xvidcore, zlib, libopus, speex, nv-codec-headers, dav1d
+, srt ? null
, openglSupport ? false, libGLU ? null, libGL ? null
, libmfxSupport ? false, intel-media-sdk ? null
, libaomSupport ? false, libaom ? null
@@ -17,7 +18,8 @@
# Darwin frameworks
, Cocoa, darwinFrameworks ? [ Cocoa ]
# Inherit generics
-, branch, sha256, version, patches ? [], knownVulnerabilities ? [], ...
+, branch, sha256, version, patches ? [], knownVulnerabilities ? []
+, doCheck ? true, ...
}:
/* Maintainer notes:
@@ -94,6 +96,7 @@ stdenv.mkDerivation rec {
# Build flags
"--enable-shared"
(ifMinVer "0.6" "--enable-pic")
+ (ifMinVer "4.0" (enableFeature (srt != null) "libsrt"))
(enableFeature runtimeCpuDetectBuild "runtime-cpudetect")
"--enable-hardcoded-tables"
] ++
@@ -171,7 +174,7 @@ stdenv.mkDerivation rec {
buildInputs = [
bzip2 fontconfig freetype gnutls libiconv lame libass libogg libssh libtheora
- libvorbis xz soxr x264 x265 xvidcore zlib libopus speex nv-codec-headers
+ libvorbis xz soxr x264 x265 xvidcore zlib libopus speex srt nv-codec-headers
] ++ optionals openglSupport [ libGL libGLU ]
++ optional libmfxSupport intel-media-sdk
++ optional libaomSupport libaom
@@ -187,7 +190,13 @@ stdenv.mkDerivation rec {
enableParallelBuilding = true;
- doCheck = false; # fails
+ inherit doCheck;
+ checkPhase = let
+ ldLibraryPathEnv = if stdenv.isDarwin then "DYLD_LIBRARY_PATH" else "LD_LIBRARY_PATH";
+ in ''
+ ${ldLibraryPathEnv}="libavcodec:libavdevice:libavfilter:libavformat:libavresample:libavutil:libpostproc:libswresample:libswscale:''${${ldLibraryPathEnv}}" \
+ make check -j$NIX_BUILD_CORES
+ '';
# ffmpeg 3+ generates pkg-config (.pc) files that don't have the
# form automatically handled by the multiple-outputs hooks.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/fftw/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/fftw/default.nix
index 3f6b028a45a..37a7f1ce8fe 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/fftw/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/fftw/default.nix
@@ -1,4 +1,17 @@
-{ fetchurl, stdenv, lib, gfortran, llvmPackages ? null, precision ? "double", perl }:
+{ fetchurl
+, stdenv
+, lib
+, gfortran
+, perl
+, llvmPackages ? null
+, precision ? "double"
+, enableAvx ? stdenv.hostPlatform.avxSupport
+, enableAvx2 ? stdenv.hostPlatform.avx2Support
+, enableAvx512 ? stdenv.hostPlatform.avx512Support
+, enableFma ? stdenv.hostPlatform.fmaSupport
+, enableMpi ? false
+, mpi
+}:
with lib;
@@ -27,10 +40,10 @@ stdenv.mkDerivation {
nativeBuildInputs = [ gfortran ];
- buildInputs = lib.optionals stdenv.cc.isClang [
+ buildInputs = optionals stdenv.cc.isClang [
# TODO: This may mismatch the LLVM version sin the stdenv, see #79818.
llvmPackages.openmp
- ];
+ ] ++ optional enableMpi mpi;
configureFlags =
[ "--enable-shared"
@@ -40,7 +53,12 @@ stdenv.mkDerivation {
# all x86_64 have sse2
# however, not all float sizes fit
++ optional (stdenv.isx86_64 && (precision == "single" || precision == "double") ) "--enable-sse2"
+ ++ optional enableAvx "--enable-avx"
+ ++ optional enableAvx2 "--enable-avx2"
+ ++ optional enableAvx512 "--enable-avx512"
+ ++ optional enableFma "--enable-fma"
++ [ "--enable-openmp" ]
+ ++ optional enableMpi "--enable-mpi"
# doc generation causes Fortran wrapper generation which hard-codes gcc
++ optional (!withDoc) "--disable-doc";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/flatpak/bubblewrap-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/flatpak/bubblewrap-paths.patch
index 407811736f8..e0b6df19589 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/flatpak/bubblewrap-paths.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/flatpak/bubblewrap-paths.patch
@@ -7,7 +7,7 @@ index 9e885070..d02eeb8c 100644
"--unshare-net",
"--unshare-pid",
- "--ro-bind", "/usr", "/usr",
-- "--ro-bind", "/etc/ld.so.cache", "/etc/ld.so.cache",
+- "--ro-bind-try", "/etc/ld.so.cache", "/etc/ld.so.cache",
+ "--ro-bind", "@storeDir@", "@storeDir@",
+ "--ro-bind", "/run/current-system", "/run/current-system",
"--ro-bind", validate_icon, validate_icon,
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/flatpak/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/flatpak/default.nix
index 97410fda0d3..40e07c59074 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/flatpak/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/flatpak/default.nix
@@ -49,18 +49,19 @@
, dconf
, gsettings-desktop-schemas
, librsvg
+, makeWrapper
}:
stdenv.mkDerivation rec {
pname = "flatpak";
- version = "1.10.2";
+ version = "1.12.2";
# TODO: split out lib once we figure out what to do with triggerdir
outputs = [ "out" "dev" "man" "doc" "devdoc" "installedTests" ];
src = fetchurl {
url = "https://github.com/flatpak/flatpak/releases/download/${version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-2xUnOdBy+P8pnk6IjYljobRTjaexDguGUlvkOPLh3eQ=";
+ sha256 = "df1eb464f9142c11627f99f04f6a5c02c868bbb145489b8902cb6c105e774b75"; # Taken from https://github.com/flatpak/flatpak/releases/
};
patches = [
@@ -90,25 +91,19 @@ stdenv.mkDerivation rec {
# Patch taken from gtk-doc expression.
./respect-xml-catalog-files-var.patch
- # Don’t hardcode flatpak binary path in launchers stored under user’s profile otherwise they will break after Flatpak update.
- # https://github.com/NixOS/nixpkgs/issues/43581
- ./use-flatpak-from-path.patch
-
- # Hardcode flatpak binary path for flatpak-spawn.
- # When calling the portal’s Spawn command with FLATPAK_SPAWN_FLAGS_CLEAR_ENV flag,
- # it will clear environment, including PATH, making the flatpak run fail.
- # https://github.com/flatpak/flatpak/pull/4174
- (fetchpatch {
- url = "https://github.com/flatpak/flatpak/commit/495449daf6d3c072519a36c9e4bc6cc1da4d31db.patch";
- sha256 = "gOX/sGupAE7Yg3MVrMhFXzWHpFn+izVyjtkuPzIckuY=";
- })
-
# Nix environment hacks should not leak into the apps.
# https://github.com/NixOS/nixpkgs/issues/53441
./unset-env-vars.patch
# But we want the GDK_PIXBUF_MODULE_FILE from the wrapper affect the icon validator.
./validate-icon-pixbuf.patch
+
+ # Tests don't respect the FLATPAK_BINARY override that was added, this is a workaround.
+ # https://github.com/flatpak/flatpak/pull/4496 (Can be removed once included).
+ (fetchpatch {
+ url = "https://github.com/flatpak/flatpak/commit/96dbe28cfa96e80b23fa1d8072eb36edad41279c.patch";
+ sha256 = "1jczk06ymfs98h3nsg245g0jwxvml7wg2x6pb7mrfpsdmrpz2czd";
+ })
];
nativeBuildInputs = [
@@ -189,7 +184,14 @@ stdenv.mkDerivation rec {
in ''
patchShebangs buildutil
patchShebangs tests
- PATH=${lib.makeBinPath [vsc-py]}:$PATH patchShebangs --build variant-schema-compiler/variant-schema-compiler
+ PATH=${lib.makeBinPath [vsc-py]}:$PATH patchShebangs --build subprojects/variant-schema-compiler/variant-schema-compiler
+ '';
+
+ preFixup = ''
+ gappsWrapperArgs+=(
+ # Use flatpak from PATH in exported assets (e.g. desktop files).
+ --set FLATPAK_BINARY flatpak
+ )
'';
passthru = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/flatpak/use-flatpak-from-path.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/flatpak/use-flatpak-from-path.patch
deleted file mode 100644
index 84534b7bc53..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/flatpak/use-flatpak-from-path.patch
+++ /dev/null
@@ -1,50 +0,0 @@
-diff --git a/common/flatpak-dir.c b/common/flatpak-dir.c
-index 52b222ea..9489441f 100644
---- a/common/flatpak-dir.c
-+++ b/common/flatpak-dir.c
-@@ -6956,7 +6956,7 @@ export_desktop_file (const char *app,
-
- new_exec = g_string_new ("");
- g_string_append_printf (new_exec,
-- FLATPAK_BINDIR "/flatpak run --branch=%s --arch=%s",
-+ "flatpak run --branch=%s --arch=%s",
- escaped_branch,
- escaped_arch);
-
-@@ -8290,8 +8290,8 @@ flatpak_dir_deploy (FlatpakDir *self,
- error))
- return FALSE;
-
-- bin_data = g_strdup_printf ("#!/bin/sh\nexec %s/flatpak run --branch=%s --arch=%s %s \"$@\"\n",
-- FLATPAK_BINDIR, escaped_branch, escaped_arch, escaped_app);
-+ bin_data = g_strdup_printf ("#!/bin/sh\nexec flatpak run --branch=%s --arch=%s %s \"$@\"\n",
-+ escaped_branch, escaped_arch, escaped_app);
- if (!g_file_replace_contents (wrapper, bin_data, strlen (bin_data), NULL, FALSE,
- G_FILE_CREATE_REPLACE_DESTINATION, NULL, cancellable, error))
- return FALSE;
-diff --git a/tests/test-bundle.sh b/tests/test-bundle.sh
-index d1682344..5e2b9a97 100755
---- a/tests/test-bundle.sh
-+++ b/tests/test-bundle.sh
-@@ -67,7 +67,7 @@ assert_has_dir $FL_DIR/app/org.test.Hello/$ARCH/master/active/files
- assert_has_dir $FL_DIR/app/org.test.Hello/$ARCH/master/active/export
- assert_has_file $FL_DIR/exports/share/applications/org.test.Hello.desktop
- # Ensure Exec key is rewritten
--assert_file_has_content $FL_DIR/exports/share/applications/org.test.Hello.desktop "^Exec=.*/flatpak run --branch=master --arch=$ARCH --command=hello\.sh org\.test\.Hello$"
-+assert_file_has_content $FL_DIR/exports/share/applications/org.test.Hello.desktop "^Exec=flatpak run --branch=master --arch=$ARCH --command=hello\.sh org\.test\.Hello$"
- assert_has_file $FL_DIR/exports/share/icons/hicolor/64x64/apps/org.test.Hello.png
- assert_has_file $FL_DIR/exports/share/icons/HighContrast/64x64/apps/org.test.Hello.png
-
-diff --git a/tests/test-run.sh b/tests/test-run.sh
-index fecb756e..64043281 100644
---- a/tests/test-run.sh
-+++ b/tests/test-run.sh
-@@ -45,7 +45,7 @@ assert_has_dir $FL_DIR/app/org.test.Hello/$ARCH/stable/active/files
- assert_has_dir $FL_DIR/app/org.test.Hello/$ARCH/stable/active/export
- assert_has_file $FL_DIR/exports/share/applications/org.test.Hello.desktop
- # Ensure Exec key is rewritten
--assert_file_has_content $FL_DIR/exports/share/applications/org.test.Hello.desktop "^Exec=.*/flatpak run --branch=stable --arch=$ARCH --command=hello\.sh org\.test\.Hello$"
-+assert_file_has_content $FL_DIR/exports/share/applications/org.test.Hello.desktop "^Exec=flatpak run --branch=stable --arch=$ARCH --command=hello\.sh org\.test\.Hello$"
- assert_has_file $FL_DIR/exports/share/gnome-shell/search-providers/org.test.Hello.search-provider.ini
- assert_file_has_content $FL_DIR/exports/share/gnome-shell/search-providers/org.test.Hello.search-provider.ini "^DefaultDisabled=true$"
- assert_has_file $FL_DIR/exports/share/icons/hicolor/64x64/apps/org.test.Hello.png
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/folks/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/folks/default.nix
index 720c274ad52..45d3f128c01 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/folks/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/folks/default.nix
@@ -1,5 +1,7 @@
-{ fetchurl
-, lib, stdenv
+{ stdenv
+, lib
+, fetchurl
+, fetchpatch
, pkg-config
, meson
, ninja
@@ -43,6 +45,15 @@ stdenv.mkDerivation rec {
sha256 = "Idc3+vCT9L4GVHPucMogiFuaLDaFlB26JMIjn9PFRKU=";
};
+ patches = [
+ # Fix build with evolution-data-server ≥ 3.41
+ # https://gitlab.gnome.org/GNOME/folks/-/merge_requests/52
+ (fetchpatch {
+ url = "https://gitlab.gnome.org/GNOME/folks/-/commit/62d588b0c609de17df5b4d1ebfbc67c456267efc.patch";
+ sha256 = "TDL/5kvVwHnvDMuKDdPLQmpmE1FTZhY+7HG8NxKqt5w=";
+ })
+ ];
+
nativeBuildInputs = [
gettext
gobject-introspection
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/folly/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/folly/default.nix
index 91551d076dc..dcb0fc07047 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/folly/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/folly/default.nix
@@ -19,13 +19,13 @@
stdenv.mkDerivation (rec {
pname = "folly";
- version = "2021.08.23.00";
+ version = "2021.09.20.00";
src = fetchFromGitHub {
owner = "facebook";
repo = "folly";
rev = "v${version}";
- sha256 = "sha256-B+J4h12jjusA15+QRR3egmUEwYRrnegtEWMuQX+QuJk=";
+ sha256 = "sha256-aFTFUtRQOGCDR3pbpw1ViuMFm02GSq04u9GgE9pq33A=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/freeglut/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/freeglut/default.nix
index ecdc1c19ff1..0e81e2188af 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/freeglut/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/freeglut/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchurl, libXi, libXrandr, libXxf86vm, libGL, libGLU, xlibsWrapper, cmake }:
+{ lib, stdenv, fetchurl, fetchpatch, libXi, libXrandr, libXxf86vm, libGL, libGLU, xlibsWrapper, cmake }:
stdenv.mkDerivation rec {
pname = "freeglut";
@@ -9,6 +9,15 @@ stdenv.mkDerivation rec {
sha256 = "0s6sk49q8ijgbsrrryb7dzqx2fa744jhx1wck5cz5jia2010w06l";
};
+ patches = [
+ (fetchpatch {
+ # upstream build fix against -fno-common compilers like >=gcc-10
+ url = "https://github.com/dcnieho/FreeGLUT/commit/b9998bbc1e1c329f6bf69c24606a2be7a4973b8c.patch";
+ sha256 = "0j43vrnm22mz3r3c43szgcnil19cx9vcydzky9gwzqlyacr51swd";
+ stripLen = 2;
+ })
+ ];
+
outputs = [ "out" "dev" ];
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/freeimage/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/freeimage/default.nix
index 236305a572b..87997cead43 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/freeimage/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/freeimage/default.nix
@@ -18,6 +18,14 @@ stdenv.mkDerivation {
prePatch = "rm -rf Source/Lib* Source/OpenEXR Source/ZLib";
patches = [ ./unbundle.diff ];
+ postPatch = ''
+ # To support cross compilation, use the correct `pkg-config`.
+ substituteInPlace Makefile.fip \
+ --replace "pkg-config" "$PKG_CONFIG"
+ substituteInPlace Makefile.gnu \
+ --replace "pkg-config" "$PKG_CONFIG"
+ '';
+
nativeBuildInputs = [
pkg-config
] ++ lib.optionals stdenv.isDarwin [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/frei0r/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/frei0r/default.nix
index c4f99346296..ac2c43ce8db 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/frei0r/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/frei0r/default.nix
@@ -1,16 +1,26 @@
-{ lib, stdenv, fetchurl, autoconf, cairo, opencv, pkg-config }:
+{ lib, stdenv, fetchurl, fetchpatch, cairo, cmake, opencv, pcre, pkg-config }:
stdenv.mkDerivation rec {
pname = "frei0r-plugins";
- version = "1.6.1";
+ version = "1.7.0";
src = fetchurl {
url = "https://files.dyne.org/frei0r/releases/${pname}-${version}.tar.gz";
- sha256 = "0pji26fpd0dqrx1akyhqi6729s394irl73dacnyxk58ijqq4dhp0";
+ hash = "sha256-Gx/48Pm8I+7XJOlOmnwdjwJEv+M0JLtP5o5kYMCIUjo=";
};
- nativeBuildInputs = [ autoconf pkg-config ];
- buildInputs = [ cairo opencv ];
+ # A PR to add support for OpenCV 4 was merged in May 2020. This
+ # patch can be removed when a release beyond 1.7.0 is issued.
+ patches = [
+ (fetchpatch {
+ name = "opencv4-support.patch";
+ url = "https://github.com/dyne/frei0r/commit/c0c8eed79fc8abe6c9881a53d7391efb526a3064.patch";
+ sha256 = "sha256-qxUAui4EEBEj8M/SoyMUkj//KegMTTT6FTBDC/Chxz4=";
+ })
+ ];
+
+ nativeBuildInputs = [ cmake pkg-config ];
+ buildInputs = [ cairo opencv pcre ];
postInstall = lib.optionalString stdenv.hostPlatform.isDarwin ''
for f in $out/lib/frei0r-1/*.so* ; do
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gbenchmark/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gbenchmark/default.nix
index 70bd37e40d3..0bb9e58fe35 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gbenchmark/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gbenchmark/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "gbenchmark";
- version = "1.5.6";
+ version = "1.6.0";
src = fetchFromGitHub {
owner = "google";
repo = "benchmark";
rev = "v${version}";
- sha256 = "sha256-DFm5cQh1b2BX6qCDaQZ1/XBNDeIYXKWbIETYu1EjDww=";
+ sha256 = "sha256-EAJk3JhLdkuGKRMtspTLejck8doWPd7Z0Lv/Mvf3KFY=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gcr/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gcr/default.nix
index 37238a5f59e..9c97faaa45c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gcr/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gcr/default.nix
@@ -11,6 +11,9 @@
, libtasn1
, gtk3
, pango
+, libsecret
+, openssh
+, systemd
, gobject-introspection
, makeWrapper
, libxslt
@@ -22,22 +25,15 @@
stdenv.mkDerivation rec {
pname = "gcr";
- version = "3.40.0";
+ version = "3.41.0";
+
+ outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "udNkWl/ZU6VChcxk1PwEZzZGPb1NzCXK9ce1m+0wJ/U=";
+ sha256 = "CQn8SeqK1IMtJ1ZP8v0dxmZpbioHxzlBxIgp5gVy2gE=";
};
- postPatch = ''
- patchShebangs build/ gcr/fixtures/
-
- chmod +x meson_post_install.py
- patchShebangs meson_post_install.py
- '';
-
- outputs = [ "out" "dev" ];
-
nativeBuildInputs = [
pkg-config
meson
@@ -56,6 +52,9 @@ stdenv.mkDerivation rec {
libgcrypt
libtasn1
pango
+ libsecret
+ openssh
+ systemd
];
propagatedBuildInputs = [
@@ -70,10 +69,22 @@ stdenv.mkDerivation rec {
mesonFlags = [
"-Dgtk_doc=false"
+ # We are still using ssh-agent from gnome-keyring.
+ # https://github.com/NixOS/nixpkgs/issues/140824
+ "-Dssh_agent=false"
];
doCheck = false; # fails 21 out of 603 tests, needs dbus daemon
+ PKG_CONFIG_SYSTEMD_SYSTEMDUSERUNITDIR = "${placeholder "out"}/lib/systemd/user";
+
+ postPatch = ''
+ patchShebangs build/ gcr/fixtures/
+
+ chmod +x meson_post_install.py
+ patchShebangs meson_post_install.py
+ '';
+
preFixup = ''
wrapProgram "$out/bin/gcr-viewer" \
--prefix XDG_DATA_DIRS : "$GSETTINGS_SCHEMAS_PATH"
@@ -82,7 +93,6 @@ stdenv.mkDerivation rec {
passthru = {
updateScript = gnome.updateScript {
packageName = pname;
- versionPolicy = "odd-unstable";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gd/default.nix
index 36a93095603..3a64cc56397 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gd/default.nix
@@ -14,25 +14,19 @@
stdenv.mkDerivation rec {
pname = "gd";
- version = "2.3.0";
+ version = "2.3.2";
src = fetchurl {
url = "https://github.com/libgd/libgd/releases/download/${pname}-${version}/libgd-${version}.tar.xz";
- sha256 = "0n5czhxzinvjvmhkf5l9fwjdx5ip69k5k7pj6zwb6zs1k9dibngc";
+ sha256 = "1yypywkh8vphcy4qqpf51kxpb0a3r7rjqk3fc61rpn70hiq092j7";
};
hardeningDisable = [ "format" ];
patches = [
- # Fixes an issue where some other packages would fail to build
- # their documentation with an error like:
- # "Error: Problem doing text layout"
- #
- # Can be removed if Wayland can still be built successfully with
- # documentation.
(fetchpatch {
- url = "https://github.com/libgd/libgd/commit/3dd0e308cbd2c24fde2fc9e9b707181252a2de95.patch";
- excludes = [ "tests/gdimagestringft/.gitignore" ];
- sha256 = "12iqlanl9czig9d7c3rvizrigw2iacimnmimfcny392dv9iazhl1";
+ name = "CVE-2021-40812.partial.patch";
+ url = "https://github.com/libgd/libgd/commit/6f5136821be86e7068fcdf651ae9420b5d42e9a9.patch";
+ sha256 = "11rvhd23bl05ksj8z39hwrhqqjm66svr4hl3y230wrc64rvnd2d2";
})
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdal/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdal/default.nix
index ca5eabd6530..62735dfa9b0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdal/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdal/default.nix
@@ -7,13 +7,13 @@ with lib;
stdenv.mkDerivation rec {
pname = "gdal";
- version = "3.3.1";
+ version = "3.3.2";
src = fetchFromGitHub {
owner = "OSGeo";
repo = "gdal";
rev = "v${version}";
- sha256 = "0832w40a92cl8ydkv0pk7nl1ivhfhbhqbhmpqmdjpi0126jlm2y0";
+ sha256 = "sha256-fla3EMDmuW0+vmmU0sgtLsGfO7dDApLQ2EoKJeR/1IM=";
};
sourceRoot = "source/gdal";
@@ -51,7 +51,7 @@ stdenv.mkDerivation rec {
"--with-mysql=${getDev libmysqlclient}/bin/mysql_config"
"--with-geotiff=${libgeotiff}"
"--with-sqlite3=${sqlite.dev}"
- "--with-spatialite=${libspatialite}"
+ "--with-spatialite=${libspatialite.dev}"
"--with-python" # optional
"--with-proj=${proj.dev}" # optional
"--with-geos=${geos}/bin/geos-config" # optional
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdl/default.nix
index 5b73e3d7a13..6e7e1e39b38 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdl/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "gdl";
- version = "3.34.0";
+ version = "3.40.0";
src = fetchurl {
url = "mirror://gnome/sources/gdl/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "00ldva6wg6s4wlxmisiqzyz8ihsprra7sninx2rlqk6frpq312w5";
+ sha256 = "NkHU/WadHhgYrv88+f+3iH/Fw2eFC3jCjHdeukq2pVU=";
};
nativeBuildInputs = [ pkg-config intltool ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdome2/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdome2/default.nix
index a7dd1f98013..5aa1c487b4f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdome2/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdome2/default.nix
@@ -18,7 +18,10 @@ stdenv.mkDerivation {
nativeBuildInputs = [ pkg-config ];
buildInputs = [ glib libxml2 gtk-doc ];
propagatedBuildInputs = [glib libxml2];
- patches = [ ./xml-document.patch ];
+ patches = [
+ ./xml-document.patch
+ ./fno-common.patch
+ ];
meta = with lib; {
homepage = "http://gdome2.cs.unibo.it/";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdome2/fno-common.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdome2/fno-common.patch
new file mode 100644
index 00000000000..f9dc93c48fc
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gdome2/fno-common.patch
@@ -0,0 +1,11 @@
+On gcc-10 -fno-common is the default which forbids miltiple definitions.
+--- a/libgdome/xpath/gdome-xpath-xpnsresolv.h
++++ b/libgdome/xpath/gdome-xpath-xpnsresolv.h
+@@ -42,6 +42,6 @@ void gdome_xpath_xpnsresolv_ref (GdomeXPathNSResolver *self, GdomeException *exc
+ void gdome_xpath_xpnsresolv_unref (GdomeXPathNSResolver *self, GdomeException *exc);
+ GdomeDOMString * gdome_xpath_xpnsresolv_lookupNamespaceURI( GdomeXPathNSResolver *self, GdomeDOMString *prefix, GdomeException *exc);
+
+-const GdomeXPathNSResolverVtab gdome_xpath_xpnsresolv_vtab;
++extern const GdomeXPathNSResolverVtab gdome_xpath_xpnsresolv_vtab;
+
+ #endif /* GDOME_XPNSRESOLV_FILE */
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gensio/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gensio/default.nix
index 0cd2f79ea55..c4d961f4b76 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gensio/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gensio/default.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation rec {
pname = "gensio";
- version = "2.2.8";
+ version = "2.2.9";
src = fetchFromGitHub {
owner = "cminyard";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-6+hYytLMg5E1KTBPWSteVu2VjF0APkcoOiigqzrBI+U=";
+ sha256 = "sha256-SN8zMMBX02kIS9q1/7DO+t826DpmbZBO37TDZtvRT1A=";
};
passthru = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/geoclue/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/geoclue/default.nix
index 360094ea765..410c0afd8a6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/geoclue/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/geoclue/default.nix
@@ -39,6 +39,14 @@ stdenv.mkDerivation rec {
};
patches = [
+ # Fix for falling back to GeoIP when WiFi devices are not found
+ # https://gitlab.freedesktop.org/geoclue/geoclue/-/commit/2de651b6590087a2df2defe8f3d85b3cf6b91494
+ # NOTE: this should be removed when the next version is released
+ (fetchpatch {
+ url = "https://gitlab.freedesktop.org/geoclue/geoclue/commit/2de651b6590087a2df2defe8f3d85b3cf6b91494.patch";
+ sha256 = "hv7t2Hmpv2oDXiPWA7JpYD9q+cuuk+En/lJJickvFII=";
+ })
+
# Make the Mozilla API key configurable
# https://gitlab.freedesktop.org/geoclue/geoclue/merge_requests/54 (only partially backported)
(fetchpatch {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gexiv2/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gexiv2/default.nix
index c46a42049bc..a556b64f1d3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gexiv2/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gexiv2/default.nix
@@ -1,26 +1,69 @@
-{ lib, stdenv, fetchurl, meson, ninja, pkg-config, exiv2, glib, gnome, gobject-introspection, vala, gtk-doc, docbook_xsl, docbook_xml_dtd_43 }:
+{ stdenv
+, lib
+, fetchurl
+, meson
+, ninja
+, pkg-config
+, exiv2
+, glib
+, gnome
+, gobject-introspection
+, vala
+, gtk-doc
+, docbook-xsl-nons
+, docbook_xml_dtd_43
+, python3
+}:
stdenv.mkDerivation rec {
pname = "gexiv2";
- version = "0.12.2";
+ version = "0.14.0";
outputs = [ "out" "dev" "devdoc" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "IyK1UqyjMO73lySmmcUaMCNF1eB0c4V4s5i38v+XlEw=";
+ sha256 = "5YJ5pv8gtvZPpJlhXaXptXz2W6eFC3L6/fFyIanW1p4=";
};
- nativeBuildInputs = [ meson ninja pkg-config gobject-introspection vala gtk-doc docbook_xsl docbook_xml_dtd_43 ];
- buildInputs = [ glib ];
- propagatedBuildInputs = [ exiv2 ];
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ gobject-introspection
+ vala
+ gtk-doc
+ docbook-xsl-nons
+ docbook_xml_dtd_43
+ ];
+
+ buildInputs = [
+ glib
+ # Python binding overrides
+ python3
+ python3.pkgs.pygobject3
+ ];
+
+ propagatedBuildInputs = [
+ exiv2
+ ];
mesonFlags = [
"-Dgtk_doc=true"
+ "-Dpython3_girdir=${placeholder "out"}/${python3.sitePackages}/gi/overrides"
];
doCheck = true;
+ preCheck = ''
+ # Our gobject-introspection patches make the shared library paths absolute
+ # in the GIR files. When running unit tests, the library is not yet installed,
+ # though, so we need to replace the absolute path with a local one during build.
+ # We are using a symlink that will be overridden during installation.
+ mkdir -p $out/lib
+ ln -s $PWD/gexiv2/libgexiv2.so.2 $out/lib/libgexiv2.so.2
+ '';
+
passthru = {
updateScript = gnome.updateScript {
packageName = pname;
@@ -31,7 +74,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Projects/gexiv2";
description = "GObject wrapper around the Exiv2 photo metadata library";
- license = licenses.gpl2;
+ license = licenses.gpl2Plus;
platforms = platforms.unix;
maintainers = teams.gnome.members;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gjs/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gjs/default.nix
index e86775de623..29eb1b94824 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gjs/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gjs/default.nix
@@ -30,13 +30,13 @@ let
];
in stdenv.mkDerivation rec {
pname = "gjs";
- version = "1.68.2";
+ version = "1.70.0";
outputs = [ "out" "dev" "installedTests" ];
src = fetchurl {
url = "mirror://gnome/sources/gjs/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-cP8CraaC8TAzjsXMTFEQPcDlyrjVN+t2sYHsUSpl7jA=";
+ sha256 = "sha256-SwYpNBoxigI3ThE6uX+anzMlQjJp/B4LBDpf+wGGHF8=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glew/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glew/default.nix
index 53c9b5a30dc..ae32bbe9522 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glew/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glew/default.nix
@@ -43,6 +43,9 @@ stdenv.mkDerivation rec {
makeFlags = [
"SYSTEM=${if stdenv.hostPlatform.isMinGW then "mingw" else stdenv.hostPlatform.parsed.kernel.name}"
+ "CC=${stdenv.cc.targetPrefix}cc"
+ "LD=${stdenv.cc.targetPrefix}cc"
+ "AR=${stdenv.cc.targetPrefix}ar"
];
enableParallelBuilding = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glib-networking/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glib-networking/default.nix
index 690279fcf21..d5bc952597d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glib-networking/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glib-networking/default.nix
@@ -18,13 +18,13 @@
stdenv.mkDerivation rec {
pname = "glib-networking";
- version = "2.68.1";
+ version = "2.70.0";
outputs = [ "out" "installedTests" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "0c1vylxly8k7g454g02spi44ybjidlwg461vp713zxd94k8qnpfh";
+ sha256 = "0dbg1na239mbavn4hknkax5sns9q2dbdnqw9wcpmhv58mzkhid36";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glib/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glib/default.nix
index 3c8fe4d569f..4825dd98976 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glib/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glib/default.nix
@@ -45,11 +45,11 @@ in
stdenv.mkDerivation rec {
pname = "glib";
- version = "2.68.3";
+ version = "2.70.0";
src = fetchurl {
url = "mirror://gnome/sources/glib/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "0f1iprj7v0b5wn9njj39dkl25g6filfs7i4ybk20jq821k1a7qg7";
+ sha256 = "0hh7hk02fkm1bn48k4z8f3kgv9qbni5z22gizd567fn527w7s390";
};
patches = optionals stdenv.isDarwin [
@@ -134,8 +134,6 @@ stdenv.mkDerivation rec {
"-DG_DISABLE_CAST_CHECKS"
];
- hardeningDisable = [ "pie" ];
-
postPatch = ''
chmod +x gio/tests/gengiotypefuncs.py
patchShebangs gio/tests/gengiotypefuncs.py
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/2.33-master.patch.gz b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/2.33-master.patch.gz
index 59230761cd4..4a287d5c9ca 100644
Binary files a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/2.33-master.patch.gz and b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/2.33-master.patch.gz differ
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/common.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/common.nix
index e651a8effac..a715ba752ec 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/common.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/common.nix
@@ -42,7 +42,7 @@
let
version = "2.33";
- patchSuffix = "-47";
+ patchSuffix = "-50";
sha256 = "sha256-LiVWAA4QXb1X8Layoy/yzxc73k8Nhd/8z9i35RoGd/8=";
in
@@ -61,7 +61,7 @@ stdenv.mkDerivation ({
[
/* No tarballs for stable upstream branch, only https://sourceware.org/git/glibc.git and using git would complicate bootstrapping.
$ git fetch --all -p && git checkout origin/release/2.33/master && git describe
- glibc-2.33-47-gb5711025bc
+ glibc-2.33-50-gc6cadbf83a
$ git show --minimal --reverse glibc-2.33.. | gzip -9n --rsyncable - > 2.33-master.patch.gz
To compare the archive contents zdiff can be used.
@@ -120,6 +120,9 @@ stdenv.mkDerivation ({
})
./fix-x64-abi.patch
+
+ /* https://github.com/NixOS/nixpkgs/pull/137601 */
+ ./nix-nss-open-files.patch
]
++ lib.optional stdenv.hostPlatform.isMusl ./fix-rpc-types-musl-conflicts.patch
++ lib.optional stdenv.buildPlatform.isDarwin ./darwin-cross-build.patch;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/locales.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/locales.nix
index 325e0d09936..208eedd7193 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/locales.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/locales.nix
@@ -34,9 +34,9 @@ callPackage ./common.nix { inherit stdenv; } {
+ lib.optionalString (!allLocales) ''
# Check that all locales to be built are supported
echo -n '${lib.concatMapStrings (s: s + " \\\n") locales}' \
- | sort > locales-to-build.txt
+ | sort -u > locales-to-build.txt
cat ../glibc-2*/localedata/SUPPORTED | grep ' \\' \
- | sort > locales-supported.txt
+ | sort -u > locales-supported.txt
comm -13 locales-supported.txt locales-to-build.txt \
> locales-unsupported.txt
if [[ $(wc -c locales-unsupported.txt) != "0 locales-unsupported.txt" ]]; then
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/nix-nss-open-files.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/nix-nss-open-files.patch
new file mode 100644
index 00000000000..9a515c4662e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibc/nix-nss-open-files.patch
@@ -0,0 +1,51 @@
+diff --git a/nss/nss_files/files-XXX.c b/nss/nss_files/files-XXX.c
+index 1db9e46127..3a567e0224 100644
+--- a/nss/nss_files/files-XXX.c
++++ b/nss/nss_files/files-XXX.c
+@@ -75,8 +75,20 @@ internal_setent (FILE **stream)
+
+ if (*stream == NULL)
+ {
+- *stream = __nss_files_fopen (DATAFILE);
+-
++ const char *file = DATAFILE;
++
++ #ifdef NIX_DATAFILE
++ // use the Nix environment variable such as `NIX_ETC_PROTOCOLS`
++ char *path = secure_getenv (NIX_DATAFILE);
++
++ // if the environment variable is set, then read from the /nix/store entry instead
++ if (path && path[0]) {
++ file = path;
++ }
++ #endif
++
++ *stream = __nss_files_fopen (file);
++
+ if (*stream == NULL)
+ status = errno == EAGAIN ? NSS_STATUS_TRYAGAIN : NSS_STATUS_UNAVAIL;
+ }
+diff --git a/nss/nss_files/files-proto.c b/nss/nss_files/files-proto.c
+index c30bedc0aa..b321e68d3c 100644
+--- a/nss/nss_files/files-proto.c
++++ b/nss/nss_files/files-proto.c
+@@ -23,6 +23,7 @@ NSS_DECLARE_MODULE_FUNCTIONS (files)
+
+ #define ENTNAME protoent
+ #define DATABASE "protocols"
++#define NIX_DATAFILE "NIX_ETC_PROTOCOLS"
+
+ struct protoent_data {};
+
+diff --git a/nss/nss_files/files-service.c b/nss/nss_files/files-service.c
+index bfc2590699..0bff36aee5 100644
+--- a/nss/nss_files/files-service.c
++++ b/nss/nss_files/files-service.c
+@@ -24,6 +24,7 @@ NSS_DECLARE_MODULE_FUNCTIONS (files)
+
+ #define ENTNAME servent
+ #define DATABASE "services"
++#define NIX_DATAFILE "NIX_ETC_SERVICES"
+
+ struct servent_data {};
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibmm/2.68.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibmm/2.68.nix
index db713cf9ea5..1ba18898ad8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibmm/2.68.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibmm/2.68.nix
@@ -13,13 +13,13 @@
stdenv.mkDerivation rec {
pname = "glibmm";
- version = "2.68.1";
+ version = "2.70.0";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-ZmTifJqcyoHCnjVof0ny4NFzovyemMNCgxH3B9tTL4w=";
+ sha256 = "sha256-gAj9iu3cyGej+X8RPeYl9ulu+Yz3hgN5gTqcD+/9tSA=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibmm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibmm/default.nix
index 344fcb43eba..f409935372e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibmm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glibmm/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "glibmm";
- version = "2.64.5";
+ version = "2.66.2";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-UI/IbiyRQRmKoWwiWxb9a5EZF8DTgXYCZShE0Jc+o4Y=";
+ sha256 = "sha256-sqTNe5rph3lMu1ob7MEM7LZRgrm7hBhoYl1ruxI+2x0=";
};
outputs = [ "out" "dev" ];
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
updateScript = gnome.updateScript {
packageName = pname;
versionPolicy = "odd-unstable";
+ freeze = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glm/default.nix
index f5652a61c1d..ef8cd49ba29 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glm/default.nix
@@ -1,37 +1,53 @@
-{ lib, stdenv, fetchurl, fetchzip, cmake }:
+{ lib, stdenv, fetchFromGitHub, cmake }:
stdenv.mkDerivation rec {
- version = "0.9.8.5";
+ version = "0.9.9.8";
pname = "glm";
- src = fetchzip {
- url = "https://github.com/g-truc/glm/releases/download/${version}/${pname}-${version}.zip";
- sha256 = "0dkfj4hin3am9fxgcvwr5gj0h9y52x7wa03lfwb3q0bvaj1rsly2";
+ src = fetchFromGitHub {
+ owner = "g-truc";
+ repo = pname;
+ rev = version;
+ sha256 = "sha256-F//+3L5Ozrw6s7t4LrcUmO7sN30ZSESdrPAYX57zgr8=";
};
+ outputs = [ "out" "doc" ];
+
nativeBuildInputs = [ cmake ];
- outputs = [ "out" "doc" ];
+ cmakeFlags = [
+ "-DBUILD_SHARED_LIBS=OFF"
+ "-DBUILD_STATIC_LIBS=OFF"
+ "-DGLM_TEST_ENABLE=${if doCheck then "ON" else "OFF"}"
+ ];
- cmakeConfigureFlags = [ "-DGLM_INSTALL_ENABLE=off" ];
+ doCheck = true;
- # fetch newer version of platform.h which correctly supports gcc 7.3
- gcc7PlatformPatch = fetchurl {
- url = "https://raw.githubusercontent.com/g-truc/glm/384dab02e45a8ad3c1a3fa0906e0d5682c5b27b9/glm/simd/platform.h";
- sha256 = "0ym0sgwznxhfyi014xs55x3ql7r65fjs34sqb5jiaffkdhkqgzia";
- };
+ installPhase = ''
+ runHook preInstall
- postPatch = ''
- substituteInPlace CMakeLists.txt \
- --replace '"''${CMAKE_CURRENT_BINARY_DIR}/''${GLM_INSTALL_CONFIGDIR}' '"''${GLM_INSTALL_CONFIGDIR}'
- cp ${gcc7PlatformPatch} glm/simd/platform.h
- '';
+ # Install header-only library
+ mkdir -p $out/include
+ cp -rv ../glm $out/include
+ rm $out/include/glm/CMakeLists.txt
+ rm $out/include/glm/detail/*.cpp
+
+ # Install CMake files
+ mkdir -p $out/lib
+ cp -rv ../cmake $out/lib
+ substituteInPlace $out/lib/cmake/glm/glmConfig.cmake \
+ --replace 'GLM_INCLUDE_DIRS ''${_IMPORT_PREFIX}' "GLM_INCLUDE_DIRS $out/include"
- NIX_CFLAGS_COMPILE = lib.optionalString stdenv.isDarwin "-DGLM_COMPILER=0";
+ # Install pkg-config file
+ mkdir -p $out/lib/pkgconfig
+ substituteAll ${./glm.pc.in} $out/lib/pkgconfig/glm.pc
- postInstall = ''
+ # Install docs
mkdir -p $doc/share/doc/glm
- cp -rv $NIX_BUILD_TOP/$sourceRoot/doc/* $doc/share/doc/glm
+ cp -rv ../doc/api $doc/share/doc/glm/html
+ cp -v ../doc/manual.pdf $doc/share/doc/glm
+
+ runHook postInstall
'';
meta = with lib; {
@@ -41,10 +57,10 @@ stdenv.mkDerivation rec {
graphics software based on the OpenGL Shading Language (GLSL)
specification and released under the MIT license.
'';
- homepage = "http://glm.g-truc.net/";
+ homepage = "https://github.com/g-truc/glm";
license = licenses.mit;
platforms = platforms.unix;
- maintainers = with lib.maintainers; [ ];
+ maintainers = with maintainers; [ smancill ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glm/glm.pc.in b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glm/glm.pc.in
new file mode 100644
index 00000000000..e196c793d1d
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/glm/glm.pc.in
@@ -0,0 +1,7 @@
+prefix=@out@
+includedir=@out@/include
+
+Name: GLM
+Description: OpenGL Mathematics
+Version: @version@
+Cflags: -I${includedir}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/globalarrays/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/globalarrays/default.nix
index 8b01f9f6814..a2e983ece83 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/globalarrays/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/globalarrays/default.nix
@@ -13,8 +13,8 @@ stdenv.mkDerivation rec {
sha256 = "0bky91ncz6vy0011ps9prsnq9f4a5s5xwr23kkmi39xzg0417mnd";
};
- nativeBuildInputs = [ autoreconfHook ];
- buildInputs = [ mpi blas gfortran openssh ];
+ nativeBuildInputs = [ autoreconfHook gfortran ];
+ buildInputs = [ mpi blas openssh ];
preConfigure = ''
configureFlagsArray+=( "--enable-i8" \
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gmime/2.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gmime/2.nix
index 0e10d12ed1f..f5575bd20c8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gmime/2.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gmime/2.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchurl, pkg-config, glib, zlib, gnupg, libgpgerror, gobject-introspection }:
+{ lib, stdenv, fetchurl, pkg-config, glib, zlib, gnupg, libgpg-error, gobject-introspection }:
stdenv.mkDerivation rec {
version = "2.6.23";
@@ -12,7 +12,7 @@ stdenv.mkDerivation rec {
outputs = [ "out" "dev" ];
nativeBuildInputs = [ pkg-config gobject-introspection ];
- propagatedBuildInputs = [ glib zlib libgpgerror ];
+ propagatedBuildInputs = [ glib zlib libgpg-error ];
configureFlags = [ "--enable-introspection=yes" ];
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gmp/5.1.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gmp/5.1.x.nix
index 73061a3d2ef..ea6a7ee4231 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gmp/5.1.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gmp/5.1.x.nix
@@ -75,7 +75,6 @@ let self = stdenv.mkDerivation rec {
platforms = platforms.all;
badPlatforms = [ "x86_64-darwin" ];
- maintainers = [ maintainers.peti ];
};
};
in self
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gmp/6.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gmp/6.x.nix
index 177c1567052..6228b6aaab9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gmp/6.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gmp/6.x.nix
@@ -82,7 +82,7 @@ let self = stdenv.mkDerivation rec {
'';
platforms = platforms.all;
- maintainers = [ maintainers.peti maintainers.vrthra ];
+ maintainers = [ maintainers.vrthra ];
};
};
in self
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gobject-introspection/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gobject-introspection/default.nix
index e6a1ad979b9..25b7770101d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gobject-introspection/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gobject-introspection/default.nix
@@ -26,7 +26,7 @@
stdenv.mkDerivation rec {
pname = "gobject-introspection";
- version = "1.68.0";
+ version = "1.70.0";
# outputs TODO: share/gobject-introspection-1.0/tests is needed during build
# by pygobject3 (and maybe others), but it's only searched in $out
@@ -35,7 +35,7 @@ stdenv.mkDerivation rec {
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "09sawnv3xj9pzgy2qrrk87dl3jibfphnswb61i5bh0d2h4j28afj";
+ sha256 = "0jpwraip7pwl9bf9s59am3r7074p34fasvfb5ym1fb8hwc34jawh";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvas/2.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvas/2.x.nix
index 4194064a5a2..1f2966695d8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvas/2.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvas/2.x.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchurl, pkg-config, gettext, gtk-doc, gobject-introspection, python2, gtk3, cairo, glib }:
+{ lib, stdenv, fetchurl, pkg-config, gettext, gtk-doc, gobject-introspection, python2, gtk3, cairo, glib, gnome }:
stdenv.mkDerivation rec {
pname = "goocanvas";
@@ -20,6 +20,15 @@ stdenv.mkDerivation rec {
PKG_CONFIG_GOBJECT_INTROSPECTION_1_0_GIRDIR = "$(dev)/share/gir-1.0";
PKG_CONFIG_GOBJECT_INTROSPECTION_1_0_TYPELIBDIR = "$(out)/lib/girepository-1.0";
+ passthru = {
+ updateScript = gnome.updateScript {
+ attrPath = "${pname}${lib.versions.major version}";
+ packageName = pname;
+ versionPolicy = "odd-unstable";
+ freeze = true;
+ };
+ };
+
meta = with lib; {
description = "Canvas widget for GTK based on the the Cairo 2D library";
homepage = "https://wiki.gnome.org/Projects/GooCanvas";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvas/3.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvas/3.x.nix
index c5decffe74e..8a07f31b818 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvas/3.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvas/3.x.nix
@@ -9,6 +9,7 @@
, cairo
, gtk3
, glib
+, gnome
}:
stdenv.mkDerivation rec {
@@ -39,6 +40,14 @@ stdenv.mkDerivation rec {
PKG_CONFIG_GOBJECT_INTROSPECTION_1_0_GIRDIR = "$(dev)/share/gir-1.0";
PKG_CONFIG_GOBJECT_INTROSPECTION_1_0_TYPELIBDIR = "$(out)/lib/girepository-1.0";
+ passthru = {
+ updateScript = gnome.updateScript {
+ attrPath = "${pname}${lib.versions.major version}";
+ packageName = pname;
+ versionPolicy = "odd-unstable";
+ };
+ };
+
meta = with lib; {
description = "Canvas widget for GTK based on the the Cairo 2D library";
homepage = "https://wiki.gnome.org/Projects/GooCanvas";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvas/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvas/default.nix
index 1bc763349a8..03268fb024c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvas/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvas/default.nix
@@ -15,7 +15,8 @@ stdenv.mkDerivation rec {
passthru = {
updateScript = gnome.updateScript {
packageName = pname;
- versionPolicy = "none";
+ versionPolicy = "odd-unstable";
+ freeze = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvasmm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvasmm/default.nix
index 4e706f40987..76420800865 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvasmm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/goocanvasmm/default.nix
@@ -19,7 +19,7 @@ stdenv.mkDerivation rec {
updateScript = gnome.updateScript {
packageName = pname;
attrPath = "goocanvasmm2";
- versionPolicy = "odd-unstable";
+ versionPolicy = "none"; # stable version has not been released yet, last update 2015
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gpgme/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gpgme/default.nix
index 9cbf5c39a7e..263807c2fc1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gpgme/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gpgme/default.nix
@@ -1,5 +1,5 @@
{ lib, stdenv, fetchurl, fetchpatch
-, autoreconfHook, libgpgerror, gnupg, pkg-config, glib, pth, libassuan
+, autoreconfHook, libgpg-error, gnupg, pkg-config, glib, pth, libassuan
, file, which, ncurses
, texinfo
, buildPackages
@@ -21,22 +21,10 @@ stdenv.mkDerivation rec {
};
patches = [
- (fetchpatch { # probably included in > 1.16.0
- name = "test_t-edit-sign.diff"; # we experienced segmentation fault in this test
- urls = [
- "https://files.gnupg.net/file/data/w43xz2zf73pnyqk5mm5l/PHID-FILE-hm2x5mjntsdyxrxve5tb/file"
- "https://git.gnupg.org/cgi-bin/gitweb.cgi?p=gpgme.git;a=patch;h=81a33ea5e1b86d586b956e893a5b25c4cd41c969"
- ];
- sha256 = "1xxvv0kc9wdj5hzpddzs3cn8dhmm2cb29224a7h9vairraq5272h";
- })
- (fetchpatch { # gpg: Send --with-keygrip when listing keys
- name = "c4cf527ea227edb468a84bf9b8ce996807bd6992.patch";
- urls = [
- "https://files.gnupg.net/file/data/2ufcg7ny5jdnv7hmewb4/PHID-FILE-7iwvryn2btti6txr3bsz/file"
- "http://git.gnupg.org/cgi-bin/gitweb.cgi?p=gpgme.git;a=patch;h=c4cf527ea227edb468a84bf9b8ce996807bd6992"
- ];
- sha256 = "0y0b0lb2nq5p9kx13b59b2jaz157mvflliw1qdvg1v1hynvgb8m4";
- })
+ # probably included in > 1.16.0
+ ./test_t-edit-sign.diff
+ # https://dev.gnupg.org/rMc4cf527ea227edb468a84bf9b8ce996807bd6992
+ ./fix_gpg_list_keys.diff
# https://lists.gnupg.org/pipermail/gnupg-devel/2020-April/034591.html
(fetchpatch {
name = "0001-Fix-python-tests-on-non-Linux.patch";
@@ -52,7 +40,7 @@ stdenv.mkDerivation rec {
outputBin = "dev"; # gpgme-config; not so sure about gpgme-tool
propagatedBuildInputs =
- [ libgpgerror glib libassuan pth ]
+ [ libgpg-error glib libassuan pth ]
++ lib.optional (qtbase != null) qtbase;
nativeBuildInputs = [ pkg-config gnupg texinfo autoreconfHook ]
@@ -64,7 +52,7 @@ stdenv.mkDerivation rec {
configureFlags = [
"--enable-fixed-path=${gnupg}/bin"
- "--with-libgpg-error-prefix=${libgpgerror.dev}"
+ "--with-libgpg-error-prefix=${libgpg-error.dev}"
"--with-libassuan-prefix=${libassuan.dev}"
] ++ lib.optional pythonSupport "--enable-languages=python"
# Tests will try to communicate with gpg-agent instance via a UNIX socket
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gpgme/fix_gpg_list_keys.diff b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gpgme/fix_gpg_list_keys.diff
new file mode 100644
index 00000000000..bd8da4edd6e
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gpgme/fix_gpg_list_keys.diff
@@ -0,0 +1,12 @@
+diff --git a/src/engine-gpg.c b/src/engine-gpg.c
+index b51ea173..4e74665e 100644
+--- a/src/engine-gpg.c
++++ b/src/engine-gpg.c
+@@ -3005,6 +3005,7 @@ gpg_keylist_build_options (engine_gpg_t gpg, int secret_only,
+ gpg_error_t err;
+
+ err = add_arg (gpg, "--with-colons");
++ err = add_arg (gpg, "--with-keygrip");
+
+ /* Since gpg 2.1.15 fingerprints are always printed, thus there is
+ * no more need to explicitly request them. */
\ No newline at end of file
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gpgme/test_t-edit-sign.diff b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gpgme/test_t-edit-sign.diff
new file mode 100644
index 00000000000..55075b9eb12
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gpgme/test_t-edit-sign.diff
@@ -0,0 +1,125 @@
+From 81a33ea5e1b86d586b956e893a5b25c4cd41c969 Mon Sep 17 00:00:00 2001
+From: =?UTF-8?q?Ingo=20Kl=C3=B6cker?=
+Date: Sat, 26 Jun 2021 18:02:47 +0200
+Subject: [PATCH] core: Fix use-after-free issue in test
+
+* tests/gpg/t-edit-sign.c (sign_key, verify_key_signature): New.
+(main): Factored out signing and verifying the result.
+--
+
+Factoring the two steps of the test into different functions fixes the
+use-after-free issue that was caused by accidentaly using a variable
+of the first step in the second step.
+
+GnuPG-bug-id: 5509
+---
+ tests/gpg/t-edit-sign.c | 54 ++++++++++++++++++++++++++++-------------
+ 1 file changed, 37 insertions(+), 17 deletions(-)
+
+diff --git a/tests/gpg/t-edit-sign.c b/tests/gpg/t-edit-sign.c
+index 2f983622..e0494c54 100644
+--- a/tests/gpg/t-edit-sign.c
++++ b/tests/gpg/t-edit-sign.c
+@@ -107,31 +107,19 @@ interact_fnc (void *opaque, const char *status, const char *args, int fd)
+ }
+
+
+-int
+-main (int argc, char **argv)
++void
++sign_key (const char *key_fpr, const char *signer_fpr)
+ {
+ gpgme_ctx_t ctx;
+ gpgme_error_t err;
+ gpgme_data_t out = NULL;
+- const char *signer_fpr = "A0FF4590BB6122EDEF6E3C542D727CC768697734"; /* Alpha Test */
+ gpgme_key_t signing_key = NULL;
+- const char *key_fpr = "D695676BDCEDCC2CDD6152BCFE180B1DA9E3B0B2"; /* Bravo Test */
+ gpgme_key_t key = NULL;
+- gpgme_key_t signed_key = NULL;
+- gpgme_user_id_t signed_uid = NULL;
+- gpgme_key_sig_t key_sig = NULL;
+ char *agent_info;
+- int mode;
+-
+- (void)argc;
+- (void)argv;
+-
+- init_gpgme (GPGME_PROTOCOL_OpenPGP);
+
+ err = gpgme_new (&ctx);
+ fail_if_err (err);
+
+- /* Sign the key */
+ agent_info = getenv("GPG_AGENT_INFO");
+ if (!(agent_info && strchr (agent_info, ':')))
+ gpgme_set_passphrase_cb (ctx, passphrase_cb, 0);
+@@ -159,8 +147,23 @@ main (int argc, char **argv)
+ gpgme_data_release (out);
+ gpgme_key_unref (key);
+ gpgme_key_unref (signing_key);
++ gpgme_release (ctx);
++}
++
++
++void
++verify_key_signature (const char *key_fpr, const char *signer_keyid)
++{
++ gpgme_ctx_t ctx;
++ gpgme_error_t err;
++ gpgme_key_t signed_key = NULL;
++ gpgme_user_id_t signed_uid = NULL;
++ gpgme_key_sig_t key_sig = NULL;
++ int mode;
++
++ err = gpgme_new (&ctx);
++ fail_if_err (err);
+
+- /* Verify the key signature */
+ mode = gpgme_get_keylist_mode (ctx);
+ mode |= GPGME_KEYLIST_MODE_SIGS;
+ err = gpgme_set_keylist_mode (ctx, mode);
+@@ -168,7 +171,7 @@ main (int argc, char **argv)
+ err = gpgme_get_key (ctx, key_fpr, &signed_key, 0);
+ fail_if_err (err);
+
+- signed_uid = key->uids;
++ signed_uid = signed_key->uids;
+ if (!signed_uid)
+ {
+ fprintf (stderr, "Signed key has no user IDs\n");
+@@ -180,7 +183,7 @@ main (int argc, char **argv)
+ exit (1);
+ }
+ key_sig = signed_uid->signatures->next;
+- if (strcmp ("2D727CC768697734", key_sig->keyid))
++ if (strcmp (signer_keyid, key_sig->keyid))
+ {
+ fprintf (stderr, "Unexpected key ID in second user ID sig: %s\n",
+ key_sig->keyid);
+@@ -196,6 +199,23 @@ main (int argc, char **argv)
+
+ gpgme_key_unref (signed_key);
+ gpgme_release (ctx);
++}
++
++
++int
++main (int argc, char **argv)
++{
++ const char *signer_fpr = "A0FF4590BB6122EDEF6E3C542D727CC768697734"; /* Alpha Test */
++ const char *signer_keyid = signer_fpr + strlen(signer_fpr) - 16;
++ const char *key_fpr = "D695676BDCEDCC2CDD6152BCFE180B1DA9E3B0B2"; /* Bravo Test */
++
++ (void)argc;
++ (void)argv;
++
++ init_gpgme (GPGME_PROTOCOL_OpenPGP);
++
++ sign_key (key_fpr, signer_fpr);
++ verify_key_signature (key_fpr, signer_keyid);
+
+ return 0;
+ }
+--
+2.32.0
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/grilo-plugins/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/grilo-plugins/default.nix
index 13ec503fae0..ed8f8edd656 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/grilo-plugins/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/grilo-plugins/default.nix
@@ -31,11 +31,11 @@
stdenv.mkDerivation rec {
pname = "grilo-plugins";
- version = "0.3.13";
+ version = "0.3.14";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "HEMF1nNkqTBUODbMGYLzDpRpc7j/avPv4x2HcJq1IPg=";
+ sha256 = "aGhEs07HOySTH/bMT2Az8AcpR6bbYKzcf7Pq8Velgcg=";
};
patches = [
@@ -95,7 +95,7 @@ stdenv.mkDerivation rec {
homepage = "https://wiki.gnome.org/Projects/Grilo";
description = "A collection of plugins for the Grilo framework";
maintainers = teams.gnome.members;
- license = licenses.lgpl21;
+ license = licenses.lgpl21Plus;
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/grilo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/grilo/default.nix
index 1b8c46394da..16897c6f044 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/grilo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/grilo/default.nix
@@ -1,32 +1,62 @@
-{ lib, stdenv, fetchurl, meson, ninja, pkg-config, gettext, vala, glib, liboauth, gtk3
-, gtk-doc, docbook_xsl, docbook_xml_dtd_43
-, libxml2, gnome, gobject-introspection, libsoup, totem-pl-parser }:
+{ stdenv
+, lib
+, fetchurl
+, meson
+, ninja
+, pkg-config
+, gettext
+, vala
+, glib
+, liboauth
+, gtk3
+, gtk-doc
+, docbook-xsl-nons
+, docbook_xml_dtd_43
+, libxml2
+, gnome
+, gobject-introspection
+, libsoup
+, totem-pl-parser
+}:
-let
+stdenv.mkDerivation rec {
pname = "grilo";
- version = "0.3.13"; # if you change minor, also change ./setup-hook.sh
-in stdenv.mkDerivation rec {
- name = "${pname}-${version}";
+ version = "0.3.14"; # if you change minor, also change ./setup-hook.sh
outputs = [ "out" "dev" "man" "devdoc" ];
outputBin = "dev";
+ setupHook = ./setup-hook.sh;
+
src = fetchurl {
- url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${name}.tar.xz";
- sha256 = "0ywjvh7xw4ql1q4fvl0q5n06n08pga1g1nc9l7c3x5214gr3fj6i";
+ url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
+ sha256 = "A2nQsAuw9Zul966oz8Zl843xSltBgtKMfB4s0VtRh0M=";
};
- setupHook = ./setup-hook.sh;
-
mesonFlags = [
"-Denable-gtk-doc=true"
];
nativeBuildInputs = [
- meson ninja pkg-config gettext gobject-introspection vala
- gtk-doc docbook_xsl docbook_xml_dtd_43
+ meson
+ ninja
+ pkg-config
+ gettext
+ gobject-introspection
+ vala
+ gtk-doc
+ docbook-xsl-nons
+ docbook_xml_dtd_43
+ ];
+
+ buildInputs = [
+ glib
+ liboauth
+ gtk3
+ libxml2
+ libsoup
+ totem-pl-parser
];
- buildInputs = [ glib liboauth gtk3 libxml2 libsoup totem-pl-parser ];
passthru = {
updateScript = gnome.updateScript {
@@ -39,7 +69,7 @@ in stdenv.mkDerivation rec {
homepage = "https://wiki.gnome.org/Projects/Grilo";
description = "Framework that provides access to various sources of multimedia content, using a pluggable system";
maintainers = teams.gnome.members;
- license = licenses.lgpl2;
+ license = licenses.lgpl2Plus;
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/grpc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/grpc/default.nix
index f015773e204..5d2b58aa3db 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/grpc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/grpc/default.nix
@@ -17,13 +17,13 @@
stdenv.mkDerivation rec {
pname = "grpc";
- version = "1.39.1"; # N.B: if you change this, change pythonPackages.grpcio-tools to a matching version too
+ version = "1.41.0"; # N.B: if you change this, change pythonPackages.grpcio-tools to a matching version too
src = fetchFromGitHub {
owner = "grpc";
repo = "grpc";
rev = "v${version}";
- sha256 = "1yrr04g6faphh4hwzryqrwzgcr0hqqh05x9mc3vhpzmdkrrbz4zn";
+ sha256 = "1mcgnzwc2mcdpcfhc1b37vff0biwyd3v0a2ack58wgf4336pzlsb";
fetchSubmodules = true;
};
@@ -33,6 +33,13 @@ stdenv.mkDerivation rec {
url = "https://github.com/grpc/grpc/commit/2f4cf1d9265c8e10fb834f0794d0e4f3ec5ae10e.patch";
sha256 = "0ams3jmgh9yzwmxcg4ifb34znamr7pb4qm0609kvil9xqvkqz963";
})
+
+ # Revert gRPC C++ Mutex to be an alias of Abseil, because it breaks dependent packages
+ (fetchpatch {
+ url = "https://github.com/grpc/grpc/commit/931f91b745cd5b2864a0d1787815871d0bd844ae.patch";
+ sha256 = "0vc93g2i4982ys4gzyaxdv9ni25yk10sxq3n7fkz8dypy8sylck7";
+ revert = true;
+ })
];
nativeBuildInputs = [ cmake pkg-config ]
@@ -50,7 +57,12 @@ stdenv.mkDerivation rec {
"-DgRPC_ABSL_PROVIDER=package"
"-DBUILD_SHARED_LIBS=ON"
"-DCMAKE_SKIP_BUILD_RPATH=OFF"
- "-DCMAKE_CXX_STANDARD=17"
+ # Needs to be compiled with -std=c++11 for clang < 11. Interestingly this is
+ # only an issue with the useLLVM stdenv, not the darwin stdenv…
+ # https://github.com/grpc/grpc/issues/26473#issuecomment-860885484
+ (if (stdenv.hostPlatform.useLLVM or false) && lib.versionOlder stdenv.cc.cc.version "11.0"
+ then "-DCMAKE_CXX_STANDARD=11"
+ else "-DCMAKE_CXX_STANDARD=17")
] ++ lib.optionals (stdenv.hostPlatform != stdenv.buildPlatform) [
"-D_gRPC_PROTOBUF_PROTOC_EXECUTABLE=${buildPackages.protobuf}/bin/protoc"
];
@@ -61,7 +73,12 @@ stdenv.mkDerivation rec {
rm -vf BUILD
'';
- preBuild = ''
+ # When natively compiling, grpc_cpp_plugin is executed from the build directory,
+ # needing to load dynamic libraries from the build directory, so we set
+ # LD_LIBRARY_PATH to enable this. When cross compiling we need to avoid this,
+ # since it can cause the grpc_cpp_plugin executable from buildPackages to
+ # crash if build and host architecture are compatible (e. g. pkgsLLVM).
+ preBuild = lib.optionalString (stdenv.hostPlatform == stdenv.buildPlatform) ''
export LD_LIBRARY_PATH=$(pwd)''${LD_LIBRARY_PATH:+:}$LD_LIBRARY_PATH
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gsettings-desktop-schemas/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gsettings-desktop-schemas/default.nix
index b4dc200f980..483ee0b0849 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gsettings-desktop-schemas/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gsettings-desktop-schemas/default.nix
@@ -12,11 +12,11 @@
stdenv.mkDerivation rec {
pname = "gsettings-desktop-schemas";
- version = "40.0";
+ version = "41.0";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "11an29br55dp0b26kfqlrfxj19glfrmhcdpds2n1w9n04gq3pf7i";
+ sha256 = "dyiZcuWW0ERYPwwFYwbY8dvYrc+RKRClDaCmY+ZTMu0=";
};
strictDeps = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gsound/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gsound/default.nix
index bcd39ce2273..13a8aaa2f19 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gsound/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gsound/default.nix
@@ -1,15 +1,15 @@
-{ lib, stdenv, fetchurl, pkg-config, glib, vala, libcanberra, gobject-introspection, libtool, gnome }:
+{ lib, stdenv, fetchurl, pkg-config, glib, vala, libcanberra, gobject-introspection, libtool, gnome, meson, ninja }:
stdenv.mkDerivation rec {
pname = "gsound";
- version = "1.0.2";
+ version = "1.0.3";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "bba8ff30eea815037e53bee727bbd5f0b6a2e74d452a7711b819a7c444e78e53";
+ sha256 = "06l80xgykj7x1kqkjvcq06pwj2rmca458zvs053qc55x3sg06bfa";
};
- nativeBuildInputs = [ pkg-config gobject-introspection libtool vala ];
+ nativeBuildInputs = [ pkg-config meson ninja gobject-introspection libtool vala ];
buildInputs = [ glib libcanberra ];
passthru = {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/bad/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/bad/default.nix
index 5723323defd..de6ccd15378 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/bad/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/bad/default.nix
@@ -55,6 +55,7 @@
, opencv4
, openexr
, openh264
+, libopenmpt
, pango
, rtmpdump
, sbc
@@ -143,6 +144,7 @@ stdenv.mkDerivation rec {
mpeg2dec
libmicrodns
openjpeg
+ libopenmpt
libopus
librsvg
curl.dev
@@ -231,6 +233,7 @@ stdenv.mkDerivation rec {
mesonFlags = [
"-Dexamples=disabled" # requires many dependencies and probably not useful for our users
"-Ddoc=disabled" # `hotdoc` not packaged in nixpkgs as of writing
+ "-Dglib-asserts=disabled" # asserts should be disabled on stable releases
"-Davtp=disabled"
"-Ddts=disabled" # required `libdca` library not packaged in nixpkgs as of writing, and marked as "BIG FAT WARNING: libdca is still in early development"
@@ -250,7 +253,6 @@ stdenv.mkDerivation rec {
# is needed, and then patching upstream to find it (though it probably
# already works on Arch?).
"-Dmusepack=disabled"
- "-Dopenmpt=disabled" # `libopenmpt` not packaged in nixpkgs as of writing
"-Dopenni2=disabled" # not packaged in nixpkgs as of writing
"-Dopensles=disabled" # not packaged in nixpkgs as of writing
"-Dsctp=disabled" # required `usrsctp` library not packaged in nixpkgs as of writing
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/base/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/base/default.nix
index 3e00050ada2..1edadf0a51b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/base/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/base/default.nix
@@ -107,6 +107,7 @@ stdenv.mkDerivation rec {
"-Dgl_winsys=${lib.concatStringsSep "," (lib.optional enableX11 "x11" ++ lib.optional enableWayland "wayland" ++ lib.optional enableCocoa "cocoa")}"
] ++ lib.optionals (stdenv.buildPlatform != stdenv.hostPlatform) [
"-Dintrospection=disabled"
+ "-Dtests=disabled"
]
++ lib.optional (!enableX11) "-Dx11=disabled"
# TODO How to disable Wayland?
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/good/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/good/default.nix
index 86684893efd..bc1656c191a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/good/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/good/default.nix
@@ -120,6 +120,7 @@ stdenv.mkDerivation rec {
mesonFlags = [
"-Dexamples=disabled" # requires many dependencies and probably not useful for our users
"-Ddoc=disabled" # `hotdoc` not packaged in nixpkgs as of writing
+ "-Dglib-asserts=disabled" # asserts should be disabled on stable releases
] ++ lib.optionals (!qt5Support) [
"-Dqt5=disabled"
] ++ lib.optionals (!gtkSupport) [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/gstreamermm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/gstreamermm/default.nix
index 7123aa0d3ac..76adcc1fae3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/gstreamermm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gstreamer/gstreamermm/default.nix
@@ -18,7 +18,8 @@ stdenv.mkDerivation rec {
passthru = {
updateScript = gnome.updateScript {
- packageName = "gst_all_1.gstreamermm";
+ attrPath = "gst_all_1.gstreamermm";
+ packageName = "gstreamermm";
versionPolicy = "odd-unstable";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk-frdp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk-frdp/default.nix
index e6c6d939193..b7c2d5d3aa9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk-frdp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk-frdp/default.nix
@@ -9,19 +9,19 @@
, glib
, gtk3
, freerdp
-, nix-update-script
+, unstableGitUpdater
}:
stdenv.mkDerivation rec {
pname = "gtk-frdp";
- version = "3.37.1-unstable-2020-10-26";
+ version = "unstable-2021-10-01";
src = fetchFromGitLab {
domain = "gitlab.gnome.org";
owner = "GNOME";
repo = pname;
- rev = "805721e82ca1df6a50da3b5bd3b75d6747016482";
- sha256 = "q/UFKYj3LUkAzll3KeKd6oec0GJnDtTuFMTTatKFlcs=";
+ rev = "9c15c1202ed66fe20334e33d798cc5ebd39917f0";
+ sha256 = "2YOLpyd26qWQKvneH4ww2DS8h/ZNYDmfbYIjQDvDMko=";
};
nativeBuildInputs = [
@@ -39,8 +39,10 @@ stdenv.mkDerivation rec {
];
passthru = {
- updateScript = nix-update-script {
- attrPath = pname;
+ updateScript = unstableGitUpdater {
+ # The updater tries src.url by default, which does not exist for fetchFromGitHub (fetchurl).
+ url = "${meta.homepage}.git";
+ branch = "gtk-frdp-0-1";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk-mac-integration/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk-mac-integration/default.nix
index f244a97edf2..f9d41916ecd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk-mac-integration/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk-mac-integration/default.nix
@@ -2,14 +2,14 @@
stdenv.mkDerivation rec {
pname = "gtk-mac-integration";
- version = "2.1.3";
+ version = "3.0.1";
src = fetchFromGitLab {
domain = "gitlab.gnome.org";
owner = "GNOME";
repo = pname;
rev = "${pname}-${version}";
- sha256 = "1w0agv4r0daklv5d2f3l0c10krravjq8bj9hsdsrpka48dbnqmap";
+ sha256 = "0sc0m3p8r5xfh5i4d7dg72kfixx9yi4f800y43bszyr88y52jkga";
};
nativeBuildInputs = [ autoreconfHook pkg-config gtk-doc gobject-introspection ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk/3.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk/3.x.nix
index 01b98d06bf3..499615a79c1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk/3.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk/3.x.nix
@@ -76,12 +76,7 @@ stdenv.mkDerivation rec {
patches = [
./patches/3.0-immodules.cache.patch
-
- (fetchpatch {
- name = "Xft-setting-fallback-compute-DPI-properly.patch";
- url = "https://bug757142.bugzilla-attachments.gnome.org/attachment.cgi?id=344123";
- sha256 = "0g6fhqcv8spfy3mfmxpyji93k8d4p4q4fz1v9a1c1cgcwkz41d7p";
- })
+ ./patches/3.0-Xft-setting-fallback-compute-DPI-properly.patch
] ++ lib.optionals stdenv.isDarwin [
# X11 module requires which is not installed on Darwin
# let’s drop that dependency in similar way to how other parts of the library do it
@@ -207,6 +202,7 @@ stdenv.mkDerivation rec {
updateScript = gnome.updateScript {
packageName = "gtk+";
attrPath = "gtk3";
+ freeze = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk/4.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk/4.x.nix
index 8791d24ad36..78d9174d095 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk/4.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk/4.x.nix
@@ -19,15 +19,11 @@
, gdk-pixbuf
, gobject-introspection
, fribidi
+, harfbuzz
, xorg
, epoxy
-, json-glib
, libxkbcommon
, libxml2
-, librest
-, libsoup
-, ffmpeg
-, gmp
, gnome
, gsettings-desktop-schemas
, gst_all_1
@@ -37,6 +33,8 @@
, x11Support ? stdenv.isLinux
, waylandSupport ? stdenv.isLinux
, libGL
+# experimental and can cause crashes in inspector
+, vulkanSupport ? false
, vulkan-loader
, vulkan-headers
, wayland
@@ -61,7 +59,7 @@ in
stdenv.mkDerivation rec {
pname = "gtk4";
- version = "4.2.1";
+ version = "4.4.0";
outputs = [ "out" "dev" ] ++ lib.optionals x11Support [ "devdoc" ];
outputBin = "dev";
@@ -73,7 +71,7 @@ stdenv.mkDerivation rec {
src = fetchurl {
url = "mirror://gnome/sources/gtk/${lib.versions.majorMinor version}/gtk-${version}.tar.xz";
- sha256 = "AjFpd13kPwof3gZvvBnXhUXqanViwZFavem4rkpzCeY=";
+ sha256 = "4KFQj0QWhsOiDf7EivUzsZpLLgF8GOruMdzNt9KSUFs=";
};
nativeBuildInputs = [
@@ -86,27 +84,25 @@ stdenv.mkDerivation rec {
python3
sassc
gi-docgen
+ libxml2 # for xmllint
] ++ setupHooks;
buildInputs = [
libxkbcommon
epoxy
- json-glib
isocodes
- ] ++ lib.optionals (!stdenv.isDarwin) [
+ ] ++ lib.optionals vulkanSupport [
vulkan-headers
] ++ [
- librest
- libsoup
- ffmpeg
gst_all_1.gst-plugins-base
gst_all_1.gst-plugins-bad
fribidi
+ harfbuzz
] ++ (with xorg; [
libICE
libSM
- libXcomposite
libXcursor
+ libXdamage
libXi
libXrandr
libXrender
@@ -134,7 +130,7 @@ stdenv.mkDerivation rec {
glib
graphene
pango
- ] ++ lib.optionals (!stdenv.isDarwin) [
+ ] ++ lib.optionals vulkanSupport [
vulkan-loader
] ++ [
# Required for GSettings schemas at runtime.
@@ -148,10 +144,11 @@ stdenv.mkDerivation rec {
"-Dbuild-tests=false"
"-Dtracker=${if trackerSupport then "enabled" else "disabled"}"
"-Dbroadway-backend=${lib.boolToString broadwaySupport}"
+ ] ++ lib.optionals vulkanSupport [
+ "-Dvulkan=enabled"
] ++ lib.optionals (!cupsSupport) [
"-Dprint-cups=disabled"
] ++ lib.optionals stdenv.isDarwin [
- "-Dvulkan=disabled"
"-Dmedia-gstreamer=disabled" # requires gstreamer-gl
] ++ lib.optionals (!x11Support) [
"-Dx11-backend=false"
@@ -168,6 +165,7 @@ stdenv.mkDerivation rec {
postPatch = ''
files=(
build-aux/meson/post-install.py
+ build-aux/meson/gen-demo-header.py
demos/gtk-demo/geninclude.py
gdk/broadway/gen-c-array.py
gdk/gen-gdk-gresources-xml.py
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk/patches/3.0-Xft-setting-fallback-compute-DPI-properly.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk/patches/3.0-Xft-setting-fallback-compute-DPI-properly.patch
new file mode 100644
index 00000000000..247dd3ea845
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtk/patches/3.0-Xft-setting-fallback-compute-DPI-properly.patch
@@ -0,0 +1,34 @@
+From 269f2d80ea41cde17612600841fbdc32e99010f5 Mon Sep 17 00:00:00 2001
+From: Giuseppe Bilotta
+Date: Tue, 24 Jan 2017 12:30:08 +0100
+Subject: [PATCH] Xft setting fallback: compute DPI properly
+
+This is a partial revert of bdf0820c501437a2150d8ff0d5340246e713f73f. If
+the Xft DPI settings are not explicitly set, use the values provided by
+the X server rather than hard-coding the fallback value of 96.
+
+While an auto-configured Xorg already reports 96, this value can be
+overriden by the user, and we should respect the user choice in this
+case. There is no need to require them to set the same value in
+different places (the Xorg DPI settings and Xft.dpi).
+---
+ gdk/x11/gdkxftdefaults.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+diff --git a/gdk/x11/gdkxftdefaults.c b/gdk/x11/gdkxftdefaults.c
+index fa1cfde2ec..c462b78c4b 100644
+--- a/gdk/x11/gdkxftdefaults.c
++++ b/gdk/x11/gdkxftdefaults.c
+@@ -174,7 +174,8 @@ init_xft_settings (GdkScreen *screen)
+ x11_screen->xft_rgba = FC_RGBA_UNKNOWN;
+
+ if (!get_double_default (xdisplay, "dpi", &dpi_double))
+- dpi_double = 96.0;
++ dpi_double = (DisplayHeight(xdisplay, x11_screen->screen_num)*25.4)/
++ DisplayHeightMM(xdisplay, x11_screen->screen_num);
+
+ x11_screen->xft_dpi = (int)(0.5 + PANGO_SCALE * dpi_double);
+ }
+--
+2.11.0.616.gd72966cf44.dirty
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkd/default.nix
index eaf8a94d137..ed432652700 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkd/default.nix
@@ -27,6 +27,11 @@ in stdenv.mkDerivation rec {
url = "https://github.com/gtkd-developers/GtkD/commit/a9db09117ab27127ca4c3b8d2f308fae483a9199.patch";
sha256 = "0ngyqifw1kandc1vk01kms3z65pcisfd75q7z09rml96glhfzjd6";
})
+ # Fix breakage with dmd ldc 1.26 and newer
+ (fetchpatch {
+ url = "https://github.com/gtkd-developers/GtkD/commit/323ff96c648882eaca2faee170bd9e90c6e1e9c3.patch";
+ sha256 = "1rhyi0isl6fl5i6fgsinvgq6v72xq7c6sajrxcsnmrzpvw91il3d";
+ })
];
prePatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkdatabox/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkdatabox/default.nix
index ddab0046b66..0cc510ce731 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkdatabox/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkdatabox/default.nix
@@ -1,22 +1,30 @@
-{ lib, stdenv, fetchurl, pkg-config, gtk2 }:
+{ lib
+, stdenv
+, fetchurl
+, pkg-config
+, gtk3
+, pango
+, cairo
+}:
stdenv.mkDerivation rec {
- name = "gtkdatabox-0.9.3.1";
+ pname = "gtkdatabox";
+ version = "1.0.0";
src = fetchurl {
- url = "mirror://sourceforge/gtkdatabox/${name}.tar.gz";
- sha256 = "1rdxnjgh6v3yjqgsfmamyzpfxckzchps4kqvvz88nifmd7ckhjfh";
+ url = "mirror://sourceforge/gtkdatabox/${pname}-${version}.tar.gz";
+ sha256 = "1qykm551bx8j8pfgxs60l2vhpi8lv4r8va69zvn2594lchh71vlb";
};
nativeBuildInputs = [ pkg-config ];
- propagatedBuildInputs = [ gtk2 ];
+ propagatedBuildInputs = [ gtk3 pango cairo ];
meta = {
description = "GTK widget for displaying large amounts of numerical data";
-
- license = lib.licenses.lgpl2;
-
+ homepage = "https://gtkdatabox.sourceforge.io/";
+ license = lib.licenses.lgpl2Only;
platforms = lib.platforms.unix;
+ maintainers = with lib.maintainers; [ yl3dy ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkmm/3.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkmm/3.x.nix
index 2e43e58db42..734cf833fd8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkmm/3.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkmm/3.x.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
packageName = pname;
attrPath = "${pname}3";
versionPolicy = "odd-unstable";
+ freeze = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkmm/4.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkmm/4.x.nix
index 0a654d9518d..67afc4026d1 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkmm/4.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtkmm/4.x.nix
@@ -17,13 +17,13 @@
stdenv.mkDerivation rec {
pname = "gtkmm";
- version = "4.2.0";
+ version = "4.4.0";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "12x9j82y37r4v0ngs22rzp4wmw7k2bbb9d3bymcczzz7y8w4q328";
+ sha256 = "LrRkMmCW5qQMgunNB0Fk2BA/teB4ZWecCmSeQXRwDdo=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceview/4.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceview/4.x.nix
index eb92586ce84..0095b6ca9e0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceview/4.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceview/4.x.nix
@@ -1,7 +1,6 @@
{ lib
, stdenv
, fetchurl
-, fetchpatch
, meson
, ninja
, pkg-config
@@ -24,13 +23,13 @@
stdenv.mkDerivation rec {
pname = "gtksourceview";
- version = "4.8.1";
+ version = "4.8.2";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "0WPXG1/K+8Wx7sbdhB7b283dOnURzV/c/9hri7/mmsE=";
+ sha256 = "1k1pava84ywgq62xl5bz8y3zm7z2kz6kkgp423c0y02jrgjyfbc4";
};
patches = [
@@ -38,13 +37,6 @@ stdenv.mkDerivation rec {
# but not from its own datadr (it assumes it will be in XDG_DATA_DIRS).
# Since this is not generally true with Nix, let’s add $out/share unconditionally.
./4.x-nix_share_path.patch
-
- # fixes intermittent "gtksourceview-gresources.h: no such file" errors
- (fetchpatch {
- name = "ensure-access-to-resources-in-corelib-build.patch";
- url = "https://gitlab.gnome.org/GNOME/gtksourceview/-/commit/9bea9d1c4a56310701717bb106c52a5324ee392a.patch";
- sha256 = "sha256-rSB6lOFEyz58HfOSj7ZM48/tHxhqbtWWbh60JuySAZ0=";
- })
];
nativeBuildInputs = [
@@ -96,6 +88,7 @@ stdenv.mkDerivation rec {
packageName = "gtksourceview";
attrPath = "gtksourceview4";
versionPolicy = "odd-unstable";
+ freeze = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceview/5.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceview/5.x.nix
index fd4a420012c..e6ad0f1ae36 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceview/5.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceview/5.x.nix
@@ -22,13 +22,13 @@
stdenv.mkDerivation rec {
pname = "gtksourceview";
- version = "5.0.0";
+ version = "5.2.0";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "1hyrmh9r1zd5kjh5ch9d7bhk2kphbqhm7ijfxfkcdln8q0rnd0k4";
+ sha256 = "ybNPoCZU9WziL6CIJ9idtLqBYxsubX0x6mXRPHKUMOk=";
};
patches = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceviewmm/4.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceviewmm/4.x.nix
index 3011a126c10..5bdcc029c5c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceviewmm/4.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceviewmm/4.x.nix
@@ -11,6 +11,7 @@ stdenv.mkDerivation rec {
passthru = {
updateScript = gnome.updateScript {
+ attrPath = "gtksourceviewmm4";
packageName = pname;
versionPolicy = "none";
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceviewmm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceviewmm/default.nix
index 2b912403259..cdc96f3f1a0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceviewmm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gtksourceviewmm/default.nix
@@ -13,6 +13,7 @@ stdenv.mkDerivation rec {
updateScript = gnome.updateScript {
packageName = "gtksourceviewmm";
versionPolicy = "none";
+ freeze = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gvm-libs/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gvm-libs/default.nix
new file mode 100644
index 00000000000..6706057ab8c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/gvm-libs/default.nix
@@ -0,0 +1,60 @@
+{ lib
+, stdenv
+, cmake
+, fetchFromGitHub
+, glib
+, glib-networking
+, gnutls
+, gpgme
+, hiredis
+, libgcrypt
+, libnet
+, libpcap
+, libssh
+, libuuid
+, libxml2
+, pkg-config
+, zlib
+, freeradius
+}:
+
+stdenv.mkDerivation rec {
+ pname = "gvm-libs";
+ version = "21.4.2";
+
+ src = fetchFromGitHub {
+ owner = "greenbone";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "14v7z8ynd20s4pkhp2nc19n6gbmbh8yrn39yi2fbfm7gj28b52sx";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ ];
+
+ buildInputs = [
+ glib
+ glib-networking
+ gnutls
+ gpgme
+ hiredis
+ libgcrypt
+ freeradius
+ libnet
+ libpcap
+ libssh
+ libuuid
+ libxml2
+ zlib
+ ];
+
+ meta = with lib; {
+ description = "Libraries module for the Greenbone Vulnerability Management Solution";
+ homepage = "https://github.com/greenbone/gvm-libs";
+ license = with licenses; [ gpl2Plus ];
+ maintainers = with maintainers; [ fab ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/hamlib/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/hamlib/default.nix
index cd56b04c034..31b620ae5d2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/hamlib/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/hamlib/default.nix
@@ -15,7 +15,8 @@
, perlPackages
, pythonBindings ? true
, tclBindings ? true
-, perlBindings ? true
+, perlBindings ? stdenv.buildPlatform == stdenv.hostPlatform
+, buildPackages
}:
stdenv.mkDerivation rec {
@@ -27,11 +28,15 @@ stdenv.mkDerivation rec {
sha256 = "10788mgrhbc57zpzakcxv5aqnr2819pcshml6fbh8zvnkja562y9";
};
+ strictDeps = true;
+ depsBuildBuild = [ buildPackages.stdenv.cc ];
nativeBuildInputs = [
swig
pkg-config
libtool
- ];
+ ] ++ lib.optionals pythonBindings [ python3 ]
+ ++ lib.optionals tclBindings [ tcl ]
+ ++ lib.optionals perlBindings [ perl ];
buildInputs = [
gd
@@ -39,10 +44,12 @@ stdenv.mkDerivation rec {
libusb-compat-0_1
boost
] ++ lib.optionals pythonBindings [ python3 ncurses ]
- ++ lib.optionals tclBindings [ tcl ]
- ++ lib.optionals perlBindings [ perl perlPackages.ExtUtilsMakeMaker ];
+ ++ lib.optionals tclBindings [ tcl ];
+
- configureFlags = lib.optionals perlBindings [ "--with-perl-binding" ]
+ configureFlags = [
+ "CC_FOR_BUILD=${stdenv.cc.targetPrefix}cc"
+ ] ++ lib.optionals perlBindings [ "--with-perl-binding" ]
++ lib.optionals tclBindings [ "--with-tcl-binding" "--with-tcl=${tcl}/lib/" ]
++ lib.optionals pythonBindings [ "--with-python-binding" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/harfbuzz/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/harfbuzz/default.nix
index 4a12c5bcc22..e94fcc5ece9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/harfbuzz/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/harfbuzz/default.nix
@@ -32,7 +32,8 @@ let
in
stdenv.mkDerivation {
- name = "harfbuzz${optionalString withIcu "-icu"}-${version}";
+ pname = "harfbuzz${optionalString withIcu "-icu"}";
+ inherit version;
src = fetchFromGitHub {
owner = "harfbuzz";
@@ -42,8 +43,7 @@ stdenv.mkDerivation {
};
postPatch = ''
- patchShebangs src/*.py
- patchShebangs test
+ patchShebangs src/*.py test
'' + lib.optionalString stdenv.isDarwin ''
# ApplicationServices.framework headers have cast-align warnings.
substituteInPlace src/hb.hh \
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/howard-hinnant-date/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/howard-hinnant-date/default.nix
index fe807d3dfb4..13c3a4752e6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/howard-hinnant-date/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/howard-hinnant-date/default.nix
@@ -1,20 +1,23 @@
-{ lib, stdenv, fetchFromGitHub, cmake, curl, tzdata, fetchpatch, substituteAll }:
+{ lib, stdenv, fetchFromGitHub, cmake, tzdata, fetchpatch, substituteAll }:
stdenv.mkDerivation rec {
- pname = "howard-hinnant-date-unstable";
- version = "2020-03-09";
+ pname = "howard-hinnant-date";
+ version = "3.0.1";
src = fetchFromGitHub {
owner = "HowardHinnant";
repo = "date";
- rev = "4c1968b8f038483037cadfdbad3215ce21d934bb";
- sha256 = "0dywrf18v1znfnz0gdxgi2ydax466zq34gc1vvg2k7vq17a30wq3";
+ rev = "v${version}";
+ sha256 = "1qk7pgnk0bpinja28104qha6f7r1xwh5dy3gra7vjkqwl0jdwa35";
};
patches = [
+ # Add pkg-config file
+ # https://github.com/HowardHinnant/date/pull/538
(fetchpatch {
- url = "https://github.com/HowardHinnant/date/commit/e56b2dce7e89a92e1b9b35caa13b3e938c4cedea.patch";
- sha256 = "0m3qbhq7kmm9qa3jm6d2px7c1dxdj5k9lffgdvqnrwmhxwj1p9n2";
+ name = "output-date-pc-for-pkg-config.patch";
+ url = "https://git.alpinelinux.org/aports/plain/community/date/538-output-date-pc-for-pkg-config.patch?id=11f6b4d4206b0648182e7b41cd57dcc9ccea0728";
+ sha256 = "1ma0586jsd89jgwbmd2qlvlc8pshs1pc4zk5drgxi3qvp8ai1154";
})
# Without this patch, this library will drop a `tzdata` directory into
# `~/Downloads` if it cannot find `/usr/share/zoneinfo`. Make the path it
@@ -26,7 +29,6 @@ stdenv.mkDerivation rec {
];
nativeBuildInputs = [ cmake ];
- buildInputs = [ curl ];
cmakeFlags = [
"-DBUILD_TZ_LIB=true"
@@ -41,6 +43,6 @@ stdenv.mkDerivation rec {
description = "A date and time library based on the C++11/14/17 header";
homepage = "https://github.com/HowardHinnant/date";
platforms = platforms.linux;
- maintainers = with maintainers; [ ];
+ maintainers = with maintainers; [ r-burns ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/hunspell/dictionaries.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/hunspell/dictionaries.nix
index f1a2aa0c6c6..bc651dc4eed 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/hunspell/dictionaries.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/hunspell/dictionaries.nix
@@ -806,7 +806,7 @@ in rec {
meta = with lib; {
description = "Hunspell dictionary for Dutch (Netherlands) from OpenTaal";
homepage = "https://www.opentaal.org/";
- license = with licenses; [ bsd3 cc-by-nc-30 ];
+ license = with licenses; [ bsd3 ]; # and/or cc-by-nc-30
maintainers = with maintainers; [ artturin ];
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/imath/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/imath/default.nix
index f3678064f3c..15bd5907af9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/imath/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/imath/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "imath";
- version = "3.1.2";
+ version = "3.1.3";
src = fetchFromGitHub {
owner = "AcademySoftwareFoundation";
repo = "imath";
rev = "v${version}";
- sha256 = "sha256-X+LY1xtMeYMO6Ri6fmBF2JEDuY6MF7j5XO5YhWMuffM=";
+ sha256 = "sha256-LoyV1Wtugva6MTpREstP2rYMrHW2xR0qfEAIV1Fo1Ns=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/imlib2/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/imlib2/default.nix
index 6be73c8da4b..b61f4e442bf 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/imlib2/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/imlib2/default.nix
@@ -12,11 +12,11 @@ let
in
stdenv.mkDerivation rec {
pname = "imlib2";
- version = "1.7.2";
+ version = "1.7.3";
src = fetchurl {
url = "mirror://sourceforge/enlightenment/${pname}-${version}.tar.bz2";
- sha256 = "sha256-Ul1OMYknRxveRSB4bcJVC1mriFM4SNstdcYPW05YIaE=";
+ sha256 = "sha256-FY0LjCC8ESIa+ed6ZKEW/KcFGwPN6ixPMdMfRpOC+Zc=";
};
buildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/intel-gmmlib/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/intel-gmmlib/default.nix
index 23e4b2b3508..de9bf8d9729 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/intel-gmmlib/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/intel-gmmlib/default.nix
@@ -1,16 +1,18 @@
-{ lib, stdenv, fetchFromGitHub
+{ lib
+, stdenv
+, fetchFromGitHub
, cmake
}:
stdenv.mkDerivation rec {
pname = "intel-gmmlib";
- version = "21.2.2";
+ version = "21.3.1";
src = fetchFromGitHub {
- owner = "intel";
- repo = "gmmlib";
- rev = "${pname}-${version}";
- sha256 = "134l0d74ai4mqlp244nvkvg3mgzbzy20mjd274yay8g8hvb1g90v";
+ owner = "intel";
+ repo = "gmmlib";
+ rev = "intel-gmmlib-${version}";
+ sha256 = "0dzqfgbd0fxl8rxgf5nmj1jd4izzaqfb0s53l96qwz1j57q5ybj5";
};
nativeBuildInputs = [ cmake ];
@@ -24,7 +26,7 @@ stdenv.mkDerivation rec {
and buffer management for the Intel(R) Graphics Compute Runtime for
OpenCL(TM) and the Intel(R) Media Driver for VAAPI.
'';
- platforms = [ "x86_64-linux" ];
- maintainers = with maintainers; [ primeos ];
+ platforms = [ "x86_64-linux" "i686-linux" ];
+ maintainers = with maintainers; [ primeos SuperSandro2000 ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/intel-media-driver/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/intel-media-driver/default.nix
index b8f4d592b62..6002b8c84bb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/intel-media-driver/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/intel-media-driver/default.nix
@@ -1,20 +1,37 @@
-{ lib, stdenv, fetchFromGitHub
-, cmake, pkg-config
-, libva, libpciaccess, intel-gmmlib
-, enableX11 ? true, libX11
+{ lib
+, stdenv
+, fetchFromGitHub
+, fetchpatch
+, cmake
+, pkg-config
+, libva
+, libpciaccess
+, intel-gmmlib
+, enableX11 ? stdenv.isLinux
+, libX11
}:
stdenv.mkDerivation rec {
pname = "intel-media-driver";
- version = "21.3.2";
+ version = "21.3.5";
+
+ outputs = [ "out" "dev" ];
src = fetchFromGitHub {
- owner = "intel";
- repo = "media-driver";
- rev = "intel-media-${version}";
- sha256 = "0d2w1wmq6w2hjyja7zn9f3glykk14mvphj00dbqkbsla311gkqw4";
+ owner = "intel";
+ repo = "media-driver";
+ rev = "intel-media-${version}";
+ sha256 = "1wc8qhz1j4z42jmnsr38y15kc0jhfqfx8ssnb5055kmmmvzwk1sh";
};
+ patches = [
+ # fix platform detection
+ (fetchpatch {
+ url = "https://salsa.debian.org/multimedia-team/intel-media-driver-non-free/-/raw/master/debian/patches/0002-Remove-settings-based-on-ARCH.patch";
+ sha256 = "sha256-f4M0CPtAVf5l2ZwfgTaoPw7sPuAP/Uxhm5JSHEGhKT0=";
+ })
+ ];
+
cmakeFlags = [
"-DINSTALL_DRIVER_SYSCONF=OFF"
"-DLIBVA_DRIVERS_PATH=${placeholder "out"}/lib/dri"
@@ -22,11 +39,18 @@ stdenv.mkDerivation rec {
"-DMEDIA_RUN_TEST_SUITE=OFF"
];
+ NIX_CFLAGS_COMPILE = lib.optionalString (stdenv.hostPlatform.system == "i686-linux") "-D_FILE_OFFSET_BITS=64";
+
nativeBuildInputs = [ cmake pkg-config ];
buildInputs = [ libva libpciaccess intel-gmmlib ]
++ lib.optional enableX11 libX11;
+ postFixup = lib.optionalString enableX11 ''
+ patchelf --set-rpath "$(patchelf --print-rpath $out/lib/dri/iHD_drv_video.so):${lib.makeLibraryPath [ libX11 ]}" \
+ $out/lib/dri/iHD_drv_video.so
+ '';
+
meta = with lib; {
description = "Intel Media Driver for VAAPI — Broadwell+ iGPUs";
longDescription = ''
@@ -38,11 +62,6 @@ stdenv.mkDerivation rec {
changelog = "https://github.com/intel/media-driver/releases/tag/intel-media-${version}";
license = with licenses; [ bsd3 mit ];
platforms = platforms.linux;
- maintainers = with maintainers; [ primeos jfrankenau ];
+ maintainers = with maintainers; [ primeos jfrankenau SuperSandro2000 ];
};
-
- postFixup = lib.optionalString enableX11 ''
- patchelf --set-rpath "$(patchelf --print-rpath $out/lib/dri/iHD_drv_video.so):${lib.makeLibraryPath [ libX11 ]}" \
- $out/lib/dri/iHD_drv_video.so
- '';
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ip2location-c/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ip2location-c/default.nix
index 23801d3436d..510bc162501 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ip2location-c/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ip2location-c/default.nix
@@ -1,15 +1,23 @@
-{ lib, stdenv, fetchurl, autoreconfHook }:
+{ lib
+, stdenv
+, fetchFromGitHub
+, autoreconfHook
+}:
stdenv.mkDerivation rec {
pname = "ip2location-c";
- version = "7.0.2"; # meta.homepage might change after a major update
+ version = "8.4.0";
- src = fetchurl {
- sha256 = "1gs43qgcyfn83abrkhvvw1s67d1sbkbj3hab9m17ysn6swafiycx";
- url = "https://www.ip2location.com/downloads/ip2location-c-${version}.tar.gz";
+ src = fetchFromGitHub {
+ owner = "chrislim2888";
+ repo = "IP2Location-C-Library";
+ rev = version;
+ sha256 = "0rqjgmv62s7abiiqi3ff3ff838qx4pzr509irmzvqlflnkxxi0q6";
};
- nativeBuildInputs = [ autoreconfHook ];
+ nativeBuildInputs = [
+ autoreconfHook
+ ];
enableParallelBuilding = true;
@@ -25,8 +33,9 @@ stdenv.mkDerivation rec {
weather, MCC, MNC, mobile brand name, elevation and usage type of
any IP address or host name in the IP2Location databases.
'';
- homepage = "http://www.ip2location.com/developers/c-7";
+ homepage = "https://www.ip2location.com/developers/c";
license = with licenses; [ gpl3Plus lgpl3Plus ];
+ maintainers = with maintainers; [ ];
platforms = platforms.linux;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/irrlicht/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/irrlicht/default.nix
index 260be948ce1..7a68f130663 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/irrlicht/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/irrlicht/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchzip, libGLU, libGL, libXrandr, libX11, libXxf86vm }:
+{ lib, stdenv, fetchzip, libGLU, libGL, libXrandr, libX11, libXxf86vm, zlib }:
let
common = import ./common.nix { inherit fetchzip; };
@@ -12,22 +12,29 @@ stdenv.mkDerivation rec {
postPatch = ''
sed -ie '/sys\/sysctl.h/d' source/Irrlicht/COSOperator.cpp
+ '' + lib.optionalString stdenv.isAarch64 ''
+ substituteInPlace source/Irrlicht/Makefile \
+ --replace "-DIRRLICHT_EXPORTS=1" "-DIRRLICHT_EXPORTS=1 -DPNG_ARM_NEON_OPT=0"
'';
preConfigure = ''
cd source/Irrlicht
'';
- buildPhase = ''
- make sharedlib NDEBUG=1 "LDFLAGS=-lX11 -lGL -lXxf86vm"
+ preBuild = ''
+ makeFlagsArray+=(sharedlib NDEBUG=1 LDFLAGS="-lX11 -lGL -lXxf86vm")
'';
+ enableParallelBuilding = true;
+
preInstall = ''
sed -i s,/usr/local/lib,$out/lib, Makefile
mkdir -p $out/lib
'';
- buildInputs = [ libGLU libGL libXrandr libX11 libXxf86vm ];
+ buildInputs = [
+ libGLU libGL libXrandr libX11 libXxf86vm
+ ] ++ lib.optional stdenv.isAarch64 zlib;
meta = {
homepage = "http://irrlicht.sourceforge.net/";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/isa-l/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/isa-l/default.nix
new file mode 100644
index 00000000000..1440065969b
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/isa-l/default.nix
@@ -0,0 +1,27 @@
+{ lib, stdenv, fetchFromGitHub, autoreconfHook, nasm }:
+
+stdenv.mkDerivation rec {
+ pname = "isa-l";
+ version = "2.30.0";
+
+ src = fetchFromGitHub {
+ owner = "intel";
+ repo = "isa-l";
+ rev = "v${version}";
+ sha256 = "sha256-AAuSdDQfDW4QFRu0jHwCZ+ZCSjoVqlQiSW1OOFye1Rs=";
+ };
+
+ nativeBuildInputs = [ nasm autoreconfHook ];
+
+ preConfigure = ''
+ export AS=nasm
+ '';
+
+ meta = with lib; {
+ description = "A collection of optimised low-level functions targeting storage applications";
+ license = licenses.bsd3;
+ homepage = "https://github.com/intel/isa-l";
+ maintainers = with maintainers; [ jbedo ];
+ platforms = platforms.all;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/jsonrpc-glib/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/jsonrpc-glib/default.nix
index b43bcd07ca6..7618110e86c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/jsonrpc-glib/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/jsonrpc-glib/default.nix
@@ -1,7 +1,7 @@
{ lib, stdenv, fetchurl, meson, ninja, glib, json-glib, pkg-config, gobject-introspection, vala, gtk-doc, docbook_xsl, docbook_xml_dtd_43, gnome }:
stdenv.mkDerivation rec {
pname = "jsonrpc-glib";
- version = "3.38.0";
+ version = "3.40.0";
outputs = [ "out" "dev" "devdoc" ];
@@ -10,7 +10,7 @@ stdenv.mkDerivation rec {
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "3F8ZFKkRUrcPqPyaEe3hMUirSvZE2yejZjI4jJJ6ioI=";
+ sha256 = "wuPRYlfHJmzTkBiE4iN1V1v2Go4fZ1lsiODYeucNDvQ=";
};
mesonFlags = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kddockwidgets/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kddockwidgets/default.nix
new file mode 100644
index 00000000000..b63bdc979c4
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kddockwidgets/default.nix
@@ -0,0 +1,29 @@
+{ lib
+, mkDerivation
+, fetchFromGitHub
+, cmake
+, qtbase
+, qtx11extras
+}:
+
+mkDerivation rec {
+ pname = "KDDockWidgets";
+ version = "1.4.0";
+
+ src = fetchFromGitHub {
+ owner = "KDAB";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "sha256-lgNRuRoJhBw0n/Hb58uxpa+4aXoOrK4bRldtIXYIKLI=";
+ };
+
+ nativeBuildInputs = [ cmake ];
+ buildInputs = [ qtbase qtx11extras ];
+
+ meta = with lib; {
+ description = "KDAB's Dock Widget Framework for Qt";
+ homepage = "https://www.kdab.com/development-resources/qt-tools/kddockwidgets";
+ license = with licenses; [ gpl2Only gpl3Only ];
+ maintainers = with maintainers; [ _1000teslas ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/fetch.sh b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/fetch.sh
index 93d7cbef74c..05c89d25ce6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/fetch.sh
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/fetch.sh
@@ -1 +1 @@
-WGET_ARGS=( https://download.kde.org/stable/frameworks/5.84/ -A '*.tar.xz' )
+WGET_ARGS=( https://download.kde.org/stable/frameworks/5.85/ -A '*.tar.xz' )
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/kio/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/kio/default.nix
index 47b958ea0b3..84957c183ff 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/kio/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/kio/default.nix
@@ -1,6 +1,6 @@
{
mkDerivation, fetchpatch,
- extra-cmake-modules, kdoctools, qttools,
+ util-linux, extra-cmake-modules, kdoctools, qttools,
karchive, kbookmarks, kcompletion, kconfig, kconfigwidgets, kcoreaddons,
kdbusaddons, ki18n, kiconthemes, kitemviews, kjobwidgets, knotifications,
kservice, ktextwidgets, kwallet, kwidgetsaddons, kwindowsystem, kxmlgui,
@@ -11,7 +11,7 @@ mkDerivation {
name = "kio";
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
buildInputs = [
- karchive kconfigwidgets kdbusaddons ki18n kiconthemes knotifications
+ util-linux karchive kconfigwidgets kdbusaddons ki18n kiconthemes knotifications
ktextwidgets kwallet kwidgetsaddons kwindowsystem qtscript qtx11extras
kcrash
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/kwindowsystem/0001-platform-plugins-path.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/kwindowsystem/0001-platform-plugins-path.patch
deleted file mode 100644
index 0093eb556bf..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/kwindowsystem/0001-platform-plugins-path.patch
+++ /dev/null
@@ -1,50 +0,0 @@
-From 291f691400d4e85c57b57ec75482d2c6078ce26e Mon Sep 17 00:00:00 2001
-From: Thomas Tuegel
-Date: Wed, 9 Dec 2020 10:01:59 -0600
-Subject: [PATCH] platform plugins path
-
----
- src/pluginwrapper.cpp | 27 +++++++++++++--------------
- 1 file changed, 13 insertions(+), 14 deletions(-)
-
-diff --git a/src/pluginwrapper.cpp b/src/pluginwrapper.cpp
-index a255d83..9699b08 100644
---- a/src/pluginwrapper.cpp
-+++ b/src/pluginwrapper.cpp
-@@ -25,20 +25,19 @@ static QStringList pluginCandidates()
- {
- QStringList ret;
- const auto paths = QCoreApplication::libraryPaths();
-- for (const QString &path : paths) {
-- static const QStringList searchFolders{
-- QStringLiteral("/kf5/org.kde.kwindowsystem.platforms"),
-- QStringLiteral("/kf5/kwindowsystem"),
-- };
-- for (const QString &searchFolder : searchFolders) {
-- QDir pluginDir(path + searchFolder);
-- if (!pluginDir.exists()) {
-- continue;
-- }
-- const auto entries = pluginDir.entryList(QDir::Files | QDir::NoDotAndDotDot);
-- for (const QString &entry : entries) {
-- ret << pluginDir.absoluteFilePath(entry);
-- }
-+ const QString path = QStringLiteral(NIXPKGS_QT_PLUGIN_PATH);
-+ static const QStringList searchFolders {
-+ QStringLiteral("/kf5/org.kde.kwindowsystem.platforms"),
-+ QStringLiteral("/kf5/kwindowsystem"),
-+ };
-+ for (const QString &searchFolder : searchFolders) {
-+ QDir pluginDir(path + searchFolder);
-+ if (!pluginDir.exists()) {
-+ continue;
-+ }
-+ const auto entries = pluginDir.entryList(QDir::Files | QDir::NoDotAndDotDot);
-+ for (const QString &entry : entries) {
-+ ret << pluginDir.absoluteFilePath(entry);
- }
- }
- return ret;
---
-2.28.0
-
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/kwindowsystem/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/kwindowsystem/default.nix
index 40929309338..7643572a7ec 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/kwindowsystem/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/kwindowsystem/default.nix
@@ -10,11 +10,5 @@ mkDerivation {
nativeBuildInputs = [ extra-cmake-modules ];
buildInputs = [ libpthreadstubs libXdmcp qttools qtx11extras ];
propagatedBuildInputs = [ qtbase ];
- patches = [
- ./0001-platform-plugins-path.patch
- ];
- preConfigure = ''
- NIX_CFLAGS_COMPILE+=" -DNIXPKGS_QT_PLUGIN_PATH=\"''${!outputBin}/$qtPluginPrefix\""
- '';
outputs = [ "out" "dev" ];
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/srcs.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/srcs.nix
index 34792d254e7..73d4ce478c6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/srcs.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kde-frameworks/srcs.nix
@@ -4,667 +4,667 @@
{
attica = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/attica-5.84.0.tar.xz";
- sha256 = "1q5imda1p26rw3lzz7p6wlg63d2kjl6yx93pxryy129xwyxszf5d";
- name = "attica-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/attica-5.85.0.tar.xz";
+ sha256 = "1rwb2jz2chvxa7hdxn5ms1f93ykpk26kmnngwcixqr7gwlcv8prl";
+ name = "attica-5.85.0.tar.xz";
};
};
baloo = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/baloo-5.84.0.tar.xz";
- sha256 = "15ldfq9qryw2xna6kr316fqldrfd2r09qj9ig8i2x391x18dzhg4";
- name = "baloo-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/baloo-5.85.0.tar.xz";
+ sha256 = "0kcilv41assarhp54i99scpg08m11fjznw4d1lx5rdy7fyd4bd41";
+ name = "baloo-5.85.0.tar.xz";
};
};
bluez-qt = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/bluez-qt-5.84.0.tar.xz";
- sha256 = "0pg6zj0b7j9v339g1q5a9dm1l0a7n1c388n26x6k2s1q785vk6lh";
- name = "bluez-qt-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/bluez-qt-5.85.0.tar.xz";
+ sha256 = "18h0swvmimfxr9ygg0fs9gg0bm4a016n55hkvqx6n3y505b2lnx8";
+ name = "bluez-qt-5.85.0.tar.xz";
};
};
breeze-icons = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/breeze-icons-5.84.0.tar.xz";
- sha256 = "1320c84pr39541lb4zk33brxx593dbvvnij5x8as4rp99mcjd6h4";
- name = "breeze-icons-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/breeze-icons-5.85.0.tar.xz";
+ sha256 = "0g97md30f76x38skqf7xpxxrcpydx4z5adrknq0bcnpqg3baw1s4";
+ name = "breeze-icons-5.85.0.tar.xz";
};
};
extra-cmake-modules = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/extra-cmake-modules-5.84.0.tar.xz";
- sha256 = "0h8w5ahjpbb524qgabzbgd4x2j8qnfv1d1cq8vzq5hbpw7r5w25v";
- name = "extra-cmake-modules-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/extra-cmake-modules-5.85.0.tar.xz";
+ sha256 = "0d36dg727d0ilq0ag4mv3vhp065p60nnl61014jm1p0kn71hjhks";
+ name = "extra-cmake-modules-5.85.0.tar.xz";
};
};
frameworkintegration = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/frameworkintegration-5.84.0.tar.xz";
- sha256 = "0jzrsw5fkbgk8xpdjfjprqm1vpa61x32zikyj370shcg3qsaiyir";
- name = "frameworkintegration-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/frameworkintegration-5.85.0.tar.xz";
+ sha256 = "1j3p1hy5fhy1b83mcy2n25a8rzwv8n79c2jwfxhyd2hw1yvrkmzr";
+ name = "frameworkintegration-5.85.0.tar.xz";
};
};
kactivities = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kactivities-5.84.0.tar.xz";
- sha256 = "0lihxr9jvmg2h7k36djdfvsz5slxzzgxpxmv9745ynvc92fr1c46";
- name = "kactivities-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kactivities-5.85.0.tar.xz";
+ sha256 = "0c40ripx3k8rccaj699ill4kmdnh1vl9gsxvzvff0y312ya1v2gm";
+ name = "kactivities-5.85.0.tar.xz";
};
};
kactivities-stats = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kactivities-stats-5.84.0.tar.xz";
- sha256 = "1mb80jzccvha6rnd9q0xych1k4p0nfdyh7s9ryafv9fqqhzr5fh4";
- name = "kactivities-stats-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kactivities-stats-5.85.0.tar.xz";
+ sha256 = "08y5wqalsd3xwczb87n2n5l0rky8lydhyb66xvh2dzl5fmw7k5z1";
+ name = "kactivities-stats-5.85.0.tar.xz";
};
};
kapidox = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kapidox-5.84.0.tar.xz";
- sha256 = "0h8isigbgc02df3kb875p7yzy04i41lss2r5awlh5b1np89l5g51";
- name = "kapidox-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kapidox-5.85.0.tar.xz";
+ sha256 = "1cmx16gy2s6j2vvs0nn62vczjf6pc8s7dvdz47lrnpmc7p8yzddm";
+ name = "kapidox-5.85.0.tar.xz";
};
};
karchive = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/karchive-5.84.0.tar.xz";
- sha256 = "1xjykx94xn0p1926my4f82dn9bsv2b2mv1l4pjpzzfwyn26df34s";
- name = "karchive-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/karchive-5.85.0.tar.xz";
+ sha256 = "0bvbmd3phjyk11ylggmzl0kihmg7w623alplwp3j4mj8jn8nw6cc";
+ name = "karchive-5.85.0.tar.xz";
};
};
kauth = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kauth-5.84.0.tar.xz";
- sha256 = "1jwynarchwbgdnfzpp3r8ggr8mz2gwgwiv9j2kxmh0m607sqzj04";
- name = "kauth-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kauth-5.85.0.tar.xz";
+ sha256 = "1ib1i4mpxdkddn0kd6prx0j8vc55ai6nlx71lakr2cdafp296fhs";
+ name = "kauth-5.85.0.tar.xz";
};
};
kbookmarks = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kbookmarks-5.84.0.tar.xz";
- sha256 = "1k3mamhd55j3hvkw7h0gfizy5hg37d57h26xz9hbxrw1lb1p9gyc";
- name = "kbookmarks-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kbookmarks-5.85.0.tar.xz";
+ sha256 = "0jraagmjch0pda15k9ywpidl474x4wq60zzffi2n3vmy8y9hs4rn";
+ name = "kbookmarks-5.85.0.tar.xz";
};
};
kcalendarcore = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kcalendarcore-5.84.0.tar.xz";
- sha256 = "06asygdsk4bnn29cc8khpzy68fiyrqwajl55fixmja7kvzsnq4cb";
- name = "kcalendarcore-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kcalendarcore-5.85.0.tar.xz";
+ sha256 = "04nrfwd4g5v4lnmlcq0rdmx8xfn9wbzp92izsy7zwwxcmpwivysq";
+ name = "kcalendarcore-5.85.0.tar.xz";
};
};
kcmutils = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kcmutils-5.84.0.tar.xz";
- sha256 = "1ackicsg2xnjl8gid9v4a72vvqhn7536s0w1g50hss142hp0b9zf";
- name = "kcmutils-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kcmutils-5.85.0.tar.xz";
+ sha256 = "14yfkrqv77r6hm390ib8g7gcjcw3dlnlqs9bd3y0mg123wps7s10";
+ name = "kcmutils-5.85.0.tar.xz";
};
};
kcodecs = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kcodecs-5.84.0.tar.xz";
- sha256 = "0fjjz40kchw38p74zvgrcfsgclg54w6b8bl6ly72qmj5n0ip2gr3";
- name = "kcodecs-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kcodecs-5.85.0.tar.xz";
+ sha256 = "1zgpi177j0j3jzi3n0kjyddy0d7b9vp7kxv6c2jsqx9ppps0l1k5";
+ name = "kcodecs-5.85.0.tar.xz";
};
};
kcompletion = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kcompletion-5.84.0.tar.xz";
- sha256 = "1kbj1f3c3qpfxn4jyldhzlmgxfxn0af5rbs0irzwd9wqgk9i94vs";
- name = "kcompletion-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kcompletion-5.85.0.tar.xz";
+ sha256 = "1vy41mavbm8avr9jfspys4mpzc4i5rkg5gpl9hcbjrkldb9ymfj1";
+ name = "kcompletion-5.85.0.tar.xz";
};
};
kconfig = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kconfig-5.84.0.tar.xz";
- sha256 = "0wshg4hx4d6m1r17mc7l9ivhx6mw0h1qx8fd46hmydmbjp030jma";
- name = "kconfig-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kconfig-5.85.0.tar.xz";
+ sha256 = "0qmrv8ixlg7qrb7fyyvk7a0a7avvpflc05rj46zzip6kjhl4imds";
+ name = "kconfig-5.85.0.tar.xz";
};
};
kconfigwidgets = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kconfigwidgets-5.84.0.tar.xz";
- sha256 = "17hyf495wlm5jqc0qxxymy1kcjybbihg8093kf59hvvpcci5xsin";
- name = "kconfigwidgets-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kconfigwidgets-5.85.0.tar.xz";
+ sha256 = "0hx8f1dcd38wsrifh4j3a5m05xahdqrhsysasbdwxhr5s29d7vvr";
+ name = "kconfigwidgets-5.85.0.tar.xz";
};
};
kcontacts = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kcontacts-5.84.0.tar.xz";
- sha256 = "0gvskvfwfmrs884fkfl0gvmnic4hhymffs7jhq6yaixbsjl2mznl";
- name = "kcontacts-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kcontacts-5.85.0.tar.xz";
+ sha256 = "07j2h8fd62j7jbcm5fyv27vy120687k1cmp2rw06sf3xl480nk8k";
+ name = "kcontacts-5.85.0.tar.xz";
};
};
kcoreaddons = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kcoreaddons-5.84.0.tar.xz";
- sha256 = "1c0z2cn02jqanisq5rr6iry1hx9p5ffm59353mknyyg5xyk3qkbm";
- name = "kcoreaddons-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kcoreaddons-5.85.0.tar.xz";
+ sha256 = "052l8kvv7k3m43r6arckg4fls0y913gklc8jx09y56g1m51mgbwh";
+ name = "kcoreaddons-5.85.0.tar.xz";
};
};
kcrash = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kcrash-5.84.0.tar.xz";
- sha256 = "0asr7llkdbr6wzq31vnmga7haxddz1b6xqp3smw7hvgzs0f8vrv5";
- name = "kcrash-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kcrash-5.85.0.tar.xz";
+ sha256 = "14hknkl4md0qzh44f1zqraljzvlbwzc95mci713a9mhk9rb0957f";
+ name = "kcrash-5.85.0.tar.xz";
};
};
kdav = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kdav-5.84.0.tar.xz";
- sha256 = "09wly717cp86b3gahj79nfplx71f78zyb5mhpw9xm0dkdf1qf56q";
- name = "kdav-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kdav-5.85.0.tar.xz";
+ sha256 = "11wwdv19d0fy7b1bzgqaciv4hg99a8pi6g2ymjn3g0l3ps05a0sl";
+ name = "kdav-5.85.0.tar.xz";
};
};
kdbusaddons = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kdbusaddons-5.84.0.tar.xz";
- sha256 = "0a4v2g86lss1wq359mssg142dp1qccn17yrdhbgy55qi6id6pvl5";
- name = "kdbusaddons-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kdbusaddons-5.85.0.tar.xz";
+ sha256 = "0f8k2kynbspi86gcvpzn209m1bm6vc65flqlnh8prbkd3gg283d6";
+ name = "kdbusaddons-5.85.0.tar.xz";
};
};
kdeclarative = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kdeclarative-5.84.0.tar.xz";
- sha256 = "0ygp3jwz0fjixiq7bkjchsxzvg6fn50qw7si9nil5hkkg6lwxir6";
- name = "kdeclarative-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kdeclarative-5.85.0.tar.xz";
+ sha256 = "1qdbvfx0i09hn9236hnb73fzym529wjsgqmfwzhh5a6dnqdh1rjd";
+ name = "kdeclarative-5.85.0.tar.xz";
};
};
kded = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kded-5.84.0.tar.xz";
- sha256 = "0c6klrchbxy57f7hjvsk640bg6s8gp1ilrzvzg7f8m825yg1b5jc";
- name = "kded-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kded-5.85.0.tar.xz";
+ sha256 = "1yar9zcrrs0c0hakg8sfisnp32284ljj1axhgmx8hkwfj591jdiw";
+ name = "kded-5.85.0.tar.xz";
};
};
kdelibs4support = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/portingAids/kdelibs4support-5.84.0.tar.xz";
- sha256 = "1qkiqv0fq8q6i18mi7gm58qhnqra8xkslzjprap6zjq49g9lcgrp";
- name = "kdelibs4support-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/portingAids/kdelibs4support-5.85.0.tar.xz";
+ sha256 = "1c4zd60s7l1qv719nl28j7gh3lpr1cwqq5vcibb9a6di04k27mm0";
+ name = "kdelibs4support-5.85.0.tar.xz";
};
};
kdesignerplugin = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/portingAids/kdesignerplugin-5.84.0.tar.xz";
- sha256 = "0k51pjkh4dfc8bkw0pbsa260fifvcm0wrbpckvd1xd32b0r1n2ax";
- name = "kdesignerplugin-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/portingAids/kdesignerplugin-5.85.0.tar.xz";
+ sha256 = "0pchfvmy7r2mkd412nbzk2pkji2dx5lispwcnk759ffm4wlnxncf";
+ name = "kdesignerplugin-5.85.0.tar.xz";
};
};
kdesu = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kdesu-5.84.0.tar.xz";
- sha256 = "0b3ljqj86crccyqzlqg0fkjryi654pi5q84c11zywmws72bjjx9d";
- name = "kdesu-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kdesu-5.85.0.tar.xz";
+ sha256 = "1xqzkyd9flglr3aqabkh54yl7a5a429d24mpqphakc3djmdv5d08";
+ name = "kdesu-5.85.0.tar.xz";
};
};
kdewebkit = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/portingAids/kdewebkit-5.84.0.tar.xz";
- sha256 = "0rhjdqr5g4gphszz70nhvv9wgr0g048pnc36w4w2jpzyy75nwjq2";
- name = "kdewebkit-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/portingAids/kdewebkit-5.85.0.tar.xz";
+ sha256 = "14w254wfp35sldadff1y62yl6f1kshlfk4vlhlan626vyidypc43";
+ name = "kdewebkit-5.85.0.tar.xz";
};
};
kdnssd = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kdnssd-5.84.0.tar.xz";
- sha256 = "1ayscd05m20i4nldidxdx83xsa66ybsyrf3f8sm3h99hgwb1yxm9";
- name = "kdnssd-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kdnssd-5.85.0.tar.xz";
+ sha256 = "0i1qv6qjsdlb08mwiqs3s6v2hwfr77i65hgc0qj9pbhzvm5v6x8m";
+ name = "kdnssd-5.85.0.tar.xz";
};
};
kdoctools = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kdoctools-5.84.0.tar.xz";
- sha256 = "1x0dimayl1pj6r4cjwsvzvyc5j79308bcdi27bn0lq1769wm7rlp";
- name = "kdoctools-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kdoctools-5.85.0.tar.xz";
+ sha256 = "01bxzp65ffwr14yrrbw8p15lkwhisv15drwkgcwg48f289f51if1";
+ name = "kdoctools-5.85.0.tar.xz";
};
};
kemoticons = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kemoticons-5.84.0.tar.xz";
- sha256 = "0h8ilgwd5y8mbmvr89qkq81km216gs2lx7ln4rijamv2380gwcq7";
- name = "kemoticons-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kemoticons-5.85.0.tar.xz";
+ sha256 = "0zihi00fql8q4jp08n71agmjrpr5177yw24w0vf0lmjhgmxwkrsw";
+ name = "kemoticons-5.85.0.tar.xz";
};
};
kfilemetadata = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kfilemetadata-5.84.0.tar.xz";
- sha256 = "19a6lsnh7vxjgvy780bgispgxycj2838gyak6ivd9lxzn6d9jw6i";
- name = "kfilemetadata-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kfilemetadata-5.85.0.tar.xz";
+ sha256 = "07i52zi4jmqhm2sazw2jx7g7s3mp8c7kr32z3ikifsc5wfzy8kix";
+ name = "kfilemetadata-5.85.0.tar.xz";
};
};
kglobalaccel = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kglobalaccel-5.84.0.tar.xz";
- sha256 = "0v3j4zx6p45lwd7jpbc9y43l5fh247dm6g21w5r56cq6asapx3k5";
- name = "kglobalaccel-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kglobalaccel-5.85.0.tar.xz";
+ sha256 = "0f5ly344a06aaj3rcsa45xzg9xx0s2qsgk5r0h2kphkj8n2gpp70";
+ name = "kglobalaccel-5.85.0.tar.xz";
};
};
kguiaddons = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kguiaddons-5.84.0.tar.xz";
- sha256 = "0p98xzml58fv2cv8x382bmcrbk39q89mrxy52hkqy759g4ffj919";
- name = "kguiaddons-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kguiaddons-5.85.0.tar.xz";
+ sha256 = "1d1724k67chiv4sxbaifnwzwcss3kry3ms9frpxifi2nsn0x9nhc";
+ name = "kguiaddons-5.85.0.tar.xz";
};
};
kholidays = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kholidays-5.84.0.tar.xz";
- sha256 = "0fzcdlvai0p3l58wbs8ia7vmd9ll2akzzab12ask8kkaz7iwaqqs";
- name = "kholidays-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kholidays-5.85.0.tar.xz";
+ sha256 = "0nrxn8sjzp1lg3va7703d5hxmda33d0f91rgq2s99mxi77a82yi2";
+ name = "kholidays-5.85.0.tar.xz";
};
};
khtml = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/portingAids/khtml-5.84.0.tar.xz";
- sha256 = "13j2plfgx4zx99i3s70424v8b7qj2xf6gndp7hhmdka0vjhm5bv9";
- name = "khtml-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/portingAids/khtml-5.85.0.tar.xz";
+ sha256 = "0ma9sk51pszxqmvzlrfdsnh2f8fm09wd0kaywsrkvbh2q2f6kg8g";
+ name = "khtml-5.85.0.tar.xz";
};
};
ki18n = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/ki18n-5.84.0.tar.xz";
- sha256 = "1530jxpbfky3mhr1dv3pr7lrvi96q4ai8fn85h5gnjp7s7h1wgv0";
- name = "ki18n-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/ki18n-5.85.0.tar.xz";
+ sha256 = "1h1jcdyc3cphkn56qfn5j6jbbb6wp5z0vp8kxzm1wd023sj83dqn";
+ name = "ki18n-5.85.0.tar.xz";
};
};
kiconthemes = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kiconthemes-5.84.0.tar.xz";
- sha256 = "0r90cdxs6x9bnqb6qb7p2szavqw2lfk9khhxhzgp2z9121smxpgn";
- name = "kiconthemes-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kiconthemes-5.85.0.tar.xz";
+ sha256 = "0k6ni351b051k8rfncpddf5zplqmg71wf9a5h8k6ix787h1r5dcp";
+ name = "kiconthemes-5.85.0.tar.xz";
};
};
kidletime = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kidletime-5.84.0.tar.xz";
- sha256 = "0akkzj05399f72klr8qf5q0w9v8x1jw2961m3h4hcg1zfsmb6pv4";
- name = "kidletime-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kidletime-5.85.0.tar.xz";
+ sha256 = "1nclrxipjzasqi8g84lvpsr5rwfs9xfqgj377wfphm4qbml09x2z";
+ name = "kidletime-5.85.0.tar.xz";
};
};
kimageformats = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kimageformats-5.84.0.tar.xz";
- sha256 = "1xzddqc6wj188dhwcw1haa2a28r2d8c4aqhmgymwdwhs7k4ibpds";
- name = "kimageformats-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kimageformats-5.85.0.tar.xz";
+ sha256 = "1687sh19ph1v1bg4xrdrf0gs2nna0ds8gcqc8x7ydgdc2301m9jh";
+ name = "kimageformats-5.85.0.tar.xz";
};
};
kinit = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kinit-5.84.0.tar.xz";
- sha256 = "0a5i2rvamw95y9bqscdg6fk1fjsib7rcis9fbk504qk4n7jdp9gw";
- name = "kinit-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kinit-5.85.0.tar.xz";
+ sha256 = "03m6ik7l54q1w615111rqs0m7az7snh6x418s90xnzm81g0dzpwj";
+ name = "kinit-5.85.0.tar.xz";
};
};
kio = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kio-5.84.0.tar.xz";
- sha256 = "1lz07745w3549n7lc174p4rz5w12mm4q08y5xn2a95xg5xrjpgln";
- name = "kio-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kio-5.85.0.tar.xz";
+ sha256 = "1xhrmpz9xbwipxqvj7l6d1n471isb3jggrvgcx5hqlz659yqmmg4";
+ name = "kio-5.85.0.tar.xz";
};
};
kirigami2 = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kirigami2-5.84.0.tar.xz";
- sha256 = "05hpw2ba7g5kzg6z0slngrfz45kih8w1zmahbjhss9i7blj9x32r";
- name = "kirigami2-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kirigami2-5.85.0.tar.xz";
+ sha256 = "0axdsxzmr735ci19srmgkgpm4x7h23vk37hhakfc6n30ry0j7lik";
+ name = "kirigami2-5.85.0.tar.xz";
};
};
kitemmodels = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kitemmodels-5.84.0.tar.xz";
- sha256 = "1v19vc155jh421z8djhrigc83ajz9qvb6qz9cpscdzrcimhaarns";
- name = "kitemmodels-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kitemmodels-5.85.0.tar.xz";
+ sha256 = "0c55lw6r78x41v6fgycr68inviaxlxa6bj8zm8fdia631mhx273s";
+ name = "kitemmodels-5.85.0.tar.xz";
};
};
kitemviews = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kitemviews-5.84.0.tar.xz";
- sha256 = "14rammhm5zp8h37a794z3pmgkpnb606izqy1zlk8lwvnw6aj0kwb";
- name = "kitemviews-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kitemviews-5.85.0.tar.xz";
+ sha256 = "1fr8ivpvpaxykrgnjjsf8nhnhs60i4xhlkanvhrkkgjabxk0sbl0";
+ name = "kitemviews-5.85.0.tar.xz";
};
};
kjobwidgets = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kjobwidgets-5.84.0.tar.xz";
- sha256 = "0gcdd07ma7wg6rqygfhmq2nc3cq78zcxbd7mx0fgirdns5fbp8p5";
- name = "kjobwidgets-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kjobwidgets-5.85.0.tar.xz";
+ sha256 = "05gpp2bvirbxs5yk0ysi5gh72axwv253yc2sqmzdsjylq4fjy8rl";
+ name = "kjobwidgets-5.85.0.tar.xz";
};
};
kjs = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/portingAids/kjs-5.84.0.tar.xz";
- sha256 = "0qi1xk6pq7nyzkh6jlp4l1v1b5gq9hryq2a81hgfl7q9xgrx00qy";
- name = "kjs-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/portingAids/kjs-5.85.0.tar.xz";
+ sha256 = "0inliv97x63174n3mn5jqyy8d440863g3m5pn69cq1i2mr5zyswb";
+ name = "kjs-5.85.0.tar.xz";
};
};
kjsembed = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/portingAids/kjsembed-5.84.0.tar.xz";
- sha256 = "1av326byza162ds5vn54nmpd9ndr7yb0cpl8hxmwzfbym1favhvb";
- name = "kjsembed-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/portingAids/kjsembed-5.85.0.tar.xz";
+ sha256 = "1j8prfg7hpk0g7manilds27ivrcgxr3hidjzy0yzn13ckvv3ccj1";
+ name = "kjsembed-5.85.0.tar.xz";
};
};
kmediaplayer = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/portingAids/kmediaplayer-5.84.0.tar.xz";
- sha256 = "1zzx7d9wcc1qh9zg83c2ihid0c2f5p23gpc475ql056ny71fdvv3";
- name = "kmediaplayer-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/portingAids/kmediaplayer-5.85.0.tar.xz";
+ sha256 = "1bmj24d3si937svh8zdi7xs0y4j1ibyj8z8q1y4k2vp8fa9fack6";
+ name = "kmediaplayer-5.85.0.tar.xz";
};
};
knewstuff = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/knewstuff-5.84.0.tar.xz";
- sha256 = "1y1b7704xlf7kmw7c41b3ngsmi5304mvdgphcqsinav6bq48ka5f";
- name = "knewstuff-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/knewstuff-5.85.0.tar.xz";
+ sha256 = "1j90ysfw1qygaiigizbjik1k7zkl6wkin0r7r9q8r3dibvbqziph";
+ name = "knewstuff-5.85.0.tar.xz";
};
};
knotifications = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/knotifications-5.84.0.tar.xz";
- sha256 = "02az98aqk8alq1cqrxym5idnlzvl6i4jvgnv34q6g4x7j5h4v75h";
- name = "knotifications-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/knotifications-5.85.0.tar.xz";
+ sha256 = "0qr695sn2di24cal0x6yj4x1a8qk6jny68r4d4xdcy5i1i4yyni5";
+ name = "knotifications-5.85.0.tar.xz";
};
};
knotifyconfig = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/knotifyconfig-5.84.0.tar.xz";
- sha256 = "1x7jp2c2a1bawl3nl46zfnp8d5al1z19za58g76wn40jy9ksnpy2";
- name = "knotifyconfig-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/knotifyconfig-5.85.0.tar.xz";
+ sha256 = "1aphmi7r4zmzrfk8635a66dnkd6zg2i17nrm0hfqhqhcfn217mfv";
+ name = "knotifyconfig-5.85.0.tar.xz";
};
};
kpackage = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kpackage-5.84.0.tar.xz";
- sha256 = "166cc85y49xqk4r8k6003rlwphxxx2rmik24d7yhmq8p1qig4qb7";
- name = "kpackage-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kpackage-5.85.0.tar.xz";
+ sha256 = "14rwq5ckns06h0n8h4q2r7ilfr1myxcan1md1zz4cg4vb87ckimj";
+ name = "kpackage-5.85.0.tar.xz";
};
};
kparts = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kparts-5.84.0.tar.xz";
- sha256 = "1fbmywx1fvv9hnznpiy8cp27dfn2ysskymyppqi1hsw01gqs7vfy";
- name = "kparts-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kparts-5.85.0.tar.xz";
+ sha256 = "07px14xdh7p2kb9kvsma16xifsc65mhpm6xmnz15i5pdmrz1wxc1";
+ name = "kparts-5.85.0.tar.xz";
};
};
kpeople = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kpeople-5.84.0.tar.xz";
- sha256 = "0llggx56xb3y10j0avm4vdmjfl4pwqbvpb5w7kk1gb43nxpz7h3p";
- name = "kpeople-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kpeople-5.85.0.tar.xz";
+ sha256 = "03ynnbjj939b3cmczlz9piilkgh45fbyal71pab0qfpxr66m9fk5";
+ name = "kpeople-5.85.0.tar.xz";
};
};
kplotting = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kplotting-5.84.0.tar.xz";
- sha256 = "007cvy57ck2frnr5dvs80k3n7lv1q2xw1zadmw13wwdqqsl0kzag";
- name = "kplotting-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kplotting-5.85.0.tar.xz";
+ sha256 = "0jhkc12fiz50iavz9msj6w29lhqrm6chl1521sx55km9cb3wmzda";
+ name = "kplotting-5.85.0.tar.xz";
};
};
kpty = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kpty-5.84.0.tar.xz";
- sha256 = "04q6qz62vwywzaxxmsq0g28k036ljrcyvn5hywdns58zi5d7nab2";
- name = "kpty-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kpty-5.85.0.tar.xz";
+ sha256 = "1zmzzlzv1pnx0d7w6v8yiccw1q2g94pfjzh4sm2k1fickgrfrir8";
+ name = "kpty-5.85.0.tar.xz";
};
};
kquickcharts = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kquickcharts-5.84.0.tar.xz";
- sha256 = "01q1ncvk8dc9jkm9x6q7wkcnj1z1377824gj7m83pzgy3g51vcdg";
- name = "kquickcharts-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kquickcharts-5.85.0.tar.xz";
+ sha256 = "1hnbr3qkjy48pq8hkvl7lcfd8cywkkr77n8qf296rjmrv23jw4xf";
+ name = "kquickcharts-5.85.0.tar.xz";
};
};
kross = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/portingAids/kross-5.84.0.tar.xz";
- sha256 = "0fz4q2m16f4zy6pajcrmhm5a9fjrfjfqyns1lm6aimdsrvkwpc93";
- name = "kross-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/portingAids/kross-5.85.0.tar.xz";
+ sha256 = "07gnh98avv5zzybh4262jqkjy8kg0cplryv356kvsbarl4ksy4kr";
+ name = "kross-5.85.0.tar.xz";
};
};
krunner = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/krunner-5.84.0.tar.xz";
- sha256 = "15ai1x9v5hm5vj7qhh7c4ajiiaf56h3yy3qnb4kamkv146g09a1p";
- name = "krunner-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/krunner-5.85.0.tar.xz";
+ sha256 = "1pz466pjrqd3dj2wdqsqxcpmim0ig8i7gvnw96mxlh262cv15h4d";
+ name = "krunner-5.85.0.tar.xz";
};
};
kservice = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kservice-5.84.0.tar.xz";
- sha256 = "1lbx51wpsc7qdp480yl08wsp6lb1lww5ix5hpxxmv0x7galcgsf2";
- name = "kservice-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kservice-5.85.0.tar.xz";
+ sha256 = "008b56jibgvpg6qqh7wqbg39fyca62w6nj7c9qxsgj1bd91vglg2";
+ name = "kservice-5.85.0.tar.xz";
};
};
ktexteditor = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/ktexteditor-5.84.0.tar.xz";
- sha256 = "0znpls5ap33yjcjw1ayl6zja8qnqx5glk2bvig5aajriqbpw8irk";
- name = "ktexteditor-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/ktexteditor-5.85.0.tar.xz";
+ sha256 = "1j6xkz8w7mb47ypgcf00m7hl1ayli8r5a3l4fk5xzsz1k0g72l6m";
+ name = "ktexteditor-5.85.0.tar.xz";
};
};
ktextwidgets = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/ktextwidgets-5.84.0.tar.xz";
- sha256 = "069qk1frsfa9iqgchpvkq4sgh973fc2fy1hjymc2zv3mahz23qfl";
- name = "ktextwidgets-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/ktextwidgets-5.85.0.tar.xz";
+ sha256 = "128jjcay0s2qi0zs32zxwlmh2xq4kzasc0zhy3l9gfv898yaq6zy";
+ name = "ktextwidgets-5.85.0.tar.xz";
};
};
kunitconversion = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kunitconversion-5.84.0.tar.xz";
- sha256 = "0a8jc3vw4ydsfff1qis9323vcd7nhigwyjxqa57qzvswrk7wmlxf";
- name = "kunitconversion-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kunitconversion-5.85.0.tar.xz";
+ sha256 = "0rcnmdqnm7h8ffxacza2v7y7zicly0yvz07g4857jxpk7h4z62hy";
+ name = "kunitconversion-5.85.0.tar.xz";
};
};
kwallet = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kwallet-5.84.0.tar.xz";
- sha256 = "1m08q820zl9wrc04i0inb7n0r35p0lzcv3hiwvzxmgdcm9zm2n3c";
- name = "kwallet-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kwallet-5.85.0.tar.xz";
+ sha256 = "053ddi83a5d1i61r8y6jimd5pafmilja25w5pl09g3fqkp3id677";
+ name = "kwallet-5.85.0.tar.xz";
};
};
kwayland = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kwayland-5.84.0.tar.xz";
- sha256 = "1lpmbqkfbjq3445lj42zqc90wk437kzyjlpzji0wh4p9nqa4a27s";
- name = "kwayland-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kwayland-5.85.0.tar.xz";
+ sha256 = "0sfzpqb3v79jrhc49f4v3akc9wrv1976nb7xs9nd6ips237v86v2";
+ name = "kwayland-5.85.0.tar.xz";
};
};
kwidgetsaddons = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kwidgetsaddons-5.84.0.tar.xz";
- sha256 = "1xffbmi3a3qp781aay964b30l9y170imxaa05r3xpj77saq673kp";
- name = "kwidgetsaddons-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kwidgetsaddons-5.85.0.tar.xz";
+ sha256 = "14042vc9jl48fclsfmsincwqj2s6mfm3lbq4yg5vlj931kznyr31";
+ name = "kwidgetsaddons-5.85.0.tar.xz";
};
};
kwindowsystem = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kwindowsystem-5.84.0.tar.xz";
- sha256 = "19fa8j4paq245rwvnmnz2mnwgh8y6c26wbw25v8kgd7a33ryg0fg";
- name = "kwindowsystem-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kwindowsystem-5.85.0.tar.xz";
+ sha256 = "07k6d6sgxlfwkjg0r9lgvlkd7j53b986qfv283c313ahy5c3gd3d";
+ name = "kwindowsystem-5.85.0.tar.xz";
};
};
kxmlgui = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/kxmlgui-5.84.0.tar.xz";
- sha256 = "1ddfvjwww2270zx4f86w0xmd45pyir95llhc4x2ixicx94jvsg1c";
- name = "kxmlgui-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/kxmlgui-5.85.0.tar.xz";
+ sha256 = "1ciwrm16a5cgmkkm8cv72cyr45q418gsrxc3qrjq3iic9ycf6fi5";
+ name = "kxmlgui-5.85.0.tar.xz";
};
};
kxmlrpcclient = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/portingAids/kxmlrpcclient-5.84.0.tar.xz";
- sha256 = "10jddyak99wd4x3vm9d6xzh45pl1lhhfw9isrdkgzcixip2s4p6i";
- name = "kxmlrpcclient-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/portingAids/kxmlrpcclient-5.85.0.tar.xz";
+ sha256 = "117cvdf7iy1139sx0vk906whmkm3ffw0wivqdjdcfwxsdxi6s6d1";
+ name = "kxmlrpcclient-5.85.0.tar.xz";
};
};
modemmanager-qt = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/modemmanager-qt-5.84.0.tar.xz";
- sha256 = "1k0dbgq3zbg1rhy775vbxwqssbdin4wm7rw4fkcdra4z9hf39xin";
- name = "modemmanager-qt-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/modemmanager-qt-5.85.0.tar.xz";
+ sha256 = "1jb27266dc6ry2y3w9bf1sf20xxw2rkb6ac8z9p46r0myhj2zn2j";
+ name = "modemmanager-qt-5.85.0.tar.xz";
};
};
networkmanager-qt = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/networkmanager-qt-5.84.0.tar.xz";
- sha256 = "1qd58p2hj1rnzjvd6sskmry7gq7gp9fvp115ihc8dkaq8xvwah77";
- name = "networkmanager-qt-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/networkmanager-qt-5.85.0.tar.xz";
+ sha256 = "1lg17ibk9mn4jsxh6dl0yzyhy26xifymvrf5saggl28vkkhvnh6r";
+ name = "networkmanager-qt-5.85.0.tar.xz";
};
};
oxygen-icons5 = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/oxygen-icons5-5.84.0.tar.xz";
- sha256 = "06h1c2lvvs41lcibgv5iz31g7j1x7fdyi7lnh21hkgd7747vk42l";
- name = "oxygen-icons5-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/oxygen-icons5-5.85.0.tar.xz";
+ sha256 = "0if136n5mkvxhiyvlmwmj3q9y1g1gr9qz4qqdcsn6wy9jippq46g";
+ name = "oxygen-icons5-5.85.0.tar.xz";
};
};
plasma-framework = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/plasma-framework-5.84.0.tar.xz";
- sha256 = "0chmmb04m1bq4d1w67bw3ppc2iycw7wzsdpams6c4y9f59iwrd8r";
- name = "plasma-framework-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/plasma-framework-5.85.0.tar.xz";
+ sha256 = "1zzqmm7s39bcay3b4f6qzhd5pw3q6p9fas94i88afhqsxjbkm6w7";
+ name = "plasma-framework-5.85.0.tar.xz";
};
};
prison = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/prison-5.84.0.tar.xz";
- sha256 = "17bd40fqp88j5dwxixrhf1d4xwri574l4593rdhzg8qgi9jm2ypj";
- name = "prison-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/prison-5.85.0.tar.xz";
+ sha256 = "1c6dq4ql3n6s9xwvs6ix8n3fsv96aqdvd0qwc1n4sap9xlg3752n";
+ name = "prison-5.85.0.tar.xz";
};
};
purpose = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/purpose-5.84.0.tar.xz";
- sha256 = "01rh85fc4c4gl0lxw2rbcrh001akggnz7aahkc2spsgd64m7vfv7";
- name = "purpose-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/purpose-5.85.0.tar.xz";
+ sha256 = "13r47g81qfqdvd0s70r9dwlcdg8c6m5xrnpvypjs6cd51907m0f5";
+ name = "purpose-5.85.0.tar.xz";
};
};
qqc2-desktop-style = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/qqc2-desktop-style-5.84.0.tar.xz";
- sha256 = "0vz68nh6iy92whjlkgf1jmmlhr5261rgsy1r7k3bfd91a41qh2qw";
- name = "qqc2-desktop-style-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/qqc2-desktop-style-5.85.0.tar.xz";
+ sha256 = "1hkcy3dzaqfkxnmj9k278q0dijiwhjmzw98xxj9fh8mjygwkj1dp";
+ name = "qqc2-desktop-style-5.85.0.tar.xz";
};
};
solid = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/solid-5.84.0.tar.xz";
- sha256 = "0lrims7zfr5xr5y25v63d08m6cm27z6mxbdg9j06xsrqf93vyz4s";
- name = "solid-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/solid-5.85.0.tar.xz";
+ sha256 = "0gcddgrz07j9dgf4fg9hc810zr8f3az9b0qvxax9ys9x5kg1dr57";
+ name = "solid-5.85.0.tar.xz";
};
};
sonnet = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/sonnet-5.84.0.tar.xz";
- sha256 = "0xnbi1rbb2awl5bvyjxjvzq5a8n9xpmiqvlzcgprmqgmsygzlnnq";
- name = "sonnet-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/sonnet-5.85.0.tar.xz";
+ sha256 = "1cg2f09c0blk7ymlq7j3a1bci78kv1n0xq3ys4kxgf53khwhdqpw";
+ name = "sonnet-5.85.0.tar.xz";
};
};
syndication = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/syndication-5.84.0.tar.xz";
- sha256 = "0m245vp5dkw88rz9kgym4ka729p688wspm8mv6zzsfffggvfkwrc";
- name = "syndication-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/syndication-5.85.0.tar.xz";
+ sha256 = "05hvzxzlvdzc2sxapjvqhdycdvn6bnq0hs45c88pb13ncxxljdxh";
+ name = "syndication-5.85.0.tar.xz";
};
};
syntax-highlighting = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/syntax-highlighting-5.84.0.tar.xz";
- sha256 = "1mb4di9k2rxf6f7n53z94q5awmwzfd516kv757ifd323w9xkmyxa";
- name = "syntax-highlighting-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/syntax-highlighting-5.85.0.tar.xz";
+ sha256 = "1qn9n2sv9n22j7bhq4n93i985v244kkg0vi5c33s9zppb4xgd34a";
+ name = "syntax-highlighting-5.85.0.tar.xz";
};
};
threadweaver = {
- version = "5.84.0";
+ version = "5.85.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.84/threadweaver-5.84.0.tar.xz";
- sha256 = "0hmxkqwxjvk6m3h3l12bw01xgwqxzja5cismqrwcc3yxf8fyd572";
- name = "threadweaver-5.84.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.85/threadweaver-5.85.0.tar.xz";
+ sha256 = "0md5bnkn5bh2jqzj7m444bmn5c7davyhwlffi0pg22r01m44l6s3";
+ name = "threadweaver-5.85.0.tar.xz";
};
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kimageannotator/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kimageannotator/default.nix
index 3188415ea04..b43883b645d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kimageannotator/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kimageannotator/default.nix
@@ -2,13 +2,13 @@
mkDerivation rec {
pname = "kimageannotator";
- version = "0.5.1";
+ version = "0.5.2";
src = fetchFromGitHub {
owner = "ksnip";
repo = "kImageAnnotator";
rev = "v${version}";
- sha256 = "0hfvrd78lgwd7bccz0fx2pr7g0v3s401y5plra63rxwk55ffkxf8";
+ sha256 = "07m3il928gwzzab349grpaksqqv4n7r6mn317sx2jly0x0bpv0rh";
};
nativeBuildInputs = [ cmake qttools ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kirigami-addons/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kirigami-addons/default.nix
new file mode 100644
index 00000000000..3af1c44bf88
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/kirigami-addons/default.nix
@@ -0,0 +1,44 @@
+{ lib
+, mkDerivation
+, fetchFromGitLab
+
+, cmake
+, extra-cmake-modules
+
+, ki18n
+, kirigami2
+, qtquickcontrols2
+}:
+
+mkDerivation rec {
+ pname = "kirigami-addons";
+ version = "21.05";
+
+ src = fetchFromGitLab {
+ domain = "invent.kde.org";
+ owner = "libraries";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "0pwkpag15mvzhd3hvdwx0a8ajwq9j30r6069vsx85bagnag3zanh";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ extra-cmake-modules
+ ];
+
+ buildInputs = [
+ ki18n
+ kirigami2
+ qtquickcontrols2
+ ];
+
+ meta = with lib; {
+ description = "Add-ons for the Kirigami framework";
+ homepage = "https://invent.kde.org/libraries/kirigami-addons";
+ # https://invent.kde.org/libraries/kirigami-addons/-/blob/b197d98fdd079b6fc651949bd198363872d1be23/src/treeview/treeviewplugin.cpp#L1-5
+ license = licenses.lgpl2Plus;
+ maintainers = with maintainers; [ samueldr ];
+ };
+}
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/languagemachines/test.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/languagemachines/test.nix
index 48c41ac52f2..26fff825a15 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/languagemachines/test.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/languagemachines/test.nix
@@ -5,7 +5,7 @@
runCommand "frog-test" {} ''
${languageMachines.frog}/bin/frog >$out < libxml2 != null;
stdenv.mkDerivation rec {
pname = "libarchive";
- version = "3.5.1";
+ version = "3.5.2";
src = fetchFromGitHub {
owner = "libarchive";
repo = "libarchive";
rev = "v${version}";
- sha256 = "sha256-RFPhe4PCq8OLwa6c7ir+5u9jBsUxS5M/fcZYAG9W6R0=";
+ sha256 = "sha256-H00UJ+ON1kBc19BgWBBKmO8f23oAg2mk7o9hhDhn50Q=";
};
outputs = [ "out" "lib" "dev" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libassuan/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libassuan/default.nix
index 5e966d9c35b..3a00ca498cb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libassuan/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libassuan/default.nix
@@ -1,4 +1,4 @@
-{ fetchurl, lib, stdenv, gettext, npth, libgpgerror, buildPackages }:
+{ fetchurl, lib, stdenv, gettext, npth, libgpg-error, buildPackages }:
stdenv.mkDerivation rec {
pname = "libassuan";
@@ -16,14 +16,14 @@ stdenv.mkDerivation rec {
buildInputs = [ npth gettext ];
configureFlags = [
- "--with-libgpg-error-prefix=${libgpgerror.dev}"
+ "--with-libgpg-error-prefix=${libgpg-error.dev}"
];
doCheck = true;
# Make sure includes are fixed for callers who don't use libassuan-config
postInstall = ''
- sed -i 's,#include ,#include "${libgpgerror.dev}/include/gpg-error.h",g' $dev/include/assuan.h
+ sed -i 's,#include ,#include "${libgpg-error.dev}/include/gpg-error.h",g' $dev/include/assuan.h
'';
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libatomic_ops/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libatomic_ops/default.nix
index f9e850f91ff..9b91dac8f63 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libatomic_ops/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libatomic_ops/default.nix
@@ -2,14 +2,14 @@
stdenv.mkDerivation rec {
pname = "libatomic_ops";
- version = "7.6.10";
+ version = "7.6.12";
src = fetchurl {
urls = [
"http://www.ivmaisoft.com/_bin/atomic_ops/libatomic_ops-${version}.tar.gz"
"https://github.com/ivmai/libatomic_ops/releases/download/v${version}/libatomic_ops-${version}.tar.gz"
];
- sha256 = "1bwry043f62pc4mgdd37zx3fif19qyrs8f5bw7qxlmkzh5hdyzjq";
+ sha256 = "sha256-8KtWbiX84ItWDh/qtqPbAdtKOOW8aHgEM07zkgxUnz4=";
};
outputs = [ "out" "dev" "doc" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libb64/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libb64/default.nix
index d1f942a3498..605faaebf69 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libb64/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libb64/default.nix
@@ -1,16 +1,16 @@
-{ lib, stdenv, fetchurl, unzip }:
+{ lib, stdenv, fetchFromGitHub }:
stdenv.mkDerivation rec {
pname = "libb64";
- version = "1.2";
+ version = "2.0.0.1";
- src = fetchurl {
- url = "http://download.draios.com/dependencies/libb64-1.2.src.zip";
- sha256 = "1lxzi6v10qsl2r6633dx0zwqyvy0j19nmwclfd0d7qybqmhqsg9l";
+ src = fetchFromGitHub {
+ owner = "libb64";
+ repo = "libb64";
+ rev = "v${version}";
+ sha256 = "sha256-9loDftr769qnIi00MueO86kjha2EiG9pnCLogp0Iq3c=";
};
- nativeBuildInputs = [ unzip ];
-
installPhase = ''
mkdir -p $out $out/lib $out/bin $out/include
cp -r include/* $out/include/
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libbdplus/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libbdplus/default.nix
index ca7b305122e..5b57cd7d458 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libbdplus/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libbdplus/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchurl, libgcrypt, libgpgerror, gettext }:
+{ lib, stdenv, fetchurl, libgcrypt, libgpg-error, gettext }:
# library that allows libbluray to play BDplus protected bluray disks
# libaacs does not infringe DRM's right or copyright. See the legal page of the website for more info.
@@ -16,7 +16,7 @@ stdenv.mkDerivation rec {
sha256 = "02n87lysqn4kg2qk7d1ffrp96c44zkdlxdj0n16hbgrlrpiwlcd6";
};
- buildInputs = [ libgcrypt libgpgerror gettext ];
+ buildInputs = [ libgcrypt libgpg-error gettext ];
nativeBuildInputs = [ ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libbfd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libbfd/default.nix
index 499f04349b5..45fe337d858 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libbfd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libbfd/default.nix
@@ -1,6 +1,6 @@
{ lib, stdenv
, fetchpatch, gnu-config, autoreconfHook, bison, binutils-unwrapped
-, libiberty, zlib
+, libiberty, libintl, zlib
}:
stdenv.mkDerivation {
@@ -30,16 +30,16 @@ stdenv.mkDerivation {
# We update these ourselves
dontUpdateAutotoolsGnuConfigScripts = true;
+ strictDeps = true;
nativeBuildInputs = [ autoreconfHook bison ];
- buildInputs = [ libiberty zlib.dev ];
+ buildInputs = [ libiberty zlib ] ++ lib.optionals stdenv.isDarwin [ libintl ];
configurePlatforms = [ "build" "host" ];
configureFlags = [
"--enable-targets=all" "--enable-64-bit-bfd"
"--enable-install-libbfd"
- "--enable-shared"
"--with-system-zlib"
- ];
+ ] ++ lib.optional (!stdenv.hostPlatform.isStatic) "--enable-shared";
enableParallelBuilding = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libblockdev/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libblockdev/default.nix
index 9b1654420e7..feb721dce45 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libblockdev/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libblockdev/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, fetchpatch, substituteAll, autoreconfHook, pkg-config, gtk-doc
+{ lib, stdenv, fetchFromGitHub, substituteAll, autoreconfHook, pkg-config, gtk-doc
, docbook_xml_dtd_43, python3, gobject-introspection, glib, udev, kmod, parted
, cryptsetup, lvm2, dmraid, util-linux, libbytesize, libndctl, nss, volume_key
, libxslt, docbook_xsl, gptfdisk, libyaml, autoconf-archive
@@ -6,13 +6,13 @@
}:
stdenv.mkDerivation rec {
pname = "libblockdev";
- version = "2.25";
+ version = "2.26";
src = fetchFromGitHub {
owner = "storaged-project";
repo = "libblockdev";
rev = "${version}-1";
- sha256 = "sha256-eHUHTogKoNrnwwSo6JaI7NMxVt9JeMqfWyhR62bDMuQ=";
+ sha256 = "sha256-e7j5b1KbjgVN9JpJtK2o7RNEHZjKDoDyoY4f8GlIdyI=";
};
outputs = [ "out" "dev" "devdoc" ];
@@ -22,13 +22,6 @@ stdenv.mkDerivation rec {
src = ./fix-paths.patch;
sgdisk = "${gptfdisk}/bin/sgdisk";
})
-
- # fix build with glib 2.68 (g_memdup is deprecated)
- # https://github.com/storaged-project/libblockdev/pull/623
- (fetchpatch {
- url = "https://github.com/storaged-project/libblockdev/commit/5528baef6ccc835a06c45f9db34a2c9c3f2dd940.patch";
- sha256 = "jxq4BLeyTMeNvBvY8k8QXIvYSJ2Gah0J75pq6FpG7PM=";
- })
];
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcamera/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcamera/default.nix
index 90a946597e7..506a626e7c8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcamera/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcamera/default.nix
@@ -19,12 +19,12 @@
stdenv.mkDerivation {
pname = "libcamera";
- version = "unstable-2021-06-02";
+ version = "unstable-2021-09-24";
src = fetchgit {
- url = "git://linuxtv.org/libcamera.git";
- rev = "143b252462b9b795a1286a30349348642fcb87f5";
- sha256 = "0mlwgd3rxagzhmc94lnn6snriyqvfdpz8r8f58blcf16859galyl";
+ url = "https://git.libcamera.org/libcamera/libcamera.git";
+ rev = "40f5fddca7f774944a53f58eeaebc4db79c373d8";
+ sha256 = "0jklgdv5ma4nszxibms5lkf5d2ips7ncynwa1flglrhl5bl4wkzz";
};
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcanberra/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcanberra/default.nix
index 92f05b8cd30..e5525a2bd82 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcanberra/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcanberra/default.nix
@@ -13,9 +13,11 @@ stdenv.mkDerivation rec {
sha256 = "0wps39h8rx2b00vyvkia5j40fkak3dpipp1kzilqla0cgvk73dn2";
};
- nativeBuildInputs = [ pkg-config libtool ];
+ strictDeps = true;
+ nativeBuildInputs = [ pkg-config ];
buildInputs = [
libpulseaudio libvorbis
+ libtool # in buildInputs rather than nativeBuildInputs since libltdl is used (not libtool itself)
] ++ (with gst_all_1; [ gstreamer gst-plugins-base ])
++ lib.optional (gtkSupport == "gtk2") gtk2-x11
++ lib.optional (gtkSupport == "gtk3") gtk3-x11
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcdada/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcdada/default.nix
new file mode 100644
index 00000000000..94976c1be46
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcdada/default.nix
@@ -0,0 +1,37 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, autoreconfHook
+}:
+
+stdenv.mkDerivation rec {
+ pname = "libcdada";
+ version = "0.3.5";
+
+ src = fetchFromGitHub {
+ owner = "msune";
+ repo = "libcdada";
+ rev = "v${version}";
+ sha256 = "0vcsf3s4fbw2w33jjc8b509kc0xb6ld58l8wfxgqwjqx5icfg1ps";
+ };
+
+ nativeBuildInputs = [
+ autoreconfHook
+ ];
+
+ configureFlags = [
+ "--without-tests"
+ "--without-examples"
+ ];
+
+ meta = with lib; {
+ description = "Library for basic data structures in C";
+ longDescription = ''
+ Basic data structures in C: list, set, map/hashtable, queue... (libstdc++ wrapper)
+ '';
+ homepage = "https://github.com/msune/libcdada";
+ license = licenses.bsd2;
+ maintainers = with maintainers; [ _0x4A6F ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcyaml/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcyaml/default.nix
index 0fabdb49ca1..26ac159646f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcyaml/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libcyaml/default.nix
@@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "libcyaml";
- version = "1.2.0";
+ version = "1.2.1";
src = fetchFromGitHub {
owner = "tlsa";
repo = "libcyaml";
rev = "v${version}";
- sha256 = "sha256-LtU1r95YoLuQ2JCphxbMojxKyXnt50XEARGUPftLgsU=";
+ sha256 = "sha256-u5yLrAXaavALNArj6yw+v5Yn4eqXWTHmUxHe+pVCbXM=";
};
buildInputs = [ libyaml ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdazzle/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdazzle/default.nix
index d62614c56c7..426ffadf4c6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdazzle/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdazzle/default.nix
@@ -3,14 +3,14 @@
stdenv.mkDerivation rec {
pname = "libdazzle";
- version = "3.40.0";
+ version = "3.42.0";
outputs = [ "out" "dev" "devdoc" ];
outputBin = "dev";
src = fetchurl {
url = "mirror://gnome/sources/libdazzle/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "19abrrjsyjhhl1xflnb0likb9wwzz78fa1mk2b064rpscmz9mafv";
+ sha256 = "09b9l56yiwad7xqr7g7ragmm4gmqxjnvc2pcx6741klw7lxpmrpa";
};
nativeBuildInputs = [ ninja meson pkg-config vala gobject-introspection libxml2 gtk-doc docbook_xsl docbook_xml_dtd_43 dbus xvfb-run glib ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdecor/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdecor/default.nix
new file mode 100644
index 00000000000..25abfc34b36
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdecor/default.nix
@@ -0,0 +1,53 @@
+{ stdenv
+, lib
+, fetchFromGitLab
+, pkg-config
+, meson
+, ninja
+, wayland
+, wayland-protocols
+, wayland-scanner
+, cairo
+, dbus
+, pango
+, libxkbcommon
+}:
+
+stdenv.mkDerivation rec {
+ pname = "libdecor";
+ version = "0.1.0";
+
+ src = fetchFromGitLab {
+ domain = "gitlab.gnome.org";
+ owner = "jadahl";
+ repo = "libdecor";
+ rev = "${version}";
+ sha256 = "0qdg3r7k086wzszr969s0ljlqdvfqm31zpl8p5h397bw076zr6p2";
+ };
+
+ strictDeps = true;
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ wayland-scanner
+ ];
+
+ buildInputs = [
+ wayland
+ wayland-protocols
+ cairo
+ dbus
+ pango
+ libxkbcommon
+ ];
+
+ meta = with lib; {
+ homepage = "https://gitlab.gnome.org/jadahl/libdecor";
+ description = "Client-side decorations library for Wayland clients";
+ license = licenses.mit;
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ artturin ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdeltachat/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdeltachat/default.nix
index c61636bfb95..9cc0ca65ae0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdeltachat/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdeltachat/default.nix
@@ -16,13 +16,13 @@
stdenv.mkDerivation rec {
pname = "libdeltachat";
- version = "1.59.0";
+ version = "1.60.0";
src = fetchFromGitHub {
owner = "deltachat";
repo = "deltachat-core-rust";
rev = version;
- sha256 = "1lwck5gb2kys7wxg08q3pnb8cqhzwwqy6nxcf2yc030gmnwm4sya";
+ sha256 = "1agm5xyaib4ynmw4mhgmkhh4lnxs91wv0q9i1zfihv2vkckfm2s2";
};
patches = [
@@ -32,12 +32,17 @@ stdenv.mkDerivation rec {
sha256 = "1j2ywaazglgl6370js34acrg0wrh0b7krqg05dfjf65n527lzn59";
})
./no-static-lib.patch
+ # https://github.com/deltachat/deltachat-core-rust/pull/2660
+ (fetchpatch {
+ url = "https://github.com/deltachat/deltachat-core-rust/commit/8fb5e038a97d8ae68564c885d61b93127a68366d.patch";
+ sha256 = "088pzfrrkgfi4646dc72404s3kykcpni7hgkppalwlzg0p4is41x";
+ })
];
cargoDeps = rustPlatform.fetchCargoTarball {
inherit src;
name = "${pname}-${version}";
- sha256 = "13zzc8c50cy6fknrxzw5gf6rcclsn5bcb2bi3z9mmzsl29ga32gx";
+ sha256 = "09d3mw2hb1gmqg7smaqwnfm7izw40znl0h1dz7s2imms2cnkjws1";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdigidocpp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdigidocpp/default.nix
index c7a7673dc1b..4f80709652d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdigidocpp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libdigidocpp/default.nix
@@ -1,26 +1,26 @@
-{ lib, stdenv, fetchurl, cmake, libdigidoc, minizip, pcsclite, opensc, openssl
+{ lib, stdenv, fetchurl, cmake, minizip, pcsclite, opensc, openssl
, xercesc, xml-security-c, pkg-config, xsd, zlib, xalanc, xxd }:
stdenv.mkDerivation rec {
- version = "3.14.6";
+ version = "3.14.7";
pname = "libdigidocpp";
src = fetchurl {
url = "https://github.com/open-eid/libdigidocpp/releases/download/v${version}/libdigidocpp-${version}.tar.gz";
- sha256 = "sha256-zDMxJyL/T3cXrqgMT15yZlCozgyOt5nNreottuuiGHk=";
+ sha256 = "sha256-QdctW2+T8kPNUJv30pXZ/qfnw1Uhq6gScSjUI+bZMfY=";
};
nativeBuildInputs = [ cmake pkg-config xxd ];
buildInputs = [
- libdigidoc minizip pcsclite opensc openssl xercesc
+ minizip pcsclite opensc openssl xercesc
xml-security-c xsd zlib xalanc
];
meta = with lib; {
description = "Library for creating DigiDoc signature files";
homepage = "http://www.id.ee/";
- license = licenses.lgpl2;
+ license = licenses.lgpl21Plus;
platforms = platforms.linux;
maintainers = [ maintainers.jagajaga ];
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libe57format/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libe57format/default.nix
index 49b75906bdb..2ad8573ecb3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libe57format/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libe57format/default.nix
@@ -5,20 +5,17 @@
boost,
xercesc,
icu,
-
- dos2unix,
- fetchpatch,
}:
stdenv.mkDerivation rec {
pname = "libe57format";
- version = "2.1";
+ version = "2.2.0";
src = fetchFromGitHub {
owner = "asmaloney";
repo = "libE57Format";
rev = "v${version}";
- sha256 = "05z955q68wjbd9gc5fw32nqg69xc82n2x75j5vchxzkgnn3adcpi";
+ sha256 = "15l23spjvak5h3n7aj3ggy0c3cwcg8mvnc9jlbd9yc2ra43bx7bp";
};
nativeBuildInputs = [
@@ -36,31 +33,6 @@ stdenv.mkDerivation rec {
xercesc
];
- # TODO: Remove CMake patching when https://github.com/asmaloney/libE57Format/pull/60 is available.
-
- # GNU patch cannot patch `CMakeLists.txt` that has CRLF endings,
- # see https://unix.stackexchange.com/questions/239364/how-to-fix-hunk-1-failed-at-1-different-line-endings-message/243748#243748
- # so convert it first.
- prePatch = ''
- ${dos2unix}/bin/dos2unix CMakeLists.txt
- '';
- patches = [
- (fetchpatch {
- name = "libE57Format-cmake-Fix-config-filename.patch";
- url = "https://github.com/asmaloney/libE57Format/commit/279d8d6b60ee65fb276cdbeed74ac58770a286f9.patch";
- sha256 = "0fbf92hs1c7yl169i7zlbaj9yhrd1yg3pjf0wsqjlh8mr5m6rp14";
- })
- ];
- # It appears that while the patch has
- # diff --git a/cmake/E57Format-config.cmake b/cmake/e57format-config.cmake
- # similarity index 100%
- # rename from cmake/E57Format-config.cmake
- # rename to cmake/e57format-config.cmake
- # GNU patch doesn't interpret that.
- postPatch = ''
- mv cmake/E57Format-config.cmake cmake/e57format-config.cmake
- '';
-
# The build system by default builds ONLY static libraries, and with
# `-DE57_BUILD_SHARED=ON` builds ONLY shared libraries, see:
# https://github.com/asmaloney/libE57Format/issues/48
@@ -79,7 +51,7 @@ stdenv.mkDerivation rec {
'';
meta = with lib; {
- description = "Library for reading & writing the E57 file format (fork of E57RefImpl)";
+ description = "Library for reading & writing the E57 file format";
homepage = "https://github.com/asmaloney/libE57Format";
license = licenses.boost;
maintainers = with maintainers; [ chpatrick nh2 ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libebml/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libebml/default.nix
index 6a042012132..84025e24f65 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libebml/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libebml/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, cmake, pkg-config }:
+{ lib, stdenv, fetchFromGitHub, fetchpatch, cmake, pkg-config }:
stdenv.mkDerivation rec {
pname = "libebml";
@@ -11,6 +11,15 @@ stdenv.mkDerivation rec {
sha256 = "1hiilnabar826lfxsaflqjhgsdli6hzzhjv8q2nmw36fvvlyks25";
};
+ patches = [
+ # Upstream fix for gcc-11
+ (fetchpatch {
+ url = "https://github.com/Matroska-Org/libebml/commit/f0bfd53647961e799a43d918c46cf3b6bff89806.patch";
+ sha256 = "1yd6rsds03kwx5jki4hihd2bpfh26g5l1pi82qzaqzarixdxwzvl";
+ excludes = [ "ChangeLog" ];
+ })
+ ];
+
nativeBuildInputs = [ cmake pkg-config ];
cmakeFlags = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libexif/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libexif/default.nix
index 20e69c4ad66..1c650ad60de 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libexif/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libexif/default.nix
@@ -1,30 +1,16 @@
-{ lib, stdenv, fetchFromGitHub, fetchpatch, autoreconfHook, gettext }:
+{ lib, stdenv, fetchFromGitHub, autoreconfHook, gettext }:
stdenv.mkDerivation rec {
pname = "libexif";
- version = "0.6.22";
+ version = "0.6.23";
src = fetchFromGitHub {
owner = pname;
repo = pname;
rev = "${pname}-${builtins.replaceStrings ["."] ["_"] version}-release";
- sha256 = "0mzndakdi816zcs13z7yzp7hj031p2dcyfq2p391r63d9z21jmy1";
+ sha256 = "sha256-Os0yI/IPoe9MuhXgNdDaIg6uohclA2bjeu9t3tbUoNA=";
};
- patches = [
- (fetchpatch {
- name = "CVE-2020-0198.patch";
- url = "https://github.com/libexif/libexif/commit/ce03ad7ef4e8aeefce79192bf5b6f69fae396f0c.patch";
- sha256 = "1040278g5dbq3vvlyk8cmzb7flpi9bfsp99268hw69i6ilwbdf2k";
- })
- (fetchpatch {
- name = "CVE-2020-0452.patch";
- url = "https://github.com/libexif/libexif/commit/9266d14b5ca4e29b970fa03272318e5f99386e06.patch";
- excludes = [ "NEWS" ];
- sha256 = "0k4z1gbbkli6wwyy9qm2qvn0h00qda6wqym61nmmbys7yc2zryj6";
- })
- ];
-
nativeBuildInputs = [ autoreconfHook gettext ];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libfabric/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libfabric/default.nix
new file mode 100644
index 00000000000..4142c3763b5
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libfabric/default.nix
@@ -0,0 +1,30 @@
+{ lib, stdenv, fetchFromGitHub, pkg-config, autoreconfHook, libpsm2
+, enablePsm2 ? (stdenv.isx86_64 && stdenv.isLinux) }:
+
+stdenv.mkDerivation rec {
+ pname = "libfabric";
+ version = "1.13.1";
+
+ enableParallelBuilding = true;
+
+ src = fetchFromGitHub {
+ owner = "ofiwg";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "0USQMBXZrbz4GtXLNsSti9ohUOqqo0OCtVz+0Uk9ndI=";
+ };
+
+ nativeBuildInputs = [ pkg-config autoreconfHook ];
+
+ buildInputs = lib.optional enablePsm2 libpsm2;
+
+ configureFlags = [ (if enablePsm2 then "--enable-psm2=${libpsm2}" else "--disable-psm2") ];
+
+ meta = with lib; {
+ homepage = "https://ofiwg.github.io/libfabric/";
+ description = "Open Fabric Interfaces";
+ license = with licenses; [ gpl2 bsd2 ];
+ platforms = platforms.all;
+ maintainers = [ maintainers.bzizou ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libfyaml/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libfyaml/default.nix
index dfb540b0bd2..91ec5a5ed06 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libfyaml/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libfyaml/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "libfyaml";
- version = "0.7";
+ version = "0.7.1";
src = fetchFromGitHub {
owner = "pantoniou";
repo = pname;
rev = "v${version}";
- sha256 = "10w1n4zzgw33j755pkv73fxdn93kwbzg486b5m9i0bh5d76jp4ax";
+ sha256 = "1367cbny5msapy48z0yysbkawmk1qjqk7cjnqkjszs47riwvjz3h";
};
nativeBuildInputs = [ autoreconfHook pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgbinder/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgbinder/default.nix
new file mode 100644
index 00000000000..374a316abba
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgbinder/default.nix
@@ -0,0 +1,45 @@
+{ stdenv, lib, fetchFromGitHub, pkg-config, glib, libglibutil }:
+
+stdenv.mkDerivation rec {
+ pname = "libgbinder";
+ version = "1.1.12";
+
+ src = fetchFromGitHub {
+ owner = "mer-hybris";
+ repo = pname;
+ rev = version;
+ sha256 = "03p5ala9lnfcizh7832ax5phdvfzrdxw6acw8zib8wj0s133wyhb";
+ };
+
+ outputs = [ "out" "dev" ];
+
+ nativeBuildInputs = [
+ pkg-config
+ ];
+
+ buildInputs = [
+ glib
+ libglibutil
+ ];
+
+ makeFlags = [
+ "LIBDIR=$(out)/lib"
+ "INSTALL_INCLUDE_DIR=$(dev)/include/gbinder"
+ "INSTALL_PKGCONFIG_DIR=$(dev)/lib/pkgconfig"
+ ];
+
+ installTargets = [ "install" "install-dev" ];
+
+ postInstall = ''
+ sed -i -e "s@includedir=/usr@includedir=$dev@g" $dev/lib/pkgconfig/$pname.pc
+ sed -i -e "s@Cflags: @Cflags: $($PKG_CONFIG --cflags libglibutil) @g" $dev/lib/pkgconfig/$pname.pc
+ '';
+
+ meta = with lib; {
+ description = "GLib-style interface to binder";
+ homepage = "https://github.com/mer-hybris/libgbinder";
+ license = licenses.bsd3;
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ mcaju ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgcrypt/1.5.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgcrypt/1.5.nix
index b6968ff2d4e..897222116e8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgcrypt/1.5.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgcrypt/1.5.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchpatch, fetchurl, libgpgerror, enableCapabilities ? false, libcap }:
+{ lib, stdenv, fetchpatch, fetchurl, libgpg-error, enableCapabilities ? false, libcap }:
assert enableCapabilities -> stdenv.isLinux;
@@ -19,13 +19,13 @@ stdenv.mkDerivation rec {
];
buildInputs =
- [ libgpgerror ]
+ [ libgpg-error ]
++ lib.optional enableCapabilities libcap;
# Make sure libraries are correct for .pc and .la files
# Also make sure includes are fixed for callers who don't use libgpgcrypt-config
postInstall = ''
- sed -i 's,#include ,#include "${libgpgerror.dev}/include/gpg-error.h",g' $out/include/gcrypt.h
+ sed -i 's,#include ,#include "${libgpg-error.dev}/include/gpg-error.h",g' $out/include/gcrypt.h
'' + lib.optionalString enableCapabilities ''
sed -i 's,\(-lcap\),-L${libcap.lib}/lib \1,' $out/lib/libgcrypt.la
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgcrypt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgcrypt/default.nix
index 506b1a5b25f..08e79a16e1a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgcrypt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgcrypt/default.nix
@@ -1,14 +1,14 @@
-{ lib, stdenv, fetchurl, gettext, libgpgerror, enableCapabilities ? false, libcap, buildPackages }:
+{ lib, stdenv, fetchurl, gettext, libgpg-error, enableCapabilities ? false, libcap, buildPackages }:
assert enableCapabilities -> stdenv.isLinux;
stdenv.mkDerivation rec {
pname = "libgcrypt";
- version = "1.9.3";
+ version = "1.9.4";
src = fetchurl {
url = "mirror://gnupg/libgcrypt/${pname}-${version}.tar.bz2";
- sha256 = "sha256-l+vk+U4vfjW3UhlM4VoPPGYyTg/2ryZlm7+1/y7DKP0=";
+ sha256 = "1xxabjv45zlxyryiwhmbfblsx41kl267wsb78bny6m14ly1rr17a";
};
outputs = [ "out" "dev" "info" ];
@@ -21,13 +21,13 @@ stdenv.mkDerivation rec {
depsBuildBuild = [ buildPackages.stdenv.cc ];
- buildInputs = [ libgpgerror ]
+ buildInputs = [ libgpg-error ]
++ lib.optional stdenv.isDarwin gettext
++ lib.optional enableCapabilities libcap;
strictDeps = true;
- configureFlags = [ "--with-libgpg-error-prefix=${libgpgerror.dev}" ]
+ configureFlags = [ "--with-libgpg-error-prefix=${libgpg-error.dev}" ]
++ lib.optional (stdenv.hostPlatform.isMusl || (stdenv.hostPlatform.isDarwin && stdenv.hostPlatform.isAarch64)) "--disable-asm"; # for darwin see https://dev.gnupg.org/T5157
# Necessary to generate correct assembly when compiling for aarch32 on
@@ -42,7 +42,7 @@ stdenv.mkDerivation rec {
# Make sure libraries are correct for .pc and .la files
# Also make sure includes are fixed for callers who don't use libgpgcrypt-config
postFixup = ''
- sed -i 's,#include ,#include "${libgpgerror.dev}/include/gpg-error.h",g' "$dev/include/gcrypt.h"
+ sed -i 's,#include ,#include "${libgpg-error.dev}/include/gpg-error.h",g' "$dev/include/gcrypt.h"
'' + lib.optionalString enableCapabilities ''
sed -i 's,\(-lcap\),-L${libcap.lib}/lib \1,' $out/lib/libgcrypt.la
'';
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgda/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgda/default.nix
index b3f6e1a7cdd..63acabb320e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgda/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgda/default.nix
@@ -87,6 +87,7 @@ assert postgresSupport -> postgresql != null;
updateScript = gnome.updateScript {
packageName = pname;
versionPolicy = "odd-unstable";
+ freeze = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgdamm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgdamm/default.nix
index ad5e0cbecd6..34735e644e4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgdamm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgdamm/default.nix
@@ -26,7 +26,7 @@ in stdenv.mkDerivation rec {
passthru = {
updateScript = gnome.updateScript {
packageName = pname;
- versionPolicy = "odd-unstable";
+ versionPolicy = "none"; # Should be odd-unstable but stable version has not been released yet.
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libglibutil/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libglibutil/default.nix
new file mode 100644
index 00000000000..1e813b625fe
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libglibutil/default.nix
@@ -0,0 +1,45 @@
+{ stdenv, lib, fetchFromGitLab, pkg-config, glib }:
+
+stdenv.mkDerivation rec {
+ pname = "libglibutil";
+ version = "1.0.55";
+
+ src = fetchFromGitLab {
+ domain = "git.sailfishos.org";
+ owner = "mer-core";
+ repo = pname;
+ rev = version;
+ sha256 = "0zrxccpyfz4jf14zr6fj9b88p340s66lw5cnqkapfa72kl1rnp4q";
+ };
+
+ outputs = [ "out" "dev" ];
+
+ nativeBuildInputs = [
+ pkg-config
+ ];
+
+ buildInputs = [
+ glib
+ ];
+
+ makeFlags = [
+ "LIBDIR=$(out)/lib"
+ "INSTALL_INCLUDE_DIR=$(dev)/include/gutil"
+ "INSTALL_PKGCONFIG_DIR=$(dev)/lib/pkgconfig"
+ ];
+
+ installTargets = [ "install" "install-dev" ];
+
+ postInstall = ''
+ sed -i -e "s@includedir=/usr@includedir=$dev@g" $dev/lib/pkgconfig/$pname.pc
+ sed -i -e "s@Cflags: @Cflags: $($PKG_CONFIG --cflags glib-2.0) @g" $dev/lib/pkgconfig/$pname.pc
+ '';
+
+ meta = with lib; {
+ description = "Library of glib utilities.";
+ homepage = "https://git.sailfishos.org/mer-core/libglibutil";
+ license = licenses.bsd3;
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ mcaju ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libglvnd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libglvnd/default.nix
index 65d0651ed41..b4d8657359f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libglvnd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libglvnd/default.nix
@@ -5,14 +5,14 @@
stdenv.mkDerivation rec {
pname = "libglvnd";
- version = "1.3.3";
+ version = "1.3.4";
src = fetchFromGitLab {
domain = "gitlab.freedesktop.org";
owner = "glvnd";
repo = "libglvnd";
rev = "v${version}";
- sha256 = "0gjk6m3gkdm12bmih2jflp0v5s1ibkixk7mrzrk0cj884m3hy1z6";
+ sha256 = "0phvgg2h3pcz3x39gaymwb37bnw1s26clq9wsj0zx398zmp3dwpk";
};
nativeBuildInputs = [ autoreconfHook pkg-config python3 addOpenGLRunpath ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgpg-error/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgpg-error/default.nix
index e46e2559336..72d28761323 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgpg-error/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgpg-error/default.nix
@@ -35,6 +35,8 @@ in stdenv.mkDerivation (rec {
ln -s lock-obj-pub.arm-unknown-linux-gnueabi.h src/syscfg/lock-obj-pub.linux-gnueabi.h
'' + lib.optionalString (stdenv.hostPlatform.isx86_64 && stdenv.hostPlatform.isMusl) ''
ln -s lock-obj-pub.x86_64-pc-linux-musl.h src/syscfg/lock-obj-pub.linux-musl.h
+ '' + lib.optionalString (stdenv.hostPlatform.isi686 && stdenv.hostPlatform.isMusl) ''
+ ln -s lock-obj-pub.i686-unknown-linux-gnu.h src/syscfg/lock-obj-pub.linux-musl.h
'' + lib.optionalString (stdenv.hostPlatform.isAarch32 && stdenv.hostPlatform.isMusl) ''
ln -s src/syscfg/lock-obj-pub.arm-unknown-linux-gnueabi.h src/syscfg/lock-obj-pub.arm-unknown-linux-musleabihf.h
ln -s src/syscfg/lock-obj-pub.arm-unknown-linux-gnueabi.h src/syscfg/lock-obj-pub.linux-musleabihf.h
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgrss/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgrss/default.nix
index ab8346990fe..277a1860cde 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgrss/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgrss/default.nix
@@ -1,4 +1,6 @@
-{ lib, stdenv, fetchurl, fetchpatch, pkg-config, vala, gobject-introspection, gtk-doc, docbook_xsl, docbook_xml_dtd_412, glib, libxml2, libsoup, gnome }:
+{ lib, stdenv, fetchurl, fetchpatch, pkg-config, vala, gobject-introspection, gtk-doc
+, docbook_xsl, docbook_xml_dtd_412, glib, libxml2, libsoup, gnome, buildPackages
+}:
stdenv.mkDerivation rec {
pname = "libgrss";
@@ -20,10 +22,24 @@ stdenv.mkDerivation rec {
})
];
- nativeBuildInputs = [ pkg-config vala gobject-introspection gtk-doc docbook_xsl docbook_xml_dtd_412 ];
- buildInputs = [ glib libxml2 libsoup ];
+ nativeBuildInputs = [
+ pkg-config
+ vala
+ gobject-introspection
+ gtk-doc
+ docbook_xsl
+ docbook_xml_dtd_412
+ ];
+
+ buildInputs = [
+ glib
+ libxml2
+ libsoup
+ ];
configureFlags = [
+ "PKG_CONFIG=${buildPackages.pkg-config}/bin/${buildPackages.pkg-config.targetPrefix}pkg-config"
+ ] ++ lib.optionals (stdenv.buildPlatform == stdenv.hostPlatform) [
"--enable-gtk-doc"
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgudev/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgudev/default.nix
index 6fd108b3b7a..87061ae6559 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgudev/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgudev/default.nix
@@ -12,13 +12,13 @@
stdenv.mkDerivation rec {
pname = "libgudev";
- version = "236";
+ version = "237";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "094mgjmwgsgqrr1i0vd20ynvlkihvs3vgbmpbrhswjsrdp86j0z5";
+ sha256 = "1al6nr492nzbm8ql02xhzwci2kwb1advnkaky3j9636jf08v41hd";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgxps/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgxps/default.nix
index df05754da58..39793910340 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgxps/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libgxps/default.nix
@@ -6,6 +6,8 @@ stdenv.mkDerivation rec {
pname = "libgxps";
version = "0.3.2";
+ outputs = [ "out" "dev" ];
+
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
sha256 = "bSeGclajXM+baSU+sqiKMrrKO5fV9O9/guNmf6Q1JRw=";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libhandy/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libhandy/default.nix
index 0d69a5b93e1..bb4b03515ed 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libhandy/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libhandy/default.nix
@@ -27,7 +27,7 @@
stdenv.mkDerivation rec {
pname = "libhandy";
- version = "1.2.3";
+ version = "1.4.0";
outputs = [
"out"
@@ -40,7 +40,7 @@ stdenv.mkDerivation rec {
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-kuxKWB7BtB3Qek6PqvXVKuN8q7fh+n+UTWyvvllrbWE=";
+ sha256 = "sha256-JnbVH6H6QP3udJfT52P++hiwM4v/zS7jLn9+YzyIVEY=";
};
nativeBuildInputs = [
@@ -81,7 +81,7 @@ stdenv.mkDerivation rec {
PKG_CONFIG_GLADEUI_2_0_MODULEDIR = "${placeholder "glade"}/lib/glade/modules";
PKG_CONFIG_GLADEUI_2_0_CATALOGDIR = "${placeholder "glade"}/share/glade/catalogs";
- doCheck = true;
+ doCheck = !stdenv.isDarwin;
checkPhase = ''
NO_AT_BRIDGE=1 \
@@ -115,6 +115,6 @@ stdenv.mkDerivation rec {
homepage = "https://gitlab.gnome.org/GNOME/libhandy";
license = licenses.lgpl21Plus;
maintainers = teams.gnome.members;
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libinput/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libinput/default.nix
index 8f1511de330..375154ea46a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libinput/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libinput/default.nix
@@ -1,14 +1,10 @@
-{ lib, stdenv, fetchFromGitLab, pkg-config, meson, ninja
+{ lib, stdenv, fetchurl, pkg-config, meson, ninja
, libevdev, mtdev, udev, libwacom
-, documentationSupport ? false, doxygen ? null, graphviz ? null # Documentation
-, eventGUISupport ? false, cairo ? null, glib ? null, gtk3 ? null # GUI event viewer support
-, testsSupport ? false, check ? null, valgrind ? null, python3 ? null
+, documentationSupport ? false, doxygen, graphviz # Documentation
+, eventGUISupport ? false, cairo, glib, gtk3 # GUI event viewer support
+, testsSupport ? false, check, valgrind, python3
}:
-assert documentationSupport -> doxygen != null && graphviz != null && python3 != null;
-assert eventGUISupport -> cairo != null && glib != null && gtk3 != null;
-assert testsSupport -> check != null && valgrind != null && python3 != null;
-
let
mkFlag = optSet: flag: "-D${flag}=${lib.boolToString optSet}";
@@ -24,17 +20,13 @@ let
else null;
in
-with lib;
stdenv.mkDerivation rec {
pname = "libinput";
- version = "1.16.4";
-
- src = fetchFromGitLab {
- domain = "gitlab.freedesktop.org";
- owner = pname;
- repo = pname;
- rev = version;
- sha256 = "1c81429kh9av9fanxmnjw5rvsjbzcyi7d0dx0gkyq5yysmpmrppi";
+ version = "1.18.1";
+
+ src = fetchurl {
+ url = "https://www.freedesktop.org/software/libinput/libinput-${version}.tar.xz";
+ sha256 = "1jx7y48ym89grjz67jmn80h5j8c36qgwb0h5c703nln2zchl18cw";
};
outputs = [ "bin" "out" "dev" ];
@@ -48,7 +40,7 @@ stdenv.mkDerivation rec {
];
nativeBuildInputs = [ pkg-config meson ninja ]
- ++ optionals documentationSupport [ doxygen graphviz sphinx-build ];
+ ++ lib.optionals documentationSupport [ doxygen graphviz sphinx-build ];
buildInputs = [
libevdev
@@ -60,8 +52,7 @@ stdenv.mkDerivation rec {
pyyaml
setuptools
]))
- ]
- ++ optionals eventGUISupport [ cairo glib gtk3 ];
+ ] ++ lib.optionals eventGUISupport [ cairo glib gtk3 ];
checkInputs = [
check
@@ -73,15 +64,19 @@ stdenv.mkDerivation rec {
patches = [ ./udev-absolute-path.patch ];
postPatch = ''
- patchShebangs tools/helper-copy-and-exec-from-tmp.sh
- patchShebangs test/symbols-leak-test
- patchShebangs test/check-leftover-udev-rules.sh
- patchShebangs test/helper-copy-and-exec-from-tmp.sh
+ patchShebangs \
+ tools/helper-copy-and-exec-from-tmp.sh \
+ test/symbols-leak-test \
+ test/check-leftover-udev-rules.sh \
+ test/helper-copy-and-exec-from-tmp.sh
+
+ # Don't create an empty /etc directory.
+ sed -i "/install_subdir('libinput', install_dir : dir_etc)/d" meson.build
'';
doCheck = testsSupport && stdenv.hostPlatform == stdenv.buildPlatform;
- meta = {
+ meta = with lib; {
description = "Handles input devices in Wayland compositors and provides a generic X.Org input driver";
homepage = "https://www.freedesktop.org/wiki/Software/libinput/";
license = licenses.mit;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libint/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libint/default.nix
index 484125352ce..64b83166ae9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libint/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libint/default.nix
@@ -1,6 +1,7 @@
{ lib, stdenv, fetchFromGitHub, autoconf, automake, libtool
, python3, perl, gmpxx, mpfr, boost, eigen, gfortran, cmake
-, enableFMA ? false, enableFortran ? true
+, enableFMA ? stdenv.hostPlatform.fmaSupport
+, enableFortran ? true
}:
let
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libiscsi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libiscsi/default.nix
index 6dd23d219d9..3cc2e0eee8c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libiscsi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libiscsi/default.nix
@@ -13,10 +13,6 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [ autoreconfHook ];
- # This can be removed after >=1.20.0, or if the build suceeds with
- # pie enabled (default on Musl).
- hardeningDisable = [ "pie" ];
-
# This problem is gone on libiscsi master.
NIX_CFLAGS_COMPILE =
lib.optional stdenv.hostPlatform.is32bit "-Wno-error=sign-compare";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libjaylink/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libjaylink/default.nix
new file mode 100644
index 00000000000..6aac7675dcd
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libjaylink/default.nix
@@ -0,0 +1,36 @@
+{ fetchFromGitLab, lib, stdenv
+, autoreconfHook, pkg-config
+, libusb1
+}:
+
+stdenv.mkDerivation rec {
+ pname = "libjaylink";
+ version = "0.2.0";
+
+ src = fetchFromGitLab {
+ domain = "gitlab.zapb.de";
+ owner = "libjaylink";
+ repo = "libjaylink";
+ rev = version;
+ sha256 = "0ndyfh51hiqyv2yscpj6qd091w7myxxjid3a6rx8f6k233vy826q";
+ };
+
+ nativeBuildInputs = [ autoreconfHook pkg-config ];
+ buildInputs = [ libusb1 ];
+
+ postPatch = ''
+ patchShebangs autogen.sh
+ '';
+
+ postInstall = ''
+ install -Dm644 contrib/99-libjaylink.rules $out/lib/udev/rules.d/libjaylink.rules
+ '';
+
+ meta = with lib; {
+ homepage = "https://gitlab.zapb.de/libjaylink/libjaylink";
+ description = "libjaylink is a shared library written in C to access SEGGER J-Link and compatible devices.";
+ license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ felixsinger ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libjxl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libjxl/default.nix
index d33b2bc3bb5..b406654caba 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libjxl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libjxl/default.nix
@@ -18,17 +18,24 @@
stdenv.mkDerivation rec {
pname = "libjxl";
- version = "unstable-2021-06-22";
+ version = "0.5";
src = fetchFromGitHub {
owner = "libjxl";
repo = "libjxl";
- rev = "409efe027d6a4a4446b84abe8d7b2fa40409257d";
- sha256 = "1akb6yyp2h4h6mfcqd4bgr3ybcik5v5kdc1rxaqnyjs7fp2f6nvv";
+ rev = "v${version}";
+ sha256 = "0grljgmy6cfhm8zni9d1mdn01qzc49k1pl75vhr7qcd3sp4r8lxm";
# There are various submodules in `third_party/`.
fetchSubmodules = true;
};
+ # hydra's darwin machines run into https://github.com/libjxl/libjxl/issues/408
+ # unless we disable highway's tests
+ postPatch = lib.optional stdenv.isDarwin ''
+ substituteInPlace third_party/highway/CMakeLists.txt \
+ --replace 'if(BUILD_TESTING)' 'if(false)'
+ '';
+
nativeBuildInputs = [
asciidoc # for docs
cmake
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libksba/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libksba/default.nix
index c8998446c7d..d8aabb11755 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libksba/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libksba/default.nix
@@ -1,4 +1,4 @@
-{ buildPackages, lib, stdenv, fetchurl, gettext, libgpgerror }:
+{ buildPackages, lib, stdenv, fetchurl, gettext, libgpg-error }:
stdenv.mkDerivation rec {
name = "libksba-1.5.1";
@@ -11,10 +11,10 @@ stdenv.mkDerivation rec {
outputs = [ "out" "dev" "info" ];
buildInputs = [ gettext ];
- propagatedBuildInputs = [ libgpgerror ];
+ propagatedBuildInputs = [ libgpg-error ];
depsBuildBuild = [ buildPackages.stdenv.cc ];
- configureFlags = [ "--with-libgpg-error-prefix=${libgpgerror.dev}" ];
+ configureFlags = [ "--with-libgpg-error-prefix=${libgpg-error.dev}" ];
postInstall = ''
mkdir -p $dev/bin
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblinear/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblinear/default.nix
index aa2dcd648b8..f30d4a2d73b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblinear/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblinear/default.nix
@@ -42,7 +42,7 @@ in stdenv.mkDerivation rec {
description = "A library for large linear classification";
homepage = "https://www.csie.ntu.edu.tw/~cjlin/liblinear/";
license = licenses.bsd3;
- maintainers = [ maintainers.danieldk ];
+ maintainers = [ ];
platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblinphone/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblinphone/default.nix
index 8a38aa36c09..2d4a1004d87 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblinphone/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblinphone/default.nix
@@ -35,6 +35,7 @@
, python3
, readline
, soci
+, boost
, speex
, sqlite
, lib, stdenv
@@ -93,6 +94,7 @@ stdenv.mkDerivation rec {
pango
readline
soci
+ boost
speex
sqlite
udev
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblouis/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblouis/default.nix
index dd2738b1774..dba0b395661 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblouis/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblouis/default.nix
@@ -12,13 +12,13 @@
stdenv.mkDerivation rec {
pname = "liblouis";
- version = "3.18.0";
+ version = "3.19.0";
src = fetchFromGitHub {
owner = "liblouis";
repo = "liblouis";
rev = "v${version}";
- sha256 = "sha256-STAfA2QgSrCZaT/tcoj0BVnFfO3jbe6W2FgVOfxjpJc=";
+ sha256 = "sha256-vuD+afTOzldhfCRG5ghnWulNhip7BaTE7GfPhxXSMFw=";
};
outputs = [ "out" "dev" "man" "info" "doc" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblqr-1/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblqr-1/default.nix
index aa6cd0fd57d..914cc0c2ab6 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblqr-1/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liblqr-1/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, pkg-config, glib }:
+{ lib, stdenv, fetchFromGitHub, pkg-config, glib, Carbon }:
stdenv.mkDerivation rec {
pname = "liblqr-1";
@@ -12,6 +12,7 @@ stdenv.mkDerivation rec {
};
nativeBuildInputs = [ pkg-config ];
+ buildInputs = lib.optionals stdenv.isDarwin [ Carbon ];
propagatedBuildInputs = [ glib ];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libmbim/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libmbim/default.nix
index 43a88f9dd5f..83950e98258 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libmbim/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libmbim/default.nix
@@ -1,21 +1,21 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchurl
, pkg-config
, glib
, python3
, systemd
-, libgudev
, withIntrospection ? stdenv.hostPlatform == stdenv.buildPlatform
, gobject-introspection
}:
stdenv.mkDerivation rec {
pname = "libmbim";
- version = "1.24.8";
+ version = "1.26.0";
src = fetchurl {
url = "https://www.freedesktop.org/software/libmbim/${pname}-${version}.tar.xz";
- sha256 = "sha256-AlkHNhY//xDlcyGR/MwbmSCWlhbdxZYToAMFKhFqPCU=";
+ sha256 = "1kqkx139z62w391bz6lwmcjg7v12jxlcm7hj88222xrcn8k0j7qy";
};
outputs = [ "out" "dev" "man" ];
@@ -33,7 +33,6 @@ stdenv.mkDerivation rec {
buildInputs = [
glib
- libgudev
systemd
];
@@ -43,6 +42,6 @@ stdenv.mkDerivation rec {
homepage = "https://www.freedesktop.org/wiki/Software/libmbim/";
description = "Library for talking to WWAN modems and devices which speak the Mobile Interface Broadband Model (MBIM) protocol";
platforms = platforms.linux;
- license = licenses.gpl2;
+ license = licenses.gpl2Plus;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libmediaart/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libmediaart/default.nix
index ee1b306c3e5..7f9c4d6ac94 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libmediaart/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libmediaart/default.nix
@@ -1,31 +1,23 @@
-{ lib, stdenv, fetchurl, meson, ninja, pkg-config, vala, gtk-doc, docbook_xsl, docbook_xml_dtd_412, glib, gdk-pixbuf, gobject-introspection, gnome, fetchpatch }:
+{ lib, stdenv, fetchurl, meson, ninja, pkg-config, vala, gtk-doc, docbook_xsl, docbook_xml_dtd_412, glib, gdk-pixbuf, gobject-introspection, gnome }:
stdenv.mkDerivation rec {
pname = "libmediaart";
- version = "1.9.4";
+ version = "1.9.5";
outputs = [ "out" "dev" "devdoc" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "a57be017257e4815389afe4f58fdacb6a50e74fd185452b23a652ee56b04813d";
+ sha256 = "1mlw1qgj8nkd9ll6b6h54r1gfdy3zp8a8xqz7qfyfaj85jjgbph7";
};
nativeBuildInputs = [ meson ninja pkg-config vala gtk-doc docbook_xsl docbook_xml_dtd_412 gobject-introspection ];
buildInputs = [ glib gdk-pixbuf ];
- patches = [
- # https://bugzilla.gnome.org/show_bug.cgi?id=792272
- (fetchpatch {
- url = "https://gitlab.gnome.org/GNOME/libmediaart/commit/a704d0b6cfea091274bd79aca6d15f19b4f6e5b5.patch";
- sha256 = "0606qfmdqxcxrydv1fgwq11hmas34ba4a5kzbbqdhfh0h9ldgwkv";
- })
+ mesonFlags = [
+ "-Dgtk_doc=true"
];
- # FIXME: Turn on again when https://github.com/NixOS/nixpkgs/issues/53701
- # is fixed on master.
- doCheck = false;
-
passthru = {
updateScript = gnome.updateScript {
packageName = pname;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libmediainfo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libmediainfo/default.nix
index 3541d3d466f..7fa7ce9482a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libmediainfo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libmediainfo/default.nix
@@ -1,11 +1,11 @@
{ lib, stdenv, fetchurl, autoreconfHook, pkg-config, libzen, zlib }:
stdenv.mkDerivation rec {
- version = "21.03";
+ version = "21.09";
pname = "libmediainfo";
src = fetchurl {
url = "https://mediaarea.net/download/source/libmediainfo/${version}/libmediainfo_${version}.tar.xz";
- sha256 = "1jm4mk539wf3crgpcddgwdixshwdzm37mkb5441lifhcz2mykdsn";
+ sha256 = "09pinxqw3z3hxrafn67clw1cb1z9aqfy6gkiavginfm0yr299gk9";
};
nativeBuildInputs = [ autoreconfHook pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libnatpmp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libnatpmp/default.nix
index 11b8d66b4a8..36c1c0dc098 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libnatpmp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libnatpmp/default.nix
@@ -18,6 +18,10 @@ stdenv.mkDerivation rec {
makeFlags = [ "INSTALLPREFIX=$(out)" ];
+ postFixup = ''
+ chmod +x $out/lib/*
+ '';
+
meta = with lib; {
homepage = "http://miniupnp.free.fr/libnatpmp.html";
description = "NAT-PMP client";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libnbd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libnbd/default.nix
index 7781c936b92..3988a79c325 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libnbd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libnbd/default.nix
@@ -11,11 +11,11 @@
stdenv.mkDerivation rec {
pname = "libnbd";
- version = "1.9.3";
+ version = "1.9.5";
src = fetchurl {
url = "https://download.libguestfs.org/libnbd/${lib.versions.majorMinor version}-development/${pname}-${version}.tar.gz";
- hash = "sha256-qF9IFZGj+9Zuw00+9pbgAhBUk+eUIAxhYNJAMWxmWo0=";
+ hash = "sha256-BnMoxIiuwhqcwVr3AwAIFgZPcFsIg55N66ZwWMTUnCw=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libnsl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libnsl/default.nix
index ac4c6bf9ad3..4f9d7d09caa 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libnsl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libnsl/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "libnsl";
- version = "1.3.0";
+ version = "2.0.0";
src = fetchFromGitHub {
owner = "thkukuk";
repo = pname;
rev = "v${version}";
- sha256 = "1dayj5i4bh65gn7zkciacnwv2a0ghm6nn58d78rsi4zby4lyj5w5";
+ sha256 = "sha256-f9kNzzR8baf5mLgrh+bKO/rBRZA5ZYc1tJdyLE7Bi1w=";
};
nativeBuildInputs = [ autoreconfHook pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libogg/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libogg/default.nix
index 83555ccab8c..ba0338ffd93 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libogg/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libogg/default.nix
@@ -1,23 +1,16 @@
-{ lib, stdenv, fetchurl, fetchpatch }:
+{ lib, stdenv, fetchurl }:
stdenv.mkDerivation rec {
- name = "libogg-1.3.4";
+ pname = "libogg";
+ version = "1.3.5";
src = fetchurl {
- url = "http://downloads.xiph.org/releases/ogg/${name}.tar.xz";
- sha256 = "1zlk33vxvxr0l9lhkbhkdwvylw96d2n0fnd3d8dl031hph9bqqy1";
+ url = "http://downloads.xiph.org/releases/ogg/${pname}-${version}.tar.xz";
+ sha256 = "01b7050bghdvbxvw0gzv588fn4a27zh42ljpwzm4vrf8dziipnf4";
};
outputs = [ "out" "dev" "doc" ];
- patches = lib.optionals stdenv.isDarwin [
- # Fix unsigned typedefs on darwin. Remove with the next release https://github.com/xiph/ogg/pull/64
- (fetchpatch {
- url = "https://github.com/xiph/ogg/commit/c8fca6b4a02d695b1ceea39b330d4406001c03ed.patch";
- sha256 = "1s72g37y87x0a74zjji9vx2hyk86kr4f2l3m4y2fipvlf9348b3f";
- })
- ];
-
meta = with lib; {
description = "Media container library to manipulate Ogg files";
longDescription = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libomxil-bellagio/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libomxil-bellagio/default.nix
index 5e3b0c6798a..22a6de9fd95 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libomxil-bellagio/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libomxil-bellagio/default.nix
@@ -12,7 +12,10 @@ stdenv.mkDerivation rec {
configureFlags =
lib.optionals (stdenv.hostPlatform != stdenv.buildPlatform) [ "ac_cv_func_malloc_0_nonnull=yes" ];
- patches = [ ./fedora-fixes.patch ];
+ patches = [
+ ./fedora-fixes.patch
+ ./fno-common.patch
+ ];
doCheck = false; # fails
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libomxil-bellagio/fno-common.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libomxil-bellagio/fno-common.patch
new file mode 100644
index 00000000000..be70391adaa
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libomxil-bellagio/fno-common.patch
@@ -0,0 +1,32 @@
+Fix build faiure on gcc-10 (defaults to -fno-common).
+--- a/src/omx_reference_resource_manager.c
++++ b/src/omx_reference_resource_manager.c
+@@ -30,6 +30,11 @@
+ #include "base/omx_base_component.h"
+ #include "queue.h"
+
++int globalIndex;
++NameIndexType *listOfcomponentRegistered;
++ComponentListType **globalComponentList;
++ComponentListType **globalWaitingComponentList;
++
+ /**
+ * This is the static base pointer of the list
+ */
+--- a/src/omx_reference_resource_manager.h
++++ b/src/omx_reference_resource_manager.h
+@@ -49,10 +49,10 @@ struct NameIndexType {
+ };
+
+
+-int globalIndex;
+-NameIndexType *listOfcomponentRegistered;
+-ComponentListType **globalComponentList;
+-ComponentListType **globalWaitingComponentList;
++extern int globalIndex;
++extern NameIndexType *listOfcomponentRegistered;
++extern ComponentListType **globalComponentList;
++extern ComponentListType **globalWaitingComponentList;
+
+ OMX_ERRORTYPE RM_RegisterComponent(char *name, int max_components);
+ OMX_ERRORTYPE addElemToList(ComponentListType **list, OMX_COMPONENTTYPE *openmaxStandComp, int index, OMX_BOOL bIsWaiting);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libopenaptx/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libopenaptx/default.nix
index 9cc57d1a465..33a5cadb71d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libopenaptx/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libopenaptx/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "libopenaptx";
- version = "0.2.0";
+ version = "0.2.1";
src = fetchFromGitHub {
owner = "pali";
repo = "libopenaptx";
rev = version;
- sha256 = "nTpw4vWgJ765FM6Es3SzaaaZr0YDydXglb0RWLbiigI=";
+ sha256 = "sha256-4FYKxw1U+efCfzKOPSDJH8a/dG0KV+anJDgxjqzD80k=";
};
makeFlags = [
@@ -23,7 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Audio Processing Technology codec (aptX)";
- license = licenses.lgpl21Plus;
+ license = licenses.gpl3Plus;
homepage = "https://github.com/pali/libopenaptx";
platforms = platforms.linux;
maintainers = with maintainers; [ orivej ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libopenglrecorder/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libopenglrecorder/default.nix
new file mode 100644
index 00000000000..05616dd7c98
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libopenglrecorder/default.nix
@@ -0,0 +1,46 @@
+{ stdenv
+, lib
+, fetchFromGitHub
+, cmake
+, pkg-config
+, libjpeg
+, libvpx
+, openh264
+, withPulse ? stdenv.hostPlatform.isLinux
+, libpulseaudio
+, libvorbis
+}:
+
+stdenv.mkDerivation rec {
+ pname = "libopenglrecorder";
+ version = "unstable-2020-08-13";
+
+ src = fetchFromGitHub {
+ owner = "Benau";
+ repo = "libopenglrecorder";
+ rev = "c1b81ce26e62fae1aaa086b5cd337cb12361ea3d";
+ sha256 = "13s2d7qs8z4w0gb3hx03n97xmwl07d4s473m4gw90qcvmz217kiz";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ ];
+
+ buildInputs = [
+ libjpeg
+ libvpx
+ openh264
+ ] ++ lib.optionals withPulse [
+ libpulseaudio
+ libvorbis
+ ];
+
+ meta = with lib; {
+ description = "Library allowing Optional async readback OpenGL frame buffer with optional audio recording";
+ homepage = "https://github.com/Benau/libopenglrecorder";
+ license = licenses.bsd3;
+ maintainers = with maintainers; [ OPNA2608 ];
+ platforms = with platforms; windows ++ linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libosmium/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libosmium/default.nix
index 976c39a9ef1..546d89449de 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libosmium/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libosmium/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "libosmium";
- version = "2.17.0";
+ version = "2.17.1";
src = fetchFromGitHub {
owner = "osmcode";
repo = "libosmium";
rev = "v${version}";
- sha256 = "sha256-q938WA+vJDqGVutVzWdEP7ujDAmfj3vluliomVd0om0=";
+ sha256 = "sha256-riNcIC60gw9qxF8UmPjq03XuD3of0BxKbZpgwjMNh3c=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libplacebo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libplacebo/default.nix
index a7da64f787a..099bac9274b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libplacebo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libplacebo/default.nix
@@ -16,14 +16,14 @@
stdenv.mkDerivation rec {
pname = "libplacebo";
- version = "3.120.3";
+ version = "4.157.0";
src = fetchFromGitLab {
domain = "code.videolan.org";
owner = "videolan";
repo = pname;
rev = "v${version}";
- sha256 = "02hiyhnjdz3zqnzks9bi7my62a85k9k9vfgmh9fy19snsbkd6l80";
+ sha256 = "08kqsd29h8wm0vz7698wh2mdgpwv6anqc5n7d1spnnamwyfwc64h";
};
nativeBuildInputs = [
@@ -46,7 +46,8 @@ stdenv.mkDerivation rec {
mesonFlags = [
"-Dvulkan-registry=${vulkan-headers}/share/vulkan/registry/vk.xml"
- "-Ddemos=false"
+ "-Ddemos=false" # Don't build and install the demo programs
+ "-Dd3d11=disabled" # Disable the Direct3D 11 based renderer
];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libplctag/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libplctag/default.nix
index 41283ed7ccd..f16e6eba0d9 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libplctag/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libplctag/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "libplctag";
- version = "2.3.6";
+ version = "2.4.0";
src = fetchFromGitHub {
owner = "libplctag";
repo = "libplctag";
rev = "v${version}";
- sha256 = "sha256-mrNEUNYxnRyKhUCz+exp6Upf2g/L6WnYJ8alcIx5wMc=";
+ sha256 = "sha256-ClNLU1BPNemUOu+nMHujFYQSE+wnYuUPhHytjD8snb4=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libpsl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libpsl/default.nix
index 85afe93ea7a..562820bed6a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libpsl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libpsl/default.nix
@@ -15,7 +15,10 @@
}:
let
- enableValgrindTests = !stdenv.isDarwin && lib.meta.availableOn stdenv.hostPlatform valgrind;
+ enableValgrindTests = !stdenv.isDarwin && lib.meta.availableOn stdenv.hostPlatform valgrind
+ # Apparently valgrind doesn't support some new ARM features on (some) Hydra machines:
+ # VEX: Mismatch detected between RDMA and atomics features.
+ && !stdenv.isAarch64;
in stdenv.mkDerivation rec {
pname = "libpsl";
version = "0.21.0";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libqmi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libqmi/default.nix
index 157445cfee8..196b9d3ad52 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libqmi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libqmi/default.nix
@@ -15,13 +15,13 @@
stdenv.mkDerivation rec {
pname = "libqmi";
- version = "1.28.6";
+ version = "1.28.8";
outputs = [ "out" "dev" "devdoc" ];
src = fetchurl {
url = "https://www.freedesktop.org/software/libqmi/${pname}-${version}.tar.xz";
- sha256 = "1zg5k8f6l87iy9hmzwckdx532s845z9c5npblmpf1pp17n4r1f6b";
+ sha256 = "sha256-bju70gC8G2SyP2JU/vkhLyaZ7HfPsyB10rpQecc6n3g=";
};
nativeBuildInputs = [
@@ -64,5 +64,6 @@ stdenv.mkDerivation rec {
# Tools
gpl2Plus
];
+ changelog = "https://gitlab.freedesktop.org/mobile-broadband/libqmi/-/blob/${version}/NEWS";
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libqofono/0001-NixOS-Skip-tests-they-re-shock-full-of-hardcoded-FHS.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libqofono/0001-NixOS-Skip-tests-they-re-shock-full-of-hardcoded-FHS.patch
new file mode 100644
index 00000000000..b93562a663c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libqofono/0001-NixOS-Skip-tests-they-re-shock-full-of-hardcoded-FHS.patch
@@ -0,0 +1,29 @@
+From 8b508d427c4fd472639ba8d4a0d3b8ab69e3f2e3 Mon Sep 17 00:00:00 2001
+From: Samuel Dionne-Riel
+Date: Tue, 30 Mar 2021 16:37:52 -0400
+Subject: [PATCH] [NixOS] Skip tests, they're shock-full of hardcoded FHS paths
+
+---
+ libqofono.pro | 4 +---
+ 1 file changed, 1 insertion(+), 3 deletions(-)
+
+diff --git a/libqofono.pro b/libqofono.pro
+index 60d0b89..638a4a8 100644
+--- a/libqofono.pro
++++ b/libqofono.pro
+@@ -1,5 +1,5 @@
+ TEMPLATE = subdirs
+-SUBDIRS += src plugin test ofonotest
++SUBDIRS += src plugin
+ OTHER_FILES += \
+ rpm/libqofono-qt5.spec \
+ TODO \
+@@ -7,5 +7,3 @@ OTHER_FILES += \
+
+ src.target = src-target
+ plugin.depends = src-target
+-test.depends = src-target
+-ofonotest.depends = src-target
+--
+2.28.0
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libqofono/0001-NixOS-provide-mobile-broadband-provider-info-path.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libqofono/0001-NixOS-provide-mobile-broadband-provider-info-path.patch
new file mode 100644
index 00000000000..94b4c61befe
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libqofono/0001-NixOS-provide-mobile-broadband-provider-info-path.patch
@@ -0,0 +1,34 @@
+From 04106010ae2a13b3a2a93e210062998ee51778ca Mon Sep 17 00:00:00 2001
+From: Samuel Dionne-Riel
+Date: Tue, 30 Mar 2021 15:47:38 -0400
+Subject: [PATCH] [NixOS] provide mobile-broadband-provider-info path
+
+---
+ src/qofonoconnectioncontext.cpp | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+diff --git a/src/qofonoconnectioncontext.cpp b/src/qofonoconnectioncontext.cpp
+index b5877ed..455167c 100644
+--- a/src/qofonoconnectioncontext.cpp
++++ b/src/qofonoconnectioncontext.cpp
+@@ -346,7 +346,7 @@ bool QOfonoConnectionContext::validateProvisioning(const QString &providerString
+ QXmlQuery query;
+ QString provider = providerString;
+
+- query.setFocus(QUrl("/usr/share/mobile-broadband-provider-info/serviceproviders.xml"));
++ query.setFocus(QUrl("@mobile-broadband-provider-info@/share/mobile-broadband-provider-info/serviceproviders.xml"));
+
+ if (provider.contains("\'")) {
+ provider = provider.replace("\'", "'");
+@@ -457,7 +457,7 @@ void QOfonoConnectionContext::provision(const QString &provider, const QString &
+ {
+ #ifdef QOFONO_PROVISIONING
+ QXmlQuery query;
+- query.setFocus(QUrl("/usr/share/mobile-broadband-provider-info/serviceproviders.xml"));
++ query.setFocus(QUrl("@mobile-broadband-provider-info@/share/mobile-broadband-provider-info/serviceproviders.xml"));
+
+ QString providerStr = provider;
+ if (providerStr.contains("\'")) {
+--
+2.28.0
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libqofono/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libqofono/default.nix
new file mode 100644
index 00000000000..361421466e8
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libqofono/default.nix
@@ -0,0 +1,58 @@
+{ lib
+, substituteAll
+, mkDerivation
+, fetchFromGitLab
+, mobile-broadband-provider-info
+, qmake
+, qtbase
+, qtdeclarative
+}:
+
+mkDerivation rec {
+ pname = "libqofono";
+ version = "0.103";
+
+ src = fetchFromGitLab {
+ domain = "git.sailfishos.org";
+ owner = "mer-core";
+ repo = "libqofono";
+ rev = version;
+ sha256 = "1ly5aj412ljcjvhqyry6nhiglbzzhczsy1a6w4i4fja60b2m1z45";
+ };
+
+ patches = [
+ (substituteAll {
+ src = ./0001-NixOS-provide-mobile-broadband-provider-info-path.patch;
+ inherit mobile-broadband-provider-info;
+ })
+ ./0001-NixOS-Skip-tests-they-re-shock-full-of-hardcoded-FHS.patch
+ ];
+
+ # Replaces paths from the Qt store path to this library's store path.
+ postPatch = ''
+ substituteInPlace src/src.pro \
+ --replace /usr $out \
+ --replace '$$[QT_INSTALL_PREFIX]' "$out" \
+ --replace 'target.path = $$[QT_INSTALL_LIBS]' "target.path = $out/lib"
+
+ substituteInPlace plugin/plugin.pro \
+ --replace '$$[QT_INSTALL_QML]' $out'/${qtbase.qtQmlPrefix}'
+ '';
+
+ nativeBuildInputs = [
+ qmake
+ ];
+
+ buildInputs = [
+ qtbase
+ qtdeclarative
+ ];
+
+ meta = with lib; {
+ description = "Library for accessing the ofono daemon, and declarative plugin for it";
+ homepage = "https://git.sailfishos.org/mer-core/libqofono/";
+ license = licenses.lgpl21Plus;
+ maintainers = with maintainers; [ samueldr ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libquotient/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libquotient/default.nix
index 6264a9de369..b64e9de9cee 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libquotient/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libquotient/default.nix
@@ -2,13 +2,13 @@
mkDerivation rec {
pname = "libquotient";
- version = "0.6.8";
+ version = "0.6.9";
src = fetchFromGitHub {
owner = "quotient-im";
repo = "libQuotient";
rev = version;
- sha256 = "sha256-CrAK0yq1upB1+C2z6mqKkSArCmzI+TDEEHTIBWB29Go=";
+ sha256 = "sha256-1YiS2b4lYknNSB+8LKB/s6AcF0yQVsakrkp6/Sjkczo=";
};
buildInputs = [ qtbase qtmultimedia ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libressl/CVE-2021-41581.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libressl/CVE-2021-41581.patch
new file mode 100644
index 00000000000..24479256719
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libressl/CVE-2021-41581.patch
@@ -0,0 +1,53 @@
+Based on upstream https://github.com/openbsd/src/commit/62ceddea5b1d64a1a362bbb7071d9e15adcde6b1
+with paths switched to apply to libressl-portable and CVS header
+hunk removed.
+
+--- a/crypto/x509/x509_constraints.c
++++ b/crypto/x509/x509_constraints.c
+@@ -339,16 +339,16 @@
+ if (c == '.')
+ goto bad;
+ }
+- if (wi > DOMAIN_PART_MAX_LEN)
+- goto bad;
+ if (accept) {
++ if (wi >= DOMAIN_PART_MAX_LEN)
++ goto bad;
+ working[wi++] = c;
+ accept = 0;
+ continue;
+ }
+ if (candidate_local != NULL) {
+ /* We are looking for the domain part */
+- if (wi > DOMAIN_PART_MAX_LEN)
++ if (wi >= DOMAIN_PART_MAX_LEN)
+ goto bad;
+ working[wi++] = c;
+ if (i == len - 1) {
+@@ -363,7 +363,7 @@
+ continue;
+ }
+ /* We are looking for the local part */
+- if (wi > LOCAL_PART_MAX_LEN)
++ if (wi >= LOCAL_PART_MAX_LEN)
+ break;
+
+ if (quoted) {
+@@ -383,6 +383,8 @@
+ */
+ if (c == 9)
+ goto bad;
++ if (wi >= LOCAL_PART_MAX_LEN)
++ goto bad;
+ working[wi++] = c;
+ continue; /* all's good inside our quoted string */
+ }
+@@ -412,6 +414,8 @@
+ }
+ if (!local_part_ok(c))
+ goto bad;
++ if (wi >= LOCAL_PART_MAX_LEN)
++ goto bad;
+ working[wi++] = c;
+ }
+ if (candidate_local == NULL || candidate_domain == NULL)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libressl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libressl/default.nix
index fb362ebbad2..d70672f63ac 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libressl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libressl/default.nix
@@ -1,8 +1,16 @@
-{ stdenv, fetchurl, lib, cmake, cacert, fetchpatch
+{ stdenv
+, fetchurl
+, lib
+, cmake
+, cacert
+, fetchpatch
, buildShared ? !stdenv.hostPlatform.isStatic
}:
let
+ ldLibPathEnvName = if stdenv.isDarwin
+ then "DYLD_LIBRARY_PATH"
+ else "LD_LIBRARY_PATH";
generic = { version, sha256, patches ? [] }: stdenv.mkDerivation rec {
pname = "libressl";
@@ -42,6 +50,15 @@ let
substituteInPlace ./tls/tls_config.c --replace '"/etc/ssl/cert.pem"' '"${cacert}/etc/ssl/certs/ca-bundle.crt"'
'';
+ doCheck = true;
+ preCheck = ''
+ export PREVIOUS_${ldLibPathEnvName}=$${ldLibPathEnvName}
+ export ${ldLibPathEnvName}="$${ldLibPathEnvName}:$(realpath tls/):$(realpath ssl/):$(realpath crypto/)"
+ '';
+ postCheck = ''
+ export ${ldLibPathEnvName}=$PREVIOUS_${ldLibPathEnvName}
+ '';
+
outputs = [ "bin" "dev" "out" "man" "nc" ];
postFixup = ''
@@ -66,5 +83,15 @@ in {
libressl_3_2 = generic {
version = "3.2.5";
sha256 = "1zkwrs3b19s1ybz4q9hrb7pqsbsi8vxcs44qanfy11fkc7ynb2kr";
+ patches = [
+ ./CVE-2021-41581.patch
+ ];
+ };
+ libressl_3_4 = generic {
+ version = "3.4.0";
+ sha256 = "1lhn76nd59p1dfd27b4636zj6wh3f5xsi8b3sxqnl820imsswbp5";
+ patches = [
+ ./CVE-2021-41581.patch
+ ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/librsvg/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/librsvg/default.nix
index 05b7a00be59..03bd2eb96bc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/librsvg/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/librsvg/default.nix
@@ -22,13 +22,13 @@
stdenv.mkDerivation rec {
pname = "librsvg";
- version = "2.50.7";
+ version = "2.52.0";
outputs = [ "out" "dev" "installedTests" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "//thsIzVKCqq4UegKzBRZqdCb60iqLlCdwjw8vxCbrw=";
+ sha256 = "14zkdd7a9mymnfs3laqj0gr69c16nwixvbc5a4gvd534w6riz0mx";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libshumate/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libshumate/default.nix
new file mode 100644
index 00000000000..e987e5a892c
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libshumate/default.nix
@@ -0,0 +1,85 @@
+{ lib
+, stdenv
+, fetchFromGitLab
+, gi-docgen
+, meson
+, ninja
+, pkg-config
+, vala
+, gobject-introspection
+, glib
+, cairo
+, sqlite
+, libsoup
+, gtk4
+, xvfb-run
+, unstableGitUpdater
+}:
+
+stdenv.mkDerivation rec {
+ pname = "libshumate";
+ version = "unstable-2021-10-06";
+
+ outputs = [ "out" "dev" "devdoc" ];
+ outputBin = "devdoc"; # demo app
+
+ src = fetchFromGitLab {
+ domain = "gitlab.gnome.org";
+ owner = "GNOME";
+ repo = "libshumate";
+ rev = "7a0a03f299881e8faaac7d904cc47b74795ae5dd";
+ sha256 = "df8ZHn/wmkzaYH0L3E6ULUtqxqU71EqL0jSgKhWqlT8=";
+ };
+
+ nativeBuildInputs = [
+ gi-docgen
+ meson
+ ninja
+ pkg-config
+ vala
+ gobject-introspection
+ ];
+
+ buildInputs = [
+ glib
+ cairo
+ sqlite
+ libsoup
+ gtk4
+ ];
+
+ checkInputs = [
+ xvfb-run
+ ];
+
+ mesonFlags = [
+ "-Ddemos=true"
+ ];
+
+ doCheck = true;
+
+ checkPhase = ''
+ runHook preCheck
+
+ HOME=$TMPDIR xvfb-run meson test --print-errorlogs
+
+ runHook postCheck
+ '';
+
+ postFixup = ''
+ # Cannot be in postInstall, otherwise _multioutDocs hook in preFixup will move right back.
+ moveToOutput share/doc/libshumate-0.0 "$devdoc"
+ '';
+
+ passthru.updateScript = unstableGitUpdater {
+ url = meta.homepage;
+ };
+
+ meta = with lib; {
+ description = "GTK toolkit providing widgets for embedded maps";
+ homepage = "https://gitlab.gnome.org/GNOME/libshumate";
+ license = licenses.lgpl21Plus;
+ maintainers = teams.gnome.members;
+ platforms = platforms.linux;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsidplayfp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsidplayfp/default.nix
index 99c8b735294..f8a39f815c2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsidplayfp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsidplayfp/default.nix
@@ -16,14 +16,14 @@
stdenv.mkDerivation rec {
pname = "libsidplayfp";
- version = "2.2.1";
+ version = "2.2.2";
src = fetchFromGitHub {
owner = "libsidplayfp";
repo = "libsidplayfp";
rev = "v${version}";
fetchSubmodules = true;
- sha256 = "sha256-Ut6tXaM97R8Y5D2dV/xPvxVzS6Ep6fOKAujtRNKoQCc=";
+ sha256 = "sha256-RiglS0aqLRDOfwxhVE95NaKpRy94xfeul18o3NB5L3I=";
};
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsigcxx/3.0.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsigcxx/3.0.nix
index edb74c807bf..92229811207 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsigcxx/3.0.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsigcxx/3.0.nix
@@ -9,11 +9,11 @@
stdenv.mkDerivation rec {
pname = "libsigc++";
- version = "3.0.6";
+ version = "3.0.7";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "tw7c9GEWUcVKQm4QmxcZbh+hfaCQWSpQAOLRNMA6xc4=";
+ sha256 = "v76RwNCU6mu8bL05CbfZjGVh7qi22cDCWt2Qam6D1zM=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsigcxx/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsigcxx/default.nix
index cbc2c8a617b..d4583c74df0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsigcxx/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsigcxx/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "libsigc++";
- version = "2.10.6";
+ version = "2.10.7";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-3aF23EaBvanVoqwbxVJzvdOBZit6bUnpGCZ9E+h3Ths=";
+ sha256 = "sha256-0IKiznLHUPZrGkFavj6FLfLq4eivUwEPSsLqJhpHiDI=";
};
nativeBuildInputs = [ pkg-config meson ninja ];
@@ -18,6 +18,7 @@ stdenv.mkDerivation rec {
packageName = pname;
attrPath = "libsigcxx";
versionPolicy = "odd-unstable";
+ freeze = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsixel/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsixel/default.nix
index 0d5a54f9693..549f4385fa5 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsixel/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsixel/default.nix
@@ -1,30 +1,56 @@
-{lib, stdenv, fetchFromGitHub}:
+{ lib
+, stdenv
+, fetchFromGitHub
+, meson
+, ninja
+, libbsd
+, gdk-pixbuf
+, gd
+, libjpeg
+, pkg-config
+, fetchpatch
+}:
stdenv.mkDerivation rec {
- version = "1.8.6";
pname = "libsixel";
+ version = "1.10.1";
src = fetchFromGitHub {
+ owner = "libsixel";
repo = "libsixel";
rev = "v${version}";
- owner = "saitoha";
- sha256 = "1saxdj6sldv01g6w6yk8vr7px4bl31xca3a82j6v1j3fw5rbfphy";
+ sha256 = "sha256-ACypJTFjXSzBjo4hQzUiJOqnaRaZnYX+/NublN9sbBo=";
};
- configureFlags = [
- "--enable-tests"
+ patches = [
+ (fetchpatch {
+ url = "https://github.com/libsixel/libsixel/commit/4d3e53ee007f3b71f638875f9fabbba658b2ca8a.patch";
+ sha256 = "sha256-iDfsTyUczjtzV3pt1ZErbhVO2rMm2ZYKWSBl+ru+5HA=";
+ })
+ ];
+
+ buildInputs = [
+ libbsd gdk-pixbuf gd
+ ];
+
+ nativeBuildInputs = [
+ meson ninja pkg-config
];
doCheck = true;
+ mesonFlags = [
+ "-Dtests=enabled"
+ # build system seems to be broken here, it still seems to handle jpeg
+ # through some other ways.
+ "-Djpeg=disabled"
+ "-Dpng=disabled"
+ ];
+
meta = with lib; {
description = "The SIXEL library for console graphics, and converter programs";
- homepage = "http://saitoha.github.com/libsixel";
+ homepage = "https://github.com/libsixel/libsixel";
maintainers = with maintainers; [ vrthra ];
license = licenses.mit;
- platforms = with platforms; unix;
- knownVulnerabilities = [
- "CVE-2020-11721" # https://github.com/saitoha/libsixel/issues/134
- "CVE-2020-19668" # https://github.com/saitoha/libsixel/issues/136
- ];
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsndfile/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsndfile/default.nix
index 61e6d9cd765..dbe108f586f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsndfile/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsndfile/default.nix
@@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "libsndfile";
- version = "1.0.30";
+ version = "1.0.31";
src = fetchFromGitHub {
owner = pname;
repo = pname;
- rev = "v${version}";
- sha256 = "1rh79y4s4m2wcm2kahmzs2kijpdpayif2gyca6m71f3k7jbhgcwa";
+ rev = version;
+ sha256 = "1alba3iv8i7i2jb5fd6q5s7j9bcj48sf28nfjd3qigz2n2is5jl2";
};
nativeBuildInputs = [ autoreconfHook autogen pkg-config python3 ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsoup/3.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsoup/3.x.nix
new file mode 100644
index 00000000000..b958ba30767
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsoup/3.x.nix
@@ -0,0 +1,102 @@
+{ stdenv
+, lib
+, fetchurl
+, glib
+, meson
+, ninja
+, pkg-config
+, gnome
+, libsysprof-capture
+, sqlite
+, glib-networking
+, gobject-introspection
+, withIntrospection ? stdenv.buildPlatform == stdenv.hostPlatform
+, vala
+, withVala ? stdenv.buildPlatform == stdenv.hostPlatform
+, libpsl
+, python3
+, brotli
+, libnghttp2
+}:
+
+stdenv.mkDerivation rec {
+ pname = "libsoup";
+ version = "3.0.1";
+
+ outputs = [ "out" "dev" ];
+
+ src = fetchurl {
+ url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
+ sha256 = "sha256-bwwxbRD4RYuW9WTHZEvjwgEb11rVBUyNsmr7DJqRvEc=";
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ glib
+ ] ++ lib.optionals withIntrospection [
+ gobject-introspection
+ ] ++ lib.optionals withVala [
+ vala
+ ];
+
+ buildInputs = [
+ python3
+ sqlite
+ libpsl
+ glib.out
+ brotli
+ libnghttp2
+ ] ++ lib.optionals stdenv.isLinux [
+ libsysprof-capture
+ ];
+
+ propagatedBuildInputs = [
+ glib
+ ];
+
+ mesonFlags = [
+ "-Dtls_check=false" # glib-networking is a runtime dependency, not a compile-time dependency
+ "-Dgssapi=disabled"
+ "-Dvapi=${if withVala then "enabled" else "disabled"}"
+ "-Dintrospection=${if withIntrospection then "enabled" else "disabled"}"
+ "-Dntlm=disabled"
+ # Requires wstest from autobahn-testsuite.
+ "-Dautobahn=disabled"
+ # Requires quart Python module.
+ "-Dhttp2_tests=disabled"
+ # Requires gnutls, not added for closure size.
+ "-Dpkcs11_tests=disabled"
+ ] ++ lib.optionals (!stdenv.isLinux) [
+ "-Dsysprof=disabled"
+ ];
+
+ # TODO: For some reason the pkg-config setup hook does not pick this up.
+ PKG_CONFIG_PATH = "${libnghttp2.dev}/lib/pkgconfig";
+
+ # HSTS tests fail.
+ doCheck = false;
+
+ postPatch = ''
+ patchShebangs libsoup/
+ '';
+
+ passthru = {
+ propagatedUserEnvPackages = [
+ glib-networking.out
+ ];
+ updateScript = gnome.updateScript {
+ attrPath = "libsoup_3";
+ packageName = pname;
+ versionPolicy = "odd-unstable";
+ };
+ };
+
+ meta = {
+ description = "HTTP client/server library for GNOME";
+ homepage = "https://wiki.gnome.org/Projects/libsoup";
+ license = lib.licenses.lgpl2Plus;
+ inherit (glib.meta) maintainers platforms;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsoup/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsoup/default.nix
index 0cc4c8b3453..f902d320377 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsoup/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libsoup/default.nix
@@ -18,32 +18,30 @@
, libpsl
, python3
, brotli
-, fetchpatch
}:
stdenv.mkDerivation rec {
pname = "libsoup";
- version = "2.72.0";
+ version = "2.74.0";
+
+ outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "11skbyw2pw32178q3h8pi7xqa41b2x4k6q4k9f75zxmh8s23y30p";
+ sha256 = "sha256-M7HU4NY5RWxnXCJ4d+lKgHjXMSM+LVdonBGrzvfTxI4=";
};
- patches = [
- (fetchpatch {
- # https://gitlab.gnome.org/GNOME/libsoup/-/issues/222
- url = "https://gitlab.gnome.org/GNOME/libsoup/commit/b5e4f15a09d197b6a9b4b2d78b33779f27d828af.patch";
- sha256 = "1hqk8lqzc200hi0nwbwq9qm6f03z296cnd79d4ql30683s80xqws";
- })
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ glib
+ ] ++ lib.optionals withIntrospection [
+ gobject-introspection
+ ] ++ lib.optionals withVala [
+ vala
];
- postPatch = ''
- patchShebangs libsoup/
- '';
-
- outputs = [ "out" "dev" ];
-
buildInputs = [
python3
sqlite
@@ -53,12 +51,11 @@ stdenv.mkDerivation rec {
] ++ lib.optionals stdenv.isLinux [
libsysprof-capture
];
- nativeBuildInputs = [ meson ninja pkg-config glib ]
- ++ lib.optional withIntrospection gobject-introspection
- ++ lib.optional withVala vala;
- propagatedBuildInputs = [ glib libxml2 ];
- NIX_CFLAGS_COMPILE = [ "-lpthread" ];
+ propagatedBuildInputs = [
+ glib
+ libxml2
+ ];
mesonFlags = [
"-Dtls_check=false" # glib-networking is a runtime dependency, not a compile-time dependency
@@ -71,13 +68,22 @@ stdenv.mkDerivation rec {
"-Dsysprof=disabled"
];
+ NIX_CFLAGS_COMPILE = "-lpthread";
+
doCheck = false; # ERROR:../tests/socket-test.c:37:do_unconnected_socket_test: assertion failed (res == SOUP_STATUS_OK): (2 == 200)
+ postPatch = ''
+ patchShebangs libsoup/
+ '';
+
passthru = {
- propagatedUserEnvPackages = [ glib-networking.out ];
+ propagatedUserEnvPackages = [
+ glib-networking.out
+ ];
updateScript = gnome.updateScript {
packageName = pname;
versionPolicy = "odd-unstable";
+ freeze = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libspng/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libspng/default.nix
new file mode 100644
index 00000000000..c778ae485bd
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libspng/default.nix
@@ -0,0 +1,56 @@
+{ lib
+, fetchFromGitHub
+, stdenv
+, zlib
+, ninja
+, meson
+, pkg-config
+, cmake
+, libpng
+}:
+
+stdenv.mkDerivation rec {
+ pname = "libspng";
+ version = "0.7.0";
+
+ src = fetchFromGitHub {
+ owner = "randy408";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "0zk0w09is4g7gysax4h0f4xj5f40vm6ipc1wi98ymzban89cjjnz";
+ };
+
+ doCheck = true;
+
+ mesonBuildType = "release";
+
+ mesonFlags = [
+ # this is required to enable testing
+ # https://github.com/randy408/libspng/blob/bc383951e9a6e04dbc0766f6737e873e0eedb40b/tests/README.md#testing
+ "-Ddev_build=true"
+ ];
+
+ outputs = [ "out" "dev" ];
+
+ checkInputs = [
+ cmake
+ libpng
+ ];
+
+ buildInputs = [
+ pkg-config
+ zlib
+ ];
+
+ nativeBuildInputs = [
+ ninja
+ meson
+ ];
+
+ meta = with lib; {
+ description = "Simple, modern libpng alternative";
+ homepage = "https://github.com/randy408/libspng";
+ license = with licenses; [ bsd2 ];
+ maintainers = with maintainers; [ humancalico ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh/default.nix
index c613cfab777..c5cd5c35396 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "libssh";
- version = "0.8.9";
+ version = "0.9.6";
src = fetchurl {
- url = "https://www.libssh.org/files/0.8/${pname}-${version}.tar.xz";
- sha256 = "09b8w9m5qiap8wbvz4613nglsynpk8hn0q9b929ny2y4l2fy2nc5";
+ url = "https://www.libssh.org/files/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
+ sha256 = "sha256-hrz4hb2bgEZv4OBUU8WLh332GvqLqUeljDVtfw+rgps=";
};
postPatch = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh2/1_10.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh2/1_10.nix
new file mode 100644
index 00000000000..edba7a84ef2
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh2/1_10.nix
@@ -0,0 +1,10 @@
+{ libssh2, fetchurl }:
+
+libssh2.overrideAttrs (attrs: rec {
+ version = "1.10.0";
+ src = fetchurl {
+ url = with attrs; "${meta.homepage}/download/${pname}-${version}.tar.gz";
+ sha256 = "sha256-LWTpDz3tOUuR06LndMogOkF59prr7gMAPlpvpiHkHVE=";
+ };
+ patches = [];
+})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh2/CVE-2019-17498.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh2/CVE-2019-17498.patch
deleted file mode 100644
index 8681c3ef609..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh2/CVE-2019-17498.patch
+++ /dev/null
@@ -1,210 +0,0 @@
-From b9aa7c2495694d0527e4e7fd560a3f0f18556c72 Mon Sep 17 00:00:00 2001
-From: Will Cosgrove
-Date: Thu, 29 Aug 2019 15:14:19 -0700
-Subject: [PATCH 1/5] packet.c: improve parsing of packets
-
-file: packet.c
-
-notes:
-Use _libssh2_get_string API in SSH_MSG_DEBUG, additional uint32 bounds check in SSH_MSG_GLOBAL_REQUEST
----
- src/packet.c | 30 +++++++++++++++---------------
- 1 file changed, 15 insertions(+), 15 deletions(-)
-
-diff --git a/src/packet.c b/src/packet.c
-index 38ab62944..ac69768cd 100644
---- a/src/packet.c
-+++ b/src/packet.c
-@@ -537,26 +537,26 @@ _libssh2_packet_add(LIBSSH2_SESSION * session, unsigned char *data,
- case SSH_MSG_DEBUG:
- if(datalen >= 2) {
- int always_display = data[1];
--
-+
- if(datalen >= 6) {
-- message_len = _libssh2_ntohu32(data + 2);
--
-- if(message_len <= (datalen - 10)) {
-- /* 6 = packet_type(1) + display(1) + message_len(4) */
-- message = (char *) data + 6;
-- language_len = _libssh2_ntohu32(data + 6 +
-- message_len);
--
-- if(language_len <= (datalen - 10 - message_len))
-- language = (char *) data + 10 + message_len;
-- }
-+ struct string_buf buf;
-+ buf.data = (unsigned char *)data;
-+ buf.dataptr = buf.data;
-+ buf.len = datalen;
-+ buf.dataptr += 2; /* advance past type & always display */
-+
-+ _libssh2_get_string(&buf, &message, &message_len);
-+ _libssh2_get_string(&buf, &language, &language_len);
- }
-
- if(session->ssh_msg_debug) {
-- LIBSSH2_DEBUG(session, always_display, message,
-- message_len, language, language_len);
-+ LIBSSH2_DEBUG(session, always_display,
-+ (const char *)message,
-+ message_len, (const char *)language,
-+ language_len);
- }
- }
-+
- /*
- * _libssh2_debug will actually truncate this for us so
- * that it's not an inordinate about of data
-@@ -579,7 +579,7 @@ _libssh2_packet_add(LIBSSH2_SESSION * session, unsigned char *data,
- uint32_t len = 0;
- unsigned char want_reply = 0;
- len = _libssh2_ntohu32(data + 1);
-- if(datalen >= (6 + len)) {
-+ if((len <= (UINT_MAX - 6) && (datalen >= (6 + len))) {
- want_reply = data[5 + len];
- _libssh2_debug(session,
- LIBSSH2_TRACE_CONN,
-
-From 8b3cf0b17c1b84a138bed9423a9e0743452b4de9 Mon Sep 17 00:00:00 2001
-From: Will Cosgrove
-Date: Thu, 29 Aug 2019 15:15:33 -0700
-Subject: [PATCH 2/5] stray whitespace
-
----
- src/packet.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
-diff --git a/src/packet.c b/src/packet.c
-index ac69768cd..8908b2c5a 100644
---- a/src/packet.c
-+++ b/src/packet.c
-@@ -537,7 +537,7 @@ _libssh2_packet_add(LIBSSH2_SESSION * session, unsigned char *data,
- case SSH_MSG_DEBUG:
- if(datalen >= 2) {
- int always_display = data[1];
--
-+
- if(datalen >= 6) {
- struct string_buf buf;
- buf.data = (unsigned char *)data;
-
-From 1c6fa92b77e34d089493fe6d3e2c6c8775858b94 Mon Sep 17 00:00:00 2001
-From: Will Cosgrove
-Date: Thu, 29 Aug 2019 15:24:22 -0700
-Subject: [PATCH 3/5] fixed type issue, updated SSH_MSG_DISCONNECT
-
-SSH_MSG_DISCONNECT now also uses _libssh2_get API.
----
- src/packet.c | 40 +++++++++++++++-------------------------
- 1 file changed, 15 insertions(+), 25 deletions(-)
-
-diff --git a/src/packet.c b/src/packet.c
-index 8908b2c5a..97f0cdd4b 100644
---- a/src/packet.c
-+++ b/src/packet.c
-@@ -419,8 +419,8 @@ _libssh2_packet_add(LIBSSH2_SESSION * session, unsigned char *data,
- size_t datalen, int macstate)
- {
- int rc = 0;
-- char *message = NULL;
-- char *language = NULL;
-+ unsigned char *message = NULL;
-+ unsigned char *language = NULL;
- size_t message_len = 0;
- size_t language_len = 0;
- LIBSSH2_CHANNEL *channelp = NULL;
-@@ -472,33 +472,23 @@ _libssh2_packet_add(LIBSSH2_SESSION * session, unsigned char *data,
-
- case SSH_MSG_DISCONNECT:
- if(datalen >= 5) {
-- size_t reason = _libssh2_ntohu32(data + 1);
-+ uint32_t reason = 0;
-+ struct string_buf buf;
-+ buf.data = (unsigned char *)data;
-+ buf.dataptr = buf.data;
-+ buf.len = datalen;
-+ buf.dataptr++; /* advance past type */
-
-- if(datalen >= 9) {
-- message_len = _libssh2_ntohu32(data + 5);
-+ _libssh2_get_u32(&buf, &reason);
-+ _libssh2_get_string(&buf, &message, &message_len);
-+ _libssh2_get_string(&buf, &language, &language_len);
-
-- if(message_len < datalen-13) {
-- /* 9 = packet_type(1) + reason(4) + message_len(4) */
-- message = (char *) data + 9;
--
-- language_len =
-- _libssh2_ntohu32(data + 9 + message_len);
-- language = (char *) data + 9 + message_len + 4;
--
-- if(language_len > (datalen-13-message_len)) {
-- /* bad input, clear info */
-- language = message = NULL;
-- language_len = message_len = 0;
-- }
-- }
-- else
-- /* bad size, clear it */
-- message_len = 0;
-- }
- if(session->ssh_msg_disconnect) {
-- LIBSSH2_DISCONNECT(session, reason, message,
-- message_len, language, language_len);
-+ LIBSSH2_DISCONNECT(session, reason, (const char *)message,
-+ message_len, (const char *)language,
-+ language_len);
- }
-+
- _libssh2_debug(session, LIBSSH2_TRACE_TRANS,
- "Disconnect(%d): %s(%s)", reason,
- message, language);
-
-From 77616117cc9dbbdd0fe1157098435bff73a83a0f Mon Sep 17 00:00:00 2001
-From: Will Cosgrove
-Date: Thu, 29 Aug 2019 15:26:32 -0700
-Subject: [PATCH 4/5] fixed stray (
-
-bad paste
----
- src/packet.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
-diff --git a/src/packet.c b/src/packet.c
-index 97f0cdd4b..bd4c39e46 100644
---- a/src/packet.c
-+++ b/src/packet.c
-@@ -569,7 +569,7 @@ _libssh2_packet_add(LIBSSH2_SESSION * session, unsigned char *data,
- uint32_t len = 0;
- unsigned char want_reply = 0;
- len = _libssh2_ntohu32(data + 1);
-- if((len <= (UINT_MAX - 6) && (datalen >= (6 + len))) {
-+ if(len <= (UINT_MAX - 6) && datalen >= (6 + len)) {
- want_reply = data[5 + len];
- _libssh2_debug(session,
- LIBSSH2_TRACE_CONN,
-
-From 436c45dc143cadc8c59afac6c4255be332856581 Mon Sep 17 00:00:00 2001
-From: Will Cosgrove
-Date: Thu, 29 Aug 2019 15:29:00 -0700
-Subject: [PATCH 5/5] added additional parentheses for clarity
-
----
- src/packet.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
-diff --git a/src/packet.c b/src/packet.c
-index bd4c39e46..2e01bfc5d 100644
---- a/src/packet.c
-+++ b/src/packet.c
-@@ -569,7 +569,7 @@ _libssh2_packet_add(LIBSSH2_SESSION * session, unsigned char *data,
- uint32_t len = 0;
- unsigned char want_reply = 0;
- len = _libssh2_ntohu32(data + 1);
-- if(len <= (UINT_MAX - 6) && datalen >= (6 + len)) {
-+ if((len <= (UINT_MAX - 6)) && (datalen >= (6 + len))) {
- want_reply = data[5 + len];
- _libssh2_debug(session,
- LIBSSH2_TRACE_CONN,
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh2/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh2/default.nix
index ed09e8ba562..d6817550fe7 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh2/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libssh2/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "libssh2";
- version = "1.9.0";
+ version = "1.10.0";
src = fetchurl {
- url = "${meta.homepage}/download/${pname}-${version}.tar.gz";
- sha256 = "1zfsz9nldakfz61d2j70pk29zlmj7w2vv46s9l3x2prhcgaqpyym";
+ url = "https://www.libssh2.org/download/libssh2-${version}.tar.gz";
+ sha256 = "sha256-LWTpDz3tOUuR06LndMogOkF59prr7gMAPlpvpiHkHVE=";
};
outputs = [ "out" "dev" "devdoc" ];
@@ -14,16 +14,11 @@ stdenv.mkDerivation rec {
buildInputs = [ openssl zlib ]
++ lib.optional stdenv.hostPlatform.isMinGW windows.mingw_w64;
- patches = [
- # Not able to use fetchpatch here: infinite recursion
- ./CVE-2019-17498.patch
- ];
-
meta = with lib; {
description = "A client-side C library implementing the SSH2 protocol";
homepage = "https://www.libssh2.org";
platforms = platforms.all;
license = licenses.bsd3;
- maintainers = [ ];
+ maintainers = with maintainers; [ SuperSandro2000 ];
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libunwind/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libunwind/default.nix
index bda7f72a557..643752da1dc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libunwind/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libunwind/default.nix
@@ -1,4 +1,4 @@
-{ stdenv, lib, fetchurl, autoreconfHook, xz, coreutils }:
+{ stdenv, lib, fetchurl, fetchpatch, autoreconfHook, xz, coreutils }:
stdenv.mkDerivation rec {
pname = "libunwind";
@@ -9,7 +9,15 @@ stdenv.mkDerivation rec {
sha256 = "0dc46flppifrv2z0mrdqi60165ghxm1wk0g47vcbyzjdplqwjnfz";
};
- patches = [ ./backtrace-only-with-glibc.patch ];
+ patches = [
+ ./backtrace-only-with-glibc.patch
+
+ (fetchpatch {
+ # upstream build fix against -fno-common compilers like >=gcc-10
+ url = "https://github.com/libunwind/libunwind/commit/29e17d8d2ccbca07c423e3089a6d5ae8a1c9cb6e.patch";
+ sha256 = "1angwfq6h0jskg6zx8g6w9min38g5mgmrcbppcy5hqn59cgsxbw0";
+ })
+ ];
postPatch = lib.optionalString stdenv.hostPlatform.isMusl ''
substituteInPlace configure.ac --replace "-lgcc_s" "-lgcc_eh"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liburcu/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liburcu/default.nix
index 6eb1bb93f6b..b00b4cf9ac8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liburcu/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liburcu/default.nix
@@ -1,12 +1,12 @@
{ lib, stdenv, fetchurl, perl }:
stdenv.mkDerivation rec {
- version = "0.12.2";
+ version = "0.13.0";
pname = "liburcu";
src = fetchurl {
url = "https://lttng.org/files/urcu/userspace-rcu-${version}.tar.bz2";
- sha256 = "sha256-Tu/BHk9sIS/H2E2HHhzBOdoGaaRv8/2lV6b91NdMpns=";
+ sha256 = "sha256-y7INvhqJLCpNiJi6xDFhduWFOSaT1Jh2bMu8aM8guiA=";
};
checkInputs = [ perl ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liburing/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liburing/default.nix
index ddd7c7b207d..595f9a5a9cd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liburing/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/liburing/default.nix
@@ -4,22 +4,14 @@
stdenv.mkDerivation rec {
pname = "liburing";
- version = "2.0";
+ version = "2.1";
src = fetchgit {
url = "http://git.kernel.dk/${pname}";
rev = "liburing-${version}";
- sha256 = "0has1yd1ns5q5jgcmhrbgwhbwq0wix3p7xv3dyrwdf784p56izkn";
+ sha256 = "sha256-7wSpKqjIdQeOdsQu4xN3kFHV49n6qQ3xVbjUcY1tmas=";
};
- patches = [
- # Fix build on 32-bit ARM
- (fetchpatch {
- url = "https://github.com/axboe/liburing/commit/808b6c72ab753bda0c300b5683cfd31750d1d49b.patch";
- sha256 = "1x7a9c5a6rwhfsbjqmhbnwh2aiin6yylckrqdjbzljrprzf11wrd";
- })
- ];
-
separateDebugInfo = true;
enableParallelBuilding = true;
# Upstream's configure script is not autoconf generated, but a hand written one.
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libva/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libva/default.nix
index 1eee4b3870b..10f90a16c92 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libva/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libva/default.nix
@@ -6,14 +6,14 @@
}:
stdenv.mkDerivation rec {
- name = "libva-${lib.optionalString minimal "minimal-"}${version}";
- version = "2.12.0";
+ pname = "libva" + lib.optionalString minimal "minimal";
+ version = "2.13.0";
src = fetchFromGitHub {
owner = "intel";
repo = "libva";
rev = version;
- sha256 = "1zfv4kjx0715sy62lkpv0s31f9xwy232z5zwqi5all4w1jr630i7";
+ sha256 = "0vsvli3xc0gqqp06p7wkm973lhr7c5qgnyz5jfjmf8kv75rajazp";
};
outputs = [ "dev" "out" ];
@@ -25,8 +25,8 @@ stdenv.mkDerivation rec {
# TODO: share libs between minimal and !minimal - perhaps just symlink them
mesonFlags = [
- # Add FHS paths for non-NixOS applications:
- "-Ddriverdir=${mesa.drivers.driverLink}/lib/dri:/usr/lib/dri:/usr/lib32/dri"
+ # Add FHS and Debian paths for non-NixOS applications
+ "-Ddriverdir=${mesa.drivers.driverLink}/lib/dri:/usr/lib/dri:/usr/lib32/dri:/usr/lib/x86_64-linux-gnu/dri:/usr/lib/i386-linux-gnu/dri"
];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libva/utils.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libva/utils.nix
index 6b5246d09ef..05ba3519ff4 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libva/utils.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libva/utils.nix
@@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "libva-utils";
- version = "2.12.0";
+ version = "2.13.0";
src = fetchFromGitHub {
owner = "intel";
repo = "libva-utils";
rev = version;
- sha256 = "1a4d75gc7rcfwpsh7fn8mygvi4w0jym4szdhw6jpfywvll37lffi";
+ sha256 = "0ahbwikdb0chf76whm62zz0a7zqil3gzsxmq38ccbqlmnnyjkbbb";
};
nativeBuildInputs = [ meson ninja pkg-config ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvirt-glib/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvirt-glib/default.nix
index 6c2cb095a81..f86edf1bfbc 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvirt-glib/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvirt-glib/default.nix
@@ -1,6 +1,7 @@
{ lib
, stdenv
, fetchurl
+, fetchpatch
, meson
, ninja
, pkg-config
@@ -25,6 +26,14 @@ stdenv.mkDerivation rec {
sha256 = "hCP3Bp2qR2MHMh0cEeLswoU0DNMsqfwFIHdihD7erL0=";
};
+ patches = [
+ # Fix build with GLib 2.70
+ (fetchpatch {
+ url = "https://gitlab.com/libvirt/libvirt-glib/-/commit/9a34c4ea55e0246c34896e48b8ecd637bc559ac7.patch";
+ sha256 = "UU70uTi55EzPMuLYVKRzpVcd3WogeAtWAWEC2hWlR7k=";
+ })
+ ];
+
nativeBuildInputs = [
meson
ninja
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvirt/0002-meson-patch-ch-install-prefix.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvirt/0002-meson-patch-ch-install-prefix.patch
new file mode 100644
index 00000000000..ae97c6455c6
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvirt/0002-meson-patch-ch-install-prefix.patch
@@ -0,0 +1,14 @@
+diff --git a/src/ch/meson.build b/src/ch/meson.build
+index e34974d56c..4767763c2c 100644
+--- a/src/ch/meson.build
++++ b/src/ch/meson.build
+@@ -68,7 +68,7 @@ if conf.has('WITH_CH')
+ }
+
+ virt_install_dirs += [
+- localstatedir / 'lib' / 'libvirt' / 'ch',
+- runstatedir / 'libvirt' / 'ch',
++ install_prefix + localstatedir / 'lib' / 'libvirt' / 'ch',
++ install_prefix + runstatedir / 'libvirt' / 'ch',
+ ]
+ endif
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvirt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvirt/default.nix
index 28b67a80198..0e5785e8c98 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvirt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvirt/default.nix
@@ -1,15 +1,66 @@
-{ lib, stdenv, fetchurl, fetchgit
-, makeWrapper, autoreconfHook, fetchpatch
-, coreutils, libxml2, gnutls, perl, python3, attr, glib, docutils
-, iproute2, readline, lvm2, util-linux, systemd, libpciaccess, gettext
-, libtasn1, iptables, ebtables, libgcrypt, yajl, pmutils, libcap_ng, libapparmor
-, dnsmasq, libnl, libpcap, libxslt, xhtml1, numad, numactl, perlPackages
-, curl, libiconv, gmp, zfs, parted, bridge-utils, dmidecode, dbus, libtirpc, rpcsvc-proto, darwin
-, meson, ninja, audit, cmake, bash-completion, pkg-config
-, enableXen ? false, xen ? null
-, enableIscsi ? false, openiscsi
-, enableCeph ? false, ceph
-, enableGlusterfs ? false, glusterfs
+{ lib
+, stdenv
+, fetchurl
+, fetchFromGitLab
+, makeWrapper
+, autoreconfHook
+, fetchpatch
+, coreutils
+, libxml2
+, gnutls
+, perl
+, python3
+, attr
+, glib
+, docutils
+, iproute2
+, readline
+, lvm2
+, util-linux
+, systemd
+, libpciaccess
+, gettext
+, libtasn1
+, iptables
+, ebtables
+, libgcrypt
+, yajl
+, pmutils
+, libcap_ng
+, libapparmor
+, dnsmasq
+, libnl
+, libpcap
+, libxslt
+, xhtml1
+, numad
+, numactl
+, perlPackages
+, curl
+, libiconv
+, gmp
+, zfs
+, parted
+, bridge-utils
+, dmidecode
+, dbus
+, libtirpc
+, rpcsvc-proto
+, darwin
+, meson
+, ninja
+, audit
+, cmake
+, bash-completion
+, pkg-config
+, enableXen ? false
+, xen ? null
+, enableIscsi ? false
+, openiscsi
+, enableCeph ? false
+, ceph
+, enableGlusterfs ? false
+, glusterfs
}:
with lib;
@@ -30,30 +81,40 @@ let
ln -sf ${ebtables}/bin/ebtables-legacy $out/bin/ebtables
'';
};
-in stdenv.mkDerivation rec {
+in
+stdenv.mkDerivation rec {
pname = "libvirt";
- version = "7.0.0";
+ version = "7.8.0";
src =
if buildFromTarball then
- fetchurl {
- url = "https://libvirt.org/sources/${pname}-${version}.tar.xz";
- sha256 = "12fxkpy7j2qhfxypw9jg3bzdd9xx6vf6x96iy5kjihh89n236f6a";
- }
+ fetchurl
+ {
+ url = "https://libvirt.org/sources/${pname}-${version}.tar.xz";
+ sha256 = "sha256-pyfNCke/ok+n3ih00j86n58Czra0m6FSiPbZoJixmSE=";
+ }
else
- fetchgit {
- url = "https://gitlab.com/libvirt/libvirt.git";
- rev = "v${version}";
- sha256 = "0xg9d410008mny73r2cp5ipghqpk0gz9gy7j32vcfk691dq75b3c";
- fetchSubmodules = true;
- };
+ fetchFromGitLab
+ {
+ owner = pname;
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "sha256-/tSMJFgLPAiQXcZ2qZLM4XZqf96NtW3+zwKyrwGho2s=";
+ fetchSubmodules = true;
+ };
patches = [
./0001-meson-patch-in-an-install-prefix-for-building-on-nix.patch
+ ./0002-meson-patch-ch-install-prefix.patch
];
nativeBuildInputs = [
- ninja meson cmake makeWrapper pkg-config docutils
+ ninja
+ meson
+ cmake
+ makeWrapper
+ pkg-config
+ docutils
] ++ optional (!stdenv.isDarwin) [
rpcsvc-proto
] ++ optionals stdenv.isDarwin [
@@ -61,12 +122,39 @@ in stdenv.mkDerivation rec {
];
buildInputs = [
- bash-completion pkg-config
- libxml2 gnutls perl python3 readline gettext libtasn1 libgcrypt yajl
- libxslt xhtml1 perlPackages.XMLXPath curl libpcap glib dbus
+ bash-completion
+ pkg-config
+ libxml2
+ gnutls
+ perl
+ python3
+ readline
+ gettext
+ libtasn1
+ libgcrypt
+ yajl
+ libxslt
+ xhtml1
+ perlPackages.XMLXPath
+ curl
+ libpcap
+ glib
+ dbus
] ++ optionals stdenv.isLinux [
- audit libpciaccess lvm2 util-linux systemd libnl numad zfs
- libapparmor libcap_ng numactl attr parted libtirpc
+ audit
+ libpciaccess
+ lvm2
+ util-linux
+ systemd
+ libnl
+ numad
+ zfs
+ libapparmor
+ libcap_ng
+ numactl
+ attr
+ parted
+ libtirpc
] ++ optionals (enableXen && stdenv.isLinux && stdenv.isx86_64) [
xen
] ++ optionals enableIscsi [
@@ -76,77 +164,88 @@ in stdenv.mkDerivation rec {
] ++ optionals enableGlusterfs [
glusterfs
] ++ optionals stdenv.isDarwin [
- libiconv gmp
+ libiconv
+ gmp
];
- preConfigure = let
- overrides = {
- QEMU_BRIDGE_HELPER = "/run/wrappers/bin/qemu-bridge-helper";
- QEMU_PR_HELPER = "/run/libvirt/nix-helpers/qemu-pr-helper";
- };
- patchBuilder = var: value: ''
- sed -i meson.build -e "s|conf.set_quoted('${var}',.*|conf.set_quoted('${var}','${value}')|"
- '';
- in ''
- PATH=${lib.makeBinPath ([ dnsmasq ] ++ optionals stdenv.isLinux [ iproute2 iptables ebtables-compat lvm2 systemd numad ] ++ optionals enableIscsi [ openiscsi ])}:$PATH
- # the path to qemu-kvm will be stored in VM's .xml and .save files
- # do not use "''${qemu_kvm}/bin/qemu-kvm" to avoid bound VMs to particular qemu derivations
- substituteInPlace src/lxc/lxc_conf.c \
- --replace 'lxc_path,' '"/run/libvirt/nix-emulators/libvirt_lxc",'
- patchShebangs .
- ''
- + (lib.concatStringsSep "\n" (lib.mapAttrsToList patchBuilder overrides));
+ preConfigure =
+ let
+ overrides = {
+ QEMU_BRIDGE_HELPER = "/run/wrappers/bin/qemu-bridge-helper";
+ QEMU_PR_HELPER = "/run/libvirt/nix-helpers/qemu-pr-helper";
+ };
+ patchBuilder = var: value: ''
+ sed -i meson.build -e "s|conf.set_quoted('${var}',.*|conf.set_quoted('${var}','${value}')|"
+ '';
+ in
+ ''
+ PATH=${lib.makeBinPath ([ dnsmasq ] ++ optionals stdenv.isLinux [ iproute2 iptables ebtables-compat lvm2 systemd numad ] ++ optionals enableIscsi [ openiscsi ])}:$PATH
+ # the path to qemu-kvm will be stored in VM's .xml and .save files
+ # do not use "''${qemu_kvm}/bin/qemu-kvm" to avoid bound VMs to particular qemu derivations
+ substituteInPlace src/lxc/lxc_conf.c \
+ --replace 'lxc_path,' '"/run/libvirt/nix-emulators/libvirt_lxc",'
+ substituteInPlace build-aux/meson.build \
+ --replace "gsed" "sed" \
+ --replace "gmake" "make" \
+ --replace "ggrep" "grep"
+ patchShebangs .
+ ''
+ + (lib.concatStringsSep "\n" (lib.mapAttrsToList patchBuilder overrides));
mesonAutoFeatures = "auto";
- mesonFlags = let
- opt = option: enable: "-D${option}=${if enable then "enabled" else "disabled"}";
- in [
- "--sysconfdir=/var/lib"
- "-Dinstall_prefix=${placeholder "out"}"
- "-Dlocalstatedir=/var"
- "-Drunstatedir=/run"
- "-Dlibpcap=enabled"
- "-Ddriver_qemu=enabled"
- "-Ddriver_vmware=enabled"
- "-Ddriver_vbox=enabled"
- "-Ddriver_test=enabled"
- "-Ddriver_esx=enabled"
- "-Ddriver_remote=enabled"
- "-Dpolkit=enabled"
- (opt "storage_iscsi" enableIscsi)
- ] ++ optionals stdenv.isLinux [
- (opt "storage_zfs" (zfs != null))
- "-Dattr=enabled"
- "-Dapparmor=enabled"
- "-Dsecdriver_apparmor=enabled"
- "-Dnumad=enabled"
- "-Dstorage_disk=enabled"
- (opt "glusterfs" enableGlusterfs)
- (opt "storage_rbd" enableCeph)
- ] ++ optionals stdenv.isDarwin [
- "-Dinit_script=none"
- ];
+ mesonFlags =
+ let
+ opt = option: enable: "-D${option}=${if enable then "enabled" else "disabled"}";
+ in
+ [
+ "--sysconfdir=/var/lib"
+ "-Dinstall_prefix=${placeholder "out"}"
+ "-Dlocalstatedir=/var"
+ "-Drunstatedir=/run"
+ "-Dlibpcap=enabled"
+ "-Ddriver_qemu=enabled"
+ "-Ddriver_vmware=enabled"
+ "-Ddriver_vbox=enabled"
+ "-Ddriver_test=enabled"
+ "-Ddriver_esx=enabled"
+ "-Ddriver_remote=enabled"
+ "-Dpolkit=enabled"
+ (opt "storage_iscsi" enableIscsi)
+ ] ++ optionals stdenv.isLinux [
+ (opt "storage_zfs" (zfs != null))
+ "-Dattr=enabled"
+ "-Dapparmor=enabled"
+ "-Dsecdriver_apparmor=enabled"
+ "-Dnumad=enabled"
+ "-Dstorage_disk=enabled"
+ (opt "glusterfs" enableGlusterfs)
+ (opt "storage_rbd" enableCeph)
+ ] ++ optionals stdenv.isDarwin [
+ "-Dinit_script=none"
+ ];
- postInstall = let
- # Keep the legacy iptables binary for now for backwards compatibility (comment on #109332)
- binPath = [ iptables ebtables-compat iproute2 pmutils numad numactl bridge-utils dmidecode dnsmasq ] ++ optionals enableIscsi [ openiscsi ];
- in ''
- substituteInPlace $out/libexec/libvirt-guests.sh \
- --replace 'ON_BOOT="start"' 'ON_BOOT=''${ON_BOOT:-start}' \
- --replace 'ON_SHUTDOWN="suspend"' 'ON_SHUTDOWN=''${ON_SHUTDOWN:-suspend}' \
- --replace "$out/bin" '${gettext}/bin' \
- --replace 'lock/subsys' 'lock' \
- --replace 'gettext.sh' 'gettext.sh
- # Added in nixpkgs:
- gettext() { "${gettext}/bin/gettext" "$@"; }
- '
- '' + optionalString stdenv.isLinux ''
- substituteInPlace $out/lib/systemd/system/libvirtd.service --replace /bin/kill ${coreutils}/bin/kill
- rm $out/lib/systemd/system/{virtlockd,virtlogd}.*
- wrapProgram $out/sbin/libvirtd \
- --prefix PATH : /run/libvirt/nix-emulators:${makeBinPath binPath}
- '';
+ postInstall =
+ let
+ # Keep the legacy iptables binary for now for backwards compatibility (comment on #109332)
+ binPath = [ iptables ebtables-compat iproute2 pmutils numad numactl bridge-utils dmidecode dnsmasq ] ++ optionals enableIscsi [ openiscsi ];
+ in
+ ''
+ substituteInPlace $out/libexec/libvirt-guests.sh \
+ --replace 'ON_BOOT="start"' 'ON_BOOT=''${ON_BOOT:-start}' \
+ --replace 'ON_SHUTDOWN="suspend"' 'ON_SHUTDOWN=''${ON_SHUTDOWN:-suspend}' \
+ --replace "$out/bin" '${gettext}/bin' \
+ --replace 'lock/subsys' 'lock' \
+ --replace 'gettext.sh' 'gettext.sh
+ # Added in nixpkgs:
+ gettext() { "${gettext}/bin/gettext" "$@"; }
+ '
+ '' + optionalString stdenv.isLinux ''
+ substituteInPlace $out/lib/systemd/system/libvirtd.service --replace /bin/kill ${coreutils}/bin/kill
+ rm $out/lib/systemd/system/{virtlockd,virtlogd}.*
+ wrapProgram $out/sbin/libvirtd \
+ --prefix PATH : /run/libvirt/nix-emulators:${makeBinPath binPath}
+ '';
meta = {
homepage = "https://libvirt.org/";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvmaf/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvmaf/default.nix
index 1410822a1ca..03ca9b0d11b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvmaf/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvmaf/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "libvmaf";
- version = "2.2.0";
+ version = "2.3.0";
src = fetchFromGitHub {
owner = "netflix";
repo = "vmaf";
rev = "v${version}";
- sha256 = "1jlmivmdzlhizljbmsvqqxnhjfyjh8zxyf5xv6j040vbfj4mf14f";
+ sha256 = "12mwl7vxc3xi0qar386mkhkpah9zzgjb74mzc2qqsgz9zzxp16dm";
};
sourceRoot = "source/libvmaf";
@@ -23,7 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/Netflix/vmaf";
description = "Perceptual video quality assessment based on multi-method fusion (VMAF)";
- changelog = "https://github.com/Netflix/vmaf/blob/v${version}/CHANGELOG.md";
+ changelog = "https://github.com/Netflix/vmaf/raw/v${version}/CHANGELOG.md";
platforms = platforms.unix;
license = licenses.bsd2Patent;
maintainers = [ maintainers.cfsmp3 maintainers.marsam ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvterm-neovim/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvterm-neovim/default.nix
index e4a05360183..ec6e489f284 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvterm-neovim/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libvterm-neovim/default.nix
@@ -1,4 +1,5 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchFromGitHub
, perl
, libtool
@@ -16,8 +17,7 @@ stdenv.mkDerivation {
sha256 = "0r6yimzbkgrsi9aaxwvxahai2lzgjd1ysblr6m6by5w459853q3n";
};
- buildInputs = [ perl ];
- nativeBuildInputs = [ libtool ];
+ nativeBuildInputs = [ perl libtool ];
makeFlags = [ "PREFIX=$(out)" ]
++ lib.optional stdenv.isDarwin "LIBTOOL=${libtool}/bin/libtool";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libwacom/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libwacom/default.nix
index 5bb6445ac38..9ebb2c855cb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libwacom/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libwacom/default.nix
@@ -1,8 +1,18 @@
-{ lib, stdenv, fetchFromGitHub, meson, ninja, glib, pkg-config, udev, libgudev, doxygen, python3 }:
+{ stdenv
+, lib
+, fetchFromGitHub
+, meson
+, ninja
+, glib
+, pkg-config
+, udev
+, libgudev
+, python3
+}:
stdenv.mkDerivation rec {
pname = "libwacom";
- version = "1.10";
+ version = "1.12";
outputs = [ "out" "dev" ];
@@ -10,14 +20,25 @@ stdenv.mkDerivation rec {
owner = "linuxwacom";
repo = "libwacom";
rev = "libwacom-${version}";
- sha256 = "sha256-Q7b54AMAxdIzN7TUuhIdlrXaVtj2szV4n3y9bAE0LsU=";
+ sha256 = "sha256-pCO0lB0liveIEZIxY3IJcqlmWy4rYhSBtRPssfzHEow=";
};
- nativeBuildInputs = [ pkg-config meson ninja doxygen python3 ];
+ nativeBuildInputs = [
+ pkg-config
+ meson
+ ninja
+ python3
+ ];
- mesonFlags = [ "-Dtests=disabled" ];
+ buildInputs = [
+ glib
+ udev
+ libgudev
+ ];
- buildInputs = [ glib udev libgudev ];
+ mesonFlags = [
+ "-Dtests=disabled"
+ ];
meta = with lib; {
platforms = platforms.linux;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libwnck/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libwnck/default.nix
index 39a1d505b65..c78cc192a7c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libwnck/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libwnck/default.nix
@@ -21,14 +21,14 @@
stdenv.mkDerivation rec {
pname = "libwnck";
- version = "3.36.0";
+ version = "40.0";
outputs = [ "out" "dev" "devdoc" ];
outputBin = "dev";
src = fetchurl {
- url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "0pwjdhca9lz2n1gf9b60xf0m6ipf9snp8rqf9csj4pgdnd882l5w";
+ url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
+ sha256 = "MMt5qDn5DNZvPiAvP5jLUWb6DNm5LrVxrZxHCkMCHYM=";
};
nativeBuildInputs = [
@@ -62,8 +62,6 @@ stdenv.mkDerivation rec {
passthru = {
updateScript = gnome.updateScript {
packageName = pname;
- attrPath = "${pname}${lib.versions.major version}";
- versionPolicy = "odd-unstable";
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxc/default.nix
index 0680e4b832c..3f16f3cc3e2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxc/default.nix
@@ -2,17 +2,16 @@
stdenv.mkDerivation rec {
pname = "libxc";
- version = "5.1.5";
+ version = "5.1.6";
src = fetchFromGitLab {
owner = "libxc";
repo = "libxc";
rev = version;
- sha256 = "0cy3x2zn1bldc5i0rzislfbc8h4nqgds445jkfqjv0d1shvdy0zn";
+ sha256 = "07iljmv737kx24kd33x9ndf5l854mwslg9x2psqm12k07jmq9wjw";
};
- buildInputs = [ gfortran ];
- nativeBuildInputs = [ perl cmake ];
+ nativeBuildInputs = [ perl cmake gfortran ];
preConfigure = ''
patchShebangs ./
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxkbcommon/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxkbcommon/default.nix
index 8bec962c0ae..25d2d89add0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxkbcommon/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxkbcommon/default.nix
@@ -21,11 +21,11 @@
stdenv.mkDerivation rec {
pname = "libxkbcommon";
- version = "1.3.0";
+ version = "1.3.1";
src = fetchurl {
url = "https://xkbcommon.org/download/${pname}-${version}.tar.xz";
- sha256 = "0ysynzzgzd9jdrh1321r4bgw8wd5zljrlyn5y1a31g39xacf02bv";
+ sha256 = "0d4jzq0zv1xmng0z0q5lb4rz03ikgxdwi68k3r70ac16gb911ixk";
};
outputs = [ "out" "dev" "doc" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxklavier/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxklavier/default.nix
index acf82886d46..b3b87e46b72 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxklavier/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxklavier/default.nix
@@ -6,7 +6,7 @@ stdenv.mkDerivation rec {
version = "5.4";
src = fetchgit {
- url = "git://anongit.freedesktop.org/git/libxklavier";
+ url = "https://gitlab.freedesktop.org/archived-projects/libxklavier.git";
rev = "${pname}-${version}";
sha256 = "1w1x5mrgly2ldiw3q2r6y620zgd89gk7n90ja46775lhaswxzv7a";
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxml2/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxml2/default.nix
index 1b58b4539e4..c98a1cbce0e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxml2/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxml2/default.nix
@@ -2,7 +2,7 @@
, zlib, xz, libintl, python, gettext, ncurses, findXMLCatalogs
, pythonSupport ? enableShared && stdenv.buildPlatform == stdenv.hostPlatform
, icuSupport ? false, icu ? null
-, enableShared ? stdenv.hostPlatform.libc != "msvcrt"
+, enableShared ? stdenv.hostPlatform.libc != "msvcrt" && !stdenv.hostPlatform.isStatic
, enableStatic ? !enableShared
}:
@@ -40,6 +40,8 @@ stdenv.mkDerivation rec {
++ lib.optional pythonSupport "py"
++ lib.optional (enableStatic && enableShared) "static";
+ strictDeps = true;
+
buildInputs = lib.optional pythonSupport python
++ lib.optional (pythonSupport && python?isPy2 && python.isPy2) gettext
++ lib.optional (pythonSupport && python?isPy3 && python.isPy3) ncurses
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxmlxx/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxmlxx/default.nix
index 67c5a0794ed..19af942d759 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxmlxx/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxmlxx/default.nix
@@ -17,8 +17,10 @@ stdenv.mkDerivation rec {
passthru = {
updateScript = gnome.updateScript {
+ attrPath = "libxmlxx";
packageName = pname;
versionPolicy = "odd-unstable";
+ freeze = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxmlxx/v3.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxmlxx/v3.nix
index 41c842220c7..b3ff59ad41e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxmlxx/v3.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxmlxx/v3.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchurl, pkg-config, libxml2, glibmm, perl }:
+{ lib, stdenv, fetchurl, pkg-config, libxml2, glibmm, perl, gnome }:
stdenv.mkDerivation rec {
pname = "libxml++";
@@ -22,6 +22,15 @@ stdenv.mkDerivation rec {
--replace 'docdir=''${datarootdir}' "docdir=$doc/share"
'';
+ passthru = {
+ updateScript = gnome.updateScript {
+ attrPath = "libxmlxx3";
+ packageName = pname;
+ versionPolicy = "odd-unstable";
+ freeze = true;
+ };
+ };
+
meta = with lib; {
homepage = "http://libxmlplusplus.sourceforge.net/";
description = "C++ wrapper for the libxml2 XML parser library, version 3";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxsmm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxsmm/default.nix
index 21052df8630..b016a5e8751 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxsmm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libxsmm/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "libxsmm";
- version = "1.16.1";
+ version = "1.16.2";
src = fetchFromGitHub {
owner = "hfp";
repo = "libxsmm";
rev = version;
- sha256 = "1c1qj6hcdfx11bvilnly92vgk1niisd2bjw1s8vfyi2f7ws1wnp0";
+ sha256 = "sha256-gmv5XHBRztcF7+ZKskQMloytJ53k0eJg0HJmUhndq70=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libyaml-cpp/0.3.0.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libyaml-cpp/0.3.0.nix
new file mode 100644
index 00000000000..a465b047a11
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libyaml-cpp/0.3.0.nix
@@ -0,0 +1,32 @@
+{ lib, stdenv, fetchFromGitHub, cmake }:
+
+stdenv.mkDerivation rec {
+ pname = "libyaml-cpp";
+ version = "0.3.0";
+
+ src = fetchFromGitHub {
+ owner = "jbeder";
+ repo = "yaml-cpp";
+ rev = "release-${version}";
+ sha256 = "sha256-pmgcULTXhl83+Wc8ZsGebnJ1t0XybHhUEJxDnEZE5x8=";
+ };
+
+ # implement https://github.com/jbeder/yaml-cpp/commit/52a1378e48e15d42a0b755af7146394c6eff998c
+ postPatch = ''
+ substituteInPlace CMakeLists.txt \
+ --replace 'option(YAML_BUILD_SHARED_LIBS "Build Shared Libraries" OFF)' \
+ 'option(YAML_BUILD_SHARED_LIBS "Build yaml-cpp shared library" ''${BUILD_SHARED_LIBS})'
+ '';
+
+ nativeBuildInputs = [ cmake ];
+
+ cmakeFlags = [ "-DBUILD_SHARED_LIBS=ON" "-DYAML_CPP_BUILD_TESTS=OFF" ];
+
+ meta = with lib; {
+ inherit (src.meta) homepage;
+ description = "A YAML parser and emitter for C++";
+ license = licenses.mit;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ andir ];
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libyaml-cpp/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libyaml-cpp/default.nix
index ebfe53b2513..0c3eb363a6b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libyaml-cpp/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libyaml-cpp/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, cmake }:
+{ lib, stdenv, fetchFromGitHub, cmake, fetchpatch }:
stdenv.mkDerivation rec {
pname = "libyaml-cpp";
@@ -11,12 +11,14 @@ stdenv.mkDerivation rec {
sha256 = "sha256-2tFWccifn0c2lU/U1WNg2FHrBohjx8CXMllPJCevaNk=";
};
- # implement https://github.com/jbeder/yaml-cpp/commit/52a1378e48e15d42a0b755af7146394c6eff998c
- postPatch = ''
- substituteInPlace CMakeLists.txt \
- --replace 'option(YAML_BUILD_SHARED_LIBS "Build Shared Libraries" OFF)' \
- 'option(YAML_BUILD_SHARED_LIBS "Build yaml-cpp shared library" ''${BUILD_SHARED_LIBS})'
- '';
+ patches = [
+ # https://github.com/jbeder/yaml-cpp/issues/774
+ # https://github.com/jbeder/yaml-cpp/pull/1037
+ (fetchpatch {
+ url = "https://github.com/jbeder/yaml-cpp/commit/4f48727b365962e31451cd91027bd797bc7d2ee7.patch";
+ sha256 = "sha256-jarZAh7NgwL3xXzxijDiAQmC/EC2WYfNMkYHEIQBPhM=";
+ })
+ ];
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libytnef/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libytnef/default.nix
index e46064ae56e..f34834ae316 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libytnef/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/libytnef/default.nix
@@ -4,13 +4,13 @@ with lib;
stdenv.mkDerivation rec {
pname = "libytnef";
- version = "1.9.3";
+ version = "2.0";
src = fetchFromGitHub {
owner = "Yeraze";
repo = "ytnef";
rev = "v${version}";
- sha256 = "07h48s5qf08503pp9kafqbwipdqghiif22ghki7z8j67gyp04l6l";
+ sha256 = "sha256-P5eTH5pKK+v4LCMAe6JbEbTYOJypmLMYVDYk5tGVZ14=";
};
nativeBuildInputs = [ autoreconfHook ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/lime/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/lime/default.nix
index 81ad3bd8103..6d6e8c519de 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/lime/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/lime/default.nix
@@ -5,6 +5,7 @@
, lib
, soci
, sqlite
+, boost
, stdenv
}:
@@ -21,7 +22,7 @@ stdenv.mkDerivation rec {
sha256 = "sha256-11vvvA+pud/eOyYsbRKVvGfiyhwdhNPfRQSfaquUro8=";
};
- buildInputs = [ bctoolbox soci belle-sip sqlite ];
+ buildInputs = [ bctoolbox soci belle-sip sqlite boost ];
nativeBuildInputs = [ cmake ];
# Do not build static libraries
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/lirc/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/lirc/default.nix
index 4544fd08aa5..6ba5517c028 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/lirc/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/lirc/default.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation rec {
"--with-systemdsystemunitdir=$(out)/lib/systemd/system"
"--enable-uinput" # explicit activation because build env has no uinput
"--enable-devinput" # explicit activation because build env has no /dev/input
+ "--with-lockdir=/run/lirc/lock" # /run/lock is not writable for 'lirc' user
];
installFlags = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/lzo/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/lzo/default.nix
index f5b0111a1a7..480e2bb909c 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/lzo/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/lzo/default.nix
@@ -9,12 +9,14 @@ stdenv.mkDerivation rec {
sha256 = "0wm04519pd3g8hqpjqhfr72q8qmbiwqaxcs3cndny9h86aa95y60";
};
- configureFlags = [ "--enable-shared" ];
+ configureFlags = lib.optional (!stdenv.hostPlatform.isStatic) "--enable-shared" ;
enableParallelBuilding = true;
doCheck = true; # not cross;
+ strictDeps = true;
+
meta = with lib; {
description = "Real-time data (de)compression library";
longDescription = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mapnik/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mapnik/default.nix
index c2556a428a1..6fff0c1e1bb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mapnik/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mapnik/default.nix
@@ -96,5 +96,7 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [ hrdinka ];
license = licenses.lgpl21;
platforms = platforms.all;
+ # https://github.com/mapnik/mapnik/issues/4232
+ broken = lib.versionAtLeast proj.version "8.0.0";
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mauikit-filebrowsing/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mauikit-filebrowsing/default.nix
index 5cce0382c8f..dd654deb886 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mauikit-filebrowsing/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mauikit-filebrowsing/default.nix
@@ -10,14 +10,14 @@
mkDerivation rec {
pname = "mauikit-filebrowsing";
- version = "1.2.2";
+ version = "2.0.2";
src = fetchFromGitLab {
domain = "invent.kde.org";
owner = "maui";
repo = "mauikit-filebrowsing";
rev = "v${version}";
- sha256 = "1m56lil7w884wn8qycl7y55abvw2vanfy8c4g786200p6acsh3kl";
+ sha256 = "sha256-mpO61VOYTBlAjtIa1gEYChREV2jjd/WG+rbZcJnbM+Q=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mauikit/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mauikit/default.nix
index 3991ee5551f..f730d848df8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mauikit/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mauikit/default.nix
@@ -6,20 +6,22 @@
, kconfig
, kcoreaddons
, ki18n
+, knotifications
, qtbase
, qtquickcontrols2
+, qtx11extras
}:
mkDerivation rec {
pname = "mauikit";
- version = "1.2.2";
+ version = "2.0.2";
src = fetchFromGitLab {
domain = "invent.kde.org";
owner = "maui";
repo = "mauikit";
rev = "v${version}";
- sha256 = "1jz0a65bbznjg7aaq19rdyp956wn6xc1x4xigfkhj6mwsvnb49av";
+ sha256 = "sha256-skukb9M6jhijCTb+tMIz/3vUCAvVJw+4zTFv9Z7HqWk=";
};
nativeBuildInputs = [
@@ -31,7 +33,9 @@ mkDerivation rec {
kconfig
kcoreaddons
ki18n
+ knotifications
qtquickcontrols2
+ qtx11extras
];
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/md4c/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/md4c/default.nix
index a29b521a2de..2ad77b2d2fd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/md4c/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/md4c/default.nix
@@ -16,6 +16,13 @@ stdenv.mkDerivation rec {
hash = "sha256-+LObAD5JB8Vb4Rt4hTo1Z4ispxzfFkkXA2sw6TKB7Yo=";
};
+ patches = [
+ # We set CMAKE_INSTALL_LIBDIR to the absolute path in $out, so
+ # prefix and exec_prefix cannot be $out, too
+ # Use CMake's _FULL_ variables instead of `prefix` concatenation.
+ ./fix-pkgconfig.patch
+ ];
+
nativeBuildInputs = [
cmake
pkg-config
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/md4c/fix-pkgconfig.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/md4c/fix-pkgconfig.patch
new file mode 100644
index 00000000000..e0e9f3d96b2
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/md4c/fix-pkgconfig.patch
@@ -0,0 +1,44 @@
+From 0ab8f5a6ee305cf4edcebfdc7b9eb5f98302de75 Mon Sep 17 00:00:00 2001
+From: Leif Middelschulte
+Date: Fri, 17 Sep 2021 16:16:17 +0200
+Subject: [PATCH] pc.in: use _FULL_ variable variants
+
+Nix' cmake packaging handler replaces the CMAKE_INSTALL_INCLUDEDIR
+with the absolute path. Which break package
+portability (i.e. `prefix`-usage).
+---
+ src/md4c-html.pc.in | 6 ++----
+ src/md4c.pc.in | 6 ++----
+ 2 files changed, 4 insertions(+), 8 deletions(-)
+
+diff --git a/src/md4c-html.pc.in b/src/md4c-html.pc.in
+index 504bb52..fec7df4 100644
+--- a/src/md4c-html.pc.in
++++ b/src/md4c-html.pc.in
+@@ -1,7 +1,5 @@
+-prefix=@CMAKE_INSTALL_PREFIX@
+-exec_prefix=@CMAKE_INSTALL_PREFIX@
+-libdir=${exec_prefix}/@CMAKE_INSTALL_LIBDIR@
+-includedir=${prefix}/@CMAKE_INSTALL_INCLUDEDIR@
++libdir=@CMAKE_INSTALL_FULL_LIBDIR@
++includedir=@CMAKE_INSTALL_FULL_INCLUDEDIR@
+
+ Name: @PROJECT_NAME@ HTML renderer
+ Description: Markdown to HTML converter library.
+diff --git a/src/md4c.pc.in b/src/md4c.pc.in
+index cd8842d..b5d81f8 100644
+--- a/src/md4c.pc.in
++++ b/src/md4c.pc.in
+@@ -1,7 +1,5 @@
+-prefix=@CMAKE_INSTALL_PREFIX@
+-exec_prefix=@CMAKE_INSTALL_PREFIX@
+-libdir=${exec_prefix}/@CMAKE_INSTALL_LIBDIR@
+-includedir=${prefix}/@CMAKE_INSTALL_INCLUDEDIR@
++libdir=@CMAKE_INSTALL_FULL_LIBDIR@
++includedir=@CMAKE_INSTALL_FULL_INCLUDEDIR@
+
+ Name: @PROJECT_NAME@
+ Description: Markdown parser library with a SAX-like callback-based interface.
+--
+2.31.0
+
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/memorymapping/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/memorymapping/default.nix
new file mode 100644
index 00000000000..91af205f032
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/memorymapping/default.nix
@@ -0,0 +1,45 @@
+{ lib, stdenv, fetchFromGitHub }:
+
+stdenv.mkDerivation {
+ pname = "memorymapping";
+ version = "unstable-2014-02-20";
+
+ src = fetchFromGitHub {
+ owner = "NimbusKit";
+ repo = "memorymapping";
+ rev = "fc285afe13cb9d56a40c647b8ed6d6bd40636af7";
+ sha256 = "sha256-9u/QvK9TDsKxcubINH2OAbx5fXXkKF0+YT7LoLDaF0M=";
+ };
+
+ dontConfigure = true;
+
+ buildPhase = ''
+ runHook preBuild
+
+ $CC -c src/fmemopen.c
+ $AR rcs libmemorymapping.a fmemopen.o
+ sed -e '1i#include ' -i src/fmemopen.h
+
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ install -D libmemorymapping.a "$out"/lib/libmemorymapping.a
+ install -D src/fmemopen.h "$out"/include/fmemopen.h
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ homepage = "https://nimbuskit.github.io/memorymapping/";
+ description = "fmemopen for Mac OS and iOS";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ veprbl ];
+ # Uses BSD-style funopen() to implement glibc-style fmemopen().
+ # Add more BSDs if you need to.
+ platforms = platforms.darwin;
+ broken = stdenv.isAarch64;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/memorymapping/setup-hook.sh b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/memorymapping/setup-hook.sh
new file mode 100644
index 00000000000..5ee3470fe37
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/memorymapping/setup-hook.sh
@@ -0,0 +1,6 @@
+useMemorymapping () {
+ export NIX_CFLAGS_COMPILE="${NIX_CFLAGS_COMPILE-}${NIX_CFLAGS_COMPILE:+ }-include fmemopen.h";
+ export NIX_LDFLAGS="${NIX_LDFLAGS-}${NIX_LDFLAGS:+ }-lmemorymapping";
+}
+
+postHooks+=(useMemorymapping)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/memstream/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/memstream/default.nix
new file mode 100644
index 00000000000..90505a56a93
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/memstream/default.nix
@@ -0,0 +1,43 @@
+{ lib, stdenv, fetchurl }:
+
+stdenv.mkDerivation rec {
+ pname = "memstream";
+ version = "0.1";
+
+ src = fetchurl {
+ url = "https://piumarta.com/software/memstream/memstream-${version}.tar.gz";
+ sha256 = "0kvdb897g7nyviaz72arbqijk2g2wa61cmi3l5yh48rzr49r3a3a";
+ };
+
+ dontConfigure = true;
+
+ postBuild = ''
+ $AR rcs libmemstream.a memstream.o
+ '';
+
+ doCheck = true;
+ checkPhase = ''
+ runHook preCheck
+
+ ./test | grep "This is a test of memstream"
+
+ runHook postCheck
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ install -D libmemstream.a "$out"/lib/libmemstream.a
+ install -D memstream.h "$out"/include/memstream.h
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ homepage = "https://www.piumarta.com/software/memstream/";
+ description = "memstream.c is an implementation of the POSIX function open_memstream() for BSD and BSD-like operating systems";
+ license = licenses.mit;
+ maintainers = with maintainers; [ veprbl ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/memstream/setup-hook.sh b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/memstream/setup-hook.sh
new file mode 100644
index 00000000000..09aabe74884
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/memstream/setup-hook.sh
@@ -0,0 +1,6 @@
+useMemstream () {
+ export NIX_CFLAGS_COMPILE="${NIX_CFLAGS_COMPILE-}${NIX_CFLAGS_COMPILE:+ }-include memstream.h";
+ export NIX_LDFLAGS="${NIX_LDFLAGS-}${NIX_LDFLAGS:+ }-lmemstream";
+}
+
+postHooks+=(useMemstream)
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mesa/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mesa/default.nix
index 876a3c015a0..1d7b73a5419 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mesa/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mesa/default.nix
@@ -13,6 +13,8 @@
, withValgrind ? !stdenv.isDarwin && lib.meta.availableOn stdenv.hostPlatform valgrind-light, valgrind-light
, enableGalliumNine ? stdenv.isLinux
, enableOSMesa ? stdenv.isLinux
+, enableOpenCL ? stdenv.isLinux && stdenv.isx86_64
+, libclc
}:
/** Packaging design:
@@ -31,7 +33,7 @@ with lib;
let
# Release calendar: https://www.mesa3d.org/release-calendar.html
# Release frequency: https://www.mesa3d.org/releasing.html#schedule
- version = "21.1.7";
+ version = "21.2.3";
branch = versions.major version;
self = stdenv.mkDerivation {
@@ -45,17 +47,15 @@ self = stdenv.mkDerivation {
"ftp://ftp.freedesktop.org/pub/mesa/${version}/mesa-${version}.tar.xz"
"ftp://ftp.freedesktop.org/pub/mesa/older-versions/${branch}.x/${version}/mesa-${version}.tar.xz"
];
- sha256 = "1fx7nfvh1drfa6vv34j7ma944qbs014b0jwlbgqlnbjgcl87rrp9";
+ sha256 = "0x3ivd34j938js2iffzlvnlj4hwywxrscd8q1rvq894x2m52hibj";
};
- prePatch = "patchShebangs .";
-
# TODO:
# revive ./dricore-gallium.patch when it gets ported (from Ubuntu), as it saved
# ~35 MB in $drivers; watch https://launchpad.net/ubuntu/+source/mesa/+changelog
patches = [
./missing-includes.patch # dev_t needs sys/stat.h, time_t needs time.h, etc.-- fixes build w/musl
- ./opencl-install-dir.patch
+ ./opencl.patch
./disk_cache-include-dri-driver-path-in-cache-key.patch
# Fix `-Werror=int-conversion` pthread warnings on musl.
# TODO: Remove when https://gitlab.freedesktop.org/mesa/mesa/-/merge_requests/6121 is merged and available
@@ -64,12 +64,6 @@ self = stdenv.mkDerivation {
url = "https://gitlab.freedesktop.org/mesa/mesa/commit/aebbf819df6d1e.patch";
sha256 = "17248hyzg43d73c86p077m4lv1pkncaycr3l27hwv9k4ija9zl8q";
})
- # For RISC-V support:
- (fetchpatch {
- name = "add-riscv-default-selections.patch";
- url = "https://gitlab.freedesktop.org/mesa/mesa/-/commit/9908da1b7a5eaf0156d458e0e24b694c070ba345.patch";
- sha256 = "036gv95m5gzzs6qpgkydf5fwgdlm7kpbdfalg8vmayghd260rw1w";
- })
] ++ optionals (stdenv.isDarwin && stdenv.isAarch64) [
# Fix aarch64-darwin build, remove when upstreaam supports it out of the box.
# See: https://gitlab.freedesktop.org/mesa/mesa/-/issues/1020
@@ -77,6 +71,8 @@ self = stdenv.mkDerivation {
];
postPatch = ''
+ patchShebangs .
+
substituteInPlace meson.build --replace \
"find_program('pkg-config')" \
"find_program('${buildPackages.pkg-config.targetPrefix}pkg-config')"
@@ -94,7 +90,8 @@ self = stdenv.mkDerivation {
outputs = [ "out" "dev" "drivers" ]
++ lib.optional enableOSMesa "osmesa"
- ++ lib.optional stdenv.isLinux "driversdev";
+ ++ lib.optional stdenv.isLinux "driversdev"
+ ++ lib.optional enableOpenCL "opencl";
# TODO: Figure out how to enable opencl without having a runtime dependency on clang
mesonFlags = [
@@ -124,6 +121,9 @@ self = stdenv.mkDerivation {
"-Dmicrosoft-clc=disabled" # Only relevant on Windows (OpenCL 1.2 API on top of D3D12)
] ++ optionals stdenv.isLinux [
"-Dglvnd=true"
+ ] ++ optionals enableOpenCL [
+ "-Dgallium-opencl=icd" # Enable the gallium OpenCL frontend
+ "-Dclang-libdir=${llvmPackages.clang-unwrapped.lib}/lib"
];
buildInputs = with xorg; [
@@ -134,6 +134,7 @@ self = stdenv.mkDerivation {
] ++ lib.optionals (elem "wayland" eglPlatforms) [ wayland wayland-protocols ]
++ lib.optionals stdenv.isLinux [ libomxil-bellagio libva-minimal ]
++ lib.optionals stdenv.isDarwin [ libunwind ]
+ ++ lib.optionals enableOpenCL [ libclc llvmPackages.clang llvmPackages.clang-unwrapped ]
++ lib.optional withValgrind valgrind-light;
depsBuildBuild = [ pkg-config ];
@@ -168,7 +169,7 @@ self = stdenv.mkDerivation {
if [ -n "$(shopt -s nullglob; echo "$out"/lib/lib*_mesa*)" ]; then
# Move other drivers to a separate output
- mv $out/lib/lib*_mesa* $drivers/lib
+ mv -t $drivers/lib $out/lib/lib*_mesa*
fi
# Update search path used by glvnd
@@ -181,6 +182,17 @@ self = stdenv.mkDerivation {
for js in $drivers/share/vulkan/icd.d/*.json; do
substituteInPlace "$js" --replace "$out" "$drivers"
done
+ '' + optionalString enableOpenCL ''
+ # Move OpenCL stuff
+ mkdir -p $opencl/lib
+ mv -t "$opencl/lib/" \
+ $out/lib/gallium-pipe \
+ $out/lib/libMesaOpenCL*
+
+ # We construct our own .icd file that contains an absolute path.
+ rm -r $out/etc/OpenCL
+ mkdir -p $opencl/etc/OpenCL/vendors/
+ echo $opencl/lib/libMesaOpenCL.so > $opencl/etc/OpenCL/vendors/mesa.icd
'' + lib.optionalString enableOSMesa ''
# move libOSMesa to $osmesa, as it's relatively big
mkdir -p $osmesa/lib
@@ -215,7 +227,10 @@ self = stdenv.mkDerivation {
done
'';
- NIX_CFLAGS_COMPILE = lib.optionalString stdenv.isDarwin "-fno-common";
+ NIX_CFLAGS_COMPILE = optionals stdenv.isDarwin [ "-fno-common" ] ++ lib.optionals enableOpenCL [
+ "-UPIPE_SEARCH_DIR"
+ "-DPIPE_SEARCH_DIR=\"${placeholder "opencl"}/lib/gallium-pipe\""
+ ];
passthru = {
inherit libdrm;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mesa/opencl-install-dir.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mesa/opencl-install-dir.patch
deleted file mode 100644
index fe85d2c90bb..00000000000
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mesa/opencl-install-dir.patch
+++ /dev/null
@@ -1,12 +0,0 @@
-diff --git a/src/gallium/targets/opencl/meson.build b/src/gallium/targets/opencl/meson.build
-index 317ad8dab4a..5567308caf0 100644
---- a/src/gallium/targets/opencl/meson.build
-+++ b/src/gallium/targets/opencl/meson.build
-@@ -68,6 +68,6 @@ if with_opencl_icd
- input : 'mesa.icd.in',
- output : 'mesa.icd',
- install : true,
-- install_dir : join_paths(get_option('sysconfdir'), 'OpenCL', 'vendors'),
-+ install_dir : join_paths(get_option('prefix'), 'etc', 'OpenCL', 'vendors'),
- )
- endif
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mesa/opencl.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mesa/opencl.patch
new file mode 100644
index 00000000000..ce6e3d57508
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mesa/opencl.patch
@@ -0,0 +1,70 @@
+diff --git a/meson_options.txt b/meson_options.txt
+index a7030aba31e..1d2d8814992 100644
+--- a/meson_options.txt
++++ b/meson_options.txt
+@@ -18,6 +18,12 @@
+ # OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
+ # SOFTWARE.
+
++option(
++ 'clang-libdir',
++ type : 'string',
++ value : '',
++ description : 'Locations to search for clang libraries.'
++)
+ option(
+ 'platforms',
+ type : 'array',
+diff --git a/src/gallium/targets/opencl/meson.build b/src/gallium/targets/opencl/meson.build
+index b77826b6e1e..14fa9ba7177 100644
+--- a/src/gallium/targets/opencl/meson.build
++++ b/src/gallium/targets/opencl/meson.build
+@@ -30,6 +30,7 @@ if with_ld_version_script
+ endif
+
+ llvm_libdir = dep_llvm.get_variable(cmake : 'LLVM_LIBRARY_DIR', configtool: 'libdir')
++clang_libdir = get_option('clang-libdir')
+ opencl_libname = with_opencl_icd ? 'MesaOpenCL' : 'OpenCL'
+
+ polly_dep = null_dep
+@@ -60,19 +61,19 @@ else
+ endif
+ if not (dep_clang.found() and dep_clang_usable)
+ dep_clang = [
+- cpp.find_library('clangCodeGen', dirs : llvm_libdir),
+- cpp.find_library('clangFrontendTool', dirs : llvm_libdir),
+- cpp.find_library('clangFrontend', dirs : llvm_libdir),
+- cpp.find_library('clangDriver', dirs : llvm_libdir),
+- cpp.find_library('clangSerialization', dirs : llvm_libdir),
+- cpp.find_library('clangParse', dirs : llvm_libdir),
+- cpp.find_library('clangSema', dirs : llvm_libdir),
+- cpp.find_library('clangAnalysis', dirs : llvm_libdir),
+- cpp.find_library('clangAST', dirs : llvm_libdir),
+- cpp.find_library('clangASTMatchers', dirs : llvm_libdir),
+- cpp.find_library('clangEdit', dirs : llvm_libdir),
+- cpp.find_library('clangLex', dirs : llvm_libdir),
+- cpp.find_library('clangBasic', dirs : llvm_libdir),
++ cpp.find_library('clangCodeGen', dirs : clang_libdir),
++ cpp.find_library('clangFrontendTool', dirs : clang_libdir),
++ cpp.find_library('clangFrontend', dirs : clang_libdir),
++ cpp.find_library('clangDriver', dirs : clang_libdir),
++ cpp.find_library('clangSerialization', dirs : clang_libdir),
++ cpp.find_library('clangParse', dirs : clang_libdir),
++ cpp.find_library('clangSema', dirs : clang_libdir),
++ cpp.find_library('clangAnalysis', dirs : clang_libdir),
++ cpp.find_library('clangAST', dirs : clang_libdir),
++ cpp.find_library('clangASTMatchers', dirs : clang_libdir),
++ cpp.find_library('clangEdit', dirs : clang_libdir),
++ cpp.find_library('clangLex', dirs : clang_libdir),
++ cpp.find_library('clangBasic', dirs : clang_libdir),
+ polly_dep, polly_isl_dep,
+ ]
+ # check clang once more
+@@ -120,6 +121,6 @@ if with_opencl_icd
+ input : 'mesa.icd.in',
+ output : 'mesa.icd',
+ install : true,
+- install_dir : join_paths(get_option('sysconfdir'), 'OpenCL', 'vendors'),
++ install_dir : join_paths(get_option('prefix'), 'etc', 'OpenCL', 'vendors'),
+ )
+ endif
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mlt/qt-5.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mlt/qt-5.nix
index f8724703f04..948de303cef 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mlt/qt-5.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mlt/qt-5.nix
@@ -1,5 +1,6 @@
{ lib
, fetchFromGitHub
+, cmake
, SDL
, ffmpeg
, frei0r
@@ -26,13 +27,13 @@
mkDerivation rec {
pname = "mlt";
- version = "6.24.0";
+ version = "7.0.1";
src = fetchFromGitHub {
owner = "mltframework";
repo = "mlt";
rev = "v${version}";
- sha256 = "1my43ica2qax2622307dv4gn3w8hkchy643i9pq8r9yh2hd4pvs9";
+ sha256 = "13c5miph9jjbz69dhy0zvbkk5zbb05dr3vraaci0d5fdbrlhyscf";
};
buildInputs = [
@@ -56,42 +57,15 @@ mkDerivation rec {
ladspaPlugins
];
- nativeBuildInputs = [ which ];
+ nativeBuildInputs = [ cmake which ];
outputs = [ "out" "dev" ];
- # Mostly taken from:
- # http://www.kdenlive.org/user-manual/downloading-and-installing-kdenlive/installing-source/installing-mlt-rendering-engine
- configureFlags = [
- "--avformat-swscale"
- "--enable-gpl"
- "--enable-gpl3"
- "--enable-opengl"
- ];
-
- # mlt is unable to cope with our multi-prefix Qt build
- # because it does not use CMake or qmake.
- NIX_CFLAGS_COMPILE = "-I${lib.getDev qtsvg}/include/QtSvg";
-
- CXXFLAGS = "-std=c++11";
-
qtWrapperArgs = [
"--prefix FREI0R_PATH : ${frei0r}/lib/frei0r-1"
"--prefix LADSPA_PATH : ${ladspaPlugins}/lib/ladspa"
];
- postInstall = ''
- # Remove an unnecessary reference to movit.dev.
- s=${movit.dev}/include
- t=$(for ((i = 0; i < ''${#s}; i++)); do echo -n X; done)
- sed -i $out/lib/mlt/libmltopengl.so -e "s|$s|$t|g"
-
- # Remove an unnecessary reference to movit.dev.
- s=${qtbase.dev}/include
- t=$(for ((i = 0; i < ''${#s}; i++)); do echo -n X; done)
- sed -i $out/lib/mlt/libmltqt.so -e "s|$s|$t|g"
- '';
-
passthru = {
inherit ffmpeg;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mm-common/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mm-common/default.nix
index 7ce2675e301..44f78daec72 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mm-common/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mm-common/default.nix
@@ -8,11 +8,11 @@
stdenv.mkDerivation rec {
pname = "mm-common";
- version = "1.0.2";
+ version = "1.0.3";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "07b4s5ckcz9q5gwx8vchim19mhfgl8wysqwi30pndks3m4zrzad2";
+ sha256 = "6BWWYliZqs8dC/J8zC/Mfzc0BexIc1yhxyc8D7zcHvU=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mpich/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mpich/default.nix
index 9227cd70543..3aed0d28852 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mpich/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mpich/default.nix
@@ -26,7 +26,8 @@ stdenv.mkDerivation rec {
enableParallelBuilding = true;
- buildInputs = [ perl gfortran openssh hwloc ]
+ nativeBuildInputs = [ gfortran ];
+ buildInputs = [ perl openssh hwloc ]
++ lib.optional (!stdenv.isDarwin) ch4backend;
doCheck = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/msgpack/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/msgpack/default.nix
index f94bd35c301..d040eff11a3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/msgpack/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/msgpack/default.nix
@@ -1,12 +1,12 @@
{ callPackage, fetchFromGitHub, ... } @ args:
callPackage ./generic.nix (args // rec {
- version = "3.2.0";
+ version = "3.3.0";
src = fetchFromGitHub {
owner = "msgpack";
repo = "msgpack-c";
rev = "cpp-${version}";
- sha256 = "07n0kdmdjn3amwfg7fqz3xac1yrrxh7d2l6p4pgc6as087pbm8pl";
+ sha256 = "02dxgzxlwn8g9ca2j4m0rjvdq1k2iciy6ickj615daz5w8pcjajd";
};
})
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mvapich/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mvapich/default.nix
index 704a28dbb8c..c496927ebca 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mvapich/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/mvapich/default.nix
@@ -21,13 +21,12 @@ stdenv.mkDerivation rec {
sha256 = "0jd28vy9ivl3rcpkxmhw73b6krzm0pd9jps8asw92wa00lm2z9mk";
};
- nativeBuildInputs = [ pkg-config bison makeWrapper ];
+ nativeBuildInputs = [ pkg-config bison makeWrapper gfortran ];
propagatedBuildInputs = [ numactl rdma-core zlib opensm ];
buildInputs = with lib; [
numactl
libxml2
perl
- gfortran
openssh
hwloc
] ++ optionals (network == "infiniband") [ rdma-core opensm ]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nanopb/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nanopb/default.nix
index ca078a3f9d2..c70298c4ff8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nanopb/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nanopb/default.nix
@@ -5,6 +5,7 @@
, protobuf
, python3
, stdenv
+, buildPackages
}:
stdenv.mkDerivation rec {
@@ -25,7 +26,7 @@ stdenv.mkDerivation rec {
cmakeFlags = [
"-DBUILD_SHARED_LIBS=ON" # generate $out/lib/libprotobuf-nanopb.so{.0,}
"-DBUILD_STATIC_LIBS=ON" # generate $out/lib/libprotobuf-nanopb.a
- "-Dnanopb_PROTOC_PATH=${protobuf}/bin/protoc"
+ "-Dnanopb_PROTOC_PATH=${buildPackages.protobuf}/bin/protoc"
];
postInstall = ''
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/netcdf-fortran/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/netcdf-fortran/default.nix
index 0cdeda23879..503226ddcbb 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/netcdf-fortran/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/netcdf-fortran/default.nix
@@ -8,7 +8,8 @@ stdenv.mkDerivation rec {
sha256 = "00qwg4v250yg8kxp68srrnvfbfim241fnlm071p9ila2mihk8r01";
};
- buildInputs = [ netcdf hdf5 curl gfortran ];
+ nativeBuildInputs = [ gfortran ];
+ buildInputs = [ netcdf hdf5 curl ];
doCheck = true;
meta = with lib; {
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/netcdf/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/netcdf/default.nix
index d24078b91a2..71109775722 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/netcdf/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/netcdf/default.nix
@@ -8,8 +8,7 @@
}:
let
- mpiSupport = hdf5.mpiSupport;
- mpi = hdf5.mpi;
+ inherit (hdf5) mpiSupport mpi;
in stdenv.mkDerivation rec {
pname = "netcdf";
version = "4.8.0"; # Remove patch mentioned below on upgrade
@@ -44,8 +43,7 @@ in stdenv.mkDerivation rec {
buildInputs = [ hdf5 curl mpi ];
passthru = {
- mpiSupport = mpiSupport;
- inherit mpi;
+ inherit mpiSupport mpi;
};
configureFlags = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/newt/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/newt/default.nix
index dc6b604bd8e..6114c4c70d0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/newt/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/newt/default.nix
@@ -8,20 +8,25 @@ stdenv.mkDerivation rec {
version = "0.52.21";
src = fetchurl {
- url = "https://fedorahosted.org/releases/n/e/${pname}/${pname}-${version}.tar.gz";
+ url = "https://releases.pagure.org/${pname}/${pname}-${version}.tar.gz";
sha256 = "0cdvbancr7y4nrj8257y5n45hmhizr8isynagy4fpsnpammv8pi6";
};
- patchPhase = ''
+ postPatch = ''
sed -i -e s,/usr/bin/install,install, -e s,-I/usr/include/slang,, Makefile.in po/Makefile
substituteInPlace configure \
--replace "/usr/include/python" "${pythonIncludePath}"
substituteInPlace configure.ac \
--replace "/usr/include/python" "${pythonIncludePath}"
+
+ substituteInPlace Makefile.in \
+ --replace "ar rv" "${stdenv.cc.targetPrefix}ar rv"
'';
- buildInputs = [ slang popt python ];
+ strictDeps = true;
+ nativeBuildInputs = [ python ];
+ buildInputs = [ slang popt ];
NIX_LDFLAGS = "-lncurses";
@@ -36,7 +41,7 @@ stdenv.mkDerivation rec {
];
meta = with lib; {
- homepage = "https://fedorahosted.org/newt/";
+ homepage = "https://pagure.io/newt";
description = "Library for color text mode, widget based user interfaces";
license = licenses.lgpl2;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nlohmann_json/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nlohmann_json/default.nix
index 41a967a5b70..d8ae8fcaa4a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nlohmann_json/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nlohmann_json/default.nix
@@ -1,41 +1,46 @@
-{ lib, stdenv, fetchFromGitHub, fetchpatch, cmake
+{ stdenv
+, lib
+, fetchFromGitHub
+, cmake
}:
-
-stdenv.mkDerivation rec {
+let
+ testData = fetchFromGitHub {
+ owner = "nlohmann";
+ repo = "json_test_data";
+ rev = "v3.0.0";
+ sha256 = "O6p2PFB7c2KE9VqWvmTaFywbW1hSzAP5V42EuemX+ls=";
+ };
+in stdenv.mkDerivation rec {
pname = "nlohmann_json";
- version = "3.9.1";
+ version = "3.10.2";
src = fetchFromGitHub {
owner = "nlohmann";
repo = "json";
rev = "v${version}";
- sha256 = "sha256-THordDPdH2qwk6lFTgeFmkl7iDuA/7YH71PTUe6vJCs=";
+ sha256 = "/OFNfukrIyfJmD0ko174aud9T6ZOesHANJjyfk4q/Vs=";
};
- patches = [
- # https://github.com/nlohmann/json/pull/2690
- (fetchpatch {
- url = "https://github.com/nlohmann/json/commit/53a9850eebb88c6ff95f6042d08d5c0cc9d18097.patch";
- sha256 = "k+Og00nXNg5IsFQY5fWD3xVQQXUFFTie44UXole0S1M=";
- })
- ];
-
nativeBuildInputs = [ cmake ];
cmakeFlags = [
"-DBuildTests=${if doCheck then "ON" else "OFF"}"
"-DJSON_MultipleHeaders=ON"
- ];
+ ] ++ lib.optional doCheck "-DJSON_TestDataDirectory=${testData}";
+
+ doCheck = stdenv.hostPlatform == stdenv.buildPlatform;
- # A test cause the build to timeout https://github.com/nlohmann/json/issues/1816
- #doCheck = stdenv.hostPlatform == stdenv.buildPlatform;
- doCheck = false;
+ # skip tests that require git or modify “installed files”
+ preCheck = ''
+ checkFlagsArray+=("ARGS=-LE 'not_reproducible|git_required'")
+ '';
postInstall = "rm -rf $out/lib64";
meta = with lib; {
- description = "Header only C++ library for the JSON file format";
- homepage = "https://github.com/nlohmann/json";
+ description = "JSON for Modern C++";
+ homepage = "https://json.nlohmann.me";
+ changelog = "https://github.com/nlohmann/json/blob/develop/ChangeLog.md";
license = licenses.mit;
platforms = platforms.all;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/notcurses/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/notcurses/default.nix
index a99a09a0fe6..8be2fe9fe8d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/notcurses/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/notcurses/default.nix
@@ -4,6 +4,7 @@
, pandoc
, libunistring
, ncurses
+, zlib
, ffmpeg
, readline
, fetchFromGitHub
@@ -13,20 +14,20 @@
stdenv.mkDerivation rec {
pname = "notcurses";
- version = "2.3.8";
+ version = "2.4.2";
src = fetchFromGitHub {
owner = "dankamongmen";
repo = "notcurses";
rev = "v${version}";
- sha256 = "sha256-CTMFXTmOnBUCm0KdVNBoDT08arr01XTHdELFiTayk3E=";
+ sha256 = "sha256-EtHyxnTH2bVoVnWB9wvmF/nCdecvL1TTiVRaajFVC/0=";
};
outputs = [ "out" "dev" ];
nativeBuildInputs = [ cmake pkg-config pandoc ];
- buildInputs = [ libunistring ncurses readline ]
+ buildInputs = [ libunistring ncurses readline zlib ]
++ lib.optional multimediaSupport ffmpeg;
cmakeFlags = [ "-DUSE_QRCODEGEN=OFF" ]
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nss/3.53.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nss/3.53.nix
index 4d22e9a1a7c..2f18b466f98 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nss/3.53.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nss/3.53.nix
@@ -151,13 +151,11 @@ stdenv.mkDerivation rec {
in
(lib.optionalString enableFIPS (''
for libname in freebl3 nssdbm3 softokn3
- do '' +
+ do libfile="$out/lib/lib$libname${stdenv.hostPlatform.extensions.sharedLibrary}"'' +
(if stdenv.isDarwin
then ''
- libfile="$out/lib/lib$libname.dylib"
DYLD_LIBRARY_PATH=$out/lib:${nspr.out}/lib \
'' else ''
- libfile="$out/lib/lib$libname.so"
LD_LIBRARY_PATH=$out/lib:${nspr.out}/lib \
'') + ''
${nss}/bin/shlibsign -v -i "$libfile"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nss/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nss/default.nix
index 2df6214ab09..c1b90a8da87 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nss/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nss/default.nix
@@ -27,7 +27,7 @@ let
# It will rebuild itself using the version of this package (NSS) and if
# an update is required do the required changes to the expression.
# Example: nix-shell ./maintainers/scripts/update.nix --argstr package cacert
- version = "3.68";
+ version = "3.70";
in
stdenv.mkDerivation rec {
@@ -36,7 +36,7 @@ stdenv.mkDerivation rec {
src = fetchurl {
url = "mirror://mozilla/security/nss/releases/NSS_${lib.replaceStrings [ "." ] [ "_" ] version}_RTM/src/${pname}-${version}.tar.gz";
- sha256 = "0nvj7h2brcw21p1z99nrsxka056d0r1yy9nqqg0lw0w3mhnb60n4";
+ sha256 = "sha256-K4mruGAe5AW+isW1cD1x8fs4pRw6ZKPYNDh/eLMlURs=";
};
depsBuildBuild = [ buildPackages.stdenv.cc ];
@@ -166,13 +166,11 @@ stdenv.mkDerivation rec {
in
(lib.optionalString enableFIPS (''
for libname in freebl3 nssdbm3 softokn3
- do '' +
+ do libfile="$out/lib/lib$libname${stdenv.hostPlatform.extensions.sharedLibrary}"'' +
(if stdenv.isDarwin
then ''
- libfile="$out/lib/lib$libname.dylib"
DYLD_LIBRARY_PATH=$out/lib:${nspr.out}/lib \
'' else ''
- libfile="$out/lib/lib$libname.so"
LD_LIBRARY_PATH=$out/lib:${nspr.out}/lib \
'') + ''
${nss}/bin/shlibsign -v -i "$libfile"
@@ -187,6 +185,8 @@ stdenv.mkDerivation rec {
runHook postInstall
'';
+ passthru.updateScript = ./update.sh;
+
meta = with lib; {
homepage = "https://developer.mozilla.org/en-US/docs/Mozilla/Projects/NSS";
description = "A set of libraries for development of security-enabled client and server applications";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nss/update.sh b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nss/update.sh
new file mode 100755
index 00000000000..600dbdff004
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nss/update.sh
@@ -0,0 +1,10 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i bash -p curl gnugrep gnused coreutils common-updater-scripts
+
+set -x
+
+base_url="https://ftp.mozilla.org/pub/security/nss/releases/"
+
+version="$(curl -sSL ${base_url} | grep 'RTM' | grep -v WITH_CKBI | sed 's|.*>\(NSS_[0-9]*_[0-9]*_*[0-9]*_*[0-9]*_RTM\)/.*|\1|g' | sed 's|NSS_||g' | sed 's|_RTM||g' | sed 's|_|.|g' | sort -V | tail -1)"
+hash="$(nix-hash --type sha256 --base32 ${base_url}/NSS_${version/\./_}_RTM/src/nss-${version}.tar.gz)"
+update-source-version nss "${version}" "${hash}"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nsss/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nsss/default.nix
index 527e7d4898e..9fee8333fb3 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nsss/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nsss/default.nix
@@ -4,8 +4,8 @@ with skawarePackages;
buildPackage {
pname = "nsss";
- version = "0.1.0.1";
- sha256 = "1nair10m7fddp50mpqnwj0qiggnh5qmnffmyzxis5l1ixcav1ir0";
+ version = "0.2.0.0";
+ sha256 = "0zg0lwkvx9ch4a6h9ryc73nqfz733v2pv4gbf65qzpz7ccniwagi";
description = "An implementation of a subset of the pwd.h, group.h and shadow.h family of functions.";
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ntbtls/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ntbtls/default.nix
index b6dcfc782f3..391f96734d2 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ntbtls/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ntbtls/default.nix
@@ -1,6 +1,4 @@
-{ lib, stdenv, fetchurl, gettext, libgpgerror, libgcrypt, libksba, zlib }:
-
-with lib;
+{ lib, stdenv, fetchurl, gettext, libgpg-error, libgcrypt, libksba, zlib }:
stdenv.mkDerivation rec {
pname = "ntbtls";
@@ -13,14 +11,14 @@ stdenv.mkDerivation rec {
outputs = [ "dev" "out" ];
- buildInputs = [ libgcrypt libgpgerror libksba zlib ]
+ buildInputs = [ libgcrypt libgpg-error libksba zlib ]
++ lib.optional stdenv.isDarwin gettext;
postInstall = ''
moveToOutput "bin/ntbtls-config" $dev
'';
- meta = {
+ meta = with lib; {
description = "A tiny TLS 1.2 only implementation";
homepage = "https://www.gnupg.org/software/ntbtls/";
license = licenses.gpl3Plus;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nv-codec-headers/11_x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nv-codec-headers/11_x.nix
new file mode 100644
index 00000000000..a4bdd600282
--- /dev/null
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/nv-codec-headers/11_x.nix
@@ -0,0 +1,27 @@
+{ stdenv
+, lib
+, fetchgit
+}:
+
+stdenv.mkDerivation rec {
+ pname = "nv-codec-headers";
+ version = "11.1.5.0";
+
+ src = fetchgit {
+ url = "https://git.videolan.org/git/ffmpeg/nv-codec-headers.git";
+ rev = "n${version}";
+ sha256 = "5d6LCKQB31UZ0veanSeKJVrPkJ8o2nvQWRfIG8YuekM=";
+ };
+
+ makeFlags = [
+ "PREFIX=$(out)"
+ ];
+
+ meta = with lib; {
+ description = "FFmpeg version of headers for NVENC";
+ homepage = "https://git.videolan.org/?p=ffmpeg/nv-codec-headers.git";
+ license = licenses.mit;
+ maintainers = with maintainers; [ MP2E ];
+ platforms = platforms.all;
+ };
+}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ocl-icd/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ocl-icd/default.nix
index b84aa6a146d..7dbcecab0c8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ocl-icd/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/ocl-icd/default.nix
@@ -1,26 +1,34 @@
-{lib, stdenv, fetchurl, ruby, opencl-headers, addOpenGLRunpath }:
+{ lib
+, stdenv
+, fetchFromGitHub
+, ruby
+, opencl-headers
+, addOpenGLRunpath
+, autoreconfHook
+}:
stdenv.mkDerivation rec {
pname = "ocl-icd";
- version = "2.2.10";
+ version = "2.3.1";
- src = fetchurl {
- url = "https://forge.imag.fr/frs/download.php/810/${pname}-${version}.tar.gz";
- sha256 = "0f14gpa13sdm0kzqv5yycp4pschbmi6n5fj7wl4ilspzsrqcgqr2";
+ src = fetchFromGitHub {
+ owner = "OCL-dev";
+ repo = "ocl-icd";
+ rev = "v${version}";
+ sha256 = "1km2rqc9pw6xxkqp77a22pxfsb5kgw95w9zd15l5jgvyjb6rqqad";
};
- nativeBuildInputs = [ ruby ];
+ nativeBuildInputs = [
+ autoreconfHook
+ ruby
+ ];
buildInputs = [ opencl-headers ];
- postPatch = ''
- sed -i 's,"/etc/OpenCL/vendors","${addOpenGLRunpath.driverLink}/etc/OpenCL/vendors",g' ocl_icd_loader.c
- '';
-
meta = with lib; {
description = "OpenCL ICD Loader for ${opencl-headers.name}";
- homepage = "https://forge.imag.fr/projects/ocl-icd/";
+ homepage = "https://github.com/OCL-dev/ocl-icd";
license = licenses.bsd2;
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openal-soft/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openal-soft/default.nix
index 2d469a406de..d28f7b25792 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openal-soft/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openal-soft/default.nix
@@ -1,45 +1,39 @@
{ lib, stdenv, fetchFromGitHub, cmake
-, alsaSupport ? !stdenv.isDarwin, alsa-lib ? null
-, pulseSupport ? !stdenv.isDarwin, libpulseaudio ? null
+, alsaSupport ? !stdenv.isDarwin, alsa-lib
+, pulseSupport ? !stdenv.isDarwin, libpulseaudio
, CoreServices, AudioUnit, AudioToolbox
}:
-with lib;
-
-assert alsaSupport -> alsa-lib != null;
-assert pulseSupport -> libpulseaudio != null;
-
stdenv.mkDerivation rec {
- version = "1.19.1";
pname = "openal-soft";
+ version = "1.21.1";
src = fetchFromGitHub {
owner = "kcat";
repo = "openal-soft";
- rev = "${pname}-${version}";
- sha256 = "0b0g0q1c36nfb289xcaaj3cmyfpiswvvgky3qyalsf9n4dj7vnzi";
+ rev = version;
+ sha256 = "sha256-rgc6kjXaZb6sCR+e9Gu7BEEHIiCHMygpLIeSqgWkuAg=";
};
# this will make it find its own data files (e.g. HRTF profiles)
# without any other configuration
patches = [ ./search-out.patch ];
postPatch = ''
- substituteInPlace Alc/helpers.c \
+ substituteInPlace alc/helpers.cpp \
--replace "@OUT@" $out
'';
nativeBuildInputs = [ cmake ];
- buildInputs = []
- ++ optional alsaSupport alsa-lib
- ++ optional pulseSupport libpulseaudio
- ++ optionals stdenv.isDarwin [ CoreServices AudioUnit AudioToolbox ];
+ buildInputs = lib.optional alsaSupport alsa-lib
+ ++ lib.optional pulseSupport libpulseaudio
+ ++ lib.optionals stdenv.isDarwin [ CoreServices AudioUnit AudioToolbox ];
- NIX_LDFLAGS = toString ([]
- ++ optional alsaSupport "-lasound"
- ++ optional pulseSupport "-lpulse");
+ NIX_LDFLAGS = toString (
+ lib.optional alsaSupport "-lasound"
+ ++ lib.optional pulseSupport "-lpulse");
- meta = {
+ meta = with lib; {
description = "OpenAL alternative";
homepage = "https://kcat.strangesoft.net/openal.html";
license = licenses.lgpl2;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openal-soft/search-out.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openal-soft/search-out.patch
index 0f9c2abad3c..796642aa3c8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openal-soft/search-out.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openal-soft/search-out.patch
@@ -1,12 +1,12 @@
-diff -Nuar a/Alc/helpers.c b/Alc/helpers.c
---- a/Alc/helpers.c 1970-01-01 00:00:01.000000000 +0000
-+++ b/Alc/helpers.c 1970-01-01 00:00:02.000000000 +0000
-@@ -951,6 +951,8 @@
- }
- }
+diff --git a/alc/helpers.cpp b/alc/helpers.cpp
+index 8c1c856..19bbc0f 100644
+--- a/alc/helpers.cpp
++++ b/alc/helpers.cpp
+@@ -402,6 +402,7 @@ al::vector SearchDataFiles(const char *ext, const char *subdir)
-+ DirectorySearch("@OUT@/share", ext, &results);
-+
- alstr_reset(&path);
+ DirectorySearch(path.c_str(), ext, &results);
}
++ DirectorySearch("@OUT@/share/", ext, &results);
+ return results;
+ }
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencolorio/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencolorio/default.nix
index 522c8cfa4a1..a660008841a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencolorio/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencolorio/default.nix
@@ -16,13 +16,13 @@ with lib;
stdenv.mkDerivation rec {
pname = "opencolorio";
- version = "2.0.1";
+ version = "2.0.2";
src = fetchFromGitHub {
owner = "AcademySoftwareFoundation";
repo = "OpenColorIO";
rev = "v${version}";
- sha256 = "194j9jp5c8ws0fryiz936wyinphnpzwpqnzvw9ryx6rbiwrba487";
+ sha256 = "sha256-Yr7yypXxf3ZvQVsDxVuKTN/DGPaLkIWli26RRoEDMdA=";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencv/3.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencv/3.x.nix
index 33b1cd18757..dfb67b6a12a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencv/3.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencv/3.x.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
-, fetchFromGitHub, fetchpatch
+, fetchFromGitHub
+, fetchpatch
, cmake, pkg-config, unzip, zlib, pcre, hdf5
, glog, boost, gflags, protobuf
, config
@@ -39,20 +40,20 @@ assert blas.implementation == "openblas" && lapack.implementation == "openblas";
assert enablePython -> pythonPackages != null;
let
- version = "3.4.8";
+ version = "3.4.15";
src = fetchFromGitHub {
owner = "opencv";
repo = "opencv";
rev = version;
- sha256 = "1dnz3gfj70lm1gbrk8pz28apinlqi2x6nvd6xcy5hs08505nqnjp";
+ hash = "sha256-dLwQM2VhVlBV4xazS2rItTscKYeeNlNT0G8G1A1mOmc=";
};
contribSrc = fetchFromGitHub {
owner = "opencv";
repo = "opencv_contrib";
rev = version;
- sha256 = "0psaa1yx36n34l09zd1y8jxgf8q4jzxd3vn06fqmzwzy85hcqn8i";
+ hash = "sha256-FJDRMmSOT5jA+n2Ke0gEH7n5rgGvB1UzYpYZ1vmucjg=";
};
# Contrib must be built in order to enable Tesseract support:
@@ -151,6 +152,16 @@ stdenv.mkDerivation {
cp --no-preserve=mode -r "${contribSrc}/modules" "$NIX_BUILD_TOP/opencv_contrib"
'';
+ # Ensures that we use the system OpenEXR rather than the vendored copy of the source included with OpenCV.
+ patches = [
+ ./cmake-don-t-use-OpenCVFindOpenEXR.patch
+ # Fix usage of deprecated version of protobuf' SetTotalBytesLimit. Remove with the next release.
+ (fetchpatch {
+ url = "https://github.com/opencv/opencv/commit/384875f4fcf1782b10699a379aa245a03cb27a04.patch";
+ sha256 = "1agwd0pm07m2dy8a62vmfl4n73dsmsdll2a73q6kara9wm3jlp41";
+ })
+ ];
+
# This prevents cmake from using libraries in impure paths (which
# causes build failure on non NixOS)
# Also, work around https://github.com/NixOS/nixpkgs/issues/26304 with
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencv/4.x.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencv/4.x.nix
index a33eff4faa5..0263ef3096d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencv/4.x.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencv/4.x.nix
@@ -1,6 +1,7 @@
{ lib
, stdenv
, fetchurl
+, fetchpatch
, fetchFromGitHub
, cmake
, pkg-config
@@ -12,6 +13,7 @@
, gflags
, protobuf
, config
+, ocl-icd
, enableJPEG ? true
, libjpeg
@@ -214,11 +216,18 @@ stdenv.mkDerivation {
cp --no-preserve=mode -r "${contribSrc}/modules" "$NIX_BUILD_TOP/source/opencv_contrib"
'';
- # This prevents cmake from using libraries in impure paths (which
- # causes build failure on non NixOS)
+ # Ensures that we use the system OpenEXR rather than the vendored copy of the source included with OpenCV.
patches = [
./cmake-don-t-use-OpenCVFindOpenEXR.patch
+ # Fix usage of deprecated version of protobuf' SetTotalBytesLimit. Remove with the next release.
+ (fetchpatch {
+ url = "https://github.com/opencv/opencv/commit/384875f4fcf1782b10699a379aa245a03cb27a04.patch";
+ sha256 = "1agwd0pm07m2dy8a62vmfl4n73dsmsdll2a73q6kara9wm3jlp41";
+ })
] ++ lib.optional enableCuda ./cuda_opt_flow.patch;
+
+ # This prevents cmake from using libraries in impure paths (which
+ # causes build failure on non NixOS)
postPatch = ''
sed -i '/Add these standard paths to the search paths for FIND_LIBRARY/,/^\s*$/{d}' CMakeLists.txt
'';
@@ -305,6 +314,8 @@ stdenv.mkDerivation {
] ++ lib.optionals stdenv.isDarwin [
"-DWITH_OPENCL=OFF"
"-DWITH_LAPACK=OFF"
+ ] ++ lib.optionals (!stdenv.isDarwin) [
+ "-DOPENCL_LIBRARY=${ocl-icd}/lib/libOpenCL.so"
] ++ lib.optionals enablePython [
"-DOPENCV_SKIP_PYTHON_LOADER=ON"
];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencv/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencv/default.nix
index 005257780e4..ed2f700dc82 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencv/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opencv/default.nix
@@ -9,6 +9,7 @@
, enableFfmpeg ? false, ffmpeg
, enableGStreamer ? false, gst_all_1
, enableEigen ? true, eigen
+, enableUnfree ? false
, Cocoa, QTKit
}:
@@ -67,7 +68,7 @@ stdenv.mkDerivation rec {
(opencvFlag "PNG" enablePNG)
(opencvFlag "OPENEXR" enableEXR)
(opencvFlag "GSTREAMER" enableGStreamer)
- ];
+ ] ++ lib.optional (!enableUnfree) "-DBUILD_opencv_nonfree=OFF";
hardeningDisable = [ "bindnow" "relro" ];
@@ -82,7 +83,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Open Computer Vision Library with more than 500 algorithms";
homepage = "https://opencv.org/";
- license = licenses.bsd3;
+ license = if enableUnfree then licenses.unfree else licenses.bsd3;
maintainers = with maintainers; [ ];
platforms = platforms.linux;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opendht/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opendht/default.nix
index 3cf29b7351e..d785146ecb0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opendht/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opendht/default.nix
@@ -1,42 +1,71 @@
-{ lib, stdenv, fetchFromGitHub, darwin
-, cmake, pkg-config
-, asio, nettle, gnutls, msgpack, readline, libargon2
+{ lib
+, stdenv
+, fetchFromGitHub
+, Security
+, cmake
+, pkg-config
+, asio
+, nettle
+, gnutls
+, msgpack
+, readline
+, libargon2
+, jsoncpp
+, restinio
+, http-parser
+, openssl
+, fmt
+, enableProxyServerAndClient ? false
+, enablePushNotifications ? false
}:
stdenv.mkDerivation rec {
pname = "opendht";
- version = "2.2.0";
+ version = "2.3.1";
src = fetchFromGitHub {
owner = "savoirfairelinux";
repo = "opendht";
rev = version;
- sha256 = "sha256-u4MWMUbnq2q4FH0TMpbrbhS5erAfT4/3HYGLXaLTz+I=";
+ sha256 = "sha256-Os5PRYTZMVekQrbwNODWsHANTx6RSC5vzGJ5JoYtvtE=";
};
- nativeBuildInputs =
- [ cmake
- pkg-config
- ];
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ ];
- buildInputs =
- [ asio
- nettle
- gnutls
- msgpack
- readline
- libargon2
- ] ++ lib.optionals stdenv.isDarwin [
- darwin.apple_sdk.frameworks.Security
- ];
+ buildInputs = [
+ asio
+ nettle
+ gnutls
+ msgpack
+ readline
+ libargon2
+ ] ++ lib.optionals enableProxyServerAndClient [
+ jsoncpp
+ restinio
+ http-parser
+ openssl
+ fmt
+ ] ++ lib.optionals stdenv.isDarwin [
+ Security
+ ];
+
+ cmakeFlags = lib.optionals enableProxyServerAndClient [
+ "-DOPENDHT_PROXY_SERVER=ON"
+ "-DOPENDHT_PROXY_CLIENT=ON"
+ ] ++ lib.optionals enablePushNotifications [
+ "-DOPENDHT_PUSH_NOTIFICATIONS=ON"
+ ];
outputs = [ "out" "lib" "dev" "man" ];
meta = with lib; {
description = "A C++11 Kademlia distributed hash table implementation";
- homepage = "https://github.com/savoirfairelinux/opendht";
- license = licenses.gpl3Plus;
+ homepage = "https://github.com/savoirfairelinux/opendht";
+ license = licenses.gpl3Plus;
maintainers = with maintainers; [ taeer olynch thoughtpolice ];
- platforms = platforms.unix;
+ platforms = platforms.unix;
};
}
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openexr/3.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openexr/3.nix
index ee5e849f2ea..1fae6682906 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openexr/3.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openexr/3.nix
@@ -8,7 +8,7 @@
stdenv.mkDerivation rec {
pname = "openexr";
- version = "3.1.1";
+ version = "3.1.2";
outputs = [ "bin" "dev" "out" "doc" ];
@@ -16,7 +16,7 @@ stdenv.mkDerivation rec {
owner = "AcademySoftwareFoundation";
repo = "openexr";
rev = "v${version}";
- sha256 = "1p0l07vfpb25fx6jcgk1747v8x9xgpifx4cvvgi3g2473wlx6pyb";
+ sha256 = "0vyclrrikphwkkpyjg8kzh3qzflzk3d6xsidgqllgfdgllr9wmgv";
};
nativeBuildInputs = [ cmake ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openfst/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openfst/default.nix
index 51e661eabb3..08767680ffd 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openfst/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openfst/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "openfst";
- version = "1.7.9";
+ version = "1.8.1";
src = fetchurl {
url = "http://www.openfst.org/twiki/pub/FST/FstDownload/${pname}-${version}.tar.gz";
- sha256 = "1pmx1yhn2gknj0an0zwqmzgwjaycapi896244np50a8y3nrsw6ck";
+ sha256 = "sha256-JPtTtyu2h+P6julscqMf8pINmbmAoKj2HdpCb8pnE/A=";
};
configureFlags = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opengrm-ngram/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opengrm-ngram/default.nix
index 9b105808b8b..3b00ae17e91 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opengrm-ngram/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/opengrm-ngram/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "opengrm-ngram";
- version = "1.3.11";
+ version = "1.3.13";
src = fetchurl {
url = "http://www.openfst.org/twiki/pub/GRM/NGramDownload/ngram-${version}.tar.gz";
- sha256 = "0wwpcj8qncdr9f2pmi0vhlw277dyxr85ygdi8g57xp2ifysigm05";
+ sha256 = "sha256-5CXf6OXs7owny3yZQrWYA6yhIyN0dgA2B8TSDEUTF1Q=";
};
nativeBuildInputs = [ autoreconfHook ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openmpi/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openmpi/default.nix
index 35708c0ac01..d3323b1fa9e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openmpi/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openmpi/default.nix
@@ -46,14 +46,14 @@ in stdenv.mkDerivation rec {
'';
buildInputs = [ zlib ]
- ++ lib.optionals fortranSupport [ gfortran ]
++ lib.optionals stdenv.isLinux [ libnl numactl pmix ucx ]
++ lib.optionals cudaSupport [ cudatoolkit ]
++ [ libevent hwloc ]
++ lib.optional (stdenv.isLinux || stdenv.isFreeBSD) rdma-core
++ lib.optional fabricSupport [ libpsm2 libfabric ];
- nativeBuildInputs = [ perl ];
+ nativeBuildInputs = [ perl ]
+ ++ lib.optionals fortranSupport [ gfortran ];
configureFlags = lib.optional (!cudaSupport) "--disable-mca-dso"
++ lib.optional (!fortranSupport) "--disable-mpi-fortran"
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openssl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openssl/default.nix
index 2d3f1c313ca..ca44788a289 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openssl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openssl/default.nix
@@ -75,6 +75,7 @@ let
aarch64-darwin = "./Configure darwin64-arm64-cc";
x86_64-linux = "./Configure linux-x86_64";
x86_64-solaris = "./Configure solaris64-x86_64-gcc";
+ riscv64-linux = "./Configure linux64-riscv64";
}.${stdenv.hostPlatform.system} or (
if stdenv.hostPlatform == stdenv.buildPlatform
then "./config"
@@ -170,7 +171,6 @@ let
description = "A cryptographic library that implements the SSL and TLS protocols";
license = licenses.openssl;
platforms = platforms.all;
- maintainers = [ maintainers.peti ];
} // extraMeta;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openvino/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openvino/default.nix
index b4e48788799..86bc3b0d009 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openvino/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/openvino/default.nix
@@ -3,6 +3,7 @@
, autoPatchelfHook
, stdenv
, fetchFromGitHub
+, fetchpatch
, cmake
, git
, protobuf
@@ -10,17 +11,29 @@
, opencv
, unzip
, shellcheck
+, srcOnly
, python
, enablePython ? false
}:
let
- onnx_src = fetchFromGitHub {
- owner = "onnx";
- repo = "onnx";
- rev = "v1.8.1";
- sha256 = "+1zNnZ4lAyVYRptfk0PV7koIX9FqcfD1Ah33qj/G2rA=";
+ onnx_src = srcOnly {
+ name = "onnx-patched";
+ src = fetchFromGitHub {
+ owner = "onnx";
+ repo = "onnx";
+ rev = "v1.8.1";
+ sha256 = "+1zNnZ4lAyVYRptfk0PV7koIX9FqcfD1Ah33qj/G2rA=";
+ };
+ patches = [
+ # Fix build with protobuf 3.18+
+ # Remove with onnx 1.9 release
+ (fetchpatch {
+ url = "https://github.com/onnx/onnx/commit/d3bc82770474761571f950347560d62a35d519d7.patch";
+ sha256 = "0vdsrklkzhdjaj8wdsl4icn93q3961g8dx35zvff0nhpr08wjb7y";
+ })
+ ];
};
in
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/orocos-kdl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/orocos-kdl/default.nix
index 4eb18c347c0..c60d79fd492 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/orocos-kdl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/orocos-kdl/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "orocos-kdl";
- version = "1.5.0";
+ version = "1.5.1";
src = fetchFromGitHub {
owner = "orocos";
repo = "orocos_kinematics_dynamics";
rev = "v${version}";
- sha256 = "181w2q6lsrfcvrgqwi6m0xrydjlblj1b654apf2d7zjc7qqgd6ca";
+ sha256 = "15ky7vw461005axx96d0f4zxdnb9dxl3h082igyd68sbdb8r1419";
# Needed to build Python bindings
fetchSubmodules = true;
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pango/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pango/default.nix
index c75e33a0686..513bfe2d17e 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pango/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pango/default.nix
@@ -24,14 +24,14 @@ let
in
stdenv.mkDerivation rec {
pname = "pango";
- version = "1.48.5";
+ version = "1.48.10";
outputs = [ "bin" "out" "dev" ]
++ lib.optionals withDocs [ "devdoc" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "0aivpd6l5687lj5293j859zd7vq97yxpzvad0b6jvh3kc54p87jh";
+ sha256 = "IeH1eYvN/adeq8QoBRSwiWq1b2VtTn5mAwuaJTXs3Jg=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pangomm/2.48.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pangomm/2.48.nix
index 3c25d113d72..c2ab9939545 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pangomm/2.48.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pangomm/2.48.nix
@@ -14,13 +14,13 @@
stdenv.mkDerivation rec {
pname = "pangomm";
- version= "2.48.0";
+ version= "2.48.1";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-ng7UdMM/jCACyp4rYcoNHz2OQJ4J6Z9NjBnur8z1W3g=";
+ sha256 = "sha256-d2rVPnkeQxBrf0D/CDS+5uTrHGrXy20hVUb3o98O3E0=";
};
nativeBuildInputs = [
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pangomm/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pangomm/default.nix
index afb79c7eafe..f13dce99c13 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pangomm/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pangomm/default.nix
@@ -3,16 +3,16 @@
stdenv.mkDerivation rec {
pname = "pangomm";
- version= "2.42.2";
+ version= "2.46.1";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-GyTJJiSuEnXMtXdYF10198Oa0zQtjAtLpg8NmEnS0Io=";
+ sha256 = "sha256-yIUBP+YaTFEX/aOVdw1QdWNBHGPkn0o6ztTJ7+NNmXU=";
};
outputs = [ "out" "dev" ];
- nativeBuildInputs = [ pkg-config meson ninja python3 ] ++ lib.optional stdenv.isDarwin [
+ nativeBuildInputs = [ pkg-config meson ninja python3 ] ++ lib.optionals stdenv.isDarwin [
ApplicationServices
];
propagatedBuildInputs = [ pango glibmm cairomm ];
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
updateScript = gnome.updateScript {
packageName = pname;
versionPolicy = "odd-unstable";
+ freeze = true;
};
};
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pcl/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pcl/default.nix
index d940d051815..ee8f4dfe2e0 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pcl/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pcl/default.nix
@@ -12,6 +12,7 @@
, qtbase
, libusb1
, libpcap
+, libtiff
, libXt
, libpng
, Cocoa
@@ -33,20 +34,24 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [ pkg-config cmake wrapQtAppsHook ];
buildInputs = [
- qhull
- flann
- boost
eigen
libusb1
libpcap
- libpng
- vtk
qtbase
libXt
]
++ lib.optionals stdenv.isDarwin [ Cocoa AGL ]
++ lib.optionals withCuda [ cudatoolkit ];
+ propagatedBuildInputs = [
+ boost
+ flann
+ libpng
+ libtiff
+ qhull
+ vtk
+ ];
+
cmakeFlags = lib.optionals stdenv.isDarwin [
"-DOPENGL_INCLUDE_DIR=${OpenGL}/Library/Frameworks"
] ++ lib.optionals withCuda [ "-DWITH_CUDA=true" ];
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pcre2/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pcre2/default.nix
index 188fa9b16b7..dbf8f6b750f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pcre2/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pcre2/default.nix
@@ -1,30 +1,33 @@
-{ lib, stdenv, fetchurl }:
+{ lib
+, stdenv
+, fetchurl
+}:
stdenv.mkDerivation rec {
pname = "pcre2";
- version = "10.36";
+ version = "10.37";
src = fetchurl {
url = "https://ftp.pcre.org/pub/pcre/${pname}-${version}.tar.bz2";
- sha256 = "0p3699msps07p40g9426lvxa3b41rg7k2fn7qxl2jm0kh4kkkvx9";
+ hash = "sha256-TZWpbouAUpiTtFYr4SZI15i5V7G6Gq45YGu8KrlW0nA=";
};
# Disable jit on Apple Silicon, https://github.com/zherczeg/sljit/issues/51
configureFlags = [
"--enable-pcre2-16"
"--enable-pcre2-32"
- ] ++ lib.optional (!stdenv.hostPlatform.isRiscV && !(stdenv.hostPlatform.isDarwin && stdenv.hostPlatform.isAarch64)) "--enable-jit";
+ ] ++ lib.optional (!stdenv.hostPlatform.isRiscV &&
+ !(stdenv.hostPlatform.isDarwin &&
+ stdenv.hostPlatform.isAarch64)) "--enable-jit";
outputs = [ "bin" "dev" "out" "doc" "man" "devdoc" ];
- doCheck = false; # fails 1 out of 3 tests, looks like a bug
-
postFixup = ''
moveToOutput bin/pcre2-config "$dev"
'';
meta = with lib; {
- description = "Perl Compatible Regular Expressions";
homepage = "http://www.pcre.org/";
+ description = "Perl Compatible Regular Expressions";
license = licenses.bsd3;
maintainers = with maintainers; [ ttuegel ];
platforms = platforms.all;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/applgrid/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/applgrid/default.nix
index 65aa7b8b470..3ed2f24f45f 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/applgrid/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/applgrid/default.nix
@@ -9,8 +9,10 @@ stdenv.mkDerivation rec {
sha256 = "1yw9wrk3vjv84kd3j4s1scfhinirknwk6xq0hvj7x2srx3h93q9p";
};
+ nativeBuildInputs = [ gfortran ];
+
# For some reason zlib was only needed after bump to gfortran8
- buildInputs = [ gfortran hoppet lhapdf root5 zlib ];
+ buildInputs = [ hoppet lhapdf root5 zlib ];
patches = [
./bad_code.patch
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/fastjet/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/fastjet/default.nix
index 35ce8dedca7..65fb04b707a 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/fastjet/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/fastjet/default.nix
@@ -1,20 +1,24 @@
-{ lib, stdenv, fetchurl, python2 }:
+{ lib
+, stdenv
+, fetchurl
+, python
+, withPython ? false
+}:
stdenv.mkDerivation rec {
pname = "fastjet";
- version = "3.3.4";
+ version = "3.4.0";
src = fetchurl {
url = "http://fastjet.fr/repo/fastjet-${version}.tar.gz";
- sha256 = "00zwvmnp2j79z95n9lgnq67q02bqfgirqla8j9y6jd8k3r052as3";
+ hash = "sha256-7gfIdHyOrYbYjeSp5OjR6efXYUlz9WMbqCl/egJHi5E=";
};
- buildInputs = [ python2 ];
+ buildInputs = lib.optional withPython python;
configureFlags = [
"--enable-allcxxplugins"
- "--enable-pyext"
- ];
+ ] ++ lib.optional withPython "--enable-pyext";
enableParallelBuilding = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/herwig/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/herwig/default.nix
index 0a7e9b4d948..1c3bfaa2064 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/herwig/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/herwig/default.nix
@@ -9,9 +9,9 @@ stdenv.mkDerivation rec {
sha256 = "10y3fb33zsinr0z3hzap9rsbcqhy1yjqnv4b4vz21g7mdlw6pq2k";
};
- nativeBuildInputs = [ autoconf automake libtool ];
+ nativeBuildInputs = [ autoconf automake libtool gfortran ];
- buildInputs = [ boost fastjet gfortran gsl thepeg zlib ]
+ buildInputs = [ boost fastjet gsl thepeg zlib ]
# There is a bug that requires for default PDF's to be present during the build
++ (with lhapdf.pdf_sets; [ CT14lo CT14nlo ]);
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/hoppet/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/hoppet/default.nix
index 7ad5b9861a1..af90192878d 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/hoppet/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/hoppet/default.nix
@@ -9,8 +9,7 @@ stdenv.mkDerivation rec {
sha256 = "0j7437rh4xxbfzmkjr22ry34xm266gijzj6mvrq193fcsfzipzdz";
};
- buildInputs = [ gfortran ];
- nativeBuildInputs = [ perl ];
+ nativeBuildInputs = [ perl gfortran ];
enableParallelBuilding = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/mela/default.nix b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/mela/default.nix
index 90cf5ac8b2d..e48a2f4638b 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/mela/default.nix
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/physics/mela/default.nix
@@ -11,7 +11,7 @@ stdenv.mkDerivation rec {
sha256 = "01sgd4mwx4n58x95brphp4dskqkkx8434bvsr38r5drg9na5nc9y";
};
- buildInputs = [ gfortran ];
+ nativeBuildInputs = [ gfortran ];
enableParallelBuilding = true;
diff --git a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pipewire/0090-pipewire-config-template-paths.patch b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pipewire/0090-pipewire-config-template-paths.patch
index 1f1a98780e9..ea968e28ea8 100644
--- a/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pipewire/0090-pipewire-config-template-paths.patch
+++ b/infra/libkookie/nixpkgs/unstable/pkgs/development/libraries/pipewire/0090-pipewire-config-template-paths.patch
@@ -1,8 +1,8 @@
diff --git a/src/daemon/pipewire.conf.in b/src/daemon/pipewire.conf.in
-index bbafa134..227d3e06 100644
+index 648e13069..50f767f0c 100644
--- a/src/daemon/pipewire.conf.in
+++ b/src/daemon/pipewire.conf.in
-@@ -116,7 +116,7 @@ context.modules = [
+@@ -131,7 +131,7 @@ context.modules = [
# access.allowed to list an array of paths of allowed
# apps.
#access.allowed = [
@@ -11,18 +11,18 @@ index bbafa134..227d3e06 100644
#]
# An array of rejected paths.
-@@ -220,12 +220,12 @@ context.exec = [
+@@ -235,12 +235,12 @@ context.exec = [
# but it is better to start it as a systemd service.
# Run the session manager with -h for options.
#
-- @comment@{ path = "@session_manager_path@" args = "@session_manager_args@" }
-+ @comment@{ path = "" args = "@session_manager_args@" }
+- @sm_comment@{ path = "@session_manager_path@" args = "@session_manager_args@" }
++ @sm_comment@{ path = "" args = "@session_manager_args@" }
#
# You can optionally start the pulseaudio-server here as well
# but it is better to start it as a systemd service.
# It can be interesting to start another daemon here that listens
# on another address with the -a option (eg. -a tcp:4713).
#
-- @comment@{ path = "@pipewire_path@" args = "-c pipewire-pulse.conf" }
-+ @comment@{ path = "" args = "-c pipewire-pulse.conf" }
+- @pulse_comment@{ path = "@pipewire_path@" args = "-c pipewire-pulse.conf" }
++ @pulse_comment@{ path = "